This commit is contained in:
Zane Kaminski 2023-11-03 04:35:53 -04:00
parent a9d1ca7995
commit 38c155d6b0
141 changed files with 347816 additions and 1353602 deletions

View File

@ -91,8 +91,8 @@ module RAM2E(C14M, PHI1, LED,
.drdout (DRDOut),
.osc (UFMOsc),
.rtpbusy (RTPBusy));
reg UFMBusyReg = 0; // UFMBusy registered to sync with C14M
reg RTPBusyReg = 0; // RTPBusy registered to sync with C14M
reg UFMRTPBusy = 0;
always @(posedge C14M) begin UFMRTPBusy <= UFMBusy || RTPBusy;
/* UFM State and User Command Triggers */
reg UFMInitDone = 0; // 1 if UFM initialization finished
@ -123,9 +123,7 @@ module RAM2E(C14M, PHI1, LED,
end
/* UFM Control */
always @(posedge C14M) begin // Synchronize asynchronous UFM signals
UFMBusyReg <= UFMBusy; RTPBusyReg <= RTPBusy;
end
reg UFMProgStart;
always @(posedge C14M) begin
if (S==4'h0) begin
if ((FS[15:13]==3'b101) || (FS[15:13]==3'b111 && UFMReqErase)) begin
@ -188,6 +186,8 @@ module RAM2E(C14M, PHI1, LED,
UFMProgram <= 1'b0;
// Keep DRCLK pulse control disabled during init
DRCLKPulse <= 1'b0;
// Reset UFMProgStart
UFMProgStart <= 1'b0;
end else begin
// Can only shift UFM data register now
ARCLK <= 1'b0;
@ -213,11 +213,16 @@ module RAM2E(C14M, PHI1, LED,
end
// UFM programming sequence
if (CmdPrgmMAX || CmdEraseMAX) begin
if (!UFMBusyReg && !RTPBusyReg) begin
if (UFMReqErase || CmdEraseMAX) UFMErase <= 1'b1;
else if (CmdPrgmMAX) UFMProgram <= 1'b1;
end else if (UFMBusyReg) UFMReqErase <= 1'b0;
if (S==4'h1) begin
if (!UFMProgStart && !UFMRTPBusy) begin
if (CmdPrgmMAX) begin
UFMErase <= UFMReqErase;
UFMProgStart <= 1;
end else if (CmdEraseMAX) UFMErase <= 1;
end else if (UFMProgStart && !UFMRTPBusy) begin
UFMErase <= 0;
if (!UFMErase) UFMProgram <= 1;
end
end
end
end
@ -586,8 +591,10 @@ module RAM2E(C14M, PHI1, LED,
//CmdBitbangMXO2 <= Din[7:0]==8'hEC;
//CmdExecMXO2 <= Din[7:0]==8'hED;
if (Din[7:0]==8'hEE) CmdEraseMAX <= 1;
if (Din[7:0]==8'hEF) CmdPrgmMAX <= 1;
if (!CmdEraseMAX && !CmdPrgmMAX) begin
if (Din[7:0]==8'hEE) CmdEraseMAX <= 1;
if (Din[7:0]==8'hEF) CmdPrgmMAX <= 1;
end
end else begin // Reset command triggers
CmdSetRWBankFFMAX <= 0;
//CmdSetRWBankFFSPI <= 0;

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -1,606 +0,0 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Generic_Conn_01x02
#
DEF Connector_Generic_Conn_01x02 J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Connector_Generic_Conn_01x02" 0 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 50 50 -150 1 1 10 f
X Pin_1 1 -200 0 150 R 50 50 1 1 P
X Pin_2 2 -200 -100 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x05_Odd_Even
#
DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 300 50 H V C CNN
F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 150 -250 1 1 10 f
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_10 10 300 -200 150 L 50 50 1 1 P
X Pin_2 2 300 200 150 L 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 300 100 150 L 50 50 1 1 P
X Pin_5 5 -200 0 150 R 50 50 1 1 P
X Pin_6 6 300 0 150 L 50 50 1 1 P
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
X Pin_8 8 300 -100 150 L 50 50 1 1 P
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x30_Counter_Clockwise
#
DEF Connector_Generic_Conn_02x30_Counter_Clockwise J 0 40 Y N 1 F N
F0 "J" 50 1500 50 H V C CNN
F1 "Connector_Generic_Conn_02x30_Counter_Clockwise" 50 -1600 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -1495 0 -1505 1 1 6 N
S -50 -1395 0 -1405 1 1 6 N
S -50 -1295 0 -1305 1 1 6 N
S -50 -1195 0 -1205 1 1 6 N
S -50 -1095 0 -1105 1 1 6 N
S -50 -995 0 -1005 1 1 6 N
S -50 -895 0 -905 1 1 6 N
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 805 0 795 1 1 6 N
S -50 905 0 895 1 1 6 N
S -50 1005 0 995 1 1 6 N
S -50 1105 0 1095 1 1 6 N
S -50 1205 0 1195 1 1 6 N
S -50 1305 0 1295 1 1 6 N
S -50 1405 0 1395 1 1 6 N
S -50 1450 150 -1550 1 1 10 f
S 150 -1495 100 -1505 1 1 6 N
S 150 -1395 100 -1405 1 1 6 N
S 150 -1295 100 -1305 1 1 6 N
S 150 -1195 100 -1205 1 1 6 N
S 150 -1095 100 -1105 1 1 6 N
S 150 -995 100 -1005 1 1 6 N
S 150 -895 100 -905 1 1 6 N
S 150 -795 100 -805 1 1 6 N
S 150 -695 100 -705 1 1 6 N
S 150 -595 100 -605 1 1 6 N
S 150 -495 100 -505 1 1 6 N
S 150 -395 100 -405 1 1 6 N
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
S 150 305 100 295 1 1 6 N
S 150 405 100 395 1 1 6 N
S 150 505 100 495 1 1 6 N
S 150 605 100 595 1 1 6 N
S 150 705 100 695 1 1 6 N
S 150 805 100 795 1 1 6 N
S 150 905 100 895 1 1 6 N
S 150 1005 100 995 1 1 6 N
S 150 1105 100 1095 1 1 6 N
S 150 1205 100 1195 1 1 6 N
S 150 1305 100 1295 1 1 6 N
S 150 1405 100 1395 1 1 6 N
X Pin_1 1 -200 1400 150 R 50 50 1 1 P
X Pin_10 10 -200 500 150 R 50 50 1 1 P
X Pin_11 11 -200 400 150 R 50 50 1 1 P
X Pin_12 12 -200 300 150 R 50 50 1 1 P
X Pin_13 13 -200 200 150 R 50 50 1 1 P
X Pin_14 14 -200 100 150 R 50 50 1 1 P
X Pin_15 15 -200 0 150 R 50 50 1 1 P
X Pin_16 16 -200 -100 150 R 50 50 1 1 P
X Pin_17 17 -200 -200 150 R 50 50 1 1 P
X Pin_18 18 -200 -300 150 R 50 50 1 1 P
X Pin_19 19 -200 -400 150 R 50 50 1 1 P
X Pin_2 2 -200 1300 150 R 50 50 1 1 P
X Pin_20 20 -200 -500 150 R 50 50 1 1 P
X Pin_21 21 -200 -600 150 R 50 50 1 1 P
X Pin_22 22 -200 -700 150 R 50 50 1 1 P
X Pin_23 23 -200 -800 150 R 50 50 1 1 P
X Pin_24 24 -200 -900 150 R 50 50 1 1 P
X Pin_25 25 -200 -1000 150 R 50 50 1 1 P
X Pin_26 26 -200 -1100 150 R 50 50 1 1 P
X Pin_27 27 -200 -1200 150 R 50 50 1 1 P
X Pin_28 28 -200 -1300 150 R 50 50 1 1 P
X Pin_29 29 -200 -1400 150 R 50 50 1 1 P
X Pin_3 3 -200 1200 150 R 50 50 1 1 P
X Pin_30 30 -200 -1500 150 R 50 50 1 1 P
X Pin_31 31 300 -1500 150 L 50 50 1 1 P
X Pin_32 32 300 -1400 150 L 50 50 1 1 P
X Pin_33 33 300 -1300 150 L 50 50 1 1 P
X Pin_34 34 300 -1200 150 L 50 50 1 1 P
X Pin_35 35 300 -1100 150 L 50 50 1 1 P
X Pin_36 36 300 -1000 150 L 50 50 1 1 P
X Pin_37 37 300 -900 150 L 50 50 1 1 P
X Pin_38 38 300 -800 150 L 50 50 1 1 P
X Pin_39 39 300 -700 150 L 50 50 1 1 P
X Pin_4 4 -200 1100 150 R 50 50 1 1 P
X Pin_40 40 300 -600 150 L 50 50 1 1 P
X Pin_41 41 300 -500 150 L 50 50 1 1 P
X Pin_42 42 300 -400 150 L 50 50 1 1 P
X Pin_43 43 300 -300 150 L 50 50 1 1 P
X Pin_44 44 300 -200 150 L 50 50 1 1 P
X Pin_45 45 300 -100 150 L 50 50 1 1 P
X Pin_46 46 300 0 150 L 50 50 1 1 P
X Pin_47 47 300 100 150 L 50 50 1 1 P
X Pin_48 48 300 200 150 L 50 50 1 1 P
X Pin_49 49 300 300 150 L 50 50 1 1 P
X Pin_5 5 -200 1000 150 R 50 50 1 1 P
X Pin_50 50 300 400 150 L 50 50 1 1 P
X Pin_51 51 300 500 150 L 50 50 1 1 P
X Pin_52 52 300 600 150 L 50 50 1 1 P
X Pin_53 53 300 700 150 L 50 50 1 1 P
X Pin_54 54 300 800 150 L 50 50 1 1 P
X Pin_55 55 300 900 150 L 50 50 1 1 P
X Pin_56 56 300 1000 150 L 50 50 1 1 P
X Pin_57 57 300 1100 150 L 50 50 1 1 P
X Pin_58 58 300 1200 150 L 50 50 1 1 P
X Pin_59 59 300 1300 150 L 50 50 1 1 P
X Pin_6 6 -200 900 150 R 50 50 1 1 P
X Pin_60 60 300 1400 150 L 50 50 1 1 P
X Pin_7 7 -200 800 150 R 50 50 1 1 P
X Pin_8 8 -200 700 150 R 50 50 1 1 P
X Pin_9 9 -200 600 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_LED_Small_ALT
#
DEF Device_LED_Small_ALT D 0 10 N N 1 F N
F0 "D" -50 125 50 H V L CNN
F1 "Device_LED_Small_ALT" -175 -100 50 H V L CNN
F2 "" 0 0 50 V I C CNN
F3 "" 0 0 50 V I C CNN
$FPLIST
LED*
LED_SMD:*
LED_THT:*
$ENDFPLIST
DRAW
P 2 0 1 10 -30 -40 -30 40 N
P 2 0 1 0 40 0 -30 0 N
P 4 0 1 10 30 -40 -30 0 30 40 30 -40 F
P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N
P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N
X K 1 -100 0 70 R 50 50 1 1 P
X A 2 100 0 70 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_Small
#
DEF Device_R_Small R 0 10 N N 1 F N
F0 "R" 30 20 50 H V L CNN
F1 "Device_R_Small" 30 -40 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -30 70 30 -70 0 1 8 N
X ~ 1 0 100 30 D 50 50 1 1 P
X ~ 2 0 -100 30 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# GW_Logic_74245
#
DEF GW_Logic_74245 U 0 40 Y Y 1 F N
F0 "U" 0 600 50 H V C CNN
F1 "GW_Logic_74245" 0 -600 50 H V C CNN
F2 "" 0 -650 50 H I C TNN
F3 "" 0 100 60 H I C CNN
DRAW
S -200 550 200 -550 0 1 10 f
X AtoB 1 -400 450 200 R 50 50 1 1 I
X GND 10 -400 -450 200 R 50 50 1 1 W
X B7 11 400 -450 200 L 50 50 1 1 B
X B6 12 400 -350 200 L 50 50 1 1 B
X B5 13 400 -250 200 L 50 50 1 1 B
X B4 14 400 -150 200 L 50 50 1 1 B
X B3 15 400 -50 200 L 50 50 1 1 B
X B2 16 400 50 200 L 50 50 1 1 B
X B1 17 400 150 200 L 50 50 1 1 B
X B0 18 400 250 200 L 50 50 1 1 B
X ~OE~ 19 400 350 200 L 50 50 1 1 I
X A0 2 -400 350 200 R 50 50 1 1 B
X Vcc 20 400 450 200 L 50 50 1 1 W
X A1 3 -400 250 200 R 50 50 1 1 B
X A2 4 -400 150 200 R 50 50 1 1 B
X A3 5 -400 50 200 R 50 50 1 1 B
X A4 6 -400 -50 200 R 50 50 1 1 B
X A5 7 -400 -150 200 R 50 50 1 1 B
X A6 8 -400 -250 200 R 50 50 1 1 B
X A7 9 -400 -350 200 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
# GW_PLD_LCMXO640-TQFP-100
#
DEF GW_PLD_LCMXO640-TQFP-100 U 0 40 Y Y 1 F N
F0 "U" 0 50 50 H V C CNN
F1 "GW_PLD_LCMXO640-TQFP-100" 0 0 40 H V C TNN
F2 "stdpads:TQFP-100" 0 -100 40 H I C CNN
F3 "" 0 100 50 H I C CNN
DRAW
S -850 2200 850 -2200 0 1 10 f
X PL2A 1 -1050 2100 200 R 50 50 1 1 B
X VccIO3 10 100 2400 200 D 50 50 1 1 W
X PT2A 100 1050 2000 200 L 50 50 1 1 B
X PL4C 11 -1050 1200 200 R 50 50 1 1 B
X GNDIO3 12 100 -2400 200 U 50 50 1 1 W
X PL4D 13 -1050 1100 200 R 50 50 1 1 B
X PL5B/~GSRN~ 14 -1050 1000 200 R 50 50 1 1 B
X PL7B 15 -1050 900 200 R 50 50 1 1 B
X PL8C/TSALL 16 -1050 800 200 R 50 50 1 1 B
X PL8D 17 -1050 700 200 R 50 50 1 1 B
X PL9A 18 -1050 600 200 R 50 50 1 1 B
X PL9C 19 -1050 500 200 R 50 50 1 1 B
X PL2C 2 -1050 2000 200 R 50 50 1 1 B
X PL10A 20 -1050 400 200 R 50 50 1 1 B
X PL10C 21 -1050 300 200 R 50 50 1 1 B
X PL11A 22 -1050 200 200 R 50 50 1 1 B
X PL11C 23 -1050 100 200 R 50 50 1 1 B
X VccIO3 24 200 2400 200 D 50 50 1 1 W
X GNDIO3 25 200 -2400 200 U 50 50 1 1 W
X TMS 26 -1050 -2100 200 R 50 50 1 1 I
X PL2C 27 -1050 -100 200 R 50 50 1 1 B
X TCK 28 -1050 -1800 200 R 50 50 1 1 I
X VccIO2 29 -100 2400 200 D 50 50 1 1 W
X PL2B 3 -1050 1900 200 R 50 50 1 1 B
X GNDIO2 30 -100 -2400 200 U 50 50 1 1 W
X TDO 31 -1050 -1900 200 R 50 50 1 1 O
X PB4C 32 -1050 -400 200 R 50 50 1 1 B
X TDI 33 -1050 -2000 200 R 50 50 1 1 I
X PB4E 34 -1050 -500 200 R 50 50 1 1 B
X Vcc 35 400 2400 200 D 50 50 1 1 W
X PB5B/PCLK2_1 36 -1050 -600 200 R 50 50 1 1 B
X PB5D 37 -1050 -700 200 R 50 50 1 1 B
X PB6B/PCLK2_0 38 -1050 -800 200 R 50 50 1 1 B
X PB6C 39 -1050 -900 200 R 50 50 1 1 B
X PL2D 4 -1050 1800 200 R 50 50 1 1 B
X GND 40 300 -2400 200 U 50 50 1 1 W
X VccIO2 41 0 2400 200 D 50 50 1 1 W
X GNDIO2 42 0 -2400 200 U 50 50 1 1 W
X PB8B 43 -1050 -1000 200 R 50 50 1 1 B
X PB8C 44 -1050 -1100 200 R 50 50 1 1 B
X PB8D 45 -1050 -1200 200 R 50 50 1 1 B
X PB9A 46 -1050 -1300 200 R 50 50 1 1 B
X PB9C 47 -1050 -1400 200 R 50 50 1 1 B
X ~SLEEP~ 48 1050 2100 200 L 50 50 1 1 I
X PB9D 49 -1050 -1500 200 R 50 50 1 1 B
X PL3A 5 -1050 1700 200 R 50 50 1 1 B
X PB9F 50 -1050 -1600 200 R 50 50 1 1 B
X PR11D 51 1050 -2100 200 L 50 50 1 1 B
X PR11B 52 1050 -2000 200 L 50 50 1 1 B
X PR11C 53 1050 -1900 200 L 50 50 1 1 B
X PR11A 54 1050 -1800 200 L 50 50 1 1 B
X PR10D 55 1050 -1700 200 L 50 50 1 1 B
X PR10C 56 1050 -1600 200 L 50 50 1 1 B
X PR10B 57 1050 -1500 200 L 50 50 1 1 B
X PR10A 58 1050 -1400 200 L 50 50 1 1 B
X PR9D 59 1050 -1300 200 L 50 50 1 1 B
X PL3B 6 -1050 1600 200 R 50 50 1 1 B
X VccIO1 60 -300 2400 200 D 50 50 1 1 W
X PR9B 61 1050 -1200 200 L 50 50 1 1 B
X GNDIO1 62 -300 -2400 200 U 50 50 1 1 W
X PR7B 63 1050 -1100 200 L 50 50 1 1 B
X PR6C 64 1050 -1000 200 L 50 50 1 1 B
X PR6B 65 1050 -900 200 L 50 50 1 1 B
X PR5D 66 1050 -800 200 L 50 50 1 1 B
X PR5B 67 1050 -700 200 L 50 50 1 1 B
X PR4D 68 1050 -600 200 L 50 50 1 1 B
X PR4B 69 1050 -500 200 L 50 50 1 1 B
X PL3C 7 -1050 1500 200 R 50 50 1 1 B
X PR3D 70 1050 -400 200 L 50 50 1 1 B
X PR3B 71 1050 -300 200 L 50 50 1 1 B
X PR2D 72 1050 -200 200 L 50 50 1 1 B
X PR2B 73 1050 -100 200 L 50 50 1 1 B
X VccIO1 74 -200 2400 200 D 50 50 1 1 W
X GNDIO1 75 -200 -2400 200 U 50 50 1 1 W
X PR9F 76 1050 100 200 L 50 50 1 1 B
X PT9E 77 1050 200 200 L 50 50 1 1 B
X PT9C 78 1050 300 200 L 50 50 1 1 B
X PT9A 79 1050 400 200 L 50 50 1 1 B
X PL3D 8 -1050 1400 200 R 50 50 1 1 B
X VccIO0 80 -500 2400 200 D 50 50 1 1 W
X GNDIO0 81 -500 -2400 200 U 50 50 1 1 W
X PT7E 82 1050 700 200 L 50 50 1 1 B
X PT7A 83 1050 800 200 L 50 50 1 1 B
X GND 84 400 -2400 200 U 50 50 1 1 W
X PT6B/PCLK0_1 85 1050 900 200 L 50 50 1 1 B
X PT5B/PCLK0_0 86 1050 1000 200 L 50 50 1 1 B
X PT5A 87 1050 1100 200 L 50 50 1 1 B
X VccAUX 88 300 2400 200 D 50 50 1 1 W
X PT4F 89 1050 1200 200 L 50 50 1 1 B
X PL4A 9 -1050 1300 200 R 50 50 1 1 B
X Vcc 90 500 2400 200 D 50 50 1 1 W
X PT3F 91 1050 1300 200 L 50 50 1 1 B
X VccIO0 92 -400 2400 200 D 50 50 1 1 W
X GNDIO0 93 -400 -2400 200 U 50 50 1 1 W
X PT3B 94 1050 1400 200 L 50 50 1 1 B
X PT3A 95 1050 1500 200 L 50 50 1 1 B
X PT2F 96 1050 1600 200 L 50 50 1 1 B
X PT2E 97 1050 1700 200 L 50 50 1 1 B
X PT2B 98 1050 1800 200 L 50 50 1 1 B
X PT2C 99 1050 1900 200 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# GW_Power_AP2125
#
DEF GW_Power_AP2125 U 0 40 Y Y 1 F N
F0 "U" 0 250 50 H V C CNN
F1 "GW_Power_AP2125" 0 -250 50 H V C CNN
F2 "stdpads:SOT-23" 0 -300 50 H I C TNN
F3 "" 0 -100 60 H I C CNN
DRAW
S -250 200 250 -200 0 1 10 f
X GND 1 -450 -100 200 R 50 50 1 1 W
X Vout 2 450 100 200 L 50 50 1 1 w
X Vin 3 -450 100 200 R 50 50 1 1 W
ENDDRAW
ENDDEF
#
# GW_RAM_SDRAM-16Mx16-TSOP2-54
#
DEF GW_RAM_SDRAM-16Mx16-TSOP2-54 U 0 40 Y Y 1 F N
F0 "U" 0 1150 50 H V C CNN
F1 "GW_RAM_SDRAM-16Mx16-TSOP2-54" 0 0 50 V V C CNN
F2 "stdpads:Winbond_TSOPII-54" 0 -1650 50 H I C CIN
F3 "" 0 -250 50 H I C CNN
DRAW
S -300 1100 300 -1400 0 1 10 f
X VDD 1 -500 1000 200 R 50 50 1 1 W
X DQ5 10 500 500 200 L 50 50 1 1 B
X DQ6 11 500 400 200 L 50 50 1 1 B
X VSSQ 12 -500 -1300 200 R 50 50 1 1 W N
X DQ7 13 500 300 200 L 50 50 1 1 B
X VDD 14 -500 1000 200 R 50 50 1 1 W N
X DQML 15 500 -600 200 L 50 50 1 1 I
X ~WE~ 16 500 -1100 200 L 50 50 1 1 I
X ~CAS~ 17 500 -1200 200 L 50 50 1 1 I
X ~RAS~ 18 500 -1300 200 L 50 50 1 1 I
X ~CS~ 19 500 -1000 200 L 50 50 1 1 I
X DQ0 2 500 1000 200 L 50 50 1 1 B
X BA0 20 -500 -600 200 R 50 50 1 1 I
X BA1 21 -500 -700 200 R 50 50 1 1 I
X A10 22 -500 -300 200 R 50 50 1 1 I
X A0 23 -500 700 200 R 50 50 1 1 I
X A1 24 -500 600 200 R 50 50 1 1 I
X A2 25 -500 500 200 R 50 50 1 1 I
X A3 26 -500 400 200 R 50 50 1 1 I
X VDD 27 -500 1000 200 R 50 50 1 1 W N
X VSS 28 -500 -1200 200 R 50 50 1 1 W
X A4 29 -500 300 200 R 50 50 1 1 I
X VDDQ 3 -500 900 200 R 50 50 1 1 W
X A5 30 -500 200 200 R 50 50 1 1 I
X A6 31 -500 100 200 R 50 50 1 1 I
X A7 32 -500 0 200 R 50 50 1 1 I
X A8 33 -500 -100 200 R 50 50 1 1 I
X A9 34 -500 -200 200 R 50 50 1 1 I
X A11 35 -500 -400 200 R 50 50 1 1 I
X A12 36 -500 -500 200 R 50 50 1 1 I
X CKE 37 -500 -900 200 R 50 50 1 1 I
X CLK 38 -500 -1000 200 R 50 50 1 1 I
X DQMH 39 500 -700 200 L 50 50 1 1 I
X DQ1 4 500 900 200 L 50 50 1 1 B
X VSS 41 -500 -1200 200 R 50 50 1 1 W N
X DQ8 42 500 200 200 L 50 50 1 1 B
X VDDQ 43 -500 900 200 R 50 50 1 1 W N
X DQ9 44 500 100 200 L 50 50 1 1 B
X DQ10 45 500 0 200 L 50 50 1 1 B
X VSSQ 46 -500 -1300 200 R 50 50 1 1 W N
X DQ11 47 500 -100 200 L 50 50 1 1 B
X DQ12 48 500 -200 200 L 50 50 1 1 B
X VDDQ 49 -500 900 200 R 50 50 1 1 W N
X DQ2 5 500 800 200 L 50 50 1 1 B
X DQ13 50 500 -300 200 L 50 50 1 1 B
X DQ14 51 500 -400 200 L 50 50 1 1 B
X VSSQ 52 -500 -1300 200 R 50 50 1 1 W N
X DQ15 53 500 -500 200 L 50 50 1 1 B
X VSS 54 -500 -1200 200 R 50 50 1 1 W N
X VSSQ 6 -500 -1300 200 R 50 50 1 1 W
X DQ3 7 500 700 200 L 50 50 1 1 B
X DQ4 8 500 600 200 L 50 50 1 1 B
X VDDQ 9 -500 900 200 R 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# GW_RAM_SPIFlash-SO-8
#
DEF GW_RAM_SPIFlash-SO-8 U 0 40 Y Y 1 F N
F0 "U" 0 350 50 H V C CNN
F1 "GW_RAM_SPIFlash-SO-8" 0 -250 50 H V C CNN
F2 "stdpads:stdpads:SOIC-8_5.3mm" 0 -300 50 H I C TNN
F3 "" 0 0 50 H I C TNN
DRAW
S -350 300 350 -200 0 1 10 f
X ~CS~ 1 -550 200 200 R 50 50 1 1 I
X DO/IO1 2 -550 100 200 R 50 50 1 1 B
X ~WP~/IO2 3 -550 0 200 R 50 50 1 1 B
X GND 4 -550 -100 200 R 50 50 1 1 W
X DI/IO0 5 550 -100 200 L 50 50 1 1 B
X CLK 6 550 0 200 L 50 50 1 1 I
X ~HLD~/IO3 7 550 100 200 L 50 50 1 1 B
X Vcc 8 550 200 200 L 50 50 1 1 W
ENDDRAW
ENDDEF
#
# Mechanical_Fiducial
#
DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N
F0 "FID" 0 200 50 H V C CNN
F1 "Mechanical_Fiducial" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Fiducial*
$ENDFPLIST
DRAW
C 0 0 50 0 1 20 f
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole_Pad
#
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
F0 "H" 0 250 50 H V C CNN
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*Pad*
$ENDFPLIST
DRAW
C 0 50 50 0 1 50 N
X 1 1 0 -100 100 U 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Regulator_Linear_AP2127K-1.2
#
DEF Regulator_Linear_AP2127K-1.2 U 0 10 Y Y 1 F N
F0 "U" -200 225 50 H V L CNN
F1 "Regulator_Linear_AP2127K-1.2" 0 225 50 H V L CNN
F2 "Package_TO_SOT_SMD:SOT-23-5" 0 325 50 H I C CNN
F3 "" 0 100 50 H I C CNN
ALIAS AP2204K-1.8 AP2204K-2.5 AP2204K-2.8 AP2204K-3.0 AP2204K-3.3 AP2204K-5.0 AP2127K-1.0 AP2127K-1.2 AP2127K-1.5 AP2127K-1.8 AP2127K-2.5 AP2127K-2.8 AP2127K-3.0 AP2127K-3.3 AP2127K-4.2 AP2127K-4.75 AP2112K-1.2 AP2112K-1.8 AP2112K-2.5 AP2112K-2.6 AP2112K-3.3
$FPLIST
SOT?23?5*
$ENDFPLIST
DRAW
S -200 175 200 -200 0 1 10 f
X VIN 1 -300 100 100 R 50 50 1 1 W
X GND 2 0 -300 100 U 50 50 1 1 W
X EN 3 -300 0 100 R 50 50 1 1 I
X NC 4 200 0 100 L 50 50 1 1 N N
X VOUT 5 300 100 100 L 50 50 1 1 w
ENDDRAW
ENDDEF
#
# power_+1V2
#
DEF power_+1V2 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+1V2" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +1V2 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+3V3
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,3 +0,0 @@
(fp_lib_table
(lib (name stdpads)(type KiCad)(uri "$(KIPRJMOD)/../../../stdpads.pretty")(options "")(descr ""))
)

View File

@ -1,24 +0,0 @@
Reference, Quantity, Value, Footprint, Datasheet, LCSC Part, Mfg. Part Numbers, Notes
C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 C30 ,23,2u2,stdpads:C_0603,,C23630,Samsung CL10A225KO8NNNC,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
C1 C2 C3 C4 C5 C6 C29 ,7,10u,stdpads:C_0805,,C15850,Samsung CL21A106KAYNNNE,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
C31 ,1,15p,stdpads:C_0603,,,,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
D1 ,1,White,stdpads:LED_0805,,C34499,Hubei Kento C34499,Any manufacturer's part is acceptable.
FID1 FID2 FID3 FID4 ,4,Fiducial,stdpads:Fiducial,,,,DNP - SMT vision system fiducial
H1 H2 H3 H4 ,4, ,stdpads:PasteHole_1.152mm_NPTH,,,,DNP - mounting hole for solder paste printing
H5 ,1, ,stdpads:PasteHole_1.1mm_PTH,,,,DNP - mounting hole
J1 ,1,AppleIIeAux,stdpads:AppleIIeAux_Edge,,,,DNP - edge connector
J2 ,1,JTAG,stdpads:TC2050,,,,DNP - test pad connector
J3 ,1,C14M,Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical,,,,DNP
R1 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R10 ,1,180,stdpads:R_0805,,C25270,Uniroyal 0805W8F1800T5E,Any manufacturer's part is acceptable.
R2 R3 R4 R5 R8 ,5,47,stdpads:R_0603,,C23182,Uniroyal 0603WAF470JT5E,Any manufacturer's part is acceptable.
R6 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R7 ,1,DNP,stdpads:R_0805,,,,
R9 ,1,10k,stdpads:R_0603,,C25804,Uniroyal 0603WAF1002T5E,Any manufacturer's part is acceptable.
U1 ,1,LCMXO256-TN100,stdpads:TQFP-100_14x14mm_P0.5mm,,C1550734,"Lattice LCMXO256C-3TN100C, Lattice LCMXO256C-4TN100C, Lattice LCMXO256C-5TN100C, Lattice LCMXO256C-3TN100I, Lattice LCMXO256C-4TN100I, Lattice LCMXO640C-3TN100C, Lattice LCMXO640C-4TN100C, Lattice LCMXO640C-5TN100C, Lattice LCMXO640C-3TN100I, Lattice LCMXO640C-4TN100I",
U11 ,1,25F010,stdpads:SOIC-8_5.3mm,,C2687406,"Zetta ZD25WD20BTIGT, Adesto AT25SF081-SSHD, Winbond W25X20CLSNIG",Most SPI flash in SOIC-8 (3.9mm / 150 mil width) package is acceptable.
U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G",Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
U3 U4 U5 ,3,74LVC245APW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C6082,"NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW",Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
U6 U7 ,2,74AHCT245PW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C173388,"NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW",Most 74AHCT245 in TSSOP-20 package is acceptable.
U8 ,1,XC6206P332MR,stdpads:SOT-23,,C5446,Torex XC6206P332MR,Most 3.3V regulator in SOT-23 package is acceptable.
U9 ,1,,stdpads:SOT-23-5,,,,
1 Reference Quantity Value Footprint Datasheet LCSC Part Mfg. Part Numbers Notes
2 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 C30 23 2u2 stdpads:C_0603 C23630 Samsung CL10A225KO8NNNC 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
3 C1 C2 C3 C4 C5 C6 C29 7 10u stdpads:C_0805 C15850 Samsung CL21A106KAYNNNE 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
4 C31 1 15p stdpads:C_0603 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
5 D1 1 White stdpads:LED_0805 C34499 Hubei Kento C34499 Any manufacturer's part is acceptable.
6 FID1 FID2 FID3 FID4 4 Fiducial stdpads:Fiducial DNP - SMT vision system fiducial
7 H1 H2 H3 H4 4 stdpads:PasteHole_1.152mm_NPTH DNP - mounting hole for solder paste printing
8 H5 1 stdpads:PasteHole_1.1mm_PTH DNP - mounting hole
9 J1 1 AppleIIeAux stdpads:AppleIIeAux_Edge DNP - edge connector
10 J2 1 JTAG stdpads:TC2050 DNP - test pad connector
11 J3 1 C14M Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical DNP
12 R1 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
13 R10 1 180 stdpads:R_0805 C25270 Uniroyal 0805W8F1800T5E Any manufacturer's part is acceptable.
14 R2 R3 R4 R5 R8 5 47 stdpads:R_0603 C23182 Uniroyal 0603WAF470JT5E Any manufacturer's part is acceptable.
15 R6 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
16 R7 1 DNP stdpads:R_0805
17 R9 1 10k stdpads:R_0603 C25804 Uniroyal 0603WAF1002T5E Any manufacturer's part is acceptable.
18 U1 1 LCMXO256-TN100 stdpads:TQFP-100_14x14mm_P0.5mm C1550734 Lattice LCMXO256C-3TN100C, Lattice LCMXO256C-4TN100C, Lattice LCMXO256C-5TN100C, Lattice LCMXO256C-3TN100I, Lattice LCMXO256C-4TN100I, Lattice LCMXO640C-3TN100C, Lattice LCMXO640C-4TN100C, Lattice LCMXO640C-5TN100C, Lattice LCMXO640C-3TN100I, Lattice LCMXO640C-4TN100I
19 U11 1 25F010 stdpads:SOIC-8_5.3mm C2687406 Zetta ZD25WD20BTIGT, Adesto AT25SF081-SSHD, Winbond W25X20CLSNIG Most SPI flash in SOIC-8 (3.9mm / 150 mil width) package is acceptable.
20 U2 1 W9812G6KH-6 stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm C62379 Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
21 U3 U4 U5 3 74LVC245APW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C6082 NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
22 U6 U7 2 74AHCT245PW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C173388 NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW Most 74AHCT245 in TSSOP-20 package is acceptable.
23 U8 1 XC6206P332MR stdpads:SOT-23 C5446 Torex XC6206P332MR Most 3.3V regulator in SOT-23 package is acceptable.
24 U9 1 stdpads:SOT-23-5

View File

@ -1,24 +0,0 @@
Reference, Quantity, Value, Footprint, Datasheet, LCSC Part, Mfg. Part Numbers, Notes
C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 C30 ,23,2u2,stdpads:C_0603,,C23630,Samsung CL10A225KO8NNNC,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
C1 C2 C3 C4 C5 C6 C29 ,7,10u,stdpads:C_0805,,C15850,Samsung CL21A106KAYNNNE,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
C31 ,1,15p,stdpads:C_0603,,,,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
D1 ,1,White,stdpads:LED_0805,,C34499,Hubei Kento C34499,Any manufacturer's part is acceptable.
FID1 FID2 FID3 FID4 ,4,Fiducial,stdpads:Fiducial,,,,DNP - SMT vision system fiducial
H1 H2 H3 H4 ,4, ,stdpads:PasteHole_1.152mm_NPTH,,,,DNP - mounting hole for solder paste printing
H5 ,1, ,stdpads:PasteHole_1.1mm_PTH,,,,DNP - mounting hole
J1 ,1,AppleIIeAux,stdpads:AppleIIeAux_Edge,,,,DNP - edge connector
J2 ,1,JTAG,stdpads:TC2050,,,,DNP - test pad connector
J3 ,1,C14M,Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical,,,,DNP
R1 ,1,DNP,stdpads:R_0805,,,,
R10 ,1,180,stdpads:R_0805,,C25270,Uniroyal 0805W8F1800T5E,Any manufacturer's part is acceptable.
R2 R3 R4 R5 R8 ,5,47,stdpads:R_0603,,C23182,Uniroyal 0603WAF470JT5E,Any manufacturer's part is acceptable.
R6 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R7 ,1,DNP,stdpads:R_0805,,,,
R9 ,1,10k,stdpads:R_0603,,C25804,Uniroyal 0603WAF1002T5E,Any manufacturer's part is acceptable.
U1 ,1,LCMXO256-TN100,stdpads:TQFP-100_14x14mm_P0.5mm,,C1550734,"Lattice LCMXO256E-3TN100C, Lattice LCMXO256E-4TN100C, Lattice LCMXO256E-5TN100C, Lattice LCMXO256E-3TN100I, Lattice LCMXO256E-4TN100I, Lattice LCMXO640E-3TN100C, Lattice LCMXO640E-4TN100C, Lattice LCMXO640E-5TN100C, Lattice LCMXO640E-3TN100I, Lattice LCMXO640E-4TN100I",
U11 ,1,25F010,stdpads:SOIC-8_5.3mm,,C2687406,"Zetta ZD25WD20BTIGT, Adesto AT25SF081-SSHD, Winbond W25X20CLSNIG",Most SPI flash in SOIC-8 (3.9mm / 150 mil width) package is acceptable.
U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G",Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
U3 U4 U5 ,3,74LVC245APW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C6082,"NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW",Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
U6 U7 ,2,74AHCT245PW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C173388,"NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW",Most 74AHCT245 in TSSOP-20 package is acceptable.
U8 ,1,XC6206P332MR,stdpads:SOT-23,,C5446,Torex XC6206P332MR,Most 3.3V regulator in SOT-23 package is acceptable.
U9 ,1,AP2127K-1.2TRG1,stdpads:SOT-23-5,,C151376,"Diodes AP2127K-1.2TRG1, Torex XC6228D122VR",Most 1.2V regulator in SOT-23-5 package is acceptable.
1 Reference Quantity Value Footprint Datasheet LCSC Part Mfg. Part Numbers Notes
2 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 C30 23 2u2 stdpads:C_0603 C23630 Samsung CL10A225KO8NNNC 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
3 C1 C2 C3 C4 C5 C6 C29 7 10u stdpads:C_0805 C15850 Samsung CL21A106KAYNNNE 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
4 C31 1 15p stdpads:C_0603 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
5 D1 1 White stdpads:LED_0805 C34499 Hubei Kento C34499 Any manufacturer's part is acceptable.
6 FID1 FID2 FID3 FID4 4 Fiducial stdpads:Fiducial DNP - SMT vision system fiducial
7 H1 H2 H3 H4 4 stdpads:PasteHole_1.152mm_NPTH DNP - mounting hole for solder paste printing
8 H5 1 stdpads:PasteHole_1.1mm_PTH DNP - mounting hole
9 J1 1 AppleIIeAux stdpads:AppleIIeAux_Edge DNP - edge connector
10 J2 1 JTAG stdpads:TC2050 DNP - test pad connector
11 J3 1 C14M Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical DNP
12 R1 1 DNP stdpads:R_0805
13 R10 1 180 stdpads:R_0805 C25270 Uniroyal 0805W8F1800T5E Any manufacturer's part is acceptable.
14 R2 R3 R4 R5 R8 5 47 stdpads:R_0603 C23182 Uniroyal 0603WAF470JT5E Any manufacturer's part is acceptable.
15 R6 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
16 R7 1 DNP stdpads:R_0805
17 R9 1 10k stdpads:R_0603 C25804 Uniroyal 0603WAF1002T5E Any manufacturer's part is acceptable.
18 U1 1 LCMXO256-TN100 stdpads:TQFP-100_14x14mm_P0.5mm C1550734 Lattice LCMXO256E-3TN100C, Lattice LCMXO256E-4TN100C, Lattice LCMXO256E-5TN100C, Lattice LCMXO256E-3TN100I, Lattice LCMXO256E-4TN100I, Lattice LCMXO640E-3TN100C, Lattice LCMXO640E-4TN100C, Lattice LCMXO640E-5TN100C, Lattice LCMXO640E-3TN100I, Lattice LCMXO640E-4TN100I
19 U11 1 25F010 stdpads:SOIC-8_5.3mm C2687406 Zetta ZD25WD20BTIGT, Adesto AT25SF081-SSHD, Winbond W25X20CLSNIG Most SPI flash in SOIC-8 (3.9mm / 150 mil width) package is acceptable.
20 U2 1 W9812G6KH-6 stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm C62379 Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
21 U3 U4 U5 3 74LVC245APW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C6082 NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
22 U6 U7 2 74AHCT245PW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C173388 NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW Most 74AHCT245 in TSSOP-20 package is acceptable.
23 U8 1 XC6206P332MR stdpads:SOT-23 C5446 Torex XC6206P332MR Most 3.3V regulator in SOT-23 package is acceptable.
24 U9 1 AP2127K-1.2TRG1 stdpads:SOT-23-5 C151376 Diodes AP2127K-1.2TRG1, Torex XC6228D122VR Most 1.2V regulator in SOT-23-5 package is acceptable.

File diff suppressed because it is too large Load Diff

View File

@ -1,105 +0,0 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-09-21T07:21:14-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.0*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-09-21 07:21:14*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10C,0.000000*%
%ADD11RoundRect,0.431000X0.381000X3.289000X-0.381000X3.289000X-0.381000X-3.289000X0.381000X-3.289000X0*%
%ADD12C,2.100000*%
%ADD13C,2.474900*%
%ADD14C,1.090600*%
%ADD15C,1.448000*%
%ADD16RoundRect,0.050000X-0.850000X-0.850000X0.850000X-0.850000X0.850000X0.850000X-0.850000X0.850000X0*%
%ADD17O,1.800000X1.800000*%
G04 APERTURE END LIST*
D10*
G36*
X276606000Y-139446000D02*
G01*
X276098000Y-139954000D01*
X198882000Y-139954000D01*
X198374000Y-139446000D01*
X198374000Y-132080000D01*
X276606000Y-132080000D01*
X276606000Y-139446000D01*
G37*
D11*
X274320000Y-135282000D03*
X271780000Y-135282000D03*
X269240000Y-135282000D03*
X266700000Y-135282000D03*
X264160000Y-135282000D03*
X261620000Y-135282000D03*
X259080000Y-135282000D03*
X256540000Y-135282000D03*
X254000000Y-135282000D03*
X251460000Y-135282000D03*
X248920000Y-135282000D03*
X246380000Y-135282000D03*
X243840000Y-135282000D03*
X241300000Y-135282000D03*
X238760000Y-135282000D03*
X236220000Y-135282000D03*
X233680000Y-135282000D03*
X231140000Y-135282000D03*
X228600000Y-135282000D03*
X226060000Y-135282000D03*
X223520000Y-135282000D03*
X220980000Y-135282000D03*
X218440000Y-135282000D03*
X215900000Y-135282000D03*
X213360000Y-135282000D03*
X210820000Y-135282000D03*
X208280000Y-135282000D03*
X205740000Y-135282000D03*
X203200000Y-135282000D03*
X200660000Y-135282000D03*
D12*
X274320000Y-128397000D03*
D13*
X208026000Y-111760000D03*
D14*
X205486000Y-111760000D03*
D13*
X202946000Y-111760000D03*
X208026000Y-117475000D03*
X202946000Y-117475000D03*
D14*
X206502000Y-119380000D03*
X204470000Y-119380000D03*
D15*
X200660000Y-129540000D03*
X200914000Y-92202000D03*
X274066000Y-92202000D03*
X274320000Y-125857000D03*
D16*
X202946000Y-98425000D03*
D17*
X202946000Y-100965000D03*
M02*

View File

@ -1,46 +0,0 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-09-21T07:21:14-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.0*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-09-21 07:21:14*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 #@! TA.AperFunction,Profile*
%ADD10C,0.150000*%
G04 #@! TD*
G04 APERTURE END LIST*
D10*
X276098000Y-139700000D02*
X198882000Y-139700000D01*
X276098000Y-139700000D02*
G75*
G03*
X276606000Y-139192000I0J508000D01*
G01*
X198374000Y-139192000D02*
G75*
G03*
X198882000Y-139700000I508000J0D01*
G01*
X198374000Y-92202000D02*
X198374000Y-139192000D01*
X276606000Y-92202000D02*
G75*
G03*
X274066000Y-89662000I-2540000J0D01*
G01*
X200914000Y-89662000D02*
X274066000Y-89662000D01*
X200914000Y-89662000D02*
G75*
G03*
X198374000Y-92202000I0J-2540000D01*
G01*
X276606000Y-92202000D02*
X276606000Y-139192000D01*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -1,557 +0,0 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-09-21T07:21:14-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.0*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Top*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-09-21 07:21:14*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10C,0.000000*%
%ADD11C,0.076200*%
%ADD12C,2.000000*%
%ADD13RoundRect,0.136500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*%
%ADD14RoundRect,0.262500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*%
%ADD15RoundRect,0.431000X0.381000X3.289000X-0.381000X3.289000X-0.381000X-3.289000X0.381000X-3.289000X0*%
%ADD16RoundRect,0.262500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*%
%ADD17RoundRect,0.164500X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*%
%ADD18C,2.100000*%
%ADD19RoundRect,0.312500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*%
%ADD20RoundRect,0.312500X-0.437500X0.262500X-0.437500X-0.262500X0.437500X-0.262500X0.437500X0.262500X0*%
%ADD21RoundRect,0.262500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*%
%ADD22C,2.474900*%
%ADD23C,1.090600*%
%ADD24C,0.887400*%
%ADD25RoundRect,0.262500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*%
%ADD26C,1.448000*%
%ADD27RoundRect,0.312500X0.437500X-0.262500X0.437500X0.262500X-0.437500X0.262500X-0.437500X-0.262500X0*%
%ADD28RoundRect,0.250000X-0.475000X-0.200000X0.475000X-0.200000X0.475000X0.200000X-0.475000X0.200000X0*%
%ADD29RoundRect,0.212500X0.512500X0.162500X-0.512500X0.162500X-0.512500X-0.162500X0.512500X-0.162500X0*%
%ADD30RoundRect,0.262500X0.487500X-0.212500X0.487500X0.212500X-0.487500X0.212500X-0.487500X-0.212500X0*%
%ADD31RoundRect,0.225000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*%
%ADD32RoundRect,0.050000X-0.850000X-0.850000X0.850000X-0.850000X0.850000X0.850000X-0.850000X0.850000X0*%
%ADD33O,1.800000X1.800000*%
%ADD34RoundRect,0.225000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*%
%ADD35RoundRect,0.099000X0.075000X-0.662500X0.075000X0.662500X-0.075000X0.662500X-0.075000X-0.662500X0*%
%ADD36RoundRect,0.099000X0.662500X-0.075000X0.662500X0.075000X-0.662500X0.075000X-0.662500X-0.075000X0*%
%ADD37RoundRect,0.262500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*%
%ADD38RoundRect,0.293750X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*%
%ADD39RoundRect,0.225000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*%
%ADD40RoundRect,0.225000X-0.675000X-0.175000X0.675000X-0.175000X0.675000X0.175000X-0.675000X0.175000X0*%
G04 APERTURE END LIST*
D10*
G36*
X276860000Y-139446000D02*
G01*
X276352000Y-139954000D01*
X198628000Y-139954000D01*
X198120000Y-139446000D01*
X198120000Y-132080000D01*
X276860000Y-132080000D01*
X276860000Y-139446000D01*
G37*
D11*
X213360000Y-124460000D02*
X211582000Y-124460000D01*
X211582000Y-123571000D01*
X213360000Y-123571000D01*
X213360000Y-124460000D01*
G36*
X213360000Y-124460000D02*
G01*
X211582000Y-124460000D01*
X211582000Y-123571000D01*
X213360000Y-123571000D01*
X213360000Y-124460000D01*
G37*
D12*
X203200000Y-129540000D03*
X271526000Y-92202000D03*
D13*
X236250000Y-127550000D03*
X236900000Y-127550000D03*
X237550000Y-127550000D03*
X238200000Y-127550000D03*
X238850000Y-127550000D03*
X239500000Y-127550000D03*
X240150000Y-127550000D03*
X240800000Y-127550000D03*
X241450000Y-127550000D03*
X242100000Y-127550000D03*
X242100000Y-121650000D03*
X241450000Y-121650000D03*
X240800000Y-121650000D03*
X240150000Y-121650000D03*
X239500000Y-121650000D03*
X238850000Y-121650000D03*
X238200000Y-121650000D03*
X237550000Y-121650000D03*
X236900000Y-121650000D03*
X236250000Y-121650000D03*
D14*
X234750000Y-121650000D03*
X234750000Y-123150000D03*
X253150000Y-121650000D03*
X253150000Y-123150000D03*
D13*
X254650000Y-127550000D03*
X255300000Y-127550000D03*
X255950000Y-127550000D03*
X256600000Y-127550000D03*
X257250000Y-127550000D03*
X257900000Y-127550000D03*
X258550000Y-127550000D03*
X259200000Y-127550000D03*
X259850000Y-127550000D03*
X260500000Y-127550000D03*
X260500000Y-121650000D03*
X259850000Y-121650000D03*
X259200000Y-121650000D03*
X258550000Y-121650000D03*
X257900000Y-121650000D03*
X257250000Y-121650000D03*
X256600000Y-121650000D03*
X255950000Y-121650000D03*
X255300000Y-121650000D03*
X254650000Y-121650000D03*
D14*
X243950000Y-121650000D03*
X243950000Y-123150000D03*
D13*
X217850000Y-127550000D03*
X218500000Y-127550000D03*
X219150000Y-127550000D03*
X219800000Y-127550000D03*
X220450000Y-127550000D03*
X221100000Y-127550000D03*
X221750000Y-127550000D03*
X222400000Y-127550000D03*
X223050000Y-127550000D03*
X223700000Y-127550000D03*
X223700000Y-121650000D03*
X223050000Y-121650000D03*
X222400000Y-121650000D03*
X221750000Y-121650000D03*
X221100000Y-121650000D03*
X220450000Y-121650000D03*
X219800000Y-121650000D03*
X219150000Y-121650000D03*
X218500000Y-121650000D03*
X217850000Y-121650000D03*
X245450000Y-127550000D03*
X246100000Y-127550000D03*
X246750000Y-127550000D03*
X247400000Y-127550000D03*
X248050000Y-127550000D03*
X248700000Y-127550000D03*
X249350000Y-127550000D03*
X250000000Y-127550000D03*
X250650000Y-127550000D03*
X251300000Y-127550000D03*
X251300000Y-121650000D03*
X250650000Y-121650000D03*
X250000000Y-121650000D03*
X249350000Y-121650000D03*
X248700000Y-121650000D03*
X248050000Y-121650000D03*
X247400000Y-121650000D03*
X246750000Y-121650000D03*
X246100000Y-121650000D03*
X245450000Y-121650000D03*
D15*
X200660000Y-135282000D03*
X203200000Y-135282000D03*
X205740000Y-135282000D03*
X208280000Y-135282000D03*
X210820000Y-135282000D03*
X213360000Y-135282000D03*
X215900000Y-135282000D03*
X218440000Y-135282000D03*
X220980000Y-135282000D03*
X223520000Y-135282000D03*
X226060000Y-135282000D03*
X228600000Y-135282000D03*
X231140000Y-135282000D03*
X233680000Y-135282000D03*
X236220000Y-135282000D03*
X238760000Y-135282000D03*
X241300000Y-135282000D03*
X243840000Y-135282000D03*
X246380000Y-135282000D03*
X248920000Y-135282000D03*
X251460000Y-135282000D03*
X254000000Y-135282000D03*
X256540000Y-135282000D03*
X259080000Y-135282000D03*
X261620000Y-135282000D03*
X264160000Y-135282000D03*
X266700000Y-135282000D03*
X269240000Y-135282000D03*
X271780000Y-135282000D03*
X274320000Y-135282000D03*
D13*
X227050000Y-127550000D03*
X227700000Y-127550000D03*
X228350000Y-127550000D03*
X229000000Y-127550000D03*
X229650000Y-127550000D03*
X230300000Y-127550000D03*
X230950000Y-127550000D03*
X231600000Y-127550000D03*
X232250000Y-127550000D03*
X232900000Y-127550000D03*
X232900000Y-121650000D03*
X232250000Y-121650000D03*
X231600000Y-121650000D03*
X230950000Y-121650000D03*
X230300000Y-121650000D03*
X229650000Y-121650000D03*
X229000000Y-121650000D03*
X228350000Y-121650000D03*
X227700000Y-121650000D03*
X227050000Y-121650000D03*
D14*
X225550000Y-121650000D03*
X225550000Y-123150000D03*
X226600000Y-103850000D03*
X226600000Y-105350000D03*
D16*
X211000000Y-101450000D03*
X211000000Y-99950000D03*
X211000000Y-96650000D03*
X211000000Y-95150000D03*
X211000000Y-105450000D03*
X211000000Y-103950000D03*
D14*
X226600000Y-99050000D03*
X226600000Y-100550000D03*
D17*
X213050000Y-95050000D03*
X213050000Y-95850000D03*
X213050000Y-96650000D03*
X213050000Y-97450000D03*
X213050000Y-98250000D03*
X213050000Y-99050000D03*
X213050000Y-99850000D03*
X213050000Y-100650000D03*
X213050000Y-101450000D03*
X213050000Y-102250000D03*
X213050000Y-103050000D03*
X213050000Y-103850000D03*
X213050000Y-104650000D03*
X213050000Y-105450000D03*
X213050000Y-106250000D03*
X213050000Y-107050000D03*
X213050000Y-107850000D03*
X213050000Y-108650000D03*
X213050000Y-109450000D03*
X213050000Y-110250000D03*
X213050000Y-111050000D03*
X213050000Y-111850000D03*
X213050000Y-112650000D03*
X213050000Y-113450000D03*
X213050000Y-114250000D03*
X213050000Y-115050000D03*
X213050000Y-115850000D03*
X224550000Y-115850000D03*
X224550000Y-115050000D03*
X224550000Y-114250000D03*
X224550000Y-113450000D03*
X224550000Y-112650000D03*
X224550000Y-111850000D03*
X224550000Y-111050000D03*
X224550000Y-110250000D03*
X224550000Y-109450000D03*
X224550000Y-108650000D03*
X224550000Y-107850000D03*
X224550000Y-107050000D03*
X224550000Y-106250000D03*
X224550000Y-105450000D03*
X224550000Y-104650000D03*
X224550000Y-103850000D03*
X224550000Y-103050000D03*
X224550000Y-102250000D03*
X224550000Y-101450000D03*
X224550000Y-100650000D03*
X224550000Y-99850000D03*
X224550000Y-99050000D03*
X224550000Y-98250000D03*
X224550000Y-97450000D03*
X224550000Y-96650000D03*
X224550000Y-95850000D03*
X224550000Y-95050000D03*
D12*
X203454000Y-92202000D03*
D18*
X274320000Y-128397000D03*
D19*
X270725000Y-126238000D03*
X269025000Y-126238000D03*
D12*
X270129000Y-129286000D03*
D20*
X271350000Y-110800000D03*
X271350000Y-112500000D03*
D21*
X223050000Y-93150000D03*
X224550000Y-93150000D03*
D22*
X208026000Y-111760000D03*
D23*
X205486000Y-111760000D03*
D22*
X202946000Y-111760000D03*
X208026000Y-117475000D03*
X202946000Y-117475000D03*
D23*
X206502000Y-119380000D03*
X204470000Y-119380000D03*
D24*
X204851000Y-113030000D03*
X204851000Y-114300000D03*
X204851000Y-115570000D03*
X204851000Y-116840000D03*
X204851000Y-118110000D03*
X206121000Y-118110000D03*
X206121000Y-116840000D03*
X206121000Y-115570000D03*
X206121000Y-114300000D03*
X206121000Y-113030000D03*
D25*
X208346000Y-126174500D03*
X210246000Y-126174500D03*
X208346000Y-124015500D03*
X210246000Y-124015500D03*
D26*
X200660000Y-129540000D03*
X200914000Y-92202000D03*
X274066000Y-92202000D03*
X274320000Y-125857000D03*
D27*
X263900000Y-111650000D03*
X263900000Y-109950000D03*
D28*
X266600000Y-109850000D03*
X266600000Y-111750000D03*
X268700000Y-110800000D03*
D27*
X261200000Y-111650000D03*
X261200000Y-109950000D03*
D21*
X213050000Y-93150000D03*
X214550000Y-93150000D03*
D14*
X216350000Y-121650000D03*
X216350000Y-123150000D03*
D21*
X213050000Y-117950000D03*
X214550000Y-117950000D03*
D29*
X268700000Y-106100000D03*
X268700000Y-105150000D03*
X268700000Y-104200000D03*
X266600000Y-104200000D03*
X266600000Y-106100000D03*
D27*
X263900000Y-106100000D03*
X263900000Y-104400000D03*
X261200000Y-106100000D03*
X261200000Y-104400000D03*
X271350000Y-106100000D03*
X271350000Y-104400000D03*
D30*
X258500000Y-108000000D03*
X258500000Y-106100000D03*
D21*
X223050000Y-117950000D03*
X224550000Y-117950000D03*
D31*
X229650000Y-118250000D03*
X229650000Y-119850000D03*
D32*
X202946000Y-98425000D03*
D33*
X202946000Y-100965000D03*
D34*
X264950000Y-124500000D03*
X266550000Y-124500000D03*
D35*
X234050000Y-116012500D03*
X234550000Y-116012500D03*
X235050000Y-116012500D03*
X235550000Y-116012500D03*
X236050000Y-116012500D03*
X236550000Y-116012500D03*
X237050000Y-116012500D03*
X237550000Y-116012500D03*
X238050000Y-116012500D03*
X238550000Y-116012500D03*
X239050000Y-116012500D03*
X239550000Y-116012500D03*
X240050000Y-116012500D03*
X240550000Y-116012500D03*
X241050000Y-116012500D03*
X241550000Y-116012500D03*
X242050000Y-116012500D03*
X242550000Y-116012500D03*
X243050000Y-116012500D03*
X243550000Y-116012500D03*
X244050000Y-116012500D03*
X244550000Y-116012500D03*
X245050000Y-116012500D03*
X245550000Y-116012500D03*
X246050000Y-116012500D03*
D36*
X247712500Y-114350000D03*
X247712500Y-113850000D03*
X247712500Y-113350000D03*
X247712500Y-112850000D03*
X247712500Y-112350000D03*
X247712500Y-111850000D03*
X247712500Y-111350000D03*
X247712500Y-110850000D03*
X247712500Y-110350000D03*
X247712500Y-109850000D03*
X247712500Y-109350000D03*
X247712500Y-108850000D03*
X247712500Y-108350000D03*
X247712500Y-107850000D03*
X247712500Y-107350000D03*
X247712500Y-106850000D03*
X247712500Y-106350000D03*
X247712500Y-105850000D03*
X247712500Y-105350000D03*
X247712500Y-104850000D03*
X247712500Y-104350000D03*
X247712500Y-103850000D03*
X247712500Y-103350000D03*
X247712500Y-102850000D03*
X247712500Y-102350000D03*
D35*
X246050000Y-100687500D03*
X245550000Y-100687500D03*
X245050000Y-100687500D03*
X244550000Y-100687500D03*
X244050000Y-100687500D03*
X243550000Y-100687500D03*
X243050000Y-100687500D03*
X242550000Y-100687500D03*
X242050000Y-100687500D03*
X241550000Y-100687500D03*
X241050000Y-100687500D03*
X240550000Y-100687500D03*
X240050000Y-100687500D03*
X239550000Y-100687500D03*
X239050000Y-100687500D03*
X238550000Y-100687500D03*
X238050000Y-100687500D03*
X237550000Y-100687500D03*
X237050000Y-100687500D03*
X236550000Y-100687500D03*
X236050000Y-100687500D03*
X235550000Y-100687500D03*
X235050000Y-100687500D03*
X234550000Y-100687500D03*
X234050000Y-100687500D03*
D36*
X232387500Y-102350000D03*
X232387500Y-102850000D03*
X232387500Y-103350000D03*
X232387500Y-103850000D03*
X232387500Y-104350000D03*
X232387500Y-104850000D03*
X232387500Y-105350000D03*
X232387500Y-105850000D03*
X232387500Y-106350000D03*
X232387500Y-106850000D03*
X232387500Y-107350000D03*
X232387500Y-107850000D03*
X232387500Y-108350000D03*
X232387500Y-108850000D03*
X232387500Y-109350000D03*
X232387500Y-109850000D03*
X232387500Y-110350000D03*
X232387500Y-110850000D03*
X232387500Y-111350000D03*
X232387500Y-111850000D03*
X232387500Y-112350000D03*
X232387500Y-112850000D03*
X232387500Y-113350000D03*
X232387500Y-113850000D03*
X232387500Y-114350000D03*
D37*
X234550000Y-98700000D03*
X233050000Y-98700000D03*
D21*
X238300000Y-118000000D03*
X239800000Y-118000000D03*
X245550000Y-118000000D03*
X247050000Y-118000000D03*
D14*
X249700000Y-106350000D03*
X249700000Y-107850000D03*
D37*
X241800000Y-98700000D03*
X240300000Y-98700000D03*
D14*
X249700000Y-109850000D03*
X249700000Y-111350000D03*
X230350000Y-109400000D03*
X230350000Y-110900000D03*
D16*
X230350000Y-108100000D03*
X230350000Y-106600000D03*
D14*
X273939000Y-98437000D03*
X273939000Y-99937000D03*
D25*
X228550000Y-100800000D03*
X230450000Y-100800000D03*
D38*
X230437500Y-98850000D03*
X228562500Y-98850000D03*
D31*
X226750000Y-118250000D03*
X226750000Y-119850000D03*
X228200000Y-118250000D03*
X228200000Y-119850000D03*
D37*
X206250000Y-106300000D03*
X204750000Y-106300000D03*
D39*
X206300000Y-107750000D03*
X204700000Y-107750000D03*
X206300000Y-109200000D03*
X204700000Y-109200000D03*
D40*
X264320000Y-98425000D03*
X264320000Y-99695000D03*
X264320000Y-100965000D03*
X264320000Y-102235000D03*
X271620000Y-102235000D03*
X271620000Y-100965000D03*
X271620000Y-99695000D03*
X271620000Y-98425000D03*
M02*

View File

@ -1,452 +0,0 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-09-21T07:21:14-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.0*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-09-21 07:21:14*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10RoundRect,0.072500X0.112500X-0.612500X0.112500X0.612500X-0.112500X0.612500X-0.112500X-0.612500X0*%
%ADD11RoundRect,0.172500X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*%
%ADD12RoundRect,0.174400X-0.262500X0.212500X-0.262500X-0.212500X0.262500X-0.212500X0.262500X0.212500X0*%
%ADD13RoundRect,0.174400X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*%
%ADD14RoundRect,0.076400X-0.640500X-0.114500X0.640500X-0.114500X0.640500X0.114500X-0.640500X0.114500X0*%
%ADD15RoundRect,0.237500X0.262500X0.437500X-0.262500X0.437500X-0.262500X-0.437500X0.262500X-0.437500X0*%
%ADD16RoundRect,0.237500X-0.437500X0.262500X-0.437500X-0.262500X0.437500X-0.262500X0.437500X0.262500X0*%
%ADD17RoundRect,0.174400X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*%
%ADD18RoundRect,0.187500X-0.212500X-0.487500X0.212500X-0.487500X0.212500X0.487500X-0.212500X0.487500X0*%
%ADD19RoundRect,0.237500X0.437500X-0.262500X0.437500X0.262500X-0.437500X0.262500X-0.437500X-0.262500X0*%
%ADD20RoundRect,0.150000X-0.475000X-0.200000X0.475000X-0.200000X0.475000X0.200000X-0.475000X0.200000X0*%
%ADD21RoundRect,0.112500X0.512500X0.162500X-0.512500X0.162500X-0.512500X-0.162500X0.512500X-0.162500X0*%
%ADD22RoundRect,0.187500X0.487500X-0.212500X0.487500X0.212500X-0.487500X0.212500X-0.487500X-0.212500X0*%
%ADD23RoundRect,0.125000X-0.300000X0.175000X-0.300000X-0.175000X0.300000X-0.175000X0.300000X0.175000X0*%
%ADD24RoundRect,0.125000X-0.175000X-0.300000X0.175000X-0.300000X0.175000X0.300000X-0.175000X0.300000X0*%
%ADD25RoundRect,0.040000X0.075000X-0.662500X0.075000X0.662500X-0.075000X0.662500X-0.075000X-0.662500X0*%
%ADD26RoundRect,0.040000X0.662500X-0.075000X0.662500X0.075000X-0.662500X0.075000X-0.662500X-0.075000X0*%
%ADD27RoundRect,0.172500X0.212500X0.262500X-0.212500X0.262500X-0.212500X-0.262500X0.212500X-0.262500X0*%
%ADD28RoundRect,0.172500X-0.212500X-0.262500X0.212500X-0.262500X0.212500X0.262500X-0.212500X0.262500X0*%
%ADD29RoundRect,0.172500X0.262500X-0.212500X0.262500X0.212500X-0.262500X0.212500X-0.262500X-0.212500X0*%
%ADD30RoundRect,0.205650X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*%
%ADD31RoundRect,0.125000X0.175000X0.300000X-0.175000X0.300000X-0.175000X-0.300000X0.175000X-0.300000X0*%
%ADD32RoundRect,0.150000X-0.675000X-0.175000X0.675000X-0.175000X0.675000X0.175000X-0.675000X0.175000X0*%
G04 APERTURE END LIST*
D10*
X236250000Y-127550000D03*
X236900000Y-127550000D03*
X237550000Y-127550000D03*
X238200000Y-127550000D03*
X238850000Y-127550000D03*
X239500000Y-127550000D03*
X240150000Y-127550000D03*
X240800000Y-127550000D03*
X241450000Y-127550000D03*
X242100000Y-127550000D03*
X242100000Y-121650000D03*
X241450000Y-121650000D03*
X240800000Y-121650000D03*
X240150000Y-121650000D03*
X239500000Y-121650000D03*
X238850000Y-121650000D03*
X238200000Y-121650000D03*
X237550000Y-121650000D03*
X236900000Y-121650000D03*
X236250000Y-121650000D03*
D11*
X234750000Y-121650000D03*
X234750000Y-123150000D03*
X253150000Y-121650000D03*
X253150000Y-123150000D03*
D10*
X254650000Y-127550000D03*
X255300000Y-127550000D03*
X255950000Y-127550000D03*
X256600000Y-127550000D03*
X257250000Y-127550000D03*
X257900000Y-127550000D03*
X258550000Y-127550000D03*
X259200000Y-127550000D03*
X259850000Y-127550000D03*
X260500000Y-127550000D03*
X260500000Y-121650000D03*
X259850000Y-121650000D03*
X259200000Y-121650000D03*
X258550000Y-121650000D03*
X257900000Y-121650000D03*
X257250000Y-121650000D03*
X256600000Y-121650000D03*
X255950000Y-121650000D03*
X255300000Y-121650000D03*
X254650000Y-121650000D03*
D12*
X243950000Y-121650000D03*
X243950000Y-123150000D03*
D10*
X217850000Y-127550000D03*
X218500000Y-127550000D03*
X219150000Y-127550000D03*
X219800000Y-127550000D03*
X220450000Y-127550000D03*
X221100000Y-127550000D03*
X221750000Y-127550000D03*
X222400000Y-127550000D03*
X223050000Y-127550000D03*
X223700000Y-127550000D03*
X223700000Y-121650000D03*
X223050000Y-121650000D03*
X222400000Y-121650000D03*
X221750000Y-121650000D03*
X221100000Y-121650000D03*
X220450000Y-121650000D03*
X219800000Y-121650000D03*
X219150000Y-121650000D03*
X218500000Y-121650000D03*
X217850000Y-121650000D03*
X245450000Y-127550000D03*
X246100000Y-127550000D03*
X246750000Y-127550000D03*
X247400000Y-127550000D03*
X248050000Y-127550000D03*
X248700000Y-127550000D03*
X249350000Y-127550000D03*
X250000000Y-127550000D03*
X250650000Y-127550000D03*
X251300000Y-127550000D03*
X251300000Y-121650000D03*
X250650000Y-121650000D03*
X250000000Y-121650000D03*
X249350000Y-121650000D03*
X248700000Y-121650000D03*
X248050000Y-121650000D03*
X247400000Y-121650000D03*
X246750000Y-121650000D03*
X246100000Y-121650000D03*
X245450000Y-121650000D03*
X227050000Y-127550000D03*
X227700000Y-127550000D03*
X228350000Y-127550000D03*
X229000000Y-127550000D03*
X229650000Y-127550000D03*
X230300000Y-127550000D03*
X230950000Y-127550000D03*
X231600000Y-127550000D03*
X232250000Y-127550000D03*
X232900000Y-127550000D03*
X232900000Y-121650000D03*
X232250000Y-121650000D03*
X231600000Y-121650000D03*
X230950000Y-121650000D03*
X230300000Y-121650000D03*
X229650000Y-121650000D03*
X229000000Y-121650000D03*
X228350000Y-121650000D03*
X227700000Y-121650000D03*
X227050000Y-121650000D03*
D12*
X225550000Y-121650000D03*
X225550000Y-123150000D03*
X226600000Y-103850000D03*
X226600000Y-105350000D03*
D13*
X211000000Y-101450000D03*
X211000000Y-99950000D03*
X211000000Y-96650000D03*
X211000000Y-95150000D03*
X211000000Y-105450000D03*
X211000000Y-103950000D03*
D12*
X226600000Y-99050000D03*
X226600000Y-100550000D03*
D14*
X213050000Y-95050000D03*
X213050000Y-95850000D03*
X213050000Y-96650000D03*
X213050000Y-97450000D03*
X213050000Y-98250000D03*
X213050000Y-99050000D03*
X213050000Y-99850000D03*
X213050000Y-100650000D03*
X213050000Y-101450000D03*
X213050000Y-102250000D03*
X213050000Y-103050000D03*
X213050000Y-103850000D03*
X213050000Y-104650000D03*
X213050000Y-105450000D03*
X213050000Y-106250000D03*
X213050000Y-107050000D03*
X213050000Y-107850000D03*
X213050000Y-108650000D03*
X213050000Y-109450000D03*
X213050000Y-110250000D03*
X213050000Y-111050000D03*
X213050000Y-111850000D03*
X213050000Y-112650000D03*
X213050000Y-113450000D03*
X213050000Y-114250000D03*
X213050000Y-115050000D03*
X213050000Y-115850000D03*
X224550000Y-115850000D03*
X224550000Y-115050000D03*
X224550000Y-114250000D03*
X224550000Y-113450000D03*
X224550000Y-112650000D03*
X224550000Y-111850000D03*
X224550000Y-111050000D03*
X224550000Y-110250000D03*
X224550000Y-109450000D03*
X224550000Y-108650000D03*
X224550000Y-107850000D03*
X224550000Y-107050000D03*
X224550000Y-106250000D03*
X224550000Y-105450000D03*
X224550000Y-104650000D03*
X224550000Y-103850000D03*
X224550000Y-103050000D03*
X224550000Y-102250000D03*
X224550000Y-101450000D03*
X224550000Y-100650000D03*
X224550000Y-99850000D03*
X224550000Y-99050000D03*
X224550000Y-98250000D03*
X224550000Y-97450000D03*
X224550000Y-96650000D03*
X224550000Y-95850000D03*
X224550000Y-95050000D03*
D15*
X270725000Y-126238000D03*
X269025000Y-126238000D03*
D16*
X271350000Y-110800000D03*
X271350000Y-112500000D03*
D17*
X223050000Y-93150000D03*
X224550000Y-93150000D03*
D18*
X208346000Y-126174500D03*
X210246000Y-126174500D03*
X208346000Y-124015500D03*
X210246000Y-124015500D03*
D19*
X263900000Y-111650000D03*
X263900000Y-109950000D03*
D20*
X266600000Y-109850000D03*
X266600000Y-111750000D03*
X268700000Y-110800000D03*
D19*
X261200000Y-111650000D03*
X261200000Y-109950000D03*
D17*
X213050000Y-93150000D03*
X214550000Y-93150000D03*
D11*
X216350000Y-121650000D03*
X216350000Y-123150000D03*
D17*
X213050000Y-117950000D03*
X214550000Y-117950000D03*
D21*
X268700000Y-106100000D03*
X268700000Y-105150000D03*
X268700000Y-104200000D03*
X266600000Y-104200000D03*
X266600000Y-106100000D03*
D19*
X263900000Y-106100000D03*
X263900000Y-104400000D03*
X261200000Y-106100000D03*
X261200000Y-104400000D03*
X271350000Y-106100000D03*
X271350000Y-104400000D03*
D22*
X258500000Y-108000000D03*
X258500000Y-106100000D03*
D17*
X223050000Y-117950000D03*
X224550000Y-117950000D03*
D23*
X229650000Y-118250000D03*
X229650000Y-119850000D03*
D24*
X264950000Y-124500000D03*
X266550000Y-124500000D03*
D25*
X234050000Y-116012500D03*
X234550000Y-116012500D03*
X235050000Y-116012500D03*
X235550000Y-116012500D03*
X236050000Y-116012500D03*
X236550000Y-116012500D03*
X237050000Y-116012500D03*
X237550000Y-116012500D03*
X238050000Y-116012500D03*
X238550000Y-116012500D03*
X239050000Y-116012500D03*
X239550000Y-116012500D03*
X240050000Y-116012500D03*
X240550000Y-116012500D03*
X241050000Y-116012500D03*
X241550000Y-116012500D03*
X242050000Y-116012500D03*
X242550000Y-116012500D03*
X243050000Y-116012500D03*
X243550000Y-116012500D03*
X244050000Y-116012500D03*
X244550000Y-116012500D03*
X245050000Y-116012500D03*
X245550000Y-116012500D03*
X246050000Y-116012500D03*
D26*
X247712500Y-114350000D03*
X247712500Y-113850000D03*
X247712500Y-113350000D03*
X247712500Y-112850000D03*
X247712500Y-112350000D03*
X247712500Y-111850000D03*
X247712500Y-111350000D03*
X247712500Y-110850000D03*
X247712500Y-110350000D03*
X247712500Y-109850000D03*
X247712500Y-109350000D03*
X247712500Y-108850000D03*
X247712500Y-108350000D03*
X247712500Y-107850000D03*
X247712500Y-107350000D03*
X247712500Y-106850000D03*
X247712500Y-106350000D03*
X247712500Y-105850000D03*
X247712500Y-105350000D03*
X247712500Y-104850000D03*
X247712500Y-104350000D03*
X247712500Y-103850000D03*
X247712500Y-103350000D03*
X247712500Y-102850000D03*
X247712500Y-102350000D03*
D25*
X246050000Y-100687500D03*
X245550000Y-100687500D03*
X245050000Y-100687500D03*
X244550000Y-100687500D03*
X244050000Y-100687500D03*
X243550000Y-100687500D03*
X243050000Y-100687500D03*
X242550000Y-100687500D03*
X242050000Y-100687500D03*
X241550000Y-100687500D03*
X241050000Y-100687500D03*
X240550000Y-100687500D03*
X240050000Y-100687500D03*
X239550000Y-100687500D03*
X239050000Y-100687500D03*
X238550000Y-100687500D03*
X238050000Y-100687500D03*
X237550000Y-100687500D03*
X237050000Y-100687500D03*
X236550000Y-100687500D03*
X236050000Y-100687500D03*
X235550000Y-100687500D03*
X235050000Y-100687500D03*
X234550000Y-100687500D03*
X234050000Y-100687500D03*
D26*
X232387500Y-102350000D03*
X232387500Y-102850000D03*
X232387500Y-103350000D03*
X232387500Y-103850000D03*
X232387500Y-104350000D03*
X232387500Y-104850000D03*
X232387500Y-105350000D03*
X232387500Y-105850000D03*
X232387500Y-106350000D03*
X232387500Y-106850000D03*
X232387500Y-107350000D03*
X232387500Y-107850000D03*
X232387500Y-108350000D03*
X232387500Y-108850000D03*
X232387500Y-109350000D03*
X232387500Y-109850000D03*
X232387500Y-110350000D03*
X232387500Y-110850000D03*
X232387500Y-111350000D03*
X232387500Y-111850000D03*
X232387500Y-112350000D03*
X232387500Y-112850000D03*
X232387500Y-113350000D03*
X232387500Y-113850000D03*
X232387500Y-114350000D03*
D27*
X234550000Y-98700000D03*
X233050000Y-98700000D03*
D28*
X238300000Y-118000000D03*
X239800000Y-118000000D03*
X245550000Y-118000000D03*
X247050000Y-118000000D03*
D11*
X249700000Y-106350000D03*
X249700000Y-107850000D03*
D27*
X241800000Y-98700000D03*
X240300000Y-98700000D03*
D11*
X249700000Y-109850000D03*
X249700000Y-111350000D03*
X230350000Y-109400000D03*
X230350000Y-110900000D03*
D29*
X230350000Y-108100000D03*
X230350000Y-106600000D03*
D11*
X273939000Y-98437000D03*
X273939000Y-99937000D03*
D18*
X228550000Y-100800000D03*
X230450000Y-100800000D03*
D30*
X230437500Y-98850000D03*
X228562500Y-98850000D03*
D23*
X226750000Y-118250000D03*
X226750000Y-119850000D03*
X228200000Y-118250000D03*
X228200000Y-119850000D03*
D27*
X206250000Y-106300000D03*
X204750000Y-106300000D03*
D31*
X206300000Y-107750000D03*
X204700000Y-107750000D03*
X206300000Y-109200000D03*
X204700000Y-109200000D03*
D32*
X264320000Y-98425000D03*
X264320000Y-99695000D03*
X264320000Y-100965000D03*
X264320000Y-102235000D03*
X271620000Y-102235000D03*
X271620000Y-100965000D03*
X271620000Y-99695000D03*
X271620000Y-98425000D03*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1 +0,0 @@
Ref,Val,Package,PosX,PosY,Rot,Side
1 Ref Val Package PosX PosY Rot Side

View File

@ -1,6 +0,0 @@
### Module positions - created on Tuesday, June 01, 2021 at 03:38:46 AM ###
### Printed by Pcbnew version kicad (5.1.10-1-10_14)
## Unit = mm, Angle = deg.
## Side : bottom
# Ref Val Package PosX PosY Rot Side
## End

File diff suppressed because it is too large Load Diff

View File

@ -1,62 +0,0 @@
### Module positions - created on Tuesday, June 01, 2021 at 03:38:46 AM ###
### Printed by Pcbnew version kicad (5.1.10-1-10_14)
## Unit = mm, Angle = deg.
## Side : top
# Ref Val Package PosX PosY Rot Side
C1 10u C_0805 271.3500 -111.6500 270.0000 top
C2 10u C_0805 263.9000 -110.8000 90.0000 top
C3 10u C_0805 261.2000 -110.8000 90.0000 top
C4 10u C_0805 263.9000 -105.2500 90.0000 top
C5 10u C_0805 261.2000 -105.2500 90.0000 top
C6 10u C_0805 271.3500 -105.2500 90.0000 top
C7 2u2 C_0603 216.3500 -122.4000 270.0000 top
C8 2u2 C_0603 225.5500 -122.4000 270.0000 top
C9 2u2 C_0603 234.7500 -122.4000 270.0000 top
C10 2u2 C_0603 243.9500 -122.4000 270.0000 top
C11 2u2 C_0603 253.1500 -122.4000 270.0000 top
C12 2u2 C_0603 233.8000 -98.7000 180.0000 top
C13 2u2 C_0603 230.3500 -107.3500 90.0000 top
C14 2u2 C_0603 239.0500 -118.0000 0.0000 top
C15 2u2 C_0603 246.3000 -118.0000 0.0000 top
C16 2u2 C_0603 230.3500 -110.1500 270.0000 top
C17 2u2 C_0603 249.7000 -107.1000 270.0000 top
C18 2u2 C_0603 241.0500 -98.7000 180.0000 top
C19 2u2 C_0603 249.7000 -110.6000 270.0000 top
C20 2u2 C_0603 213.8000 -93.1500 0.0000 top
C21 2u2 C_0603 211.0000 -95.9000 90.0000 top
C22 2u2 C_0603 211.0000 -100.7000 90.0000 top
C23 2u2 C_0603 211.0000 -104.7000 90.0000 top
C24 2u2 C_0603 213.8000 -117.9500 0.0000 top
C25 2u2 C_0603 223.8000 -117.9500 0.0000 top
C26 2u2 C_0603 226.6000 -104.6000 270.0000 top
C27 2u2 C_0603 226.6000 -99.8000 270.0000 top
C28 2u2 C_0603 223.8000 -93.1500 0.0000 top
C29 10u C_0805 269.8750 -126.2380 180.0000 top
C30 2u2 C_0603 273.9390 -99.1870 270.0000 top
C31 15p C_0603 205.5000 -106.3000 180.0000 top
D1 White LED_0805 229.5000 -98.8500 180.0000 top
FID1 Fiducial Fiducial 203.2000 -129.5400 0.0000 top
FID2 Fiducial Fiducial 203.4540 -92.2020 0.0000 top
FID3 Fiducial Fiducial 271.5260 -92.2020 0.0000 top
FID4 Fiducial Fiducial 270.1290 -129.2860 0.0000 top
R1 0_ R_0805 258.5000 -107.0500 90.0000 top
R2 47 R_0603 265.7500 -124.5000 0.0000 top
R3 47 R_0603 229.6500 -119.0500 270.0000 top
R4 47 R_0603 226.7500 -119.0500 270.0000 top
R5 47 R_0603 228.2000 -119.0500 270.0000 top
R6 0 R_0805 209.2960 -124.0155 0.0000 top
R7 DNP R_0805 209.2960 -126.1745 0.0000 top
R8 47 R_0603 205.5000 -109.2000 180.0000 top
R9 10k R_0603 205.5000 -107.7500 180.0000 top
R10 180 R_0805 229.5000 -100.8000 0.0000 top
U1 LCMXO256-TN100 TQFP-100_14x14mm_P0.5mm 240.0500 -108.3500 0.0000 top
U2 W9812G6KH-6 TSOP-II-54_22.2x10.16mm_P0.8mm 218.8000 -105.4500 0.0000 top
U3 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 220.7750 -124.6000 0.0000 top
U4 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 229.9750 -124.6000 0.0000 top
U5 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 239.1750 -124.6000 0.0000 top
U6 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 248.3750 -124.6000 0.0000 top
U7 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 257.5750 -124.6000 0.0000 top
U8 XC6206P332MR SOT-23 267.6500 -110.8000 180.0000 top
U9 AP2127K-1.2TRG1 SOT-23-5 267.6500 -105.1500 0.0000 top
U11 25F010 SOIC-8_5.3mm 267.9700 -100.3300 270.0000 top
## End

View File

@ -1,570 +0,0 @@
M48
; DRILL file {KiCad 7.0.1-0} date 2023 September 21, Thursday 07:21:16
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2023-09-21T07:21:16-04:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,7.0.1-0
; #@! TF.FileFunction,MixedPlating,1,4
FMAT,2
INCH
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T1C0.0079
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T2C0.0118
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T3C0.0150
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T4C0.0157
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T5C0.0197
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T6C0.0394
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T7C0.0433
; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill
T8C0.0390
; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill
T9C0.0454
; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill
T10C0.0935
%
G90
G05
T1
X7.84Y-3.665
X7.84Y-3.825
X7.84Y-4.025
X7.84Y-4.225
X7.84Y-4.425
X7.84Y-4.625
X7.84Y-4.825
X7.84Y-5.05
X7.84Y-5.165
X7.865Y-3.58
X7.9362Y-4.561
X7.94Y-3.725
X7.94Y-4.125
X7.94Y-4.925
X7.95Y-5.165
X7.955Y-3.56
X7.965Y-4.72
X8.0327Y-4.5
X8.04Y-4.825
X8.05Y-5.165
X8.09Y-4.35
X8.09Y-4.74
X8.14Y-3.56
X8.14Y-3.7
X8.14Y-3.925
X8.14Y-4.125
X8.14Y-4.925
X8.15Y-5.165
X8.17Y-4.8825
X8.17Y-4.9675
X8.24Y-3.655
X8.24Y-4.825
X8.25Y-5.165
X8.265Y-4.24
X8.27Y-4.57
X8.3071Y-4.0276
X8.3071Y-4.0591
X8.3327Y-4.4567
X8.34Y-4.725
X8.3425Y-3.8051
X8.3425Y-3.9941
X8.3425Y-4.0886
X8.3425Y-4.1516
X8.3425Y-4.561
X8.35Y-3.5541
X8.3543Y-3.6673
X8.3878Y-3.6319
X8.4331Y-3.7421
X8.4331Y-3.8051
X8.4331Y-3.8996
X8.4331Y-3.9941
X8.4331Y-4.0886
X8.4331Y-4.1516
X8.4331Y-4.561
X8.437Y-4.2461
X8.437Y-4.3091
X8.437Y-4.372
X8.437Y-4.435
X8.437Y-4.498
X8.445Y-4.8825
X8.445Y-4.9675
X8.4469Y-3.6319
X8.4469Y-3.7028
X8.4547Y-4.1831
X8.4587Y-4.2776
X8.4587Y-4.3406
X8.4587Y-4.4035
X8.4587Y-4.4665
X8.4587Y-4.5295
X8.4606Y-3.7736
X8.4606Y-3.8327
X8.4606Y-3.872
X8.4606Y-3.9272
X8.4606Y-3.9665
X8.4606Y-4.0217
X8.4606Y-4.061
X8.4606Y-4.1201
X8.4764Y-4.2146
X8.4803Y-3.6673
X8.4823Y-4.7894
X8.5453Y-5.0039
X8.55Y-3.5541
X8.55Y-5.165
X8.5522Y-4.7894
X8.5522Y-4.8484
X8.5669Y-4.1516
X8.5669Y-4.561
X8.5768Y-4.7441
X8.5768Y-4.8346
X8.5768Y-5.0669
X8.6Y-5.135
X8.6024Y-4.8346
X8.6083Y-4.9567
X8.6142Y-3.7421
X8.6142Y-3.8051
X8.6142Y-3.8524
X8.6142Y-3.8996
X8.6142Y-3.9469
X8.6142Y-3.9941
X8.6142Y-4.0413
X8.6142Y-4.0886
X8.628Y-4.7461
X8.65Y-3.66
X8.65Y-5.165
X8.6535Y-4.8327
X8.6614Y-4.1516
X8.6614Y-4.561
X8.6791Y-4.7461
X8.7047Y-4.8327
X8.7303Y-4.7461
X8.748Y-3.6654
X8.75Y-3.5541
X8.75Y-5.165
X8.7559Y-4.8327
X8.7677Y-3.7736
X8.7677Y-3.8327
X8.7677Y-3.872
X8.7677Y-3.9272
X8.7677Y-3.9665
X8.7677Y-4.0217
X8.7677Y-4.061
X8.7677Y-4.1201
X8.7815Y-3.6319
X8.7815Y-3.7028
X8.7815Y-4.6102
X8.7815Y-4.6772
X8.7815Y-4.7461
X8.7953Y-3.7421
X8.7953Y-3.8051
X8.7953Y-3.8996
X8.7953Y-3.9941
X8.7953Y-4.0886
X8.7953Y-4.1516
X8.7953Y-4.561
X8.8Y-5.1378
X8.8071Y-4.8327
X8.8071Y-4.9764
X8.8071Y-5.065
X8.8406Y-3.6319
X8.8406Y-4.6772
X8.8465Y-4.7894
X8.85Y-5.165
X8.872Y-4.6437
X8.874Y-3.6673
X8.8858Y-3.7421
X8.8858Y-3.8051
X8.8858Y-3.8996
X8.8858Y-4.0886
X8.8858Y-4.1516
X8.8858Y-4.561
X8.8878Y-4.372
X8.8878Y-4.435
X8.8878Y-4.498
X8.8898Y-4.2146
X8.9075Y-5.0217
X8.9094Y-3.5945
X8.9094Y-4.2776
X8.9114Y-4.3406
X8.9114Y-4.4035
X8.9114Y-4.4665
X8.9134Y-4.1811
X8.9134Y-4.5315
X8.9144Y-4.7894
X8.9144Y-4.8484
X8.9213Y-3.9921
X8.939Y-4.8346
X8.95Y-3.56
X8.95Y-5.165
X8.9528Y-3.6
X8.9528Y-3.6398
X8.9557Y-4.1398
X8.9567Y-3.9665
X8.9567Y-4.0886
X8.9646Y-4.8346
X8.9646Y-4.9764
X8.9862Y-3.6181
X8.9902Y-5.0669
X9.0Y-5.15
X9.0157Y-3.6437
X9.0157Y-4.0335
X9.0354Y-4.2028
X9.0354Y-4.2559
X9.0394Y-4.624
X9.0413Y-4.9469
X9.05Y-3.685
X9.05Y-5.165
X9.065Y-4.9134
X9.0669Y-4.9764
X9.0709Y-4.3976
X9.0768Y-4.1181
X9.1Y-5.13
X9.1024Y-4.065
X9.1043Y-3.9685
X9.1043Y-4.1083
X9.1043Y-4.1476
X9.1043Y-4.187
X9.1043Y-4.2598
X9.1043Y-4.3386
X9.1043Y-4.378
X9.1043Y-4.4232
X9.1043Y-4.4626
X9.1043Y-4.502
X9.1181Y-4.9764
X9.1496Y-4.0079
X9.15Y-3.56
X9.15Y-5.165
X9.1693Y-5.0669
X9.1791Y-3.9705
X9.1811Y-3.7205
X9.1811Y-3.8524
X9.1811Y-3.9193
X9.1929Y-4.0492
X9.1929Y-4.0886
X9.1929Y-4.128
X9.1929Y-4.187
X9.1929Y-4.2657
X9.1929Y-4.3642
X9.1929Y-4.4035
X9.1929Y-4.4429
X9.1929Y-4.4823
X9.1949Y-5.0217
X9.2047Y-4.0079
X9.2087Y-4.7894
X9.2126Y-4.3445
X9.2165Y-5.124
X9.2205Y-4.1181
X9.2205Y-4.1575
X9.2264Y-4.2067
X9.2283Y-3.8524
X9.2303Y-4.3839
X9.2343Y-3.9193
X9.2343Y-4.0079
X9.2343Y-4.2776
X9.2343Y-4.5236
X9.2461Y-4.2402
X9.248Y-4.0492
X9.25Y-5.165
X9.252Y-4.3504
X9.2657Y-3.8898
X9.2736Y-4.0079
X9.2736Y-4.5236
X9.2756Y-5.0217
X9.2766Y-4.7894
X9.2766Y-4.8484
X9.2933Y-3.9193
X9.2992Y-5.1004
X9.3031Y-4.8346
X9.313Y-4.0079
X9.313Y-4.5236
X9.3307Y-4.8346
X9.3327Y-3.9193
X9.35Y-5.165
X9.3504Y-4.6516
X9.3524Y-4.0079
X9.37Y-3.56
X9.372Y-3.9193
X9.372Y-4.4882
X9.376Y-4.6811
X9.3917Y-4.0079
X9.3917Y-4.5236
X9.3917Y-4.6122
X9.4114Y-3.9193
X9.4114Y-4.4882
X9.4291Y-3.8819
X9.4311Y-4.0079
X9.4311Y-4.5236
X9.4311Y-4.6122
X9.435Y-4.6791
X9.45Y-5.165
X9.4508Y-4.0472
X9.4508Y-4.4882
X9.4665Y-3.8524
X9.4705Y-3.9193
X9.4705Y-4.0079
X9.4705Y-4.5236
X9.4724Y-4.6398
X9.4902Y-4.4882
X9.4921Y-4.0472
X9.5Y-5.1398
X9.5098Y-3.9193
X9.5098Y-4.0079
X9.5138Y-3.8524
X9.5315Y-5.0669
X9.5335Y-4.9764
X9.55Y-3.56
X9.55Y-5.165
X9.5571Y-5.0217
X9.5591Y-4.7461
X9.563Y-4.4114
X9.5709Y-4.7894
X9.5748Y-5.1319
X9.5945Y-3.8957
X9.6063Y-4.3957
X9.6339Y-3.8957
X9.6388Y-4.7894
X9.6388Y-4.8484
X9.6476Y-4.4626
X9.65Y-5.165
X9.6594Y-4.4035
X9.6634Y-4.7441
X9.6634Y-4.8346
X9.6634Y-4.9764
X9.6673Y-4.5236
X9.6673Y-4.6122
X9.6732Y-4.6791
X9.6772Y-5.0728
X9.6772Y-5.1358
X9.687Y-4.0394
X9.687Y-4.1181
X9.689Y-4.2067
X9.689Y-4.2618
X9.689Y-4.4429
X9.6969Y-4.5236
X9.7028Y-3.9016
X9.7067Y-4.0748
X9.7087Y-4.187
X9.7087Y-4.2264
X9.7087Y-4.3248
X9.7087Y-4.3839
X9.7087Y-4.4232
X9.7087Y-4.5669
X9.7146Y-5.0669
X9.7205Y-4.6122
X9.7205Y-4.6791
X9.7224Y-3.8622
X9.7461Y-3.9429
X9.75Y-3.56
X9.75Y-3.76
X9.75Y-5.165
X9.78Y-5.135
X9.7972Y-4.2028
X9.7972Y-4.2303
X9.7972Y-4.3248
X9.7972Y-4.374
X9.7972Y-4.4429
X9.8051Y-4.0177
X9.813Y-4.6024
X9.8189Y-4.0787
X9.8268Y-5.0768
X9.8307Y-4.4154
X9.85Y-5.165
X9.8524Y-4.5886
X9.8642Y-4.2402
X9.8642Y-4.3307
X9.8642Y-4.378
X9.8642Y-4.4429
X9.8681Y-5.0669
X9.8937Y-4.9764
X9.9035Y-4.2402
X9.9055Y-4.2815
X9.9193Y-5.0217
X9.9331Y-4.7894
X9.9429Y-4.2972
X9.95Y-3.56
X9.95Y-3.76
X9.95Y-5.165
X9.9744Y-4.3602
X10.001Y-4.7894
X10.001Y-4.8484
X10.0098Y-5.0728
X10.0256Y-4.7441
X10.0256Y-4.8346
X10.0256Y-4.9764
X10.05Y-3.66
X10.05Y-3.855
X10.05Y-5.165
X10.065Y-4.1
X10.1024Y-5.0846
X10.145Y-4.55
X10.1457Y-5.1122
X10.15Y-3.56
X10.15Y-3.76
X10.15Y-5.165
X10.1909Y-5.1122
X10.245Y-4.65
X10.245Y-4.85
X10.25Y-3.855
X10.25Y-5.165
X10.2559Y-4.9764
X10.2559Y-5.0669
X10.2815Y-5.0217
X10.3Y-4.01
X10.322Y-3.9447
X10.335Y-3.9
X10.345Y-4.55
X10.345Y-4.75
X10.35Y-3.56
X10.35Y-3.76
X10.35Y-5.165
X10.36Y-4.95
X10.4016Y-4.9016
X10.445Y-4.65
X10.445Y-4.85
X10.45Y-5.165
X10.4625Y-3.975
X10.4665Y-5.1122
X10.5Y-4.0
X10.5236Y-4.9016
X10.5394Y-4.3248
X10.5394Y-4.4035
X10.545Y-4.55
X10.545Y-4.75
X10.55Y-3.56
X10.55Y-3.76
X10.55Y-5.165
X10.5925Y-5.0138
X10.6425Y-3.925
X10.645Y-4.65
X10.645Y-4.85
X10.65Y-5.165
X10.745Y-3.56
X10.745Y-4.55
X10.745Y-4.75
X10.75Y-3.76
X10.75Y-3.9275
X10.75Y-5.185
X10.785Y-3.8425
X10.785Y-3.9675
X10.82Y-3.88
X10.82Y-3.9275
X10.835Y-3.58
X10.86Y-3.665
X10.86Y-3.86
X10.86Y-4.06
X10.86Y-4.26
X10.86Y-4.46
X10.86Y-4.66
X10.86Y-4.86
X10.86Y-5.165
T2
X8.34Y-4.925
X8.35Y-5.165
X8.3878Y-3.7146
X8.3878Y-4.5886
X8.45Y-5.165
X8.8406Y-3.7146
X8.8406Y-4.5886
X10.355Y-4.025
X10.4575Y-4.025
X10.5354Y-4.1398
X10.5787Y-4.0728
X10.5787Y-4.2087
X10.622Y-4.1339
X10.622Y-4.1772
X10.6425Y-3.875
X10.6831Y-4.0728
X10.695Y-3.845
X10.7475Y-3.875
T3
X8.2677Y-4.0925
X8.2677Y-4.1516
X8.3071Y-3.8976
X8.3071Y-4.189
X8.3504Y-4.6437
X8.3878Y-4.6831
X8.4469Y-4.6043
X8.4469Y-4.6831
X8.4783Y-4.8484
X8.5167Y-4.752
X8.5167Y-4.8858
X8.8406Y-4.8484
X8.9213Y-4.0512
X9.2028Y-4.8484
X9.565Y-4.8484
X9.6033Y-4.752
X9.9272Y-4.8484
X9.9656Y-4.752
T4
X8.2677Y-3.7461
X8.2677Y-3.8051
X8.2677Y-3.935
X8.2677Y-3.9941
X8.3071Y-3.7087
X8.3071Y-3.8425
X8.4843Y-4.6437
X8.8799Y-4.9114
X8.9272Y-3.8622
X9.2421Y-4.8878
X10.1772Y-4.1378
X10.1772Y-4.2913
T5
X10.1772Y-4.4409
X10.2303Y-4.1102
X10.2303Y-4.1772
X10.2303Y-4.3287
X10.2303Y-4.3957
X10.2303Y-4.4862
X10.2835Y-4.065
X10.2835Y-4.2244
X10.2835Y-4.2835
X10.2835Y-4.4409
X10.3366Y-4.3287
X10.3366Y-4.3957
X10.3366Y-4.4862
X10.3386Y-4.1102
X10.3386Y-4.1772
X10.3898Y-4.065
X10.3898Y-4.2224
X10.3898Y-4.2835
X10.3898Y-4.4409
X10.4409Y-4.1102
X10.4429Y-4.1772
X10.4429Y-4.3287
X10.4429Y-4.3957
X10.4429Y-4.4862
X10.4961Y-4.2835
X10.4961Y-4.4409
X10.5453Y-4.9685
X10.5492Y-4.4862
X10.5925Y-4.9154
X10.6024Y-4.4409
X10.6831Y-4.2224
X10.6831Y-4.4744
X10.7343Y-4.1102
X10.7343Y-4.1772
X10.7362Y-4.4291
X10.795Y-4.85
T6
X7.99Y-3.875
X7.99Y-3.975
T7
X10.8Y-5.055
T8
X8.05Y-4.7
X8.09Y-4.4
X8.13Y-4.7
T9
X7.9Y-5.1
X7.91Y-3.63
X10.79Y-3.63
X10.8Y-4.955
T10
X7.99Y-4.4
X7.99Y-4.625
X8.19Y-4.4
X8.19Y-4.625
T0
M30

View File

@ -1,6 +0,0 @@
(sym_lib_table
(lib (name GW_PLD)(type Legacy)(uri ${KIPRJMOD}/../../../GW_Parts/GW_PLD.lib)(options "")(descr ""))
(lib (name GW_RAM)(type Legacy)(uri ${KIPRJMOD}/../../../GW_Parts/GW_RAM.lib)(options "")(descr ""))
(lib (name GW_Logic)(type Legacy)(uri ${KIPRJMOD}/../../../GW_Parts/GW_Logic.lib)(options "")(descr ""))
(lib (name GW_Power)(type Legacy)(uri ${KIPRJMOD}/../../../GW_Parts/GW_Power.lib)(options "")(descr ""))
)

View File

@ -10,7 +10,7 @@ J1 ,1,AppleIIeAux,stdpads:AppleIIeAux_Edge,,,,DNP - edge connector
J2 ,1,JTAG,stdpads:TC2050,,,,DNP - test pad connector
J3 ,1,C14M,Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical,,,,DNP
R1 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R10 ,1,180,stdpads:R_0805,,C25270,Uniroyal 0805W8F1800T5E,Any manufacturer's part is acceptable.
R10 ,1,220,stdpads:R_0805,,C17557,Uniroyal 0805W8F2200T5E,Any manufacturer's part is acceptable.
R2 R3 R4 R12 R13 ,5,47,stdpads:R_0603,,C23182,Uniroyal 0603WAF470JT5E,Any manufacturer's part is acceptable.
R6 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R7 ,1,DNP,stdpads:R_0805,,,,
1 Reference Quantity Value Footprint Datasheet LCSC Part Mfg. Part Numbers Notes
10 J2 1 JTAG stdpads:TC2050 DNP - test pad connector
11 J3 1 C14M Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical DNP
12 R1 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
13 R10 1 180 220 stdpads:R_0805 C25270 C17557 Uniroyal 0805W8F1800T5E Uniroyal 0805W8F2200T5E Any manufacturer's part is acceptable.
14 R2 R3 R4 R12 R13 5 47 stdpads:R_0603 C23182 Uniroyal 0603WAF470JT5E Any manufacturer's part is acceptable.
15 R6 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
16 R7 1 DNP stdpads:R_0805

View File

@ -10,7 +10,7 @@ J1 ,1,AppleIIeAux,stdpads:AppleIIeAux_Edge,,,,DNP - edge connector
J2 ,1,JTAG,stdpads:TC2050,,,,DNP - test pad connector
J3 ,1,C14M,Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical,,,,DNP
R1 ,1,DNP,stdpads:R_0805,,,,
R10 ,1,180,stdpads:R_0805,,C25270,Uniroyal 0805W8F1800T5E,Any manufacturer's part is acceptable.
R10 ,1,220,stdpads:R_0805,,C17557,Uniroyal 0805W8F2200T5E,Any manufacturer's part is acceptable.
R2 R3 R4 R12 R13 ,5,47,stdpads:R_0603,,C23182,Uniroyal 0603WAF470JT5E,Any manufacturer's part is acceptable.
R6 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R7 ,1,DNP,stdpads:R_0805,,,,
1 Reference Quantity Value Footprint Datasheet LCSC Part Mfg. Part Numbers Notes
10 J2 1 JTAG stdpads:TC2050 DNP - test pad connector
11 J3 1 C14M Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical DNP
12 R1 1 DNP stdpads:R_0805
13 R10 1 180 220 stdpads:R_0805 C25270 C17557 Uniroyal 0805W8F1800T5E Uniroyal 0805W8F2200T5E Any manufacturer's part is acceptable.
14 R2 R3 R4 R12 R13 5 47 stdpads:R_0603 C23182 Uniroyal 0603WAF470JT5E Any manufacturer's part is acceptable.
15 R6 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
16 R7 1 DNP stdpads:R_0805

File diff suppressed because it is too large Load Diff

View File

@ -1,582 +0,0 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Generic_Conn_01x02
#
DEF Connector_Generic_Conn_01x02 J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Connector_Generic_Conn_01x02" 0 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 50 50 -150 1 1 10 f
X Pin_1 1 -200 0 150 R 50 50 1 1 P
X Pin_2 2 -200 -100 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x05_Odd_Even
#
DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 300 50 H V C CNN
F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 150 -250 1 1 10 f
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_10 10 300 -200 150 L 50 50 1 1 P
X Pin_2 2 300 200 150 L 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 300 100 150 L 50 50 1 1 P
X Pin_5 5 -200 0 150 R 50 50 1 1 P
X Pin_6 6 300 0 150 L 50 50 1 1 P
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
X Pin_8 8 300 -100 150 L 50 50 1 1 P
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x30_Counter_Clockwise
#
DEF Connector_Generic_Conn_02x30_Counter_Clockwise J 0 40 Y N 1 F N
F0 "J" 50 1500 50 H V C CNN
F1 "Connector_Generic_Conn_02x30_Counter_Clockwise" 50 -1600 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -1495 0 -1505 1 1 6 N
S -50 -1395 0 -1405 1 1 6 N
S -50 -1295 0 -1305 1 1 6 N
S -50 -1195 0 -1205 1 1 6 N
S -50 -1095 0 -1105 1 1 6 N
S -50 -995 0 -1005 1 1 6 N
S -50 -895 0 -905 1 1 6 N
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 805 0 795 1 1 6 N
S -50 905 0 895 1 1 6 N
S -50 1005 0 995 1 1 6 N
S -50 1105 0 1095 1 1 6 N
S -50 1205 0 1195 1 1 6 N
S -50 1305 0 1295 1 1 6 N
S -50 1405 0 1395 1 1 6 N
S -50 1450 150 -1550 1 1 10 f
S 150 -1495 100 -1505 1 1 6 N
S 150 -1395 100 -1405 1 1 6 N
S 150 -1295 100 -1305 1 1 6 N
S 150 -1195 100 -1205 1 1 6 N
S 150 -1095 100 -1105 1 1 6 N
S 150 -995 100 -1005 1 1 6 N
S 150 -895 100 -905 1 1 6 N
S 150 -795 100 -805 1 1 6 N
S 150 -695 100 -705 1 1 6 N
S 150 -595 100 -605 1 1 6 N
S 150 -495 100 -505 1 1 6 N
S 150 -395 100 -405 1 1 6 N
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
S 150 305 100 295 1 1 6 N
S 150 405 100 395 1 1 6 N
S 150 505 100 495 1 1 6 N
S 150 605 100 595 1 1 6 N
S 150 705 100 695 1 1 6 N
S 150 805 100 795 1 1 6 N
S 150 905 100 895 1 1 6 N
S 150 1005 100 995 1 1 6 N
S 150 1105 100 1095 1 1 6 N
S 150 1205 100 1195 1 1 6 N
S 150 1305 100 1295 1 1 6 N
S 150 1405 100 1395 1 1 6 N
X Pin_1 1 -200 1400 150 R 50 50 1 1 P
X Pin_10 10 -200 500 150 R 50 50 1 1 P
X Pin_11 11 -200 400 150 R 50 50 1 1 P
X Pin_12 12 -200 300 150 R 50 50 1 1 P
X Pin_13 13 -200 200 150 R 50 50 1 1 P
X Pin_14 14 -200 100 150 R 50 50 1 1 P
X Pin_15 15 -200 0 150 R 50 50 1 1 P
X Pin_16 16 -200 -100 150 R 50 50 1 1 P
X Pin_17 17 -200 -200 150 R 50 50 1 1 P
X Pin_18 18 -200 -300 150 R 50 50 1 1 P
X Pin_19 19 -200 -400 150 R 50 50 1 1 P
X Pin_2 2 -200 1300 150 R 50 50 1 1 P
X Pin_20 20 -200 -500 150 R 50 50 1 1 P
X Pin_21 21 -200 -600 150 R 50 50 1 1 P
X Pin_22 22 -200 -700 150 R 50 50 1 1 P
X Pin_23 23 -200 -800 150 R 50 50 1 1 P
X Pin_24 24 -200 -900 150 R 50 50 1 1 P
X Pin_25 25 -200 -1000 150 R 50 50 1 1 P
X Pin_26 26 -200 -1100 150 R 50 50 1 1 P
X Pin_27 27 -200 -1200 150 R 50 50 1 1 P
X Pin_28 28 -200 -1300 150 R 50 50 1 1 P
X Pin_29 29 -200 -1400 150 R 50 50 1 1 P
X Pin_3 3 -200 1200 150 R 50 50 1 1 P
X Pin_30 30 -200 -1500 150 R 50 50 1 1 P
X Pin_31 31 300 -1500 150 L 50 50 1 1 P
X Pin_32 32 300 -1400 150 L 50 50 1 1 P
X Pin_33 33 300 -1300 150 L 50 50 1 1 P
X Pin_34 34 300 -1200 150 L 50 50 1 1 P
X Pin_35 35 300 -1100 150 L 50 50 1 1 P
X Pin_36 36 300 -1000 150 L 50 50 1 1 P
X Pin_37 37 300 -900 150 L 50 50 1 1 P
X Pin_38 38 300 -800 150 L 50 50 1 1 P
X Pin_39 39 300 -700 150 L 50 50 1 1 P
X Pin_4 4 -200 1100 150 R 50 50 1 1 P
X Pin_40 40 300 -600 150 L 50 50 1 1 P
X Pin_41 41 300 -500 150 L 50 50 1 1 P
X Pin_42 42 300 -400 150 L 50 50 1 1 P
X Pin_43 43 300 -300 150 L 50 50 1 1 P
X Pin_44 44 300 -200 150 L 50 50 1 1 P
X Pin_45 45 300 -100 150 L 50 50 1 1 P
X Pin_46 46 300 0 150 L 50 50 1 1 P
X Pin_47 47 300 100 150 L 50 50 1 1 P
X Pin_48 48 300 200 150 L 50 50 1 1 P
X Pin_49 49 300 300 150 L 50 50 1 1 P
X Pin_5 5 -200 1000 150 R 50 50 1 1 P
X Pin_50 50 300 400 150 L 50 50 1 1 P
X Pin_51 51 300 500 150 L 50 50 1 1 P
X Pin_52 52 300 600 150 L 50 50 1 1 P
X Pin_53 53 300 700 150 L 50 50 1 1 P
X Pin_54 54 300 800 150 L 50 50 1 1 P
X Pin_55 55 300 900 150 L 50 50 1 1 P
X Pin_56 56 300 1000 150 L 50 50 1 1 P
X Pin_57 57 300 1100 150 L 50 50 1 1 P
X Pin_58 58 300 1200 150 L 50 50 1 1 P
X Pin_59 59 300 1300 150 L 50 50 1 1 P
X Pin_6 6 -200 900 150 R 50 50 1 1 P
X Pin_60 60 300 1400 150 L 50 50 1 1 P
X Pin_7 7 -200 800 150 R 50 50 1 1 P
X Pin_8 8 -200 700 150 R 50 50 1 1 P
X Pin_9 9 -200 600 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_D_Small_ALT
#
DEF Device_D_Small_ALT D 0 10 N N 1 F N
F0 "D" -50 80 50 H V L CNN
F1 "Device_D_Small_ALT" -150 -80 50 H V L CNN
F2 "" 0 0 50 V I C CNN
F3 "" 0 0 50 V I C CNN
$FPLIST
TO-???*
*_Diode_*
*SingleDiode*
D_*
$ENDFPLIST
DRAW
P 2 0 1 10 -30 -40 -30 40 N
P 2 0 1 0 -30 0 30 0 N
P 4 0 1 10 30 -40 -30 0 30 40 30 -40 F
X K 1 -100 0 70 R 50 50 1 1 P
X A 2 100 0 70 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_Small
#
DEF Device_R_Small R 0 10 N N 1 F N
F0 "R" 30 20 50 H V L CNN
F1 "Device_R_Small" 30 -40 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -30 70 30 -70 0 1 8 N
X ~ 1 0 100 30 D 50 50 1 1 P
X ~ 2 0 -100 30 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# GW_Logic_74245
#
DEF GW_Logic_74245 U 0 40 Y Y 1 F N
F0 "U" 0 600 50 H V C CNN
F1 "GW_Logic_74245" 0 -600 50 H V C CNN
F2 "" 0 -650 50 H I C TNN
F3 "" 0 100 60 H I C CNN
DRAW
S -200 550 200 -550 0 1 10 f
X AtoB 1 -400 450 200 R 50 50 1 1 I
X GND 10 -400 -450 200 R 50 50 1 1 W
X B7 11 400 -450 200 L 50 50 1 1 B
X B6 12 400 -350 200 L 50 50 1 1 B
X B5 13 400 -250 200 L 50 50 1 1 B
X B4 14 400 -150 200 L 50 50 1 1 B
X B3 15 400 -50 200 L 50 50 1 1 B
X B2 16 400 50 200 L 50 50 1 1 B
X B1 17 400 150 200 L 50 50 1 1 B
X B0 18 400 250 200 L 50 50 1 1 B
X ~OE~ 19 400 350 200 L 50 50 1 1 I
X A0 2 -400 350 200 R 50 50 1 1 B
X Vcc 20 400 450 200 L 50 50 1 1 W
X A1 3 -400 250 200 R 50 50 1 1 B
X A2 4 -400 150 200 R 50 50 1 1 B
X A3 5 -400 50 200 R 50 50 1 1 B
X A4 6 -400 -50 200 R 50 50 1 1 B
X A5 7 -400 -150 200 R 50 50 1 1 B
X A6 8 -400 -250 200 R 50 50 1 1 B
X A7 9 -400 -350 200 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
# GW_PLD_LCMXO2-640-TG100
#
DEF GW_PLD_LCMXO2-640-TG100 U 0 40 Y Y 1 F N
F0 "U" 0 50 50 H V C CNN
F1 "GW_PLD_LCMXO2-640-TG100" 0 0 40 H V C TNN
F2 "stdpads:TQFP-100" 0 -200 40 H I C CNN
F3 "" 0 100 50 H I C CNN
DRAW
S -750 2200 750 -2200 1 1 10 f
X PL2A 1 -950 2000 200 R 50 50 1 1 B
X PL3D 10 -950 1300 200 R 50 50 1 1 B
X Vcc 100 500 2400 200 D 50 50 1 1 W
X PL5A/PCLKT3_1 12 -950 1200 200 R 50 50 1 1 B
X PL5B/PCLKC3_1 13 -950 1100 200 R 50 50 1 1 B
X PL5C 14 -950 1000 200 R 50 50 1 1 B
X PL5D 15 -950 900 200 R 50 50 1 1 B
X PL6A 16 -950 800 200 R 50 50 1 1 B
X PL6B 17 -950 700 200 R 50 50 1 1 B
X PL6C 18 -950 600 200 R 50 50 1 1 B
X PL6D 19 -950 500 200 R 50 50 1 1 B
X PL2B 2 -950 1900 200 R 50 50 1 1 B
X PL7A/PCLKT3_0 20 -950 400 200 R 50 50 1 1 B
X PL7B/PCLKC3_0 21 -950 300 200 R 50 50 1 1 B
X GND 22 -100 -2400 200 U 50 30 1 1 W
X VccIO3 23 -300 2400 200 D 50 50 1 1 W
X PL7C 24 -950 200 200 R 50 50 1 1 B
X PL7D 25 -950 100 200 R 50 50 1 1 B
X VccIO2 26 -200 2400 200 D 50 50 1 1 W
X PB4A/CSSPIN 27 -950 -100 200 R 50 50 1 1 B
X PB4B 28 -950 -200 200 R 50 50 1 1 B
X PB4C 29 -950 -300 200 R 50 50 1 1 B
X PL2C/PCLKT3_2 3 -950 1800 200 R 50 50 1 1 B
X PB4D 30 -950 -400 200 R 50 50 1 1 B
X PB6A/MCLK/CCLK 31 -950 -500 200 R 50 50 1 1 B
X PB6B/SO/SPISO 32 -950 -600 200 R 50 50 1 1 B
X GND 33 0 -2400 200 U 50 50 1 1 W
X PB6C/PCLKT2_0 34 -950 -700 200 R 50 50 1 1 B
X PB6D/PCLKC_0 35 -950 -800 200 R 50 50 1 1 B
X PB10A 36 -950 -900 200 R 50 50 1 1 B
X PB10B 37 -950 -1000 200 R 50 50 1 1 B
X PB10C/PCLKT2_1 38 -950 -1100 200 R 50 50 1 1 B
X PB10D/PCLKC2_1 39 -950 -1200 200 R 50 50 1 1 B
X PL2D/PCLKC3_2 4 -950 1700 200 R 50 50 1 1 B
X PB12A 40 -950 -1300 200 R 50 50 1 1 B
X PB12B 41 -950 -1400 200 R 50 50 1 1 B
X PB12C 42 -950 -1500 200 R 50 50 1 1 B
X PB12D 43 -950 -1600 200 R 50 50 1 1 B
X GND 44 100 -2400 200 U 50 50 1 1 W
X PB14A 45 -950 -1700 200 R 50 50 1 1 B
X VccIO2 46 -100 2400 200 D 50 50 1 1 W
X PB14 47 -950 -1800 200 R 50 50 1 1 B
X PB14C/SN 48 -950 -1900 200 R 50 50 1 1 B
X PB14D/SI/SISPI 49 -950 -2000 200 R 50 50 1 1 B
X VccIO3 5 -400 2400 200 D 50 50 1 1 W
X Vcc 50 400 2400 200 D 50 50 1 1 W
X PR7D 51 950 -2000 200 L 50 50 1 1 B
X PR7C 52 950 -1900 200 L 50 50 1 1 B
X PR7B 53 950 -1800 200 L 50 50 1 1 B
X PR7A 54 950 -1700 200 L 50 50 1 1 B
X VccIO1 55 0 2400 200 D 50 50 1 1 W
X GNDIO1 56 200 -2400 200 U 50 50 1 1 W
X PR6D 57 950 -1600 200 L 50 50 1 1 B
X PR6C 58 950 -1500 200 L 50 50 1 1 B
X PR6B 59 950 -1400 200 L 50 50 1 1 B
X GND 6 -200 -2400 200 U 50 30 1 1 W
X PR6A 60 950 -1300 200 L 50 50 1 1 B
X PCLKC1_0/PR5D 62 950 -1200 200 L 50 50 1 1 B
X PCLKT1_0/PR5C 63 950 -1100 200 L 50 50 1 1 B
X PR5B 64 950 -1000 200 L 50 50 1 1 B
X PR5A 65 950 -900 200 L 50 50 1 1 B
X PR3D 66 950 -800 200 L 50 50 1 1 B
X PR3C 67 950 -700 200 L 50 50 1 1 B
X PR3B 68 950 -600 200 L 50 50 1 1 B
X PR3A 69 950 -500 200 L 50 50 1 1 B
X PL3A 7 -950 1600 200 R 50 50 1 1 B
X PR2D 70 950 -400 200 L 50 50 1 1 B
X PR2C 71 950 -300 200 L 50 50 1 1 B
X GNDIO1 72 300 -2400 200 U 50 50 1 1 W
X VccIO1 73 100 2400 200 D 50 50 1 1 W
X PR2B 74 950 -200 200 L 50 50 1 1 B
X PR2A 75 950 -100 200 L 50 50 1 1 B
X DONE/PT11D 76 950 100 200 L 50 50 1 1 B
X ~INIT~/PT11C 77 950 200 200 L 50 50 1 1 B
X PT11A 78 950 300 200 L 50 50 1 1 B
X GND 79 400 -2400 200 U 50 50 1 1 W
X PL3B 8 -950 1500 200 R 50 50 1 1 B
X VccIO0 80 200 2400 200 D 50 50 1 1 W
X ~PROGRAM~/PT10D 81 950 400 200 L 50 50 1 1 B
X ~JTAGEN~/PT10C 82 950 500 200 L 50 50 1 1 B
X PT10B 83 950 600 200 L 50 50 1 1 B
X PT10A 84 950 700 200 L 50 50 1 1 B
X SDA/PCLKC0_0/PT9D 85 950 800 200 L 50 50 1 1 B
X SCL/PCLKT0_0/PT9C 86 950 900 200 L 50 50 1 1 B
X PT9B/PCLKC0_1 87 950 1000 200 L 50 50 1 1 B
X PT9A/PCLKT0_1 88 950 1100 200 L 50 50 1 1 B
X PL3C 9 -950 1400 200 R 50 50 1 1 B
X TMS/PT7D 90 950 1200 200 L 50 50 1 1 B
X TCK/PT7C 91 950 1300 200 L 50 50 1 1 B
X GND 92 500 -2400 200 U 50 30 1 1 W
X VccIO0 93 300 2400 200 D 50 50 1 1 W
X TDI/PT7B 94 950 1400 200 L 50 50 1 1 B
X TDO/PT7A 95 950 1500 200 L 50 50 1 1 B
X PT6D 96 950 1600 200 L 50 50 1 1 B
X PT6C 97 950 1700 200 L 50 50 1 1 B
X PT6B 98 950 1800 200 L 50 50 1 1 B
X PT6A 99 950 1900 200 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# GW_Power_AP2125
#
DEF GW_Power_AP2125 U 0 40 Y Y 1 F N
F0 "U" 0 250 50 H V C CNN
F1 "GW_Power_AP2125" 0 -250 50 H V C CNN
F2 "stdpads:SOT-23" 0 -300 50 H I C TNN
F3 "" 0 -100 60 H I C CNN
DRAW
S -250 200 250 -200 0 1 10 f
X GND 1 -450 -100 200 R 50 50 1 1 W
X Vout 2 450 100 200 L 50 50 1 1 w
X Vin 3 -450 100 200 R 50 50 1 1 W
ENDDRAW
ENDDEF
#
# GW_RAM_SDRAM-16Mx16-TSOP2-54
#
DEF GW_RAM_SDRAM-16Mx16-TSOP2-54 U 0 40 Y Y 1 F N
F0 "U" 0 1150 50 H V C CNN
F1 "GW_RAM_SDRAM-16Mx16-TSOP2-54" 0 0 50 V V C CNN
F2 "stdpads:Winbond_TSOPII-54" 0 -1650 50 H I C CIN
F3 "" 0 -250 50 H I C CNN
DRAW
S -300 1100 300 -1400 0 1 10 f
X VDD 1 -500 1000 200 R 50 50 1 1 W
X DQ5 10 500 500 200 L 50 50 1 1 B
X DQ6 11 500 400 200 L 50 50 1 1 B
X VSSQ 12 -500 -1300 200 R 50 50 1 1 W N
X DQ7 13 500 300 200 L 50 50 1 1 B
X VDD 14 -500 1000 200 R 50 50 1 1 W N
X DQML 15 500 -600 200 L 50 50 1 1 I
X ~WE~ 16 500 -1100 200 L 50 50 1 1 I
X ~CAS~ 17 500 -1200 200 L 50 50 1 1 I
X ~RAS~ 18 500 -1300 200 L 50 50 1 1 I
X ~CS~ 19 500 -1000 200 L 50 50 1 1 I
X DQ0 2 500 1000 200 L 50 50 1 1 B
X BA0 20 -500 -600 200 R 50 50 1 1 I
X BA1 21 -500 -700 200 R 50 50 1 1 I
X A10 22 -500 -300 200 R 50 50 1 1 I
X A0 23 -500 700 200 R 50 50 1 1 I
X A1 24 -500 600 200 R 50 50 1 1 I
X A2 25 -500 500 200 R 50 50 1 1 I
X A3 26 -500 400 200 R 50 50 1 1 I
X VDD 27 -500 1000 200 R 50 50 1 1 W N
X VSS 28 -500 -1200 200 R 50 50 1 1 W
X A4 29 -500 300 200 R 50 50 1 1 I
X VDDQ 3 -500 900 200 R 50 50 1 1 W
X A5 30 -500 200 200 R 50 50 1 1 I
X A6 31 -500 100 200 R 50 50 1 1 I
X A7 32 -500 0 200 R 50 50 1 1 I
X A8 33 -500 -100 200 R 50 50 1 1 I
X A9 34 -500 -200 200 R 50 50 1 1 I
X A11 35 -500 -400 200 R 50 50 1 1 I
X A12 36 -500 -500 200 R 50 50 1 1 I
X CKE 37 -500 -900 200 R 50 50 1 1 I
X CLK 38 -500 -1000 200 R 50 50 1 1 I
X DQMH 39 500 -700 200 L 50 50 1 1 I
X DQ1 4 500 900 200 L 50 50 1 1 B
X VSS 41 -500 -1200 200 R 50 50 1 1 W N
X DQ8 42 500 200 200 L 50 50 1 1 B
X VDDQ 43 -500 900 200 R 50 50 1 1 W N
X DQ9 44 500 100 200 L 50 50 1 1 B
X DQ10 45 500 0 200 L 50 50 1 1 B
X VSSQ 46 -500 -1300 200 R 50 50 1 1 W N
X DQ11 47 500 -100 200 L 50 50 1 1 B
X DQ12 48 500 -200 200 L 50 50 1 1 B
X VDDQ 49 -500 900 200 R 50 50 1 1 W N
X DQ2 5 500 800 200 L 50 50 1 1 B
X DQ13 50 500 -300 200 L 50 50 1 1 B
X DQ14 51 500 -400 200 L 50 50 1 1 B
X VSSQ 52 -500 -1300 200 R 50 50 1 1 W N
X DQ15 53 500 -500 200 L 50 50 1 1 B
X VSS 54 -500 -1200 200 R 50 50 1 1 W N
X VSSQ 6 -500 -1300 200 R 50 50 1 1 W
X DQ3 7 500 700 200 L 50 50 1 1 B
X DQ4 8 500 600 200 L 50 50 1 1 B
X VDDQ 9 -500 900 200 R 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# Mechanical_Fiducial
#
DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N
F0 "FID" 0 200 50 H V C CNN
F1 "Mechanical_Fiducial" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Fiducial*
$ENDFPLIST
DRAW
C 0 0 50 0 1 20 f
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole_Pad
#
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
F0 "H" 0 250 50 H V C CNN
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*Pad*
$ENDFPLIST
DRAW
C 0 50 50 0 1 50 N
X 1 1 0 -100 100 U 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Regulator_Linear_AP2127K-1.2
#
DEF Regulator_Linear_AP2127K-1.2 U 0 10 Y Y 1 F N
F0 "U" -200 225 50 H V L CNN
F1 "Regulator_Linear_AP2127K-1.2" 0 225 50 H V L CNN
F2 "Package_TO_SOT_SMD:SOT-23-5" 0 325 50 H I C CNN
F3 "" 0 100 50 H I C CNN
ALIAS AP2204K-1.8 AP2204K-2.5 AP2204K-2.8 AP2204K-3.0 AP2204K-3.3 AP2204K-5.0 AP2127K-1.0 AP2127K-1.2 AP2127K-1.5 AP2127K-1.8 AP2127K-2.5 AP2127K-2.8 AP2127K-3.0 AP2127K-3.3 AP2127K-4.2 AP2127K-4.75 AP2112K-1.2 AP2112K-1.8 AP2112K-2.5 AP2112K-2.6 AP2112K-3.3
$FPLIST
SOT?23?5*
$ENDFPLIST
DRAW
S -200 175 200 -200 0 1 10 f
X VIN 1 -300 100 100 R 50 50 1 1 W
X GND 2 0 -300 100 U 50 50 1 1 W
X EN 3 -300 0 100 R 50 50 1 1 I
X NC 4 200 0 100 L 50 50 1 1 N N
X VOUT 5 300 100 100 L 50 50 1 1 w
ENDDRAW
ENDDEF
#
# power_+1V2
#
DEF power_+1V2 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+1V2" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +1V2 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+3V3
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,529 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"board_outline_line_width": 0.15,
"copper_line_width": 0.15239999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.09999999999999999,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.0,
"height": 0.3,
"width": 1.475
},
"silk_line_width": 0.15,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"min_clearance": 0.15239999999999998
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [],
"meta": {
"filename": "board_design_settings.json",
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"connection_width": "warning",
"copper_edge_clearance": "error",
"copper_sliver": "error",
"courtyards_overlap": "warning",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "error",
"extra_footprint": "error",
"footprint": "error",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"isolated_copper": "warning",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"lib_footprint_issues": "ignore",
"lib_footprint_mismatch": "warning",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "error",
"net_conflict": "error",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_edge_clearance": "warning",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"solder_mask_bridge": "warning",
"starved_thermal": "error",
"text_height": "warning",
"text_thickness": "warning",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zones_intersect": "error"
},
"rule_severitieslegacy_courtyards_overlap": true,
"rule_severitieslegacy_no_courtyard_defined": false,
"rules": {
"max_error": 0.005,
"min_clearance": 0.15,
"min_connection": 0.12,
"min_copper_edge_clearance": 0.4064,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.254,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_resolved_spokes": 2,
"min_silk_clearance": 0.0,
"min_text_height": 0.7999999999999999,
"min_text_thickness": 0.08,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.15,
"min_via_annular_width": 0.09999999999999999,
"min_via_diameter": 0.5,
"solder_mask_to_copper_clearance": 0.0,
"use_height_for_length_calcs": true
},
"teardrop_options": [
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 5,
"td_on_pad_in_zone": false,
"td_onpadsmd": true,
"td_onroundshapesonly": false,
"td_ontrackend": false,
"td_onviapad": true
}
],
"teardrop_parameters": [
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_round_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_rect_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_track_end",
"td_width_to_size_filter_ratio": 0.9
}
],
"track_widths": [
0.0,
0.15,
0.2,
0.25,
0.3,
0.35,
0.4,
0.45,
0.5,
0.6,
0.8,
1.0,
1.27,
1.524
],
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 0.5,
"drill": 0.3
},
{
"diameter": 0.6,
"drill": 0.3
},
{
"diameter": 0.8,
"drill": 0.4
},
{
"diameter": 1.0,
"drill": 0.5
},
{
"diameter": 1.524,
"drill": 0.762
}
],
"zones_allow_external_fillets": false
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "error",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "RAM2E.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.15,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.15,
"via_diameter": 0.5,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "RAM2E.net",
"specctra_dsn": "",
"step": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.25,
"pin_symbol_size": 0.0,
"text_offset_ratio": 0.08
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "Pcbnew",
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"805baf6f-a2ba-4145-8b5a-40874bf6761b",
""
],
[
"00000000-0000-0000-0000-00005e93a857",
"Docs"
]
],
"text_variables": {}
}

View File

@ -1,269 +0,0 @@
update=Tuesday, June 01, 2021 at 03:26:48 AM
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=RAM2E.net
CopperLayerCount=4
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.15
MinViaDiameter=0.5
MinViaDrill=0.2
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.15
TrackWidth2=0.2
TrackWidth3=0.25
TrackWidth4=0.3
TrackWidth5=0.35
TrackWidth6=0.4
TrackWidth7=0.45
TrackWidth8=0.5
TrackWidth9=0.6
TrackWidth10=0.65
TrackWidth11=0.8
TrackWidth12=1
TrackWidth13=1.27
TrackWidth14=1.524
ViaDiameter1=0.5
ViaDrill1=0.2
ViaDiameter2=0.6
ViaDrill2=0.3
ViaDiameter3=0.8
ViaDrill3=0.4
ViaDiameter4=1
ViaDrill4=0.5
ViaDiameter5=1.524
ViaDrill5=0.762
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.15
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.1524
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.15
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.05
SolderMaskMinWidth=0.09999999999999999
SolderPasteClearance=-0.03809999999999999
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=1
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=1
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.15
TrackWidth=0.15
ViaDiameter=0.5
ViaDrill=0.2
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=Pcbnew
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1

File diff suppressed because it is too large Load Diff

View File

@ -1,23 +0,0 @@
Reference, Quantity, Value, Footprint, Datasheet, LCSC Part, Mfg. Part Numbers, Notes
C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 C30 ,23,2u2,stdpads:C_0603,,C23630,Samsung CL10A225KO8NNNC,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
C1 C2 C3 C4 C5 C6 C29 ,7,10u,stdpads:C_0805,,C15850,Samsung CL21A106KAYNNNE,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
C31 ,1,15p,stdpads:C_0603,,C1644,Samsung CL10C150JB8NNNC,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
D1 ,1,White,stdpads:LED_0805,,C34499,Hubei Kento C34499,Any manufacturer's part is acceptable.
FID1 FID2 FID3 FID4 ,4,Fiducial,stdpads:Fiducial,,,,DNP - SMT vision system fiducial
H1 H2 H3 H4 ,4, ,stdpads:PasteHole_1.152mm_NPTH,,,,DNP - mounting hole for solder paste printing
H5 ,1, ,stdpads:PasteHole_1.1mm_PTH,,,,DNP - mounting hole
J1 ,1,AppleIIeAux,stdpads:AppleIIeAux_Edge,,,,DNP - edge connector
J2 ,1,JTAG,stdpads:TC2050,,,,DNP - test pad connector
J3 ,1,C14M,Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical,,,,DNP
R1 ,1,DNP,stdpads:R_0805,,,,
R10 ,1,180,stdpads:R_0805,,C25270,Uniroyal 0805W8F1800T5E,Any manufacturer's part is acceptable.
R2 R3 R4 R12 R13 ,5,47,stdpads:R_0603,,C23182,Uniroyal 0603WAF470JT5E,Any manufacturer's part is acceptable.
R6 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R7 ,1,DNP,stdpads:R_0805,,,,
R5 R8 R9 R11 ,4,10k,stdpads:R_0603,,C25804,Uniroyal 0603WAF1002T5E,Any manufacturer's part is acceptable.
U1 ,1,LCMXO2-TG100,stdpads:TQFP-100_14x14mm_P0.5mm,,C1519051,"Lattice LCMXO2-640ZE-4TG100C, Lattice LCMXO2-640ZE-5TG100C, Lattice LCMXO2-640ZE-6TG100C, Lattice LCMXO2-640ZE-4TG100I, Lattice LCMXO2-640ZE-5TG100I, Lattice LCMXO2-640ZE-6TG100I, Lattice LCMXO2-1200ZE-4TG100C, Lattice LCMXO2-1200ZE-5TG100C, Lattice LCMXO2-1200ZE-6TG100C, Lattice LCMXO2-1200ZE-4TG100I, Lattice LCMXO2-1200ZE-5TG100I, Lattice LCMXO2-1200ZE-6TG100I",
U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G",Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
U3 U4 U5 ,3,74LVC245APW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C6082,"NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI 74AHC245PW",Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
U6 U7 ,2,74AHCT245PW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C173388,"NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW",Most 74AHCT245 in TSSOP-20 package is acceptable.
U8 ,1,XC6206P332MR,stdpads:SOT-23,,C5446,Torex XC6206P332MR,Most 3.3V regulator in SOT-23 package is acceptable.
U9 ,1,AP2127K-1.2TRG1,stdpads:SOT-23-5,,C151376,"Diodes AP2127K-1.2TRG1, Torex XC6228D122VR",Most 1.2V regulator in SOT-23-5 package is acceptable.
1 Reference Quantity Value Footprint Datasheet LCSC Part Mfg. Part Numbers Notes
2 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 C30 23 2u2 stdpads:C_0603 C23630 Samsung CL10A225KO8NNNC 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
3 C1 C2 C3 C4 C5 C6 C29 7 10u stdpads:C_0805 C15850 Samsung CL21A106KAYNNNE 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
4 C31 1 15p stdpads:C_0603 C1644 Samsung CL10C150JB8NNNC 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
5 D1 1 White stdpads:LED_0805 C34499 Hubei Kento C34499 Any manufacturer's part is acceptable.
6 FID1 FID2 FID3 FID4 4 Fiducial stdpads:Fiducial DNP - SMT vision system fiducial
7 H1 H2 H3 H4 4 stdpads:PasteHole_1.152mm_NPTH DNP - mounting hole for solder paste printing
8 H5 1 stdpads:PasteHole_1.1mm_PTH DNP - mounting hole
9 J1 1 AppleIIeAux stdpads:AppleIIeAux_Edge DNP - edge connector
10 J2 1 JTAG stdpads:TC2050 DNP - test pad connector
11 J3 1 C14M Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical DNP
12 R1 1 DNP stdpads:R_0805
13 R10 1 180 stdpads:R_0805 C25270 Uniroyal 0805W8F1800T5E Any manufacturer's part is acceptable.
14 R2 R3 R4 R12 R13 5 47 stdpads:R_0603 C23182 Uniroyal 0603WAF470JT5E Any manufacturer's part is acceptable.
15 R6 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
16 R7 1 DNP stdpads:R_0805
17 R5 R8 R9 R11 4 10k stdpads:R_0603 C25804 Uniroyal 0603WAF1002T5E Any manufacturer's part is acceptable.
18 U1 1 LCMXO2-TG100 stdpads:TQFP-100_14x14mm_P0.5mm C1519051 Lattice LCMXO2-640ZE-4TG100C, Lattice LCMXO2-640ZE-5TG100C, Lattice LCMXO2-640ZE-6TG100C, Lattice LCMXO2-640ZE-4TG100I, Lattice LCMXO2-640ZE-5TG100I, Lattice LCMXO2-640ZE-6TG100I, Lattice LCMXO2-1200ZE-4TG100C, Lattice LCMXO2-1200ZE-5TG100C, Lattice LCMXO2-1200ZE-6TG100C, Lattice LCMXO2-1200ZE-4TG100I, Lattice LCMXO2-1200ZE-5TG100I, Lattice LCMXO2-1200ZE-6TG100I
19 U2 1 W9812G6KH-6 stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm C62379 Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
20 U3 U4 U5 3 74LVC245APW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C6082 NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI 74AHC245PW Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
21 U6 U7 2 74AHCT245PW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C173388 NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW Most 74AHCT245 in TSSOP-20 package is acceptable.
22 U8 1 XC6206P332MR stdpads:SOT-23 C5446 Torex XC6206P332MR Most 3.3V regulator in SOT-23 package is acceptable.
23 U9 1 AP2127K-1.2TRG1 stdpads:SOT-23-5 C151376 Diodes AP2127K-1.2TRG1, Torex XC6228D122VR Most 1.2V regulator in SOT-23-5 package is acceptable.

File diff suppressed because it is too large Load Diff

View File

@ -1,22 +1,42 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)*
G04 #@! TF.CreationDate,2021-07-02T02:27:01-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.0*
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-10-30T17:31:41-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.1*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-07-02 02:27:01*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-10-30 17:31:41*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11C,1.090600*%
%ADD12C,2.474900*%
%ADD13O,1.800000X1.800000*%
%ADD14C,2.100000*%
%ADD15C,1.448000*%
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10C,0.000000*%
%ADD11RoundRect,0.457200X0.381000X3.289000X-0.381000X3.289000X-0.381000X-3.289000X0.381000X-3.289000X0*%
%ADD12C,2.152400*%
%ADD13C,1.448000*%
%ADD14RoundRect,0.076200X-0.850000X-0.850000X0.850000X-0.850000X0.850000X0.850000X-0.850000X0.850000X0*%
%ADD15O,1.852400X1.852400*%
%ADD16C,2.527300*%
%ADD17C,1.143000*%
G04 APERTURE END LIST*
D10*
G36*
@ -29,776 +49,57 @@ X198374000Y-132080000D01*
X276606000Y-132080000D01*
X276606000Y-139446000D01*
G37*
G36*
X276606000Y-139446000D02*
G01*
X276098000Y-139954000D01*
X198882000Y-139954000D01*
X198374000Y-139446000D01*
X198374000Y-132080000D01*
X276606000Y-132080000D01*
X276606000Y-139446000D01*
G37*
D11*
X206502000Y-119380000D03*
X204470000Y-119380000D03*
X205486000Y-111760000D03*
X274320000Y-135282000D03*
X271780000Y-135282000D03*
X269240000Y-135282000D03*
X266700000Y-135282000D03*
X264160000Y-135282000D03*
X261620000Y-135282000D03*
X259080000Y-135282000D03*
X256540000Y-135282000D03*
X254000000Y-135282000D03*
X251460000Y-135282000D03*
X248920000Y-135282000D03*
X246380000Y-135282000D03*
X243840000Y-135282000D03*
X241300000Y-135282000D03*
X238760000Y-135282000D03*
X236220000Y-135282000D03*
X233680000Y-135282000D03*
X231140000Y-135282000D03*
X228600000Y-135282000D03*
X226060000Y-135282000D03*
X223520000Y-135282000D03*
X220980000Y-135282000D03*
X218440000Y-135282000D03*
X215900000Y-135282000D03*
X213360000Y-135282000D03*
X210820000Y-135282000D03*
X208280000Y-135282000D03*
X205740000Y-135282000D03*
X203200000Y-135282000D03*
X200660000Y-135282000D03*
D12*
X208026000Y-111760000D03*
X202946000Y-111760000D03*
X202946000Y-117475000D03*
X208026000Y-117475000D03*
D13*
X202946000Y-100965000D03*
G36*
G01*
X202046000Y-99275000D02*
X202046000Y-97575000D01*
G75*
G02*
X202096000Y-97525000I50000J0D01*
G01*
X203796000Y-97525000D01*
G75*
G02*
X203846000Y-97575000I0J-50000D01*
G01*
X203846000Y-99275000D01*
G75*
G02*
X203796000Y-99325000I-50000J0D01*
G01*
X202096000Y-99325000D01*
G75*
G02*
X202046000Y-99275000I0J50000D01*
G01*
G37*
D14*
X274320000Y-128397000D03*
G36*
G01*
X201472000Y-131968000D02*
X201472000Y-138596000D01*
G75*
G02*
X201066000Y-139002000I-406000J0D01*
G01*
X200254000Y-139002000D01*
G75*
G02*
X199848000Y-138596000I0J406000D01*
G01*
X199848000Y-131968000D01*
G75*
G02*
X200254000Y-131562000I406000J0D01*
G01*
X201066000Y-131562000D01*
G75*
G02*
X201472000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X204012000Y-131968000D02*
X204012000Y-138596000D01*
G75*
G02*
X203606000Y-139002000I-406000J0D01*
G01*
X202794000Y-139002000D01*
G75*
G02*
X202388000Y-138596000I0J406000D01*
G01*
X202388000Y-131968000D01*
G75*
G02*
X202794000Y-131562000I406000J0D01*
G01*
X203606000Y-131562000D01*
G75*
G02*
X204012000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X206552000Y-131968000D02*
X206552000Y-138596000D01*
G75*
G02*
X206146000Y-139002000I-406000J0D01*
G01*
X205334000Y-139002000D01*
G75*
G02*
X204928000Y-138596000I0J406000D01*
G01*
X204928000Y-131968000D01*
G75*
G02*
X205334000Y-131562000I406000J0D01*
G01*
X206146000Y-131562000D01*
G75*
G02*
X206552000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X209092000Y-131968000D02*
X209092000Y-138596000D01*
G75*
G02*
X208686000Y-139002000I-406000J0D01*
G01*
X207874000Y-139002000D01*
G75*
G02*
X207468000Y-138596000I0J406000D01*
G01*
X207468000Y-131968000D01*
G75*
G02*
X207874000Y-131562000I406000J0D01*
G01*
X208686000Y-131562000D01*
G75*
G02*
X209092000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X211632000Y-131968000D02*
X211632000Y-138596000D01*
G75*
G02*
X211226000Y-139002000I-406000J0D01*
G01*
X210414000Y-139002000D01*
G75*
G02*
X210008000Y-138596000I0J406000D01*
G01*
X210008000Y-131968000D01*
G75*
G02*
X210414000Y-131562000I406000J0D01*
G01*
X211226000Y-131562000D01*
G75*
G02*
X211632000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X214172000Y-131968000D02*
X214172000Y-138596000D01*
G75*
G02*
X213766000Y-139002000I-406000J0D01*
G01*
X212954000Y-139002000D01*
G75*
G02*
X212548000Y-138596000I0J406000D01*
G01*
X212548000Y-131968000D01*
G75*
G02*
X212954000Y-131562000I406000J0D01*
G01*
X213766000Y-131562000D01*
G75*
G02*
X214172000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X216712000Y-131968000D02*
X216712000Y-138596000D01*
G75*
G02*
X216306000Y-139002000I-406000J0D01*
G01*
X215494000Y-139002000D01*
G75*
G02*
X215088000Y-138596000I0J406000D01*
G01*
X215088000Y-131968000D01*
G75*
G02*
X215494000Y-131562000I406000J0D01*
G01*
X216306000Y-131562000D01*
G75*
G02*
X216712000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X219252000Y-131968000D02*
X219252000Y-138596000D01*
G75*
G02*
X218846000Y-139002000I-406000J0D01*
G01*
X218034000Y-139002000D01*
G75*
G02*
X217628000Y-138596000I0J406000D01*
G01*
X217628000Y-131968000D01*
G75*
G02*
X218034000Y-131562000I406000J0D01*
G01*
X218846000Y-131562000D01*
G75*
G02*
X219252000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X221792000Y-131968000D02*
X221792000Y-138596000D01*
G75*
G02*
X221386000Y-139002000I-406000J0D01*
G01*
X220574000Y-139002000D01*
G75*
G02*
X220168000Y-138596000I0J406000D01*
G01*
X220168000Y-131968000D01*
G75*
G02*
X220574000Y-131562000I406000J0D01*
G01*
X221386000Y-131562000D01*
G75*
G02*
X221792000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X224332000Y-131968000D02*
X224332000Y-138596000D01*
G75*
G02*
X223926000Y-139002000I-406000J0D01*
G01*
X223114000Y-139002000D01*
G75*
G02*
X222708000Y-138596000I0J406000D01*
G01*
X222708000Y-131968000D01*
G75*
G02*
X223114000Y-131562000I406000J0D01*
G01*
X223926000Y-131562000D01*
G75*
G02*
X224332000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X226872000Y-131968000D02*
X226872000Y-138596000D01*
G75*
G02*
X226466000Y-139002000I-406000J0D01*
G01*
X225654000Y-139002000D01*
G75*
G02*
X225248000Y-138596000I0J406000D01*
G01*
X225248000Y-131968000D01*
G75*
G02*
X225654000Y-131562000I406000J0D01*
G01*
X226466000Y-131562000D01*
G75*
G02*
X226872000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X229412000Y-131968000D02*
X229412000Y-138596000D01*
G75*
G02*
X229006000Y-139002000I-406000J0D01*
G01*
X228194000Y-139002000D01*
G75*
G02*
X227788000Y-138596000I0J406000D01*
G01*
X227788000Y-131968000D01*
G75*
G02*
X228194000Y-131562000I406000J0D01*
G01*
X229006000Y-131562000D01*
G75*
G02*
X229412000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X231952000Y-131968000D02*
X231952000Y-138596000D01*
G75*
G02*
X231546000Y-139002000I-406000J0D01*
G01*
X230734000Y-139002000D01*
G75*
G02*
X230328000Y-138596000I0J406000D01*
G01*
X230328000Y-131968000D01*
G75*
G02*
X230734000Y-131562000I406000J0D01*
G01*
X231546000Y-131562000D01*
G75*
G02*
X231952000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X234492000Y-131968000D02*
X234492000Y-138596000D01*
G75*
G02*
X234086000Y-139002000I-406000J0D01*
G01*
X233274000Y-139002000D01*
G75*
G02*
X232868000Y-138596000I0J406000D01*
G01*
X232868000Y-131968000D01*
G75*
G02*
X233274000Y-131562000I406000J0D01*
G01*
X234086000Y-131562000D01*
G75*
G02*
X234492000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X237032000Y-131968000D02*
X237032000Y-138596000D01*
G75*
G02*
X236626000Y-139002000I-406000J0D01*
G01*
X235814000Y-139002000D01*
G75*
G02*
X235408000Y-138596000I0J406000D01*
G01*
X235408000Y-131968000D01*
G75*
G02*
X235814000Y-131562000I406000J0D01*
G01*
X236626000Y-131562000D01*
G75*
G02*
X237032000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X239572000Y-131968000D02*
X239572000Y-138596000D01*
G75*
G02*
X239166000Y-139002000I-406000J0D01*
G01*
X238354000Y-139002000D01*
G75*
G02*
X237948000Y-138596000I0J406000D01*
G01*
X237948000Y-131968000D01*
G75*
G02*
X238354000Y-131562000I406000J0D01*
G01*
X239166000Y-131562000D01*
G75*
G02*
X239572000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X242112000Y-131968000D02*
X242112000Y-138596000D01*
G75*
G02*
X241706000Y-139002000I-406000J0D01*
G01*
X240894000Y-139002000D01*
G75*
G02*
X240488000Y-138596000I0J406000D01*
G01*
X240488000Y-131968000D01*
G75*
G02*
X240894000Y-131562000I406000J0D01*
G01*
X241706000Y-131562000D01*
G75*
G02*
X242112000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X244652000Y-131968000D02*
X244652000Y-138596000D01*
G75*
G02*
X244246000Y-139002000I-406000J0D01*
G01*
X243434000Y-139002000D01*
G75*
G02*
X243028000Y-138596000I0J406000D01*
G01*
X243028000Y-131968000D01*
G75*
G02*
X243434000Y-131562000I406000J0D01*
G01*
X244246000Y-131562000D01*
G75*
G02*
X244652000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X247192000Y-131968000D02*
X247192000Y-138596000D01*
G75*
G02*
X246786000Y-139002000I-406000J0D01*
G01*
X245974000Y-139002000D01*
G75*
G02*
X245568000Y-138596000I0J406000D01*
G01*
X245568000Y-131968000D01*
G75*
G02*
X245974000Y-131562000I406000J0D01*
G01*
X246786000Y-131562000D01*
G75*
G02*
X247192000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X249732000Y-131968000D02*
X249732000Y-138596000D01*
G75*
G02*
X249326000Y-139002000I-406000J0D01*
G01*
X248514000Y-139002000D01*
G75*
G02*
X248108000Y-138596000I0J406000D01*
G01*
X248108000Y-131968000D01*
G75*
G02*
X248514000Y-131562000I406000J0D01*
G01*
X249326000Y-131562000D01*
G75*
G02*
X249732000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X252272000Y-131968000D02*
X252272000Y-138596000D01*
G75*
G02*
X251866000Y-139002000I-406000J0D01*
G01*
X251054000Y-139002000D01*
G75*
G02*
X250648000Y-138596000I0J406000D01*
G01*
X250648000Y-131968000D01*
G75*
G02*
X251054000Y-131562000I406000J0D01*
G01*
X251866000Y-131562000D01*
G75*
G02*
X252272000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X254812000Y-131968000D02*
X254812000Y-138596000D01*
G75*
G02*
X254406000Y-139002000I-406000J0D01*
G01*
X253594000Y-139002000D01*
G75*
G02*
X253188000Y-138596000I0J406000D01*
G01*
X253188000Y-131968000D01*
G75*
G02*
X253594000Y-131562000I406000J0D01*
G01*
X254406000Y-131562000D01*
G75*
G02*
X254812000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X257352000Y-131968000D02*
X257352000Y-138596000D01*
G75*
G02*
X256946000Y-139002000I-406000J0D01*
G01*
X256134000Y-139002000D01*
G75*
G02*
X255728000Y-138596000I0J406000D01*
G01*
X255728000Y-131968000D01*
G75*
G02*
X256134000Y-131562000I406000J0D01*
G01*
X256946000Y-131562000D01*
G75*
G02*
X257352000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X259892000Y-131968000D02*
X259892000Y-138596000D01*
G75*
G02*
X259486000Y-139002000I-406000J0D01*
G01*
X258674000Y-139002000D01*
G75*
G02*
X258268000Y-138596000I0J406000D01*
G01*
X258268000Y-131968000D01*
G75*
G02*
X258674000Y-131562000I406000J0D01*
G01*
X259486000Y-131562000D01*
G75*
G02*
X259892000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X262432000Y-131968000D02*
X262432000Y-138596000D01*
G75*
G02*
X262026000Y-139002000I-406000J0D01*
G01*
X261214000Y-139002000D01*
G75*
G02*
X260808000Y-138596000I0J406000D01*
G01*
X260808000Y-131968000D01*
G75*
G02*
X261214000Y-131562000I406000J0D01*
G01*
X262026000Y-131562000D01*
G75*
G02*
X262432000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X264972000Y-131968000D02*
X264972000Y-138596000D01*
G75*
G02*
X264566000Y-139002000I-406000J0D01*
G01*
X263754000Y-139002000D01*
G75*
G02*
X263348000Y-138596000I0J406000D01*
G01*
X263348000Y-131968000D01*
G75*
G02*
X263754000Y-131562000I406000J0D01*
G01*
X264566000Y-131562000D01*
G75*
G02*
X264972000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X267512000Y-131968000D02*
X267512000Y-138596000D01*
G75*
G02*
X267106000Y-139002000I-406000J0D01*
G01*
X266294000Y-139002000D01*
G75*
G02*
X265888000Y-138596000I0J406000D01*
G01*
X265888000Y-131968000D01*
G75*
G02*
X266294000Y-131562000I406000J0D01*
G01*
X267106000Y-131562000D01*
G75*
G02*
X267512000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X270052000Y-131968000D02*
X270052000Y-138596000D01*
G75*
G02*
X269646000Y-139002000I-406000J0D01*
G01*
X268834000Y-139002000D01*
G75*
G02*
X268428000Y-138596000I0J406000D01*
G01*
X268428000Y-131968000D01*
G75*
G02*
X268834000Y-131562000I406000J0D01*
G01*
X269646000Y-131562000D01*
G75*
G02*
X270052000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X272592000Y-131968000D02*
X272592000Y-138596000D01*
G75*
G02*
X272186000Y-139002000I-406000J0D01*
G01*
X271374000Y-139002000D01*
G75*
G02*
X270968000Y-138596000I0J406000D01*
G01*
X270968000Y-131968000D01*
G75*
G02*
X271374000Y-131562000I406000J0D01*
G01*
X272186000Y-131562000D01*
G75*
G02*
X272592000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X275132000Y-131968000D02*
X275132000Y-138596000D01*
G75*
G02*
X274726000Y-139002000I-406000J0D01*
G01*
X273914000Y-139002000D01*
G75*
G02*
X273508000Y-138596000I0J406000D01*
G01*
X273508000Y-131968000D01*
G75*
G02*
X273914000Y-131562000I406000J0D01*
G01*
X274726000Y-131562000D01*
G75*
G02*
X275132000Y-131968000I0J-406000D01*
G01*
G37*
D15*
D13*
X200660000Y-129540000D03*
X200914000Y-92202000D03*
X274066000Y-92202000D03*
X274320000Y-125857000D03*
D14*
X202946000Y-98425000D03*
D15*
X202946000Y-100965000D03*
D16*
X208026000Y-111760000D03*
D17*
X205486000Y-111760000D03*
D16*
X202946000Y-111760000D03*
X208026000Y-117475000D03*
X202946000Y-117475000D03*
D17*
X206502000Y-119380000D03*
X204470000Y-119380000D03*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-09-21T07:21:14-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.0*
G04 #@! TF.CreationDate,2023-10-30T17:31:41-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.1*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-09-21 07:21:14*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-10-30 17:31:41*
%MOMM*%
%LPD*%
G01*
@ -33,21 +33,21 @@ G04 Aperture macros list end*
%ADD11C,0.203200*%
%ADD12C,0.190500*%
%ADD13C,0.000000*%
%ADD14RoundRect,0.431000X0.381000X3.289000X-0.381000X3.289000X-0.381000X-3.289000X0.381000X-3.289000X0*%
%ADD15C,2.100000*%
%ADD16C,2.474900*%
%ADD17C,1.090600*%
%ADD18C,1.448000*%
%ADD19RoundRect,0.050000X-0.850000X-0.850000X0.850000X-0.850000X0.850000X0.850000X-0.850000X0.850000X0*%
%ADD20O,1.800000X1.800000*%
%ADD14RoundRect,0.457200X0.381000X3.289000X-0.381000X3.289000X-0.381000X-3.289000X0.381000X-3.289000X0*%
%ADD15C,2.152400*%
%ADD16C,1.448000*%
%ADD17RoundRect,0.076200X-0.850000X-0.850000X0.850000X-0.850000X0.850000X0.850000X-0.850000X0.850000X0*%
%ADD18O,1.852400X1.852400*%
%ADD19C,2.527300*%
%ADD20C,1.143000*%
G04 APERTURE END LIST*
D10*
X233426000Y-128270000D02*
X231394000Y-128270000D01*
X231394000Y-128270000D02*
X232410000Y-126492000D01*
X232410000Y-126492000D02*
X233426000Y-128270000D01*
X232410000Y-126428500D02*
X233489500Y-128333500D01*
X231330500Y-128333500D02*
X232410000Y-126428500D01*
X233489500Y-128333500D02*
X231330500Y-128333500D01*
D11*
X272445237Y-129865216D02*
X271903371Y-129865216D01*
@ -105,27 +105,132 @@ X268961809Y-130678016D02*
X268961809Y-129865216D01*
X268961809Y-129865216D02*
X268574761Y-129865216D01*
D12*
X232409999Y-127914944D02*
X232373713Y-127951230D01*
X232373713Y-127951230D02*
X232409999Y-127987515D01*
X232409999Y-127987515D02*
X232446285Y-127951230D01*
X232446285Y-127951230D02*
X232409999Y-127914944D01*
X232409999Y-127914944D02*
X232409999Y-127987515D01*
X232409999Y-127697230D02*
X232446285Y-127261801D01*
X232446285Y-127261801D02*
X232409999Y-127225515D01*
X232409999Y-127225515D02*
X232373713Y-127261801D01*
X232373713Y-127261801D02*
X232409999Y-127697230D01*
X232409999Y-127697230D02*
X232409999Y-127225515D01*
X204322437Y-130252264D02*
X204206323Y-130290969D01*
X204206323Y-130290969D02*
X204167618Y-130329673D01*
X204167618Y-130329673D02*
X204128914Y-130407083D01*
X204128914Y-130407083D02*
X204128914Y-130523197D01*
X204128914Y-130523197D02*
X204167618Y-130600607D01*
X204167618Y-130600607D02*
X204206323Y-130639312D01*
X204206323Y-130639312D02*
X204283733Y-130678016D01*
X204283733Y-130678016D02*
X204593371Y-130678016D01*
X204593371Y-130678016D02*
X204593371Y-129865216D01*
X204593371Y-129865216D02*
X204322437Y-129865216D01*
X204322437Y-129865216D02*
X204245028Y-129903921D01*
X204245028Y-129903921D02*
X204206323Y-129942626D01*
X204206323Y-129942626D02*
X204167618Y-130020035D01*
X204167618Y-130020035D02*
X204167618Y-130097445D01*
X204167618Y-130097445D02*
X204206323Y-130174854D01*
X204206323Y-130174854D02*
X204245028Y-130213559D01*
X204245028Y-130213559D02*
X204322437Y-130252264D01*
X204322437Y-130252264D02*
X204593371Y-130252264D01*
X203819275Y-129942626D02*
X203780571Y-129903921D01*
X203780571Y-129903921D02*
X203703161Y-129865216D01*
X203703161Y-129865216D02*
X203509637Y-129865216D01*
X203509637Y-129865216D02*
X203432228Y-129903921D01*
X203432228Y-129903921D02*
X203393523Y-129942626D01*
X203393523Y-129942626D02*
X203354818Y-130020035D01*
X203354818Y-130020035D02*
X203354818Y-130097445D01*
X203354818Y-130097445D02*
X203393523Y-130213559D01*
X203393523Y-130213559D02*
X203857980Y-130678016D01*
X203857980Y-130678016D02*
X203354818Y-130678016D01*
X202851657Y-129865216D02*
X202774247Y-129865216D01*
X202774247Y-129865216D02*
X202696838Y-129903921D01*
X202696838Y-129903921D02*
X202658133Y-129942626D01*
X202658133Y-129942626D02*
X202619428Y-130020035D01*
X202619428Y-130020035D02*
X202580723Y-130174854D01*
X202580723Y-130174854D02*
X202580723Y-130368378D01*
X202580723Y-130368378D02*
X202619428Y-130523197D01*
X202619428Y-130523197D02*
X202658133Y-130600607D01*
X202658133Y-130600607D02*
X202696838Y-130639312D01*
X202696838Y-130639312D02*
X202774247Y-130678016D01*
X202774247Y-130678016D02*
X202851657Y-130678016D01*
X202851657Y-130678016D02*
X202929066Y-130639312D01*
X202929066Y-130639312D02*
X202967771Y-130600607D01*
X202967771Y-130600607D02*
X203006476Y-130523197D01*
X203006476Y-130523197D02*
X203045180Y-130368378D01*
X203045180Y-130368378D02*
X203045180Y-130174854D01*
X203045180Y-130174854D02*
X203006476Y-130020035D01*
X203006476Y-130020035D02*
X202967771Y-129942626D01*
X202967771Y-129942626D02*
X202929066Y-129903921D01*
X202929066Y-129903921D02*
X202851657Y-129865216D01*
X201767924Y-130600607D02*
X201806628Y-130639312D01*
X201806628Y-130639312D02*
X201922743Y-130678016D01*
X201922743Y-130678016D02*
X202000152Y-130678016D01*
X202000152Y-130678016D02*
X202116266Y-130639312D01*
X202116266Y-130639312D02*
X202193676Y-130561902D01*
X202193676Y-130561902D02*
X202232381Y-130484492D01*
X202232381Y-130484492D02*
X202271085Y-130329673D01*
X202271085Y-130329673D02*
X202271085Y-130213559D01*
X202271085Y-130213559D02*
X202232381Y-130058740D01*
X202232381Y-130058740D02*
X202193676Y-129981331D01*
X202193676Y-129981331D02*
X202116266Y-129903921D01*
X202116266Y-129903921D02*
X202000152Y-129865216D01*
X202000152Y-129865216D02*
X201922743Y-129865216D01*
X201922743Y-129865216D02*
X201806628Y-129903921D01*
X201806628Y-129903921D02*
X201767924Y-129942626D01*
D10*
X230293332Y-126698526D02*
X229567618Y-126698526D01*
@ -605,139 +710,27 @@ X201990475Y-128270907D02*
X202050952Y-128331383D01*
X202050952Y-128331383D02*
X202171904Y-128391859D01*
D11*
X204322437Y-130252264D02*
X204206323Y-130290969D01*
X204206323Y-130290969D02*
X204167618Y-130329673D01*
X204167618Y-130329673D02*
X204128914Y-130407083D01*
X204128914Y-130407083D02*
X204128914Y-130523197D01*
X204128914Y-130523197D02*
X204167618Y-130600607D01*
X204167618Y-130600607D02*
X204206323Y-130639312D01*
X204206323Y-130639312D02*
X204283733Y-130678016D01*
X204283733Y-130678016D02*
X204593371Y-130678016D01*
X204593371Y-130678016D02*
X204593371Y-129865216D01*
X204593371Y-129865216D02*
X204322437Y-129865216D01*
X204322437Y-129865216D02*
X204245028Y-129903921D01*
X204245028Y-129903921D02*
X204206323Y-129942626D01*
X204206323Y-129942626D02*
X204167618Y-130020035D01*
X204167618Y-130020035D02*
X204167618Y-130097445D01*
X204167618Y-130097445D02*
X204206323Y-130174854D01*
X204206323Y-130174854D02*
X204245028Y-130213559D01*
X204245028Y-130213559D02*
X204322437Y-130252264D01*
X204322437Y-130252264D02*
X204593371Y-130252264D01*
X203819275Y-129942626D02*
X203780571Y-129903921D01*
X203780571Y-129903921D02*
X203703161Y-129865216D01*
X203703161Y-129865216D02*
X203509637Y-129865216D01*
X203509637Y-129865216D02*
X203432228Y-129903921D01*
X203432228Y-129903921D02*
X203393523Y-129942626D01*
X203393523Y-129942626D02*
X203354818Y-130020035D01*
X203354818Y-130020035D02*
X203354818Y-130097445D01*
X203354818Y-130097445D02*
X203393523Y-130213559D01*
X203393523Y-130213559D02*
X203857980Y-130678016D01*
X203857980Y-130678016D02*
X203354818Y-130678016D01*
X202851657Y-129865216D02*
X202774247Y-129865216D01*
X202774247Y-129865216D02*
X202696838Y-129903921D01*
X202696838Y-129903921D02*
X202658133Y-129942626D01*
X202658133Y-129942626D02*
X202619428Y-130020035D01*
X202619428Y-130020035D02*
X202580723Y-130174854D01*
X202580723Y-130174854D02*
X202580723Y-130368378D01*
X202580723Y-130368378D02*
X202619428Y-130523197D01*
X202619428Y-130523197D02*
X202658133Y-130600607D01*
X202658133Y-130600607D02*
X202696838Y-130639312D01*
X202696838Y-130639312D02*
X202774247Y-130678016D01*
X202774247Y-130678016D02*
X202851657Y-130678016D01*
X202851657Y-130678016D02*
X202929066Y-130639312D01*
X202929066Y-130639312D02*
X202967771Y-130600607D01*
X202967771Y-130600607D02*
X203006476Y-130523197D01*
X203006476Y-130523197D02*
X203045180Y-130368378D01*
X203045180Y-130368378D02*
X203045180Y-130174854D01*
X203045180Y-130174854D02*
X203006476Y-130020035D01*
X203006476Y-130020035D02*
X202967771Y-129942626D01*
X202967771Y-129942626D02*
X202929066Y-129903921D01*
X202929066Y-129903921D02*
X202851657Y-129865216D01*
X201961447Y-130252264D02*
X201845333Y-130290969D01*
X201845333Y-130290969D02*
X201806628Y-130329673D01*
X201806628Y-130329673D02*
X201767924Y-130407083D01*
X201767924Y-130407083D02*
X201767924Y-130523197D01*
X201767924Y-130523197D02*
X201806628Y-130600607D01*
X201806628Y-130600607D02*
X201845333Y-130639312D01*
X201845333Y-130639312D02*
X201922743Y-130678016D01*
X201922743Y-130678016D02*
X202232381Y-130678016D01*
X202232381Y-130678016D02*
X202232381Y-129865216D01*
X202232381Y-129865216D02*
X201961447Y-129865216D01*
X201961447Y-129865216D02*
X201884038Y-129903921D01*
X201884038Y-129903921D02*
X201845333Y-129942626D01*
X201845333Y-129942626D02*
X201806628Y-130020035D01*
X201806628Y-130020035D02*
X201806628Y-130097445D01*
X201806628Y-130097445D02*
X201845333Y-130174854D01*
X201845333Y-130174854D02*
X201884038Y-130213559D01*
X201884038Y-130213559D02*
X201961447Y-130252264D01*
X201961447Y-130252264D02*
X202232381Y-130252264D01*
D12*
X232410000Y-127933607D02*
X232371295Y-127972312D01*
X232371295Y-127972312D02*
X232410000Y-128011016D01*
X232410000Y-128011016D02*
X232448704Y-127972312D01*
X232448704Y-127972312D02*
X232410000Y-127933607D01*
X232410000Y-127933607D02*
X232410000Y-128011016D01*
X232410000Y-127701378D02*
X232448704Y-127236921D01*
X232448704Y-127236921D02*
X232410000Y-127198216D01*
X232410000Y-127198216D02*
X232371295Y-127236921D01*
X232371295Y-127236921D02*
X232410000Y-127701378D01*
X232410000Y-127701378D02*
X232410000Y-127198216D01*
%LPC*%
D13*
G36*
@ -784,23 +777,23 @@ X200660000Y-135282000D03*
D15*
X274320000Y-128397000D03*
D16*
X208026000Y-111760000D03*
D17*
X205486000Y-111760000D03*
D16*
X202946000Y-111760000D03*
X208026000Y-117475000D03*
X202946000Y-117475000D03*
D17*
X206502000Y-119380000D03*
X204470000Y-119380000D03*
D18*
X200660000Y-129540000D03*
X200914000Y-92202000D03*
X274066000Y-92202000D03*
X274320000Y-125857000D03*
D19*
D17*
X202946000Y-98425000D03*
D20*
D18*
X202946000Y-100965000D03*
D19*
X208026000Y-111760000D03*
D20*
X205486000Y-111760000D03*
D19*
X202946000Y-111760000D03*
X208026000Y-117475000D03*
X202946000Y-117475000D03*
D20*
X206502000Y-119380000D03*
X204470000Y-119380000D03*
M02*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)*
G04 #@! TF.CreationDate,2021-07-02T02:27:01-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.0*
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-10-30T17:31:41-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.1*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-07-02 02:27:01*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-10-30 17:31:41*
%MOMM*%
%LPD*%
G01*
@ -15,32 +15,32 @@ G04 #@! TA.AperFunction,Profile*
G04 #@! TD*
G04 APERTURE END LIST*
D10*
X200914000Y-89662000D02*
X274066000Y-89662000D01*
X274066000Y-89662000D02*
X276098000Y-139700000D02*
X198882000Y-139700000D01*
X276098000Y-139700000D02*
G75*
G02*
X276606000Y-92202000I0J-2540000D01*
G03*
X276606000Y-139192000I0J508000D01*
G01*
X198374000Y-139192000D02*
G75*
G03*
X198882000Y-139700000I508000J0D01*
G01*
X198374000Y-92202000D02*
X198374000Y-139192000D01*
X276606000Y-92202000D02*
G75*
G02*
X200914000Y-89662000I2540000J0D01*
G03*
X274066000Y-89662000I-2540000J0D01*
G01*
X200914000Y-89662000D02*
X274066000Y-89662000D01*
X200914000Y-89662000D02*
G75*
G03*
X198374000Y-92202000I0J-2540000D01*
G01*
X276606000Y-92202000D02*
X276606000Y-139192000D01*
X198374000Y-92202000D02*
X198374000Y-139192000D01*
X276606000Y-139192000D02*
G75*
G02*
X276098000Y-139700000I-508000J0D01*
G01*
X198882000Y-139700000D02*
G75*
G02*
X198374000Y-139192000I0J508000D01*
G01*
X276098000Y-139700000D02*
X198882000Y-139700000D01*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1 +0,0 @@
Ref,Val,Package,PosX,PosY,Rot,Side
1 Ref Val Package PosX PosY Rot Side

View File

@ -1,6 +0,0 @@
### Module positions - created on Friday, July 02, 2021 at 02:27:04 AM ###
### Printed by Pcbnew version kicad (5.1.10-1-10_14)
## Unit = mm, Angle = deg.
## Side : bottom
# Ref Val Package PosX PosY Rot Side
## End

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,164 @@
{
"Header": {
"GenerationSoftware": {
"Vendor": "KiCad",
"Application": "Pcbnew",
"Version": "7.0.1-0"
},
"CreationDate": "2023-10-30T17:31:41-04:00"
},
"GeneralSpecs": {
"ProjectId": {
"Name": "RAM2E",
"GUID": "52414d32-452e-46b6-9963-61645f706362",
"Revision": "2.1"
},
"Size": {
"X": 78.382,
"Y": 50.188
},
"LayerNumber": 4,
"BoardThickness": 1.6108,
"Finish": "None"
},
"DesignRules": [
{
"Layers": "Outer",
"PadToPad": 0.15,
"PadToTrack": 0.15,
"TrackToTrack": 0.15,
"MinLineWidth": 0.15,
"TrackToRegion": 0.1524,
"RegionToRegion": 0.1524
},
{
"Layers": "Inner",
"PadToPad": 0.15,
"PadToTrack": 0.15,
"TrackToTrack": 0.15,
"TrackToRegion": 0.154,
"RegionToRegion": 0.154
}
],
"FilesAttributes": [
{
"Path": "RAM2E-F_Cu.gtl",
"FileFunction": "Copper,L1,Top",
"FilePolarity": "Positive"
},
{
"Path": "RAM2E-In1_Cu.g2",
"FileFunction": "Copper,L2,Inr",
"FilePolarity": "Positive"
},
{
"Path": "RAM2E-In2_Cu.g3",
"FileFunction": "Copper,L3,Inr",
"FilePolarity": "Positive"
},
{
"Path": "RAM2E-B_Cu.gbl",
"FileFunction": "Copper,L4,Bot",
"FilePolarity": "Positive"
},
{
"Path": "RAM2E-F_Paste.gtp",
"FileFunction": "SolderPaste,Top",
"FilePolarity": "Positive"
},
{
"Path": "RAM2E-F_Silkscreen.gto",
"FileFunction": "Legend,Top",
"FilePolarity": "Positive"
},
{
"Path": "RAM2E-B_Silkscreen.gbo",
"FileFunction": "Legend,Bot",
"FilePolarity": "Positive"
},
{
"Path": "RAM2E-F_Mask.gts",
"FileFunction": "SolderMask,Top",
"FilePolarity": "Negative"
},
{
"Path": "RAM2E-B_Mask.gbs",
"FileFunction": "SolderMask,Bot",
"FilePolarity": "Negative"
},
{
"Path": "RAM2E-Edge_Cuts.gm1",
"FileFunction": "Profile",
"FilePolarity": "Positive"
}
],
"MaterialStackup": [
{
"Type": "Legend",
"Name": "Top Silk Screen"
},
{
"Type": "SolderPaste",
"Name": "Top Solder Paste"
},
{
"Type": "SolderMask",
"Thickness": 0.01,
"Name": "Top Solder Mask"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "F.Cu"
},
{
"Type": "Dielectric",
"Thickness": 0.2104,
"Material": "FR4",
"Name": "F.Cu/In1.Cu",
"Notes": "Type: dielectric layer 1 (from F.Cu to In1.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.0175,
"Name": "In1.Cu"
},
{
"Type": "Dielectric",
"Thickness": 1.065,
"Material": "FR4",
"Name": "In1.Cu/In2.Cu",
"Notes": "Type: dielectric layer 2 (from In1.Cu to In2.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.0175,
"Name": "In2.Cu"
},
{
"Type": "Dielectric",
"Thickness": 0.2104,
"Material": "FR4",
"Name": "In2.Cu/B.Cu",
"Notes": "Type: dielectric layer 3 (from In2.Cu to B.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "B.Cu"
},
{
"Type": "SolderMask",
"Thickness": 0.01,
"Name": "Bottom Solder Mask"
},
{
"Type": "SolderPaste",
"Name": "Bottom Solder Paste"
},
{
"Type": "Legend",
"Name": "Bottom Silk Screen"
}
]
}

View File

@ -1,57 +1,57 @@
Ref,Val,Package,PosX,PosY,Rot,Side
"C1","10u","C_0805",271.350000,-111.650000,270.000000,top
Ref,Val,Package,MidX,MidY,Rotation,Side
"C1","10u","C_0805",271.350000,-111.650000,-90.000000,top
"C2","10u","C_0805",263.900000,-110.800000,90.000000,top
"C3","10u","C_0805",261.200000,-110.800000,90.000000,top
"C4","10u","C_0805",263.900000,-105.250000,90.000000,top
"C5","10u","C_0805",261.200000,-105.250000,90.000000,top
"C6","10u","C_0805",271.350000,-105.250000,90.000000,top
"C7","2u2","C_0603",216.350000,-122.400000,270.000000,top
"C8","2u2","C_0603",225.550000,-122.400000,270.000000,top
"C9","2u2","C_0603",234.750000,-122.400000,270.000000,top
"C10","2u2","C_0603",243.950000,-122.400000,270.000000,top
"C11","2u2","C_0603",253.150000,-122.400000,270.000000,top
"C12","2u2","C_0603",233.800000,-98.700000,180.000000,top
"C13","2u2","C_0603",230.350000,-107.350000,90.000000,top
"C14","2u2","C_0603",239.050000,-118.000000,0.000000,top
"C15","2u2","C_0603",246.300000,-118.000000,0.000000,top
"C16","2u2","C_0603",230.350000,-110.150000,270.000000,top
"C17","2u2","C_0603",249.700000,-107.100000,270.000000,top
"C18","2u2","C_0603",241.050000,-98.700000,180.000000,top
"C19","2u2","C_0603",249.700000,-110.600000,270.000000,top
"C7","2u2","C_0603",216.350000,-122.400000,-90.000000,top
"C8","2u2","C_0603",225.550000,-122.400000,-90.000000,top
"C9","2u2","C_0603",234.750000,-122.400000,-90.000000,top
"C10","2u2","C_0603",243.950000,-122.400000,-90.000000,top
"C11","2u2","C_0603",253.150000,-122.400000,-90.000000,top
"C12","2u2","C_0603",236.550000,-98.650000,0.000000,top
"C13","2u2","C_0603",230.350000,-104.600000,-90.000000,top
"C14","2u2","C_0603",230.350000,-114.200000,-90.000000,top
"C15","2u2","C_0603",235.800000,-118.050000,180.000000,top
"C16","2u2","C_0603",246.800000,-118.050000,0.000000,top
"C17","2u2","C_0603",242.300000,-118.050000,180.000000,top
"C18","2u2","C_0603",249.750000,-112.100000,90.000000,top
"C19","2u2","C_0603",233.300000,-98.650000,180.000000,top
"C20","2u2","C_0603",213.800000,-93.150000,0.000000,top
"C21","2u2","C_0603",211.000000,-95.900000,90.000000,top
"C22","2u2","C_0603",211.000000,-100.700000,90.000000,top
"C23","2u2","C_0603",211.000000,-104.700000,90.000000,top
"C24","2u2","C_0603",213.800000,-117.950000,0.000000,top
"C25","2u2","C_0603",223.800000,-117.950000,0.000000,top
"C26","2u2","C_0603",226.600000,-104.600000,270.000000,top
"C27","2u2","C_0603",226.600000,-99.800000,270.000000,top
"C25","2u2","C_0603",222.300000,-117.950000,0.000000,top
"C26","2u2","C_0603",226.600000,-104.600000,-90.000000,top
"C27","2u2","C_0603",226.600000,-99.800000,-90.000000,top
"C28","2u2","C_0603",223.800000,-93.150000,0.000000,top
"C29","10u","C_0805",269.875000,-126.238000,180.000000,top
"C30","2u2","C_0603",273.939000,-99.187000,270.000000,top
"C30","2u2","C_0603",245.300000,-98.650000,180.000000,top
"C31","15p","C_0603",205.500000,-106.300000,180.000000,top
"D1","White","LED_0805",229.500000,-98.850000,180.000000,top
"D1","Amber","LED_0805",229.500000,-98.850000,180.000000,top
"FID1","Fiducial","Fiducial",203.200000,-129.540000,0.000000,top
"FID2","Fiducial","Fiducial",203.454000,-92.202000,0.000000,top
"FID3","Fiducial","Fiducial",271.526000,-92.202000,0.000000,top
"FID4","Fiducial","Fiducial",270.129000,-129.286000,0.000000,top
"R1","0 ","R_0805",258.500000,-107.050000,90.000000,top
"R2","47","R_0603",265.750000,-124.500000,0.000000,top
"R3","47","R_0603",229.650000,-119.050000,270.000000,top
"R4","47","R_0603",226.750000,-119.050000,270.000000,top
"R5","47","R_0603",228.200000,-119.050000,270.000000,top
"R3","47","R_0603",225.100000,-118.550000,-90.000000,top
"R4","47","R_0603",205.500000,-109.200000,180.000000,top
"R5","10k","R_0603",230.700000,-117.850000,0.000000,top
"R6","0","R_0805",209.296000,-124.015500,0.000000,top
"R7","DNP","R_0805",209.296000,-126.174500,0.000000,top
"R8","47","R_0603",205.500000,-109.200000,180.000000,top
"R8","10k","R_0603",230.700000,-116.400000,0.000000,top
"R9","10k","R_0603",205.500000,-107.750000,180.000000,top
"R10","180","R_0805",229.500000,-100.800000,0.000000,top
"U1","LCMXO256-TN100","TQFP-100_14x14mm_P0.5mm",240.050000,-108.350000,0.000000,top
"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",218.800000,-105.450000,0.000000,top
"R10","220","R_0805",229.500000,-100.800000,0.000000,top
"R11","10k","R_0603",208.550000,-102.100000,90.000000,top
"R12","47","R_0603",226.550000,-118.550000,-90.000000,top
"R13","47","R_0603",228.000000,-118.550000,-90.000000,top
"U1","LCMXO2-TG100","TQFP-100_14x14mm_P0.5mm",240.050000,-108.350000,90.000000,top
"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",218.800000,-105.450000,-90.000000,top
"U3","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",220.775000,-124.600000,0.000000,top
"U4","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",229.975000,-124.600000,0.000000,top
"U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",239.175000,-124.600000,0.000000,top
"U6","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",248.375000,-124.600000,0.000000,top
"U7","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",257.575000,-124.600000,0.000000,top
"U8","XC6206P332MR","SOT-23",267.650000,-110.800000,180.000000,top
"U9","AP2127K-1.2TRG1","SOT-23-5",267.650000,-105.150000,0.000000,top
"U11","25F010","SOIC-8_5.3mm",267.970000,-100.330000,270.000000,top
1 Ref Val Package PosX MidX PosY MidY Rot Rotation Side
2 C1 10u C_0805 271.350000 -111.650000 270.000000 -90.000000 top
3 C2 10u C_0805 263.900000 -110.800000 90.000000 90.000000 top
4 C3 10u C_0805 261.200000 -110.800000 90.000000 90.000000 top
5 C4 10u C_0805 263.900000 -105.250000 90.000000 90.000000 top
6 C5 10u C_0805 261.200000 -105.250000 90.000000 90.000000 top
7 C6 10u C_0805 271.350000 -105.250000 90.000000 90.000000 top
8 C7 2u2 C_0603 216.350000 -122.400000 270.000000 -90.000000 top
9 C8 2u2 C_0603 225.550000 -122.400000 270.000000 -90.000000 top
10 C9 2u2 C_0603 234.750000 -122.400000 270.000000 -90.000000 top
11 C10 2u2 C_0603 243.950000 -122.400000 270.000000 -90.000000 top
12 C11 2u2 C_0603 253.150000 -122.400000 270.000000 -90.000000 top
13 C12 2u2 C_0603 233.800000 236.550000 -98.700000 -98.650000 180.000000 0.000000 top
14 C13 2u2 C_0603 230.350000 -107.350000 -104.600000 90.000000 -90.000000 top
15 C14 2u2 C_0603 239.050000 230.350000 -118.000000 -114.200000 0.000000 -90.000000 top
16 C15 2u2 C_0603 246.300000 235.800000 -118.000000 -118.050000 0.000000 180.000000 top
17 C16 2u2 C_0603 230.350000 246.800000 -110.150000 -118.050000 270.000000 0.000000 top
18 C17 2u2 C_0603 249.700000 242.300000 -107.100000 -118.050000 270.000000 180.000000 top
19 C18 2u2 C_0603 241.050000 249.750000 -98.700000 -112.100000 180.000000 90.000000 top
20 C19 2u2 C_0603 249.700000 233.300000 -110.600000 -98.650000 270.000000 180.000000 top
21 C20 2u2 C_0603 213.800000 -93.150000 0.000000 0.000000 top
22 C21 2u2 C_0603 211.000000 -95.900000 90.000000 90.000000 top
23 C22 2u2 C_0603 211.000000 -100.700000 90.000000 90.000000 top
24 C23 2u2 C_0603 211.000000 -104.700000 90.000000 90.000000 top
25 C24 2u2 C_0603 213.800000 -117.950000 0.000000 0.000000 top
26 C25 2u2 C_0603 223.800000 222.300000 -117.950000 0.000000 0.000000 top
27 C26 2u2 C_0603 226.600000 -104.600000 270.000000 -90.000000 top
28 C27 2u2 C_0603 226.600000 -99.800000 270.000000 -90.000000 top
29 C28 2u2 C_0603 223.800000 -93.150000 0.000000 0.000000 top
30 C29 10u C_0805 269.875000 -126.238000 180.000000 180.000000 top
31 C30 2u2 C_0603 273.939000 245.300000 -99.187000 -98.650000 270.000000 180.000000 top
32 C31 15p C_0603 205.500000 -106.300000 180.000000 180.000000 top
33 D1 White Amber LED_0805 229.500000 -98.850000 180.000000 180.000000 top
34 FID1 Fiducial Fiducial 203.200000 -129.540000 0.000000 0.000000 top
35 FID2 Fiducial Fiducial 203.454000 -92.202000 0.000000 0.000000 top
36 FID3 Fiducial Fiducial 271.526000 -92.202000 0.000000 0.000000 top
37 FID4 Fiducial Fiducial 270.129000 -129.286000 0.000000 0.000000 top
38 R1 0 R_0805 258.500000 -107.050000 90.000000 90.000000 top
39 R2 47 R_0603 265.750000 -124.500000 0.000000 0.000000 top
40 R3 47 R_0603 229.650000 225.100000 -119.050000 -118.550000 270.000000 -90.000000 top
41 R4 47 R_0603 226.750000 205.500000 -119.050000 -109.200000 270.000000 180.000000 top
42 R5 47 10k R_0603 228.200000 230.700000 -119.050000 -117.850000 270.000000 0.000000 top
43 R6 0 R_0805 209.296000 -124.015500 0.000000 0.000000 top
44 R7 R8 DNP 10k R_0805 R_0603 209.296000 230.700000 -126.174500 -116.400000 0.000000 0.000000 top
R8 47 R_0603 205.500000 -109.200000 180.000000 top
45 R9 10k R_0603 205.500000 -107.750000 180.000000 180.000000 top
46 R10 180 220 R_0805 229.500000 -100.800000 0.000000 0.000000 top
47 U1 R11 LCMXO256-TN100 10k TQFP-100_14x14mm_P0.5mm R_0603 240.050000 208.550000 -108.350000 -102.100000 0.000000 90.000000 top
48 U2 R12 W9812G6KH-6 47 TSOP-II-54_22.2x10.16mm_P0.8mm R_0603 218.800000 226.550000 -105.450000 -118.550000 0.000000 -90.000000 top
49 R13 47 R_0603 228.000000 -118.550000 -90.000000 top
50 U1 LCMXO2-TG100 TQFP-100_14x14mm_P0.5mm 240.050000 -108.350000 90.000000 top
51 U2 W9812G6KH-6 TSOP-II-54_22.2x10.16mm_P0.8mm 218.800000 -105.450000 -90.000000 top
52 U3 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 220.775000 -124.600000 0.000000 0.000000 top
53 U4 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 229.975000 -124.600000 0.000000 0.000000 top
54 U5 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 239.175000 -124.600000 0.000000 0.000000 top
55 U6 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 248.375000 -124.600000 0.000000 0.000000 top
56 U7 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 257.575000 -124.600000 0.000000 0.000000 top
57 U8 XC6206P332MR SOT-23 267.650000 -110.800000 180.000000 180.000000 top
U9 AP2127K-1.2TRG1 SOT-23-5 267.650000 -105.150000 0.000000 top
U11 25F010 SOIC-8_5.3mm 267.970000 -100.330000 270.000000 top

View File

@ -0,0 +1,57 @@
Ref,Val,Package,MidX,MidY,Rotation,Side
"C1","10u","C_0805",271.350000,-111.650000,-90.000000,top
"C2","10u","C_0805",263.900000,-110.800000,90.000000,top
"C3","10u","C_0805",261.200000,-110.800000,90.000000,top
"C4","10u","C_0805",263.900000,-105.250000,90.000000,top
"C5","10u","C_0805",261.200000,-105.250000,90.000000,top
"C6","10u","C_0805",271.350000,-105.250000,90.000000,top
"C7","2u2","C_0603",216.350000,-122.400000,-90.000000,top
"C8","2u2","C_0603",225.550000,-122.400000,-90.000000,top
"C9","2u2","C_0603",234.750000,-122.400000,-90.000000,top
"C10","2u2","C_0603",243.950000,-122.400000,-90.000000,top
"C11","2u2","C_0603",253.150000,-122.400000,-90.000000,top
"C12","2u2","C_0603",236.550000,-98.650000,0.000000,top
"C13","2u2","C_0603",230.350000,-104.600000,-90.000000,top
"C14","2u2","C_0603",230.350000,-114.200000,-90.000000,top
"C15","2u2","C_0603",235.800000,-118.050000,180.000000,top
"C16","2u2","C_0603",246.800000,-118.050000,0.000000,top
"C17","2u2","C_0603",242.300000,-118.050000,180.000000,top
"C18","2u2","C_0603",249.750000,-112.100000,90.000000,top
"C19","2u2","C_0603",233.300000,-98.650000,180.000000,top
"C20","2u2","C_0603",213.800000,-93.150000,0.000000,top
"C21","2u2","C_0603",211.000000,-95.900000,90.000000,top
"C22","2u2","C_0603",211.000000,-100.700000,90.000000,top
"C23","2u2","C_0603",211.000000,-104.700000,90.000000,top
"C24","2u2","C_0603",213.800000,-117.950000,0.000000,top
"C25","2u2","C_0603",222.300000,-117.950000,0.000000,top
"C26","2u2","C_0603",226.600000,-104.600000,-90.000000,top
"C27","2u2","C_0603",226.600000,-99.800000,-90.000000,top
"C28","2u2","C_0603",223.800000,-93.150000,0.000000,top
"C29","10u","C_0805",269.875000,-126.238000,180.000000,top
"C30","2u2","C_0603",245.300000,-98.650000,180.000000,top
"C31","15p","C_0603",205.500000,-106.300000,180.000000,top
"D1","Amber","LED_0805",229.500000,-98.850000,180.000000,top
"FID1","Fiducial","Fiducial",203.200000,-129.540000,0.000000,top
"FID2","Fiducial","Fiducial",203.454000,-92.202000,0.000000,top
"FID3","Fiducial","Fiducial",271.526000,-92.202000,0.000000,top
"FID4","Fiducial","Fiducial",270.129000,-129.286000,0.000000,top
"R2","47","R_0603",265.750000,-124.500000,0.000000,top
"R3","47","R_0603",225.100000,-118.550000,-90.000000,top
"R4","47","R_0603",205.500000,-109.200000,180.000000,top
"R5","10k","R_0603",230.700000,-117.850000,0.000000,top
"R6","0","R_0805",209.296000,-124.015500,0.000000,top
"R8","10k","R_0603",230.700000,-116.400000,0.000000,top
"R9","10k","R_0603",205.500000,-107.750000,180.000000,top
"R10","220","R_0805",229.500000,-100.800000,0.000000,top
"R11","10k","R_0603",208.550000,-102.100000,90.000000,top
"R12","47","R_0603",226.550000,-118.550000,-90.000000,top
"R13","47","R_0603",228.000000,-118.550000,-90.000000,top
"U1","LCMXO2-TG100","TQFP-100_14x14mm_P0.5mm",240.050000,-108.350000,90.000000,top
"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",218.800000,-105.450000,-90.000000,top
"U3","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",220.775000,-124.600000,0.000000,top
"U4","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",229.975000,-124.600000,0.000000,top
"U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",239.175000,-124.600000,0.000000,top
"U6","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",248.375000,-124.600000,0.000000,top
"U7","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",257.575000,-124.600000,0.000000,top
"U8","XC6206P332MR","SOT-23",267.650000,-110.800000,180.000000,top
"U9","AP2127K-1.2TRG1","SOT-23-5",267.650000,-105.150000,90.000000,top
1 Ref Val Package MidX MidY Rotation Side
2 C1 10u C_0805 271.350000 -111.650000 -90.000000 top
3 C2 10u C_0805 263.900000 -110.800000 90.000000 top
4 C3 10u C_0805 261.200000 -110.800000 90.000000 top
5 C4 10u C_0805 263.900000 -105.250000 90.000000 top
6 C5 10u C_0805 261.200000 -105.250000 90.000000 top
7 C6 10u C_0805 271.350000 -105.250000 90.000000 top
8 C7 2u2 C_0603 216.350000 -122.400000 -90.000000 top
9 C8 2u2 C_0603 225.550000 -122.400000 -90.000000 top
10 C9 2u2 C_0603 234.750000 -122.400000 -90.000000 top
11 C10 2u2 C_0603 243.950000 -122.400000 -90.000000 top
12 C11 2u2 C_0603 253.150000 -122.400000 -90.000000 top
13 C12 2u2 C_0603 236.550000 -98.650000 0.000000 top
14 C13 2u2 C_0603 230.350000 -104.600000 -90.000000 top
15 C14 2u2 C_0603 230.350000 -114.200000 -90.000000 top
16 C15 2u2 C_0603 235.800000 -118.050000 180.000000 top
17 C16 2u2 C_0603 246.800000 -118.050000 0.000000 top
18 C17 2u2 C_0603 242.300000 -118.050000 180.000000 top
19 C18 2u2 C_0603 249.750000 -112.100000 90.000000 top
20 C19 2u2 C_0603 233.300000 -98.650000 180.000000 top
21 C20 2u2 C_0603 213.800000 -93.150000 0.000000 top
22 C21 2u2 C_0603 211.000000 -95.900000 90.000000 top
23 C22 2u2 C_0603 211.000000 -100.700000 90.000000 top
24 C23 2u2 C_0603 211.000000 -104.700000 90.000000 top
25 C24 2u2 C_0603 213.800000 -117.950000 0.000000 top
26 C25 2u2 C_0603 222.300000 -117.950000 0.000000 top
27 C26 2u2 C_0603 226.600000 -104.600000 -90.000000 top
28 C27 2u2 C_0603 226.600000 -99.800000 -90.000000 top
29 C28 2u2 C_0603 223.800000 -93.150000 0.000000 top
30 C29 10u C_0805 269.875000 -126.238000 180.000000 top
31 C30 2u2 C_0603 245.300000 -98.650000 180.000000 top
32 C31 15p C_0603 205.500000 -106.300000 180.000000 top
33 D1 Amber LED_0805 229.500000 -98.850000 180.000000 top
34 FID1 Fiducial Fiducial 203.200000 -129.540000 0.000000 top
35 FID2 Fiducial Fiducial 203.454000 -92.202000 0.000000 top
36 FID3 Fiducial Fiducial 271.526000 -92.202000 0.000000 top
37 FID4 Fiducial Fiducial 270.129000 -129.286000 0.000000 top
38 R2 47 R_0603 265.750000 -124.500000 0.000000 top
39 R3 47 R_0603 225.100000 -118.550000 -90.000000 top
40 R4 47 R_0603 205.500000 -109.200000 180.000000 top
41 R5 10k R_0603 230.700000 -117.850000 0.000000 top
42 R6 0 R_0805 209.296000 -124.015500 0.000000 top
43 R8 10k R_0603 230.700000 -116.400000 0.000000 top
44 R9 10k R_0603 205.500000 -107.750000 180.000000 top
45 R10 220 R_0805 229.500000 -100.800000 0.000000 top
46 R11 10k R_0603 208.550000 -102.100000 90.000000 top
47 R12 47 R_0603 226.550000 -118.550000 -90.000000 top
48 R13 47 R_0603 228.000000 -118.550000 -90.000000 top
49 U1 LCMXO2-TG100 TQFP-100_14x14mm_P0.5mm 240.050000 -108.350000 90.000000 top
50 U2 W9812G6KH-6 TSOP-II-54_22.2x10.16mm_P0.8mm 218.800000 -105.450000 -90.000000 top
51 U3 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 220.775000 -124.600000 0.000000 top
52 U4 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 229.975000 -124.600000 0.000000 top
53 U5 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 239.175000 -124.600000 0.000000 top
54 U6 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 248.375000 -124.600000 0.000000 top
55 U7 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 257.575000 -124.600000 0.000000 top
56 U8 XC6206P332MR SOT-23 267.650000 -110.800000 180.000000 top
57 U9 AP2127K-1.2TRG1 SOT-23-5 267.650000 -105.150000 90.000000 top

View File

@ -1,18 +1,18 @@
Ref,Val,Package,PosX,PosY,Rot,Side
"C1","10u","C_0805",271.350000,-111.650000,270.000000,top
Ref,Val,Package,MidX,MidY,Rotation,Side
"C1","10u","C_0805",271.350000,-111.650000,-90.000000,top
"C2","10u","C_0805",263.900000,-110.800000,90.000000,top
"C3","10u","C_0805",261.200000,-110.800000,90.000000,top
"C4","10u","C_0805",263.900000,-105.250000,90.000000,top
"C5","10u","C_0805",261.200000,-105.250000,90.000000,top
"C6","10u","C_0805",271.350000,-105.250000,90.000000,top
"C7","2u2","C_0603",216.350000,-122.400000,270.000000,top
"C8","2u2","C_0603",225.550000,-122.400000,270.000000,top
"C9","2u2","C_0603",234.750000,-122.400000,270.000000,top
"C10","2u2","C_0603",243.950000,-122.400000,270.000000,top
"C11","2u2","C_0603",253.150000,-122.400000,270.000000,top
"C7","2u2","C_0603",216.350000,-122.400000,-90.000000,top
"C8","2u2","C_0603",225.550000,-122.400000,-90.000000,top
"C9","2u2","C_0603",234.750000,-122.400000,-90.000000,top
"C10","2u2","C_0603",243.950000,-122.400000,-90.000000,top
"C11","2u2","C_0603",253.150000,-122.400000,-90.000000,top
"C12","2u2","C_0603",236.550000,-98.650000,0.000000,top
"C13","2u2","C_0603",230.350000,-104.600000,270.000000,top
"C14","2u2","C_0603",230.350000,-114.200000,270.000000,top
"C13","2u2","C_0603",230.350000,-104.600000,-90.000000,top
"C14","2u2","C_0603",230.350000,-114.200000,-90.000000,top
"C15","2u2","C_0603",235.800000,-118.050000,180.000000,top
"C16","2u2","C_0603",246.800000,-118.050000,0.000000,top
"C17","2u2","C_0603",242.300000,-118.050000,180.000000,top
@ -24,36 +24,35 @@ Ref,Val,Package,PosX,PosY,Rot,Side
"C23","2u2","C_0603",211.000000,-104.700000,90.000000,top
"C24","2u2","C_0603",213.800000,-117.950000,0.000000,top
"C25","2u2","C_0603",222.300000,-117.950000,0.000000,top
"C26","2u2","C_0603",226.600000,-104.600000,270.000000,top
"C27","2u2","C_0603",226.600000,-99.800000,270.000000,top
"C26","2u2","C_0603",226.600000,-104.600000,-90.000000,top
"C27","2u2","C_0603",226.600000,-99.800000,-90.000000,top
"C28","2u2","C_0603",223.800000,-93.150000,0.000000,top
"C29","10u","C_0805",269.875000,-126.238000,180.000000,top
"C30","2u2","C_0603",245.300000,-98.650000,180.000000,top
"C31","15p","C_0603",205.500000,-106.300000,180.000000,top
"D1","White","LED_0805",229.500000,-98.850000,180.000000,top
"D1","Amber","LED_0805",229.500000,-98.850000,180.000000,top
"FID1","Fiducial","Fiducial",203.200000,-129.540000,0.000000,top
"FID2","Fiducial","Fiducial",203.454000,-92.202000,0.000000,top
"FID3","Fiducial","Fiducial",271.526000,-92.202000,0.000000,top
"FID4","Fiducial","Fiducial",270.129000,-129.286000,0.000000,top
"R1","0 ","R_0805",258.500000,-107.050000,90.000000,top
"R2","47","R_0603",265.750000,-124.500000,0.000000,top
"R3","47","R_0603",225.100000,-118.550000,270.000000,top
"R3","47","R_0603",225.100000,-118.550000,-90.000000,top
"R4","47","R_0603",205.500000,-109.200000,180.000000,top
"R5","10k","R_0603",230.700000,-117.850000,0.000000,top
"R6","0","R_0805",209.296000,-124.015500,0.000000,top
"R7","DNP","R_0805",209.296000,-126.174500,0.000000,top
"R8","10k","R_0603",230.700000,-116.400000,0.000000,top
"R9","10k","R_0603",205.500000,-107.750000,180.000000,top
"R10","180","R_0805",229.500000,-100.800000,0.000000,top
"R10","220","R_0805",229.500000,-100.800000,0.000000,top
"R11","10k","R_0603",208.550000,-102.100000,90.000000,top
"R12","47","R_0603",226.550000,-118.550000,270.000000,top
"R13","47","R_0603",228.000000,-118.550000,270.000000,top
"R12","47","R_0603",226.550000,-118.550000,-90.000000,top
"R13","47","R_0603",228.000000,-118.550000,-90.000000,top
"U1","LCMXO2-TG100","TQFP-100_14x14mm_P0.5mm",240.050000,-108.350000,90.000000,top
"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",218.800000,-105.450000,0.000000,top
"U2","W9812G6KH-6","TSOP-II-54_22.2x10.16mm_P0.8mm",218.800000,-105.450000,-90.000000,top
"U3","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",220.775000,-124.600000,0.000000,top
"U4","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",229.975000,-124.600000,0.000000,top
"U5","74LVC245APW","TSSOP-20_4.4x6.5mm_P0.65mm",239.175000,-124.600000,0.000000,top
"U6","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",248.375000,-124.600000,0.000000,top
"U7","74AHCT245PW","TSSOP-20_4.4x6.5mm_P0.65mm",257.575000,-124.600000,0.000000,top
"U8","XC6206P332MR","SOT-23",267.650000,-110.800000,180.000000,top
"U9","AP2127K-1.2TRG1","SOT-23-5",267.650000,-105.150000,0.000000,top
"U9","AP2127K-1.2TRG1","SOT-23-5",267.650000,-105.150000,90.000000,top

1 Ref Val Package PosX MidX PosY MidY Rot Rotation Side
2 C1 10u C_0805 271.350000 -111.650000 270.000000 -90.000000 top
3 C2 10u C_0805 263.900000 -110.800000 90.000000 90.000000 top
4 C3 10u C_0805 261.200000 -110.800000 90.000000 90.000000 top
5 C4 10u C_0805 263.900000 -105.250000 90.000000 90.000000 top
6 C5 10u C_0805 261.200000 -105.250000 90.000000 90.000000 top
7 C6 10u C_0805 271.350000 -105.250000 90.000000 90.000000 top
8 C7 2u2 C_0603 216.350000 -122.400000 270.000000 -90.000000 top
9 C8 2u2 C_0603 225.550000 -122.400000 270.000000 -90.000000 top
10 C9 2u2 C_0603 234.750000 -122.400000 270.000000 -90.000000 top
11 C10 2u2 C_0603 243.950000 -122.400000 270.000000 -90.000000 top
12 C11 2u2 C_0603 253.150000 -122.400000 270.000000 -90.000000 top
13 C12 2u2 C_0603 236.550000 -98.650000 0.000000 0.000000 top
14 C13 2u2 C_0603 230.350000 -104.600000 270.000000 -90.000000 top
15 C14 2u2 C_0603 230.350000 -114.200000 270.000000 -90.000000 top
16 C15 2u2 C_0603 235.800000 -118.050000 180.000000 180.000000 top
17 C16 2u2 C_0603 246.800000 -118.050000 0.000000 0.000000 top
18 C17 2u2 C_0603 242.300000 -118.050000 180.000000 180.000000 top
24 C23 2u2 C_0603 211.000000 -104.700000 90.000000 90.000000 top
25 C24 2u2 C_0603 213.800000 -117.950000 0.000000 0.000000 top
26 C25 2u2 C_0603 222.300000 -117.950000 0.000000 0.000000 top
27 C26 2u2 C_0603 226.600000 -104.600000 270.000000 -90.000000 top
28 C27 2u2 C_0603 226.600000 -99.800000 270.000000 -90.000000 top
29 C28 2u2 C_0603 223.800000 -93.150000 0.000000 0.000000 top
30 C29 10u C_0805 269.875000 -126.238000 180.000000 180.000000 top
31 C30 2u2 C_0603 245.300000 -98.650000 180.000000 180.000000 top
32 C31 15p C_0603 205.500000 -106.300000 180.000000 180.000000 top
33 D1 White Amber LED_0805 229.500000 -98.850000 180.000000 180.000000 top
34 FID1 Fiducial Fiducial 203.200000 -129.540000 0.000000 0.000000 top
35 FID2 Fiducial Fiducial 203.454000 -92.202000 0.000000 0.000000 top
36 FID3 Fiducial Fiducial 271.526000 -92.202000 0.000000 0.000000 top
37 FID4 Fiducial Fiducial 270.129000 -129.286000 0.000000 0.000000 top
38 R1 0 R_0805 258.500000 -107.050000 90.000000 90.000000 top
39 R2 47 R_0603 265.750000 -124.500000 0.000000 0.000000 top
40 R3 47 R_0603 225.100000 -118.550000 270.000000 -90.000000 top
41 R4 47 R_0603 205.500000 -109.200000 180.000000 180.000000 top
42 R5 10k R_0603 230.700000 -117.850000 0.000000 0.000000 top
43 R6 0 R_0805 209.296000 -124.015500 0.000000 0.000000 top
R7 DNP R_0805 209.296000 -126.174500 0.000000 top
44 R8 10k R_0603 230.700000 -116.400000 0.000000 0.000000 top
45 R9 10k R_0603 205.500000 -107.750000 180.000000 180.000000 top
46 R10 180 220 R_0805 229.500000 -100.800000 0.000000 0.000000 top
47 R11 10k R_0603 208.550000 -102.100000 90.000000 90.000000 top
48 R12 47 R_0603 226.550000 -118.550000 270.000000 -90.000000 top
49 R13 47 R_0603 228.000000 -118.550000 270.000000 -90.000000 top
50 U1 LCMXO2-TG100 TQFP-100_14x14mm_P0.5mm 240.050000 -108.350000 90.000000 90.000000 top
51 U2 W9812G6KH-6 TSOP-II-54_22.2x10.16mm_P0.8mm 218.800000 -105.450000 0.000000 -90.000000 top
52 U3 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 220.775000 -124.600000 0.000000 0.000000 top
53 U4 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 229.975000 -124.600000 0.000000 0.000000 top
54 U5 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 239.175000 -124.600000 0.000000 0.000000 top
55 U6 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 248.375000 -124.600000 0.000000 0.000000 top
56 U7 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 257.575000 -124.600000 0.000000 0.000000 top
57 U8 XC6206P332MR SOT-23 267.650000 -110.800000 180.000000 180.000000 top
58 U9 AP2127K-1.2TRG1 SOT-23-5 267.650000 -105.150000 0.000000 90.000000 top

View File

@ -1,64 +0,0 @@
### Module positions - created on Friday, July 02, 2021 at 02:27:04 AM ###
### Printed by Pcbnew version kicad (5.1.10-1-10_14)
## Unit = mm, Angle = deg.
## Side : top
# Ref Val Package PosX PosY Rot Side
C1 10u C_0805 271.3500 -111.6500 270.0000 top
C2 10u C_0805 263.9000 -110.8000 90.0000 top
C3 10u C_0805 261.2000 -110.8000 90.0000 top
C4 10u C_0805 263.9000 -105.2500 90.0000 top
C5 10u C_0805 261.2000 -105.2500 90.0000 top
C6 10u C_0805 271.3500 -105.2500 90.0000 top
C7 2u2 C_0603 216.3500 -122.4000 270.0000 top
C8 2u2 C_0603 225.5500 -122.4000 270.0000 top
C9 2u2 C_0603 234.7500 -122.4000 270.0000 top
C10 2u2 C_0603 243.9500 -122.4000 270.0000 top
C11 2u2 C_0603 253.1500 -122.4000 270.0000 top
C12 2u2 C_0603 236.5500 -98.6500 0.0000 top
C13 2u2 C_0603 230.3500 -104.6000 270.0000 top
C14 2u2 C_0603 230.3500 -114.2000 270.0000 top
C15 2u2 C_0603 235.8000 -118.0500 180.0000 top
C16 2u2 C_0603 246.8000 -118.0500 0.0000 top
C17 2u2 C_0603 242.3000 -118.0500 180.0000 top
C18 2u2 C_0603 249.7500 -112.1000 90.0000 top
C19 2u2 C_0603 233.3000 -98.6500 180.0000 top
C20 2u2 C_0603 213.8000 -93.1500 0.0000 top
C21 2u2 C_0603 211.0000 -95.9000 90.0000 top
C22 2u2 C_0603 211.0000 -100.7000 90.0000 top
C23 2u2 C_0603 211.0000 -104.7000 90.0000 top
C24 2u2 C_0603 213.8000 -117.9500 0.0000 top
C25 2u2 C_0603 222.3000 -117.9500 0.0000 top
C26 2u2 C_0603 226.6000 -104.6000 270.0000 top
C27 2u2 C_0603 226.6000 -99.8000 270.0000 top
C28 2u2 C_0603 223.8000 -93.1500 0.0000 top
C29 10u C_0805 269.8750 -126.2380 180.0000 top
C30 2u2 C_0603 245.3000 -98.6500 180.0000 top
C31 15p C_0603 205.5000 -106.3000 180.0000 top
D1 White LED_0805 229.5000 -98.8500 180.0000 top
FID1 Fiducial Fiducial 203.2000 -129.5400 0.0000 top
FID2 Fiducial Fiducial 203.4540 -92.2020 0.0000 top
FID3 Fiducial Fiducial 271.5260 -92.2020 0.0000 top
FID4 Fiducial Fiducial 270.1290 -129.2860 0.0000 top
R1 0_ R_0805 258.5000 -107.0500 90.0000 top
R2 47 R_0603 265.7500 -124.5000 0.0000 top
R3 47 R_0603 225.1000 -118.5500 270.0000 top
R4 47 R_0603 205.5000 -109.2000 180.0000 top
R5 10k R_0603 230.7000 -117.8500 0.0000 top
R6 0 R_0805 209.2960 -124.0155 0.0000 top
R7 DNP R_0805 209.2960 -126.1745 0.0000 top
R8 10k R_0603 230.7000 -116.4000 0.0000 top
R9 10k R_0603 205.5000 -107.7500 180.0000 top
R10 180 R_0805 229.5000 -100.8000 0.0000 top
R11 10k R_0603 208.5500 -102.1000 90.0000 top
R12 47 R_0603 226.5500 -118.5500 270.0000 top
R13 47 R_0603 228.0000 -118.5500 270.0000 top
U1 LCMXO2-TG100 TQFP-100_14x14mm_P0.5mm 240.0500 -108.3500 90.0000 top
U2 W9812G6KH-6 TSOP-II-54_22.2x10.16mm_P0.8mm 218.8000 -105.4500 0.0000 top
U3 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 220.7750 -124.6000 0.0000 top
U4 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 229.9750 -124.6000 0.0000 top
U5 74LVC245APW TSSOP-20_4.4x6.5mm_P0.65mm 239.1750 -124.6000 0.0000 top
U6 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 248.3750 -124.6000 0.0000 top
U7 74AHCT245PW TSSOP-20_4.4x6.5mm_P0.65mm 257.5750 -124.6000 0.0000 top
U8 XC6206P332MR SOT-23 267.6500 -110.8000 180.0000 top
U9 AP2127K-1.2TRG1 SOT-23-5 267.6500 -105.1500 0.0000 top
## End

Binary file not shown.

Binary file not shown.

View File

@ -1,20 +1,25 @@
M48
; DRILL file {KiCad (5.1.10-1-10_14)} date Friday, July 02, 2021 at 02:27:01 AM
; DRILL file {KiCad 7.0.1-0} date 2023 October 30, Monday 17:31:41
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2021-07-02T02:27:01-04:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.10-1-10_14)
; #@! TF.CreationDate,2023-10-30T17:31:41-04:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,7.0.1-0
; #@! TF.FileFunction,MixedPlating,1,4
FMAT,2
INCH
T1C0.0079
T2C0.0118
T3C0.0150
T4C0.0157
T5C0.0197
T6C0.0394
T7C0.0433
T8C0.0390
T9C0.0454
T10C0.0935
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T1C0.0118
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T2C0.0197
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T3C0.0394
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T4C0.0433
; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill
T5C0.0390
; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill
T6C0.0454
; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill
T7C0.0935
%
G90
G05
@ -49,24 +54,39 @@ X8.14Y-4.925
X8.15Y-5.165
X8.17Y-4.8825
X8.17Y-4.9675
X8.2Y-4.185
X8.2106Y-3.9587
X8.24Y-3.66
X8.24Y-4.825
X8.2441Y-4.0512
X8.2461Y-3.6594
X8.25Y-5.165
X8.2677Y-3.7461
X8.2677Y-3.8051
X8.2677Y-3.935
X8.2677Y-3.9941
X8.2677Y-4.0925
X8.2677Y-4.1516
X8.27Y-4.57
X8.2875Y-3.56
X8.3071Y-3.7087
X8.3071Y-3.8425
X8.3071Y-3.8976
X8.3071Y-4.0276
X8.3071Y-4.0591
X8.3071Y-4.189
X8.3327Y-4.4567
X8.34Y-4.725
X8.34Y-4.935
X8.3425Y-3.8051
X8.3425Y-3.9941
X8.3425Y-4.0886
X8.3425Y-4.1516
X8.3425Y-4.561
X8.35Y-3.5541
X8.35Y-5.165
X8.3504Y-4.6437
X8.3878Y-3.6319
X8.3878Y-3.7146
X8.3878Y-4.5886
X8.3878Y-4.6831
X8.4331Y-3.7421
X8.4331Y-3.8051
X8.4331Y-3.8996
@ -83,6 +103,9 @@ X8.445Y-4.8825
X8.445Y-4.9675
X8.4469Y-3.6319
X8.4469Y-3.7028
X8.4469Y-4.6043
X8.4469Y-4.6831
X8.45Y-5.165
X8.4547Y-4.1831
X8.4587Y-4.2776
X8.4587Y-4.3406
@ -98,9 +121,13 @@ X8.4606Y-4.0217
X8.4606Y-4.061
X8.4606Y-4.1201
X8.4764Y-4.2146
X8.4783Y-4.8484
X8.4823Y-4.7894
X8.5453Y-5.0039
X8.55Y-3.5541
X8.4843Y-4.6437
X8.4875Y-3.56
X8.5167Y-4.752
X8.5167Y-4.8858
X8.5453Y-4.9882
X8.55Y-5.165
X8.5522Y-4.7894
X8.5522Y-4.8484
@ -130,8 +157,8 @@ X8.6909Y-4.6437
X8.7047Y-4.8327
X8.7224Y-4.6102
X8.7224Y-4.6772
X8.7225Y-3.56
X8.7303Y-4.7461
X8.75Y-3.5541
X8.75Y-5.165
X8.7559Y-4.8327
X8.7677Y-3.7736
@ -160,6 +187,9 @@ X8.8071Y-4.9764
X8.8071Y-5.065
X8.8287Y-4.6358
X8.8406Y-3.6319
X8.8406Y-3.7146
X8.8406Y-4.5886
X8.8406Y-4.8484
X8.8465Y-4.7894
X8.85Y-5.165
X8.874Y-3.6673
@ -184,6 +214,8 @@ X8.9134Y-4.1811
X8.9144Y-4.7894
X8.9144Y-4.8484
X8.9213Y-3.9921
X8.9213Y-4.0512
X8.9272Y-3.8622
X8.939Y-4.8346
X8.95Y-3.56
X8.95Y-5.165
@ -208,7 +240,7 @@ X9.0413Y-4.9469
X9.05Y-5.165
X9.0512Y-3.6811
X9.061Y-4.3681
X9.065Y-4.9134
X9.061Y-4.9134
X9.0669Y-4.9764
X9.0689Y-4.0571
X9.0846Y-4.4035
@ -241,6 +273,7 @@ X9.1969Y-4.1319
X9.1969Y-4.439
X9.1969Y-4.4665
X9.2028Y-4.5059
X9.2028Y-4.8484
X9.2087Y-4.2264
X9.2087Y-4.3937
X9.2087Y-4.7894
@ -248,6 +281,7 @@ X9.2165Y-5.124
X9.2224Y-4.6476
X9.2283Y-4.0689
X9.2283Y-4.1673
X9.2421Y-4.8878
X9.25Y-5.165
X9.252Y-4.0157
X9.2539Y-3.9193
@ -271,7 +305,6 @@ X9.3307Y-4.8346
X9.3327Y-3.8484
X9.3327Y-3.9193
X9.3327Y-4.0079
X9.3346Y-3.6575
X9.3425Y-4.5079
X9.35Y-5.165
X9.3524Y-4.0433
@ -307,19 +340,20 @@ X9.5531Y-4.5197
X9.5531Y-4.6122
X9.5551Y-4.0118
X9.5571Y-5.0217
X9.565Y-4.8484
X9.5689Y-4.4665
X9.5709Y-4.7894
X9.5748Y-5.1319
X9.5906Y-4.5039
X9.5965Y-3.878
X9.6102Y-4.2756
X9.6083Y-4.752
X9.6339Y-3.8504
X9.6339Y-3.9173
X9.6388Y-4.7894
X9.6388Y-4.8484
X9.6496Y-4.7028
X9.65Y-5.165
X9.6516Y-4.2165
X9.6535Y-4.6476
X9.6634Y-4.7441
X9.6634Y-4.8346
X9.6634Y-4.9764
@ -329,6 +363,9 @@ X9.6772Y-5.0728
X9.6772Y-5.1358
X9.6811Y-3.8504
X9.687Y-3.9193
X9.687Y-4.2972
X9.6909Y-4.2559
X9.6929Y-4.6831
X9.7047Y-4.065
X9.7047Y-4.0925
X9.7047Y-4.3996
@ -354,6 +391,7 @@ X9.8228Y-4.5492
X9.8268Y-5.0768
X9.8327Y-4.3524
X9.8386Y-4.4744
X9.85Y-3.66
X9.85Y-3.86
X9.85Y-5.165
X9.8583Y-4.5295
@ -363,25 +401,25 @@ X9.8681Y-5.0669
X9.8937Y-4.9764
X9.9035Y-4.1693
X9.9193Y-5.0217
X9.9272Y-4.8484
X9.9331Y-4.7894
X9.95Y-3.56
X9.95Y-3.76
X9.95Y-3.96
X9.95Y-5.165
X9.9656Y-4.752
X10.001Y-4.7894
X10.001Y-4.8484
X10.0098Y-5.0728
X10.0256Y-4.7441
X10.0256Y-4.8346
X10.0256Y-4.9764
X10.05Y-3.66
X10.05Y-3.86
X10.05Y-4.06
X10.05Y-5.165
X10.1024Y-5.0846
X10.1457Y-5.1122
X10.15Y-3.56
X10.15Y-3.76
X10.15Y-3.96
X10.15Y-5.165
X10.1909Y-5.1122
@ -394,7 +432,6 @@ X10.2815Y-5.0217
X10.345Y-4.55
X10.345Y-4.75
X10.35Y-3.56
X10.35Y-3.76
X10.35Y-3.96
X10.35Y-5.165
X10.36Y-4.95
@ -405,19 +442,24 @@ X10.45Y-3.86
X10.45Y-5.165
X10.4665Y-5.1122
X10.5236Y-4.9016
X10.5354Y-4.1398
X10.5394Y-4.3248
X10.5394Y-4.4035
X10.545Y-4.55
X10.545Y-4.75
X10.55Y-3.56
X10.55Y-3.76
X10.55Y-3.96
X10.55Y-5.165
X10.5787Y-4.0728
X10.5787Y-4.2087
X10.5925Y-5.0138
X10.622Y-4.1339
X10.622Y-4.1772
X10.645Y-4.65
X10.645Y-4.85
X10.65Y-3.86
X10.65Y-5.165
X10.6831Y-4.0728
X10.745Y-3.56
X10.745Y-4.55
X10.745Y-4.75
@ -434,55 +476,11 @@ X10.86Y-4.66
X10.86Y-4.86
X10.86Y-5.165
T2
X8.34Y-4.925
X8.35Y-5.165
X8.3878Y-3.7146
X8.3878Y-4.5886
X8.45Y-5.165
X8.8406Y-3.7146
X8.8406Y-4.5886
X9.6535Y-4.6476
X9.6929Y-4.6831
X10.5354Y-4.1398
X10.5787Y-4.0728
X10.5787Y-4.2087
X10.622Y-4.1398
X10.6831Y-4.0728
T3
X8.2677Y-4.0925
X8.2677Y-4.1516
X8.3071Y-3.8976
X8.3071Y-4.189
X8.3504Y-4.6437
X8.3878Y-4.6831
X8.4469Y-4.6043
X8.4469Y-4.6831
X8.4783Y-4.8484
X8.5167Y-4.752
X8.5167Y-4.8858
X8.8406Y-4.8484
X8.9213Y-4.0512
X9.2028Y-4.8484
X9.565Y-4.8484
X9.6083Y-4.752
X9.9272Y-4.8484
X9.9656Y-4.752
T4
X8.2677Y-3.7461
X8.2677Y-3.8051
X8.2677Y-3.935
X8.2677Y-3.9941
X8.3071Y-3.7087
X8.3071Y-3.8425
X8.4843Y-4.6437
X8.9272Y-3.8622
X9.2421Y-4.8878
X9.6772Y-4.1378
X10.1772Y-4.1378
X10.1772Y-4.2913
T5
X9.5846Y-4.2835
X10.1772Y-4.1339
X10.1772Y-4.2953
X10.1772Y-4.4409
X10.2303Y-4.1102
X10.2303Y-4.1083
X10.2303Y-4.1772
X10.2303Y-4.3287
X10.2303Y-4.3957
@ -511,25 +509,27 @@ X10.5453Y-4.9685
X10.5492Y-4.4862
X10.5925Y-4.9154
X10.6024Y-4.4409
X10.6831Y-4.2224
X10.6831Y-4.4744
X10.7343Y-4.1102
X10.7343Y-4.1772
X10.7362Y-4.4291
X10.795Y-4.85
T6
T3
X7.99Y-3.875
X7.99Y-3.975
T7
T4
X10.8Y-5.055
T8
T5
X8.05Y-4.7
X8.09Y-4.4
X8.13Y-4.7
T9
T6
X7.9Y-5.1
X7.91Y-3.63
X10.79Y-3.63
X10.8Y-4.955
T10
T7
X7.99Y-4.4
X7.99Y-4.625
X8.19Y-4.4

View File

@ -1,6 +1,7 @@
(sym_lib_table
(lib (name GW_PLD)(type Legacy)(uri ${KIPRJMOD}/../../../GW_Parts/GW_PLD.lib)(options "")(descr ""))
(lib (name GW_RAM)(type Legacy)(uri ${KIPRJMOD}/../../../GW_Parts/GW_RAM.lib)(options "")(descr ""))
(lib (name GW_Logic)(type Legacy)(uri ${KIPRJMOD}/../../../GW_Parts/GW_Logic.lib)(options "")(descr ""))
(lib (name GW_Power)(type Legacy)(uri ${KIPRJMOD}/../../../GW_Parts/GW_Power.lib)(options "")(descr ""))
(version 7)
(lib (name "GW_PLD")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_PLD.kicad_sym")(options "")(descr ""))
(lib (name "GW_RAM")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_RAM.kicad_sym")(options "")(descr ""))
(lib (name "GW_Logic")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_Logic.kicad_sym")(options "")(descr ""))
(lib (name "GW_Power")(type "KiCad")(uri "${KIPRJMOD}/../../../GW_Parts/GW_Power.kicad_sym")(options "")(descr ""))
)

View File

@ -12,7 +12,7 @@ R1 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part i
R2 R3 R4 R5 ,4,47,stdpads:R_0603,,C23182,Uniroyal 0603WAF470JT5E,Any manufacturer's part is acceptable.
R6 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R7 ,1,DNP,stdpads:R_0805,,,,
R8 ,1,180,stdpads:R_0805,,C25270,Uniroyal 0805W8F1800T5E,Any manufacturer's part is acceptable.
R8 ,1,220,stdpads:R_0805,,C17557,Uniroyal 0805W8F2200T5E,Any manufacturer's part is acceptable.
R9 R10 ,2,22k,stdpads:R_0805,,C17560,Uniroyal 0805W8F2202T5E,Any manufacturer's part is acceptable.
U1 ,1,EPM240T100,stdpads:TQFP-100_14x14mm_P0.5mm,,C10041,"Altera EPM240T100C5N, Altera EPM240T100C4N, Altera EPM240T100C3N, Altera EPM240T100I5N, Altera EPM240T100I4N, Altera EPM240T100A5N, Altera EPM240T100A4N",
U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G",Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
1 Reference Quantity Value Footprint Datasheet LCSC Part Mfg. Part Numbers Notes
12 R2 R3 R4 R5 4 47 stdpads:R_0603 C23182 Uniroyal 0603WAF470JT5E Any manufacturer's part is acceptable.
13 R6 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
14 R7 1 DNP stdpads:R_0805
15 R8 1 180 220 stdpads:R_0805 C25270 C17557 Uniroyal 0805W8F1800T5E Uniroyal 0805W8F2200T5E Any manufacturer's part is acceptable.
16 R9 R10 2 22k stdpads:R_0805 C17560 Uniroyal 0805W8F2202T5E Any manufacturer's part is acceptable.
17 U1 1 EPM240T100 stdpads:TQFP-100_14x14mm_P0.5mm C10041 Altera EPM240T100C5N, Altera EPM240T100C4N, Altera EPM240T100C3N, Altera EPM240T100I5N, Altera EPM240T100I4N, Altera EPM240T100A5N, Altera EPM240T100A4N
18 U2 1 W9812G6KH-6 stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm C62379 Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.

View File

@ -12,7 +12,7 @@ R1 ,1,DNP,stdpads:R_0805,,,,
R2 R3 R4 R5 ,4,47,stdpads:R_0603,,C23182,Uniroyal 0603WAF470JT5E,Any manufacturer's part is acceptable.
R6 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R7 ,1,DNP,stdpads:R_0805,,,,
R8 ,1,180,stdpads:R_0805,,C25270,Uniroyal 0805W8F1800T5E,Any manufacturer's part is acceptable.
R8 ,1,220,stdpads:R_0805,,C17557,Uniroyal 0805W8F2200T5E,Any manufacturer's part is acceptable.
R9 R10 ,2,22k,stdpads:R_0805,,C17560,Uniroyal 0805W8F2202T5E,Any manufacturer's part is acceptable.
U1 ,1,5M240ZT100,stdpads:TQFP-100_14x14mm_P0.5mm,,C10041,"Altera 5M240ZT100C5N, Altera 5M240ZT100C4N, Altera 5M240ZT100C3N, Altera 5M240ZT100I5N, Altera 5M240ZT100I4N, Altera 5M240ZT100A5N, Altera 5M240ZT100A4N",
U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G",Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
1 Reference Quantity Value Footprint Datasheet LCSC Part Mfg. Part Numbers Notes
12 R2 R3 R4 R5 4 47 stdpads:R_0603 C23182 Uniroyal 0603WAF470JT5E Any manufacturer's part is acceptable.
13 R6 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
14 R7 1 DNP stdpads:R_0805
15 R8 1 180 220 stdpads:R_0805 C25270 C17557 Uniroyal 0805W8F1800T5E Uniroyal 0805W8F2200T5E Any manufacturer's part is acceptable.
16 R9 R10 2 22k stdpads:R_0805 C17560 Uniroyal 0805W8F2202T5E Any manufacturer's part is acceptable.
17 U1 1 5M240ZT100 stdpads:TQFP-100_14x14mm_P0.5mm C10041 Altera 5M240ZT100C5N, Altera 5M240ZT100C4N, Altera 5M240ZT100C3N, Altera 5M240ZT100I5N, Altera 5M240ZT100I4N, Altera 5M240ZT100A5N, Altera 5M240ZT100A4N
18 U2 1 W9812G6KH-6 stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm C62379 Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.

3275
Hardware/MAX/Docs.kicad_sch Normal file

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,589 +0,0 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Connector_Generic_Conn_01x02
#
DEF Connector_Generic_Conn_01x02 J 0 40 Y N 1 F N
F0 "J" 0 100 50 H V C CNN
F1 "Connector_Generic_Conn_01x02" 0 -200 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_1x??_*
$ENDFPLIST
DRAW
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 50 50 -150 1 1 10 f
X Pin_1 1 -200 0 150 R 50 50 1 1 P
X Pin_2 2 -200 -100 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x05_Odd_Even
#
DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 300 50 H V C CNN
F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 150 -250 1 1 10 f
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_10 10 300 -200 150 L 50 50 1 1 P
X Pin_2 2 300 200 150 L 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 300 100 150 L 50 50 1 1 P
X Pin_5 5 -200 0 150 R 50 50 1 1 P
X Pin_6 6 300 0 150 L 50 50 1 1 P
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
X Pin_8 8 300 -100 150 L 50 50 1 1 P
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x30_Counter_Clockwise
#
DEF Connector_Generic_Conn_02x30_Counter_Clockwise J 0 40 Y N 1 F N
F0 "J" 50 1500 50 H V C CNN
F1 "Connector_Generic_Conn_02x30_Counter_Clockwise" 50 -1600 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -1495 0 -1505 1 1 6 N
S -50 -1395 0 -1405 1 1 6 N
S -50 -1295 0 -1305 1 1 6 N
S -50 -1195 0 -1205 1 1 6 N
S -50 -1095 0 -1105 1 1 6 N
S -50 -995 0 -1005 1 1 6 N
S -50 -895 0 -905 1 1 6 N
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 805 0 795 1 1 6 N
S -50 905 0 895 1 1 6 N
S -50 1005 0 995 1 1 6 N
S -50 1105 0 1095 1 1 6 N
S -50 1205 0 1195 1 1 6 N
S -50 1305 0 1295 1 1 6 N
S -50 1405 0 1395 1 1 6 N
S -50 1450 150 -1550 1 1 10 f
S 150 -1495 100 -1505 1 1 6 N
S 150 -1395 100 -1405 1 1 6 N
S 150 -1295 100 -1305 1 1 6 N
S 150 -1195 100 -1205 1 1 6 N
S 150 -1095 100 -1105 1 1 6 N
S 150 -995 100 -1005 1 1 6 N
S 150 -895 100 -905 1 1 6 N
S 150 -795 100 -805 1 1 6 N
S 150 -695 100 -705 1 1 6 N
S 150 -595 100 -605 1 1 6 N
S 150 -495 100 -505 1 1 6 N
S 150 -395 100 -405 1 1 6 N
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
S 150 305 100 295 1 1 6 N
S 150 405 100 395 1 1 6 N
S 150 505 100 495 1 1 6 N
S 150 605 100 595 1 1 6 N
S 150 705 100 695 1 1 6 N
S 150 805 100 795 1 1 6 N
S 150 905 100 895 1 1 6 N
S 150 1005 100 995 1 1 6 N
S 150 1105 100 1095 1 1 6 N
S 150 1205 100 1195 1 1 6 N
S 150 1305 100 1295 1 1 6 N
S 150 1405 100 1395 1 1 6 N
X Pin_1 1 -200 1400 150 R 50 50 1 1 P
X Pin_10 10 -200 500 150 R 50 50 1 1 P
X Pin_11 11 -200 400 150 R 50 50 1 1 P
X Pin_12 12 -200 300 150 R 50 50 1 1 P
X Pin_13 13 -200 200 150 R 50 50 1 1 P
X Pin_14 14 -200 100 150 R 50 50 1 1 P
X Pin_15 15 -200 0 150 R 50 50 1 1 P
X Pin_16 16 -200 -100 150 R 50 50 1 1 P
X Pin_17 17 -200 -200 150 R 50 50 1 1 P
X Pin_18 18 -200 -300 150 R 50 50 1 1 P
X Pin_19 19 -200 -400 150 R 50 50 1 1 P
X Pin_2 2 -200 1300 150 R 50 50 1 1 P
X Pin_20 20 -200 -500 150 R 50 50 1 1 P
X Pin_21 21 -200 -600 150 R 50 50 1 1 P
X Pin_22 22 -200 -700 150 R 50 50 1 1 P
X Pin_23 23 -200 -800 150 R 50 50 1 1 P
X Pin_24 24 -200 -900 150 R 50 50 1 1 P
X Pin_25 25 -200 -1000 150 R 50 50 1 1 P
X Pin_26 26 -200 -1100 150 R 50 50 1 1 P
X Pin_27 27 -200 -1200 150 R 50 50 1 1 P
X Pin_28 28 -200 -1300 150 R 50 50 1 1 P
X Pin_29 29 -200 -1400 150 R 50 50 1 1 P
X Pin_3 3 -200 1200 150 R 50 50 1 1 P
X Pin_30 30 -200 -1500 150 R 50 50 1 1 P
X Pin_31 31 300 -1500 150 L 50 50 1 1 P
X Pin_32 32 300 -1400 150 L 50 50 1 1 P
X Pin_33 33 300 -1300 150 L 50 50 1 1 P
X Pin_34 34 300 -1200 150 L 50 50 1 1 P
X Pin_35 35 300 -1100 150 L 50 50 1 1 P
X Pin_36 36 300 -1000 150 L 50 50 1 1 P
X Pin_37 37 300 -900 150 L 50 50 1 1 P
X Pin_38 38 300 -800 150 L 50 50 1 1 P
X Pin_39 39 300 -700 150 L 50 50 1 1 P
X Pin_4 4 -200 1100 150 R 50 50 1 1 P
X Pin_40 40 300 -600 150 L 50 50 1 1 P
X Pin_41 41 300 -500 150 L 50 50 1 1 P
X Pin_42 42 300 -400 150 L 50 50 1 1 P
X Pin_43 43 300 -300 150 L 50 50 1 1 P
X Pin_44 44 300 -200 150 L 50 50 1 1 P
X Pin_45 45 300 -100 150 L 50 50 1 1 P
X Pin_46 46 300 0 150 L 50 50 1 1 P
X Pin_47 47 300 100 150 L 50 50 1 1 P
X Pin_48 48 300 200 150 L 50 50 1 1 P
X Pin_49 49 300 300 150 L 50 50 1 1 P
X Pin_5 5 -200 1000 150 R 50 50 1 1 P
X Pin_50 50 300 400 150 L 50 50 1 1 P
X Pin_51 51 300 500 150 L 50 50 1 1 P
X Pin_52 52 300 600 150 L 50 50 1 1 P
X Pin_53 53 300 700 150 L 50 50 1 1 P
X Pin_54 54 300 800 150 L 50 50 1 1 P
X Pin_55 55 300 900 150 L 50 50 1 1 P
X Pin_56 56 300 1000 150 L 50 50 1 1 P
X Pin_57 57 300 1100 150 L 50 50 1 1 P
X Pin_58 58 300 1200 150 L 50 50 1 1 P
X Pin_59 59 300 1300 150 L 50 50 1 1 P
X Pin_6 6 -200 900 150 R 50 50 1 1 P
X Pin_60 60 300 1400 150 L 50 50 1 1 P
X Pin_7 7 -200 800 150 R 50 50 1 1 P
X Pin_8 8 -200 700 150 R 50 50 1 1 P
X Pin_9 9 -200 600 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_LED_Small_ALT
#
DEF Device_LED_Small_ALT D 0 10 N N 1 F N
F0 "D" -50 125 50 H V L CNN
F1 "Device_LED_Small_ALT" -175 -100 50 H V L CNN
F2 "" 0 0 50 V I C CNN
F3 "" 0 0 50 V I C CNN
$FPLIST
LED*
LED_SMD:*
LED_THT:*
$ENDFPLIST
DRAW
P 2 0 1 10 -30 -40 -30 40 N
P 2 0 1 0 40 0 -30 0 N
P 4 0 1 10 30 -40 -30 0 30 40 30 -40 F
P 5 0 1 0 0 30 -20 50 -10 50 -20 50 -20 40 N
P 5 0 1 0 20 50 0 70 10 70 0 70 0 60 N
X K 1 -100 0 70 R 50 50 1 1 P
X A 2 100 0 70 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_Small
#
DEF Device_R_Small R 0 10 N N 1 F N
F0 "R" 30 20 50 H V L CNN
F1 "Device_R_Small" 30 -40 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -30 70 30 -70 0 1 8 N
X ~ 1 0 100 30 D 50 50 1 1 P
X ~ 2 0 -100 30 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# GW_Logic_74245
#
DEF GW_Logic_74245 U 0 40 Y Y 1 F N
F0 "U" 0 600 50 H V C CNN
F1 "GW_Logic_74245" 0 -600 50 H V C CNN
F2 "" 0 -650 50 H I C TNN
F3 "" 0 100 60 H I C CNN
DRAW
S -200 550 200 -550 0 1 10 f
X AtoB 1 -400 450 200 R 50 50 1 1 I
X GND 10 -400 -450 200 R 50 50 1 1 W
X B7 11 400 -450 200 L 50 50 1 1 B
X B6 12 400 -350 200 L 50 50 1 1 B
X B5 13 400 -250 200 L 50 50 1 1 B
X B4 14 400 -150 200 L 50 50 1 1 B
X B3 15 400 -50 200 L 50 50 1 1 B
X B2 16 400 50 200 L 50 50 1 1 B
X B1 17 400 150 200 L 50 50 1 1 B
X B0 18 400 250 200 L 50 50 1 1 B
X ~OE~ 19 400 350 200 L 50 50 1 1 I
X A0 2 -400 350 200 R 50 50 1 1 B
X Vcc 20 400 450 200 L 50 50 1 1 W
X A1 3 -400 250 200 R 50 50 1 1 B
X A2 4 -400 150 200 R 50 50 1 1 B
X A3 5 -400 50 200 R 50 50 1 1 B
X A4 6 -400 -50 200 R 50 50 1 1 B
X A5 7 -400 -150 200 R 50 50 1 1 B
X A6 8 -400 -250 200 R 50 50 1 1 B
X A7 9 -400 -350 200 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
# GW_PLD_5M240ZT100
#
DEF GW_PLD_5M240ZT100 U 0 40 Y Y 1 F N
F0 "U" 0 50 50 H V C CNN
F1 "GW_PLD_5M240ZT100" 0 -50 50 H V C CNN
F2 "stdpads:TQFP-100_14x14mm_P0.5mm" 0 -100 20 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
*QFP*P0.5mm*
$ENDFPLIST
DRAW
S -800 2200 800 -2200 1 1 10 f
X GND 1 400 -2400 200 U 50 50 1 1 W
X GNDIO 10 -200 -2400 200 U 50 50 1 1 W
X IO2_100 100 1000 -2000 200 L 50 50 1 1 B
X GNDINT 11 -400 -2400 200 U 50 50 1 1 W
X IO1_12/GCLK0 12 -1000 1400 200 R 50 50 1 1 B C
X VCCINT 13 -400 2400 200 D 50 50 1 1 W
X IO1_14/GCLK1 14 -1000 1300 200 R 50 50 1 1 B C
X IO1_15 15 -1000 1200 200 R 50 50 1 1 B
X IO1_16 16 -1000 1100 200 R 50 50 1 1 B
X IO1_17 17 -1000 1000 200 R 50 50 1 1 B
X IO1_18 18 -1000 900 200 R 50 50 1 1 B
X IO1_19 19 -1000 800 200 R 50 50 1 1 B
X IO1_2 2 -1000 2100 200 R 50 50 1 1 B
X IO1_20 20 -1000 700 200 R 50 50 1 1 B
X IO1_21 21 -1000 600 200 R 50 50 1 1 B
X TMS 22 -1000 -1700 200 R 50 50 1 1 I
X TDI 23 -1000 -1800 200 R 50 50 1 1 I
X TCK 24 -1000 -1900 200 R 50 50 1 1 I C
X TDO 25 -1000 -2000 200 R 50 50 1 1 O
X IO1_26 26 -1000 500 200 R 50 50 1 1 B
X IO1_27 27 -1000 400 200 R 50 50 1 1 B
X IO1_28 28 -1000 300 200 R 50 50 1 1 B
X IO1_29 29 -1000 200 200 R 50 50 1 1 B
X IO1_3 3 -1000 2000 200 R 50 50 1 1 B
X IO1_30 30 -1000 100 200 R 50 50 1 1 B
X VCCIO1 31 -100 2400 200 D 50 50 1 1 W
X GNDIO 32 -100 -2400 200 U 50 50 1 1 W
X IO1_33 33 -1000 0 200 R 50 50 1 1 B
X IO1_34 34 -1000 -100 200 R 50 50 1 1 B
X IO1_35 35 -1000 -200 200 R 50 50 1 1 B
X IO1_36 36 -1000 -300 200 R 50 50 1 1 B
X IO1_37 37 -1000 -400 200 R 50 50 1 1 B
X IO1_38 38 -1000 -500 200 R 50 50 1 1 B
X IO1_39 39 -1000 -600 200 R 50 50 1 1 B
X IO1_4 4 -1000 1900 200 R 50 50 1 1 B
X IO1_40 40 -1000 -700 200 R 50 50 1 1 B
X IO1_41 41 -1000 -800 200 R 50 50 1 1 B
X IO1_42 42 -1000 -900 200 R 50 50 1 1 B
X IO1_43/DEV_OE 43 -1000 -1000 200 R 50 50 1 1 B
X IO1_44/DEV_CLRn 44 -1000 -1100 200 R 50 50 1 1 B
X VCCIO1 45 0 2400 200 D 50 50 1 1 W
X GNDIO 46 0 -2400 200 U 50 50 1 1 W
X IO1_47 47 -1000 -1200 200 R 50 50 1 1 B
X IO1_48 48 -1000 -1300 200 R 50 50 1 1 B
X IO1_49 49 -1000 -1400 200 R 50 50 1 1 B
X IO1_5 5 -1000 1800 200 R 50 50 1 1 B
X IO1_50 50 -1000 -1500 200 R 50 50 1 1 B
X IO1_51 51 -1000 -1600 200 R 50 50 1 1 B
X IO2_52 52 1000 2000 200 L 50 50 1 1 B
X IO2_53 53 1000 1900 200 L 50 50 1 1 B
X IO2_54 54 1000 1800 200 L 50 50 1 1 B
X IO2_55 55 1000 1700 200 L 50 50 1 1 B
X IO2_56 56 1000 1600 200 L 50 50 1 1 B
X IO2_57 57 1000 1500 200 L 50 50 1 1 B
X IO2_58 58 1000 1400 200 L 50 50 1 1 B
X VCCIO2 59 100 2400 200 D 50 50 1 1 W
X IO1_6 6 -1000 1700 200 R 50 50 1 1 B
X GNDIO 60 100 -2400 200 U 50 50 1 1 W
X IO2_61 61 1000 1300 200 L 50 50 1 1 B
X IO2_62/GCLK2 62 1000 1200 200 L 50 50 1 1 B C
X VCCINT 63 -300 2400 200 D 50 50 1 1 W
X IO2_64/GCLK3 64 1000 1100 200 L 50 50 1 1 B C
X GNDINT 65 -300 -2400 200 U 50 50 1 1 W
X IO2_66 66 1000 1000 200 L 50 50 1 1 B
X IO2_67 67 1000 900 200 L 50 50 1 1 B
X IO2_68 68 1000 800 200 L 50 50 1 1 B
X IO2_69 69 1000 700 200 L 50 50 1 1 B
X IO1_7 7 -1000 1600 200 R 50 50 1 1 B
X IO2_70 70 1000 600 200 L 50 50 1 1 B
X IO2_71 71 1000 500 200 L 50 50 1 1 B
X IO2_72 72 1000 400 200 L 50 50 1 1 B
X IO2_73 73 1000 300 200 L 50 50 1 1 B
X IO2_74 74 1000 200 200 L 50 50 1 1 B
X IO2_75 75 1000 100 200 L 50 50 1 1 B
X IO2_76 76 1000 0 200 L 50 50 1 1 B
X IO2_77 77 1000 -100 200 L 50 50 1 1 B
X IO2_78 78 1000 -200 200 L 50 50 1 1 B
X GNDIO 79 200 -2400 200 U 50 50 1 1 W
X IO1_8 8 -1000 1500 200 R 50 50 1 1 B
X VCCIO2 80 200 2400 200 D 50 50 1 1 W
X IO2_81 81 1000 -300 200 L 50 50 1 1 B
X IO2_82 82 1000 -400 200 L 50 50 1 1 B
X IO2_83 83 1000 -500 200 L 50 50 1 1 B
X IO2_84 84 1000 -600 200 L 50 50 1 1 B
X IO2_85 85 1000 -700 200 L 50 50 1 1 B
X IO2_86 86 1000 -800 200 L 50 50 1 1 B
X IO2_87 87 1000 -900 200 L 50 50 1 1 B
X IO2_88 88 1000 -1000 200 L 50 50 1 1 B
X IO2_89 89 1000 -1100 200 L 50 50 1 1 B
X VCCIO1 9 -200 2400 200 D 50 50 1 1 W
X IO2_90 90 1000 -1200 200 L 50 50 1 1 B
X IO2_91 91 1000 -1300 200 L 50 50 1 1 B
X IO2_92 92 1000 -1400 200 L 50 50 1 1 B
X GNDIO 93 300 -2400 200 U 50 50 1 1 W
X VCCIO2 94 300 2400 200 D 50 50 1 1 W
X IO2_95 95 1000 -1500 200 L 50 50 1 1 B
X IO2_96 96 1000 -1600 200 L 50 50 1 1 B
X IO2_97 97 1000 -1700 200 L 50 50 1 1 B
X IO2_98 98 1000 -1800 200 L 50 50 1 1 B
X IO2_99 99 1000 -1900 200 L 50 50 1 1 B
ENDDRAW
ENDDEF
#
# GW_Power_AP2125
#
DEF GW_Power_AP2125 U 0 40 Y Y 1 F N
F0 "U" 0 250 50 H V C CNN
F1 "GW_Power_AP2125" 0 -250 50 H V C CNN
F2 "stdpads:SOT-23" 0 -300 50 H I C TNN
F3 "" 0 -100 60 H I C CNN
DRAW
S -250 200 250 -200 0 1 10 f
X GND 1 -450 -100 200 R 50 50 1 1 W
X Vout 2 450 100 200 L 50 50 1 1 w
X Vin 3 -450 100 200 R 50 50 1 1 W
ENDDRAW
ENDDEF
#
# GW_RAM_SDRAM-16Mx16-TSOP2-54
#
DEF GW_RAM_SDRAM-16Mx16-TSOP2-54 U 0 40 Y Y 1 F N
F0 "U" 0 1150 50 H V C CNN
F1 "GW_RAM_SDRAM-16Mx16-TSOP2-54" 0 0 50 V V C CNN
F2 "stdpads:Winbond_TSOPII-54" 0 -1650 50 H I C CIN
F3 "" 0 -250 50 H I C CNN
DRAW
S -300 1100 300 -1400 0 1 10 f
X VDD 1 -500 1000 200 R 50 50 1 1 W
X DQ5 10 500 500 200 L 50 50 1 1 B
X DQ6 11 500 400 200 L 50 50 1 1 B
X VSSQ 12 -500 -1300 200 R 50 50 1 1 W N
X DQ7 13 500 300 200 L 50 50 1 1 B
X VDD 14 -500 1000 200 R 50 50 1 1 W N
X DQML 15 500 -600 200 L 50 50 1 1 I
X ~WE~ 16 500 -1100 200 L 50 50 1 1 I
X ~CAS~ 17 500 -1200 200 L 50 50 1 1 I
X ~RAS~ 18 500 -1300 200 L 50 50 1 1 I
X ~CS~ 19 500 -1000 200 L 50 50 1 1 I
X DQ0 2 500 1000 200 L 50 50 1 1 B
X BA0 20 -500 -600 200 R 50 50 1 1 I
X BA1 21 -500 -700 200 R 50 50 1 1 I
X A10 22 -500 -300 200 R 50 50 1 1 I
X A0 23 -500 700 200 R 50 50 1 1 I
X A1 24 -500 600 200 R 50 50 1 1 I
X A2 25 -500 500 200 R 50 50 1 1 I
X A3 26 -500 400 200 R 50 50 1 1 I
X VDD 27 -500 1000 200 R 50 50 1 1 W N
X VSS 28 -500 -1200 200 R 50 50 1 1 W
X A4 29 -500 300 200 R 50 50 1 1 I
X VDDQ 3 -500 900 200 R 50 50 1 1 W
X A5 30 -500 200 200 R 50 50 1 1 I
X A6 31 -500 100 200 R 50 50 1 1 I
X A7 32 -500 0 200 R 50 50 1 1 I
X A8 33 -500 -100 200 R 50 50 1 1 I
X A9 34 -500 -200 200 R 50 50 1 1 I
X A11 35 -500 -400 200 R 50 50 1 1 I
X A12 36 -500 -500 200 R 50 50 1 1 I
X CKE 37 -500 -900 200 R 50 50 1 1 I
X CLK 38 -500 -1000 200 R 50 50 1 1 I
X DQMH 39 500 -700 200 L 50 50 1 1 I
X DQ1 4 500 900 200 L 50 50 1 1 B
X VSS 41 -500 -1200 200 R 50 50 1 1 W N
X DQ8 42 500 200 200 L 50 50 1 1 B
X VDDQ 43 -500 900 200 R 50 50 1 1 W N
X DQ9 44 500 100 200 L 50 50 1 1 B
X DQ10 45 500 0 200 L 50 50 1 1 B
X VSSQ 46 -500 -1300 200 R 50 50 1 1 W N
X DQ11 47 500 -100 200 L 50 50 1 1 B
X DQ12 48 500 -200 200 L 50 50 1 1 B
X VDDQ 49 -500 900 200 R 50 50 1 1 W N
X DQ2 5 500 800 200 L 50 50 1 1 B
X DQ13 50 500 -300 200 L 50 50 1 1 B
X DQ14 51 500 -400 200 L 50 50 1 1 B
X VSSQ 52 -500 -1300 200 R 50 50 1 1 W N
X DQ15 53 500 -500 200 L 50 50 1 1 B
X VSS 54 -500 -1200 200 R 50 50 1 1 W N
X VSSQ 6 -500 -1300 200 R 50 50 1 1 W
X DQ3 7 500 700 200 L 50 50 1 1 B
X DQ4 8 500 600 200 L 50 50 1 1 B
X VDDQ 9 -500 900 200 R 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# Mechanical_Fiducial
#
DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N
F0 "FID" 0 200 50 H V C CNN
F1 "Mechanical_Fiducial" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Fiducial*
$ENDFPLIST
DRAW
C 0 0 50 0 1 20 f
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole_Pad
#
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
F0 "H" 0 250 50 H V C CNN
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*Pad*
$ENDFPLIST
DRAW
C 0 50 50 0 1 50 N
X 1 1 0 -100 100 U 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Regulator_Linear_AP2127K-1.8
#
DEF Regulator_Linear_AP2127K-1.8 U 0 10 Y Y 1 F N
F0 "U" -200 225 50 H V L CNN
F1 "Regulator_Linear_AP2127K-1.8" 0 225 50 H V L CNN
F2 "Package_TO_SOT_SMD:SOT-23-5" 0 325 50 H I C CNN
F3 "" 0 100 50 H I C CNN
ALIAS AP2204K-1.8 AP2204K-2.5 AP2204K-2.8 AP2204K-3.0 AP2204K-3.3 AP2204K-5.0 AP2127K-1.0 AP2127K-1.2 AP2127K-1.5 AP2127K-1.8 AP2127K-2.5 AP2127K-2.8 AP2127K-3.0 AP2127K-3.3 AP2127K-4.2 AP2127K-4.75 AP2112K-1.2 AP2112K-1.8 AP2112K-2.5 AP2112K-2.6 AP2112K-3.3
$FPLIST
SOT?23?5*
$ENDFPLIST
DRAW
S -200 175 200 -200 0 1 10 f
X VIN 1 -300 100 100 R 50 50 1 1 W
X GND 2 0 -300 100 U 50 50 1 1 W
X EN 3 -300 0 100 R 50 50 1 1 I
X NC 4 200 0 100 L 50 50 1 1 N N
X VOUT 5 300 100 100 L 50 50 1 1 w
ENDDRAW
ENDDEF
#
# power_+1V8
#
DEF power_+1V8 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+1V8" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +1V8 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+3V3
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,529 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"board_outline_line_width": 0.15,
"copper_line_width": 0.15239999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.09999999999999999,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.0,
"height": 0.95,
"width": 0.7
},
"silk_line_width": 0.15,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"min_clearance": 0.15
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [],
"meta": {
"filename": "board_design_settings.json",
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"connection_width": "warning",
"copper_edge_clearance": "error",
"copper_sliver": "error",
"courtyards_overlap": "warning",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "error",
"extra_footprint": "error",
"footprint": "error",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"isolated_copper": "warning",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"lib_footprint_issues": "ignore",
"lib_footprint_mismatch": "warning",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "error",
"net_conflict": "error",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_edge_clearance": "warning",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"solder_mask_bridge": "warning",
"starved_thermal": "error",
"text_height": "warning",
"text_thickness": "warning",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zones_intersect": "error"
},
"rule_severitieslegacy_courtyards_overlap": true,
"rule_severitieslegacy_no_courtyard_defined": false,
"rules": {
"max_error": 0.005,
"min_clearance": 0.15,
"min_connection": 0.12,
"min_copper_edge_clearance": 0.4064,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.254,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_resolved_spokes": 2,
"min_silk_clearance": 0.0,
"min_text_height": 0.7999999999999999,
"min_text_thickness": 0.08,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.15,
"min_via_annular_width": 0.09999999999999999,
"min_via_diameter": 0.5,
"solder_mask_to_copper_clearance": 0.0,
"use_height_for_length_calcs": true
},
"teardrop_options": [
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 5,
"td_on_pad_in_zone": false,
"td_onpadsmd": true,
"td_onroundshapesonly": false,
"td_ontrackend": false,
"td_onviapad": true
}
],
"teardrop_parameters": [
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_round_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_rect_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_track_end",
"td_width_to_size_filter_ratio": 0.9
}
],
"track_widths": [
0.0,
0.15,
0.2,
0.25,
0.3,
0.35,
0.4,
0.45,
0.5,
0.6,
0.8,
1.0,
1.27,
1.524
],
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 0.5,
"drill": 0.3
},
{
"diameter": 0.6,
"drill": 0.3
},
{
"diameter": 0.8,
"drill": 0.4
},
{
"diameter": 1.0,
"drill": 0.5
},
{
"diameter": 1.524,
"drill": 0.762
}
],
"zones_allow_external_fillets": false
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "error",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "RAM2E.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.15,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.15,
"via_diameter": 0.5,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "RAM2E.net",
"specctra_dsn": "",
"step": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.25,
"pin_symbol_size": 0.0,
"text_offset_ratio": 0.08
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "Pcbnew",
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"0dd11af3-0465-4c84-bbb0-f3ae16a77316",
""
],
[
"00000000-0000-0000-0000-00005e93a857",
"Docs"
]
],
"text_variables": {}
}

8000
Hardware/MAX/RAM2E.kicad_sch Normal file

File diff suppressed because it is too large Load Diff

View File

@ -1,269 +0,0 @@
update=Tuesday, June 01, 2021 at 03:21:51 AM
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=RAM2E.net
CopperLayerCount=4
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.15
MinViaDiameter=0.5
MinViaDrill=0.2
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.15
TrackWidth2=0.2
TrackWidth3=0.25
TrackWidth4=0.3
TrackWidth5=0.35
TrackWidth6=0.4
TrackWidth7=0.45
TrackWidth8=0.5
TrackWidth9=0.6
TrackWidth10=0.65
TrackWidth11=0.8
TrackWidth12=1
TrackWidth13=1.27
TrackWidth14=1.524
ViaDiameter1=0.5
ViaDrill1=0.2
ViaDiameter2=0.6
ViaDrill2=0.3
ViaDiameter3=0.8
ViaDrill3=0.4
ViaDiameter4=1
ViaDrill4=0.5
ViaDiameter5=1.524
ViaDrill5=0.762
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.15
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.1524
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.15
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.05
SolderMaskMinWidth=0.09999999999999999
SolderPasteClearance=-0.03809999999999999
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=1
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=1
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.15
TrackWidth=0.15
ViaDiameter=0.5
ViaDrill=0.2
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=Pcbnew
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1

File diff suppressed because it is too large Load Diff

View File

@ -1,22 +0,0 @@
Reference, Quantity, Value, Footprint, Datasheet, LCSC Part, Mfg. Part Numbers, Notes
C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 ,22,2u2,stdpads:C_0603,,C23630,Samsung CL10A225KO8NNNC,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
C1 C2 C3 C4 C5 C6 C29 ,7,10u,stdpads:C_0805,,C15850,Samsung CL21A106KAYNNNE,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
D1 ,1,White,stdpads:LED_0805,,C34499,Hubei Kento C34499,Any manufacturer's part is acceptable.
FID1 FID2 FID3 FID4 ,4,Fiducial,stdpads:Fiducial,,,,DNP - SMT vision system fiducial
H1 H2 H3 H4 ,4, ,stdpads:PasteHole_1.152mm_NPTH,,,,DNP - mounting hole for solder paste printing
H5 ,1, ,stdpads:PasteHole_1.1mm_PTH,,,,DNP - mounting hole
J1 ,1,AppleIIeAux,stdpads:AppleIIeAux_Edge,,,,DNP - edge connector
J2 ,1,JTAG,stdpads:TC2050,,,,DNP - test pad connector
J3 ,1,C14M,Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical,,,,DNP
R1 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R2 R3 R4 R5 ,4,47,stdpads:R_0603,,C23182,Uniroyal 0603WAF470JT5E,Any manufacturer's part is acceptable.
R6 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R7 ,1,DNP,stdpads:R_0805,,,,
R8 ,1,180,stdpads:R_0805,,C25270,Uniroyal 0805W8F1800T5E,Any manufacturer's part is acceptable.
R9 R10 ,2,22k,stdpads:R_0805,,C17560,Uniroyal 0805W8F2202T5E,Any manufacturer's part is acceptable.
U1 ,1,EPM240T100,stdpads:TQFP-100_14x14mm_P0.5mm,,C10041,"AGM/Alta-Gate AG256SL100, AGM AG256SL100C3, AGM AG256SL100C4, AGM/Alta-Gate AG272SL100, AGM AG272SL100C3, AGM AG272SL100C4, AGM/Alta-Gate AG576SL100, AGM AG576SL100C3, AGM AG576SL100C4",
U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G",Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
U3 U4 U5 ,3,74LVC245APW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C6082,"NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW",Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
U6 U7 ,2,74AHCT245PW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C173388,"NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW",Most 74AHCT245 in TSSOP-20 package is acceptable.
U8 ,1,XC6206P332MR,stdpads:SOT-23,,C5446,Torex XC6206P332MR,Most 3.3V regulator in SOT-23 package is acceptable.
U9 ,1,AP2127K-1.8TRG1,stdpads:SOT-23-5,,C151375,"Diodes AP2127K-1.8TRG1, Torex XC6228D182VR",Most 1.8V regulator in SOT-23-5 package is acceptable.
1 Reference Quantity Value Footprint Datasheet LCSC Part Mfg. Part Numbers Notes
2 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 22 2u2 stdpads:C_0603 C23630 Samsung CL10A225KO8NNNC 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
3 C1 C2 C3 C4 C5 C6 C29 7 10u stdpads:C_0805 C15850 Samsung CL21A106KAYNNNE 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
4 D1 1 White stdpads:LED_0805 C34499 Hubei Kento C34499 Any manufacturer's part is acceptable.
5 FID1 FID2 FID3 FID4 4 Fiducial stdpads:Fiducial DNP - SMT vision system fiducial
6 H1 H2 H3 H4 4 stdpads:PasteHole_1.152mm_NPTH DNP - mounting hole for solder paste printing
7 H5 1 stdpads:PasteHole_1.1mm_PTH DNP - mounting hole
8 J1 1 AppleIIeAux stdpads:AppleIIeAux_Edge DNP - edge connector
9 J2 1 JTAG stdpads:TC2050 DNP - test pad connector
10 J3 1 C14M Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical DNP
11 R1 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
12 R2 R3 R4 R5 4 47 stdpads:R_0603 C23182 Uniroyal 0603WAF470JT5E Any manufacturer's part is acceptable.
13 R6 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
14 R7 1 DNP stdpads:R_0805
15 R8 1 180 stdpads:R_0805 C25270 Uniroyal 0805W8F1800T5E Any manufacturer's part is acceptable.
16 R9 R10 2 22k stdpads:R_0805 C17560 Uniroyal 0805W8F2202T5E Any manufacturer's part is acceptable.
17 U1 1 EPM240T100 stdpads:TQFP-100_14x14mm_P0.5mm C10041 AGM/Alta-Gate AG256SL100, AGM AG256SL100C3, AGM AG256SL100C4, AGM/Alta-Gate AG272SL100, AGM AG272SL100C3, AGM AG272SL100C4, AGM/Alta-Gate AG576SL100, AGM AG576SL100C3, AGM AG576SL100C4
18 U2 1 W9812G6KH-6 stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm C62379 Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
19 U3 U4 U5 3 74LVC245APW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C6082 NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
20 U6 U7 2 74AHCT245PW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C173388 NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW Most 74AHCT245 in TSSOP-20 package is acceptable.
21 U8 1 XC6206P332MR stdpads:SOT-23 C5446 Torex XC6206P332MR Most 3.3V regulator in SOT-23 package is acceptable.
22 U9 1 AP2127K-1.8TRG1 stdpads:SOT-23-5 C151375 Diodes AP2127K-1.8TRG1, Torex XC6228D182VR Most 1.8V regulator in SOT-23-5 package is acceptable.

View File

@ -1,22 +0,0 @@
Reference, Quantity, Value, Footprint, Datasheet, LCSC Part, Mfg. Part Numbers, Notes
C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 ,22,2u2,stdpads:C_0603,,C23630,Samsung CL10A225KO8NNNC,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
C1 C2 C3 C4 C5 C6 C29 ,7,10u,stdpads:C_0805,,C15850,Samsung CL21A106KAYNNNE,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
D1 ,1,White,stdpads:LED_0805,,C34499,Hubei Kento C34499,Any manufacturer's part is acceptable.
FID1 FID2 FID3 FID4 ,4,Fiducial,stdpads:Fiducial,,,,DNP - SMT vision system fiducial
H1 H2 H3 H4 ,4, ,stdpads:PasteHole_1.152mm_NPTH,,,,DNP - mounting hole for solder paste printing
H5 ,1, ,stdpads:PasteHole_1.1mm_PTH,,,,DNP - mounting hole
J1 ,1,AppleIIeAux,stdpads:AppleIIeAux_Edge,,,,DNP - edge connector
J2 ,1,JTAG,stdpads:TC2050,,,,DNP - test pad connector
J3 ,1,C14M,Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical,,,,DNP
R1 ,1,DNP,stdpads:R_0805,,,,
R2 R3 R4 R5 ,4,47,stdpads:R_0603,,C23182,Uniroyal 0603WAF470JT5E,Any manufacturer's part is acceptable.
R6 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R7 ,1,DNP,stdpads:R_0805,,,,
R8 ,1,180,stdpads:R_0805,,C25270,Uniroyal 0805W8F1800T5E,Any manufacturer's part is acceptable.
R9 R10 ,2,22k,stdpads:R_0805,,C17560,Uniroyal 0805W8F2202T5E,Any manufacturer's part is acceptable.
U1 ,1,5M240ZT100,stdpads:TQFP-100_14x14mm_P0.5mm,,C10041,"Altera EPM240GT100C5N, Altera EPM240GT100C4N, Altera EPM240GT100C3N, Altera EPM240GT100I5N, Altera EPM240GT100I4N, Altera EPM240GT100A5N, Altera EPM240GT100A4N",
U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G",Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
U3 U4 U5 ,3,74LVC245APW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C6082,"NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW",Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
U6 U7 ,2,74AHCT245PW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C173388,"NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW",Most 74AHCT245 in TSSOP-20 package is acceptable.
U8 ,1,XC6206P332MR,stdpads:SOT-23,,C5446,Torex XC6206P332MR,Most 3.3V regulator in SOT-23 package is acceptable.
U9 ,1,AP2127K-1.8TRG1,stdpads:SOT-23-5,,C151375,"Diodes AP2127K-1.8TRG1, Torex XC6228D182VR",Most 1.8V regulator in SOT-23-5 package is acceptable.
1 Reference Quantity Value Footprint Datasheet LCSC Part Mfg. Part Numbers Notes
2 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 22 2u2 stdpads:C_0603 C23630 Samsung CL10A225KO8NNNC 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
3 C1 C2 C3 C4 C5 C6 C29 7 10u stdpads:C_0805 C15850 Samsung CL21A106KAYNNNE 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
4 D1 1 White stdpads:LED_0805 C34499 Hubei Kento C34499 Any manufacturer's part is acceptable.
5 FID1 FID2 FID3 FID4 4 Fiducial stdpads:Fiducial DNP - SMT vision system fiducial
6 H1 H2 H3 H4 4 stdpads:PasteHole_1.152mm_NPTH DNP - mounting hole for solder paste printing
7 H5 1 stdpads:PasteHole_1.1mm_PTH DNP - mounting hole
8 J1 1 AppleIIeAux stdpads:AppleIIeAux_Edge DNP - edge connector
9 J2 1 JTAG stdpads:TC2050 DNP - test pad connector
10 J3 1 C14M Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical DNP
11 R1 1 DNP stdpads:R_0805
12 R2 R3 R4 R5 4 47 stdpads:R_0603 C23182 Uniroyal 0603WAF470JT5E Any manufacturer's part is acceptable.
13 R6 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
14 R7 1 DNP stdpads:R_0805
15 R8 1 180 stdpads:R_0805 C25270 Uniroyal 0805W8F1800T5E Any manufacturer's part is acceptable.
16 R9 R10 2 22k stdpads:R_0805 C17560 Uniroyal 0805W8F2202T5E Any manufacturer's part is acceptable.
17 U1 1 5M240ZT100 stdpads:TQFP-100_14x14mm_P0.5mm C10041 Altera EPM240GT100C5N, Altera EPM240GT100C4N, Altera EPM240GT100C3N, Altera EPM240GT100I5N, Altera EPM240GT100I4N, Altera EPM240GT100A5N, Altera EPM240GT100A4N
18 U2 1 W9812G6KH-6 stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm C62379 Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
19 U3 U4 U5 3 74LVC245APW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C6082 NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
20 U6 U7 2 74AHCT245PW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C173388 NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW Most 74AHCT245 in TSSOP-20 package is acceptable.
21 U8 1 XC6206P332MR stdpads:SOT-23 C5446 Torex XC6206P332MR Most 3.3V regulator in SOT-23 package is acceptable.
22 U9 1 AP2127K-1.8TRG1 stdpads:SOT-23-5 C151375 Diodes AP2127K-1.8TRG1, Torex XC6228D182VR Most 1.8V regulator in SOT-23-5 package is acceptable.

View File

@ -1,22 +0,0 @@
Reference, Quantity, Value, Footprint, Datasheet, LCSC Part, Mfg. Part Numbers, Notes
C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 ,22,2u2,stdpads:C_0603,,C23630,Samsung CL10A225KO8NNNC,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
C1 C2 C3 C4 C5 C6 C29 ,7,10u,stdpads:C_0805,,C15850,Samsung CL21A106KAYNNNE,"10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred."
D1 ,1,White,stdpads:LED_0805,,C34499,Hubei Kento C34499,Any manufacturer's part is acceptable.
FID1 FID2 FID3 FID4 ,4,Fiducial,stdpads:Fiducial,,,,DNP - SMT vision system fiducial
H1 H2 H3 H4 ,4, ,stdpads:PasteHole_1.152mm_NPTH,,,,DNP - mounting hole for solder paste printing
H5 ,1, ,stdpads:PasteHole_1.1mm_PTH,,,,DNP - mounting hole
J1 ,1,AppleIIeAux,stdpads:AppleIIeAux_Edge,,,,DNP - edge connector
J2 ,1,JTAG,stdpads:TC2050,,,,DNP - test pad connector
J3 ,1,C14M,Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical,,,,DNP
R1 ,1,DNP,stdpads:R_0805,,,,
R2 R3 R4 R5 ,4,47,stdpads:R_0603,,C23182,Uniroyal 0603WAF470JT5E,Any manufacturer's part is acceptable.
R6 ,1,0,stdpads:R_0805,,C17477,Uniroyal 0805W8F0000T5E,Any manufacturer's part is acceptable.
R7 ,1,DNP,stdpads:R_0805,,,,
R8 ,1,180,stdpads:R_0805,,C25270,Uniroyal 0805W8F1800T5E,Any manufacturer's part is acceptable.
R9 R10 ,2,22k,stdpads:R_0805,,C17560,Uniroyal 0805W8F2202T5E,Any manufacturer's part is acceptable.
U1 ,1,5M240ZT100,stdpads:TQFP-100_14x14mm_P0.5mm,,C10041,"Altera EPM240ZT100C5N, Altera EPM240ZT100C4N, Altera EPM240ZT100C3N, Altera EPM240ZT100I5N, Altera EPM240ZT100I4N, Altera EPM240ZT100A5N, Altera EPM240ZT100A4N",
U2 ,1,W9812G6KH-6,stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm,,C62379,"Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G",Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
U3 U4 U5 ,3,74LVC245APW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C6082,"NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW",Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
U6 U7 ,2,74AHCT245PW,stdpads:TSSOP-20_4.4x6.5mm_P0.65mm,,C173388,"NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW",Most 74AHCT245 in TSSOP-20 package is acceptable.
U8 ,1,XC6206P332MR,stdpads:SOT-23,,C5446,Torex XC6206P332MR,Most 3.3V regulator in SOT-23 package is acceptable.
U9 ,1,AP2127K-1.8TRG1,stdpads:SOT-23-5,,C151375,"Diodes AP2127K-1.8TRG1, Torex XC6228D182VR",Most 1.8V regulator in SOT-23-5 package is acceptable.
1 Reference Quantity Value Footprint Datasheet LCSC Part Mfg. Part Numbers Notes
2 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 22 2u2 stdpads:C_0603 C23630 Samsung CL10A225KO8NNNC 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
3 C1 C2 C3 C4 C5 C6 C29 7 10u stdpads:C_0805 C15850 Samsung CL21A106KAYNNNE 10V or higher. Any manufacturer's part is acceptable but Samsung, Murata, Yageo preferred.
4 D1 1 White stdpads:LED_0805 C34499 Hubei Kento C34499 Any manufacturer's part is acceptable.
5 FID1 FID2 FID3 FID4 4 Fiducial stdpads:Fiducial DNP - SMT vision system fiducial
6 H1 H2 H3 H4 4 stdpads:PasteHole_1.152mm_NPTH DNP - mounting hole for solder paste printing
7 H5 1 stdpads:PasteHole_1.1mm_PTH DNP - mounting hole
8 J1 1 AppleIIeAux stdpads:AppleIIeAux_Edge DNP - edge connector
9 J2 1 JTAG stdpads:TC2050 DNP - test pad connector
10 J3 1 C14M Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical DNP
11 R1 1 DNP stdpads:R_0805
12 R2 R3 R4 R5 4 47 stdpads:R_0603 C23182 Uniroyal 0603WAF470JT5E Any manufacturer's part is acceptable.
13 R6 1 0 stdpads:R_0805 C17477 Uniroyal 0805W8F0000T5E Any manufacturer's part is acceptable.
14 R7 1 DNP stdpads:R_0805
15 R8 1 180 stdpads:R_0805 C25270 Uniroyal 0805W8F1800T5E Any manufacturer's part is acceptable.
16 R9 R10 2 22k stdpads:R_0805 C17560 Uniroyal 0805W8F2202T5E Any manufacturer's part is acceptable.
17 U1 1 5M240ZT100 stdpads:TQFP-100_14x14mm_P0.5mm C10041 Altera EPM240ZT100C5N, Altera EPM240ZT100C4N, Altera EPM240ZT100C3N, Altera EPM240ZT100I5N, Altera EPM240ZT100I4N, Altera EPM240ZT100A5N, Altera EPM240ZT100A4N
18 U2 1 W9812G6KH-6 stdpads:TSOP-II-54_22.2x10.16mm_P0.8mm C62379 Winbond W9812G6KH-6, Winbond W9812G6KH-6I, Winbond W9825G6KH-6, Winbond W9825G6KH-6I, ISSI IS42S16160J-6TL, ISSI IS42S16160J-6TLI, Micron MT48LC16M16A2P-6A :G, Micron MT48LC16M16A2P-6A IT:G Most 166 MHz 128/256 Mbit x16 SDRAM is acceptable.
19 U3 U4 U5 3 74LVC245APW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C6082 NXP 74LVC245APW, TI SN74LVC245APW, NXP 74AHC245PW, NXP 74AHC245APW, TI SN74AHC245PW Most 74LVC245 or 74AHC245 in TSSOP-20 package is acceptable.
20 U6 U7 2 74AHCT245PW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C173388 NXP 74AHCT245PW, NXP 74AHCT245APW, TI SN74AHCT245PW Most 74AHCT245 in TSSOP-20 package is acceptable.
21 U8 1 XC6206P332MR stdpads:SOT-23 C5446 Torex XC6206P332MR Most 3.3V regulator in SOT-23 package is acceptable.
22 U9 1 AP2127K-1.8TRG1 stdpads:SOT-23-5 C151375 Diodes AP2127K-1.8TRG1, Torex XC6228D182VR Most 1.8V regulator in SOT-23-5 package is acceptable.

File diff suppressed because it is too large Load Diff

View File

@ -1,22 +1,42 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)*
G04 #@! TF.CreationDate,2021-06-01T03:35:06-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.0*
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-10-30T17:31:38-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.1*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-06-01 03:35:06*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-10-30 17:31:38*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11O,1.800000X1.800000*%
%ADD12C,2.100000*%
%ADD13C,2.474900*%
%ADD14C,1.090600*%
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10C,0.000000*%
%ADD11RoundRect,0.457200X0.381000X3.289000X-0.381000X3.289000X-0.381000X-3.289000X0.381000X-3.289000X0*%
%ADD12C,2.152400*%
%ADD13C,2.527300*%
%ADD14C,1.143000*%
%ADD15C,1.448000*%
%ADD16RoundRect,0.076200X-0.850000X-0.850000X0.850000X-0.850000X0.850000X0.850000X-0.850000X0.850000X0*%
%ADD17O,1.852400X1.852400*%
G04 APERTURE END LIST*
D10*
G36*
@ -29,776 +49,57 @@ X198374000Y-132080000D01*
X276606000Y-132080000D01*
X276606000Y-139446000D01*
G37*
G36*
X276606000Y-139446000D02*
G01*
X276098000Y-139954000D01*
X198882000Y-139954000D01*
X198374000Y-139446000D01*
X198374000Y-132080000D01*
X276606000Y-132080000D01*
X276606000Y-139446000D01*
G37*
D11*
X202946000Y-100965000D03*
G36*
G01*
X202046000Y-99275000D02*
X202046000Y-97575000D01*
G75*
G02*
X202096000Y-97525000I50000J0D01*
G01*
X203796000Y-97525000D01*
G75*
G02*
X203846000Y-97575000I0J-50000D01*
G01*
X203846000Y-99275000D01*
G75*
G02*
X203796000Y-99325000I-50000J0D01*
G01*
X202096000Y-99325000D01*
G75*
G02*
X202046000Y-99275000I0J50000D01*
G01*
G37*
X274320000Y-135282000D03*
X271780000Y-135282000D03*
X269240000Y-135282000D03*
X266700000Y-135282000D03*
X264160000Y-135282000D03*
X261620000Y-135282000D03*
X259080000Y-135282000D03*
X256540000Y-135282000D03*
X254000000Y-135282000D03*
X251460000Y-135282000D03*
X248920000Y-135282000D03*
X246380000Y-135282000D03*
X243840000Y-135282000D03*
X241300000Y-135282000D03*
X238760000Y-135282000D03*
X236220000Y-135282000D03*
X233680000Y-135282000D03*
X231140000Y-135282000D03*
X228600000Y-135282000D03*
X226060000Y-135282000D03*
X223520000Y-135282000D03*
X220980000Y-135282000D03*
X218440000Y-135282000D03*
X215900000Y-135282000D03*
X213360000Y-135282000D03*
X210820000Y-135282000D03*
X208280000Y-135282000D03*
X205740000Y-135282000D03*
X203200000Y-135282000D03*
X200660000Y-135282000D03*
D12*
X274320000Y-128397000D03*
D13*
X208026000Y-117475000D03*
X202946000Y-117475000D03*
X202946000Y-111760000D03*
X208026000Y-111760000D03*
D14*
X205486000Y-111760000D03*
X204470000Y-119380000D03*
D13*
X202946000Y-111760000D03*
X208026000Y-117475000D03*
X202946000Y-117475000D03*
D14*
X206502000Y-119380000D03*
G36*
G01*
X201472000Y-131968000D02*
X201472000Y-138596000D01*
G75*
G02*
X201066000Y-139002000I-406000J0D01*
G01*
X200254000Y-139002000D01*
G75*
G02*
X199848000Y-138596000I0J406000D01*
G01*
X199848000Y-131968000D01*
G75*
G02*
X200254000Y-131562000I406000J0D01*
G01*
X201066000Y-131562000D01*
G75*
G02*
X201472000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X204012000Y-131968000D02*
X204012000Y-138596000D01*
G75*
G02*
X203606000Y-139002000I-406000J0D01*
G01*
X202794000Y-139002000D01*
G75*
G02*
X202388000Y-138596000I0J406000D01*
G01*
X202388000Y-131968000D01*
G75*
G02*
X202794000Y-131562000I406000J0D01*
G01*
X203606000Y-131562000D01*
G75*
G02*
X204012000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X206552000Y-131968000D02*
X206552000Y-138596000D01*
G75*
G02*
X206146000Y-139002000I-406000J0D01*
G01*
X205334000Y-139002000D01*
G75*
G02*
X204928000Y-138596000I0J406000D01*
G01*
X204928000Y-131968000D01*
G75*
G02*
X205334000Y-131562000I406000J0D01*
G01*
X206146000Y-131562000D01*
G75*
G02*
X206552000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X209092000Y-131968000D02*
X209092000Y-138596000D01*
G75*
G02*
X208686000Y-139002000I-406000J0D01*
G01*
X207874000Y-139002000D01*
G75*
G02*
X207468000Y-138596000I0J406000D01*
G01*
X207468000Y-131968000D01*
G75*
G02*
X207874000Y-131562000I406000J0D01*
G01*
X208686000Y-131562000D01*
G75*
G02*
X209092000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X211632000Y-131968000D02*
X211632000Y-138596000D01*
G75*
G02*
X211226000Y-139002000I-406000J0D01*
G01*
X210414000Y-139002000D01*
G75*
G02*
X210008000Y-138596000I0J406000D01*
G01*
X210008000Y-131968000D01*
G75*
G02*
X210414000Y-131562000I406000J0D01*
G01*
X211226000Y-131562000D01*
G75*
G02*
X211632000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X214172000Y-131968000D02*
X214172000Y-138596000D01*
G75*
G02*
X213766000Y-139002000I-406000J0D01*
G01*
X212954000Y-139002000D01*
G75*
G02*
X212548000Y-138596000I0J406000D01*
G01*
X212548000Y-131968000D01*
G75*
G02*
X212954000Y-131562000I406000J0D01*
G01*
X213766000Y-131562000D01*
G75*
G02*
X214172000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X216712000Y-131968000D02*
X216712000Y-138596000D01*
G75*
G02*
X216306000Y-139002000I-406000J0D01*
G01*
X215494000Y-139002000D01*
G75*
G02*
X215088000Y-138596000I0J406000D01*
G01*
X215088000Y-131968000D01*
G75*
G02*
X215494000Y-131562000I406000J0D01*
G01*
X216306000Y-131562000D01*
G75*
G02*
X216712000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X219252000Y-131968000D02*
X219252000Y-138596000D01*
G75*
G02*
X218846000Y-139002000I-406000J0D01*
G01*
X218034000Y-139002000D01*
G75*
G02*
X217628000Y-138596000I0J406000D01*
G01*
X217628000Y-131968000D01*
G75*
G02*
X218034000Y-131562000I406000J0D01*
G01*
X218846000Y-131562000D01*
G75*
G02*
X219252000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X221792000Y-131968000D02*
X221792000Y-138596000D01*
G75*
G02*
X221386000Y-139002000I-406000J0D01*
G01*
X220574000Y-139002000D01*
G75*
G02*
X220168000Y-138596000I0J406000D01*
G01*
X220168000Y-131968000D01*
G75*
G02*
X220574000Y-131562000I406000J0D01*
G01*
X221386000Y-131562000D01*
G75*
G02*
X221792000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X224332000Y-131968000D02*
X224332000Y-138596000D01*
G75*
G02*
X223926000Y-139002000I-406000J0D01*
G01*
X223114000Y-139002000D01*
G75*
G02*
X222708000Y-138596000I0J406000D01*
G01*
X222708000Y-131968000D01*
G75*
G02*
X223114000Y-131562000I406000J0D01*
G01*
X223926000Y-131562000D01*
G75*
G02*
X224332000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X226872000Y-131968000D02*
X226872000Y-138596000D01*
G75*
G02*
X226466000Y-139002000I-406000J0D01*
G01*
X225654000Y-139002000D01*
G75*
G02*
X225248000Y-138596000I0J406000D01*
G01*
X225248000Y-131968000D01*
G75*
G02*
X225654000Y-131562000I406000J0D01*
G01*
X226466000Y-131562000D01*
G75*
G02*
X226872000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X229412000Y-131968000D02*
X229412000Y-138596000D01*
G75*
G02*
X229006000Y-139002000I-406000J0D01*
G01*
X228194000Y-139002000D01*
G75*
G02*
X227788000Y-138596000I0J406000D01*
G01*
X227788000Y-131968000D01*
G75*
G02*
X228194000Y-131562000I406000J0D01*
G01*
X229006000Y-131562000D01*
G75*
G02*
X229412000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X231952000Y-131968000D02*
X231952000Y-138596000D01*
G75*
G02*
X231546000Y-139002000I-406000J0D01*
G01*
X230734000Y-139002000D01*
G75*
G02*
X230328000Y-138596000I0J406000D01*
G01*
X230328000Y-131968000D01*
G75*
G02*
X230734000Y-131562000I406000J0D01*
G01*
X231546000Y-131562000D01*
G75*
G02*
X231952000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X234492000Y-131968000D02*
X234492000Y-138596000D01*
G75*
G02*
X234086000Y-139002000I-406000J0D01*
G01*
X233274000Y-139002000D01*
G75*
G02*
X232868000Y-138596000I0J406000D01*
G01*
X232868000Y-131968000D01*
G75*
G02*
X233274000Y-131562000I406000J0D01*
G01*
X234086000Y-131562000D01*
G75*
G02*
X234492000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X237032000Y-131968000D02*
X237032000Y-138596000D01*
G75*
G02*
X236626000Y-139002000I-406000J0D01*
G01*
X235814000Y-139002000D01*
G75*
G02*
X235408000Y-138596000I0J406000D01*
G01*
X235408000Y-131968000D01*
G75*
G02*
X235814000Y-131562000I406000J0D01*
G01*
X236626000Y-131562000D01*
G75*
G02*
X237032000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X239572000Y-131968000D02*
X239572000Y-138596000D01*
G75*
G02*
X239166000Y-139002000I-406000J0D01*
G01*
X238354000Y-139002000D01*
G75*
G02*
X237948000Y-138596000I0J406000D01*
G01*
X237948000Y-131968000D01*
G75*
G02*
X238354000Y-131562000I406000J0D01*
G01*
X239166000Y-131562000D01*
G75*
G02*
X239572000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X242112000Y-131968000D02*
X242112000Y-138596000D01*
G75*
G02*
X241706000Y-139002000I-406000J0D01*
G01*
X240894000Y-139002000D01*
G75*
G02*
X240488000Y-138596000I0J406000D01*
G01*
X240488000Y-131968000D01*
G75*
G02*
X240894000Y-131562000I406000J0D01*
G01*
X241706000Y-131562000D01*
G75*
G02*
X242112000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X244652000Y-131968000D02*
X244652000Y-138596000D01*
G75*
G02*
X244246000Y-139002000I-406000J0D01*
G01*
X243434000Y-139002000D01*
G75*
G02*
X243028000Y-138596000I0J406000D01*
G01*
X243028000Y-131968000D01*
G75*
G02*
X243434000Y-131562000I406000J0D01*
G01*
X244246000Y-131562000D01*
G75*
G02*
X244652000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X247192000Y-131968000D02*
X247192000Y-138596000D01*
G75*
G02*
X246786000Y-139002000I-406000J0D01*
G01*
X245974000Y-139002000D01*
G75*
G02*
X245568000Y-138596000I0J406000D01*
G01*
X245568000Y-131968000D01*
G75*
G02*
X245974000Y-131562000I406000J0D01*
G01*
X246786000Y-131562000D01*
G75*
G02*
X247192000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X249732000Y-131968000D02*
X249732000Y-138596000D01*
G75*
G02*
X249326000Y-139002000I-406000J0D01*
G01*
X248514000Y-139002000D01*
G75*
G02*
X248108000Y-138596000I0J406000D01*
G01*
X248108000Y-131968000D01*
G75*
G02*
X248514000Y-131562000I406000J0D01*
G01*
X249326000Y-131562000D01*
G75*
G02*
X249732000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X252272000Y-131968000D02*
X252272000Y-138596000D01*
G75*
G02*
X251866000Y-139002000I-406000J0D01*
G01*
X251054000Y-139002000D01*
G75*
G02*
X250648000Y-138596000I0J406000D01*
G01*
X250648000Y-131968000D01*
G75*
G02*
X251054000Y-131562000I406000J0D01*
G01*
X251866000Y-131562000D01*
G75*
G02*
X252272000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X254812000Y-131968000D02*
X254812000Y-138596000D01*
G75*
G02*
X254406000Y-139002000I-406000J0D01*
G01*
X253594000Y-139002000D01*
G75*
G02*
X253188000Y-138596000I0J406000D01*
G01*
X253188000Y-131968000D01*
G75*
G02*
X253594000Y-131562000I406000J0D01*
G01*
X254406000Y-131562000D01*
G75*
G02*
X254812000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X257352000Y-131968000D02*
X257352000Y-138596000D01*
G75*
G02*
X256946000Y-139002000I-406000J0D01*
G01*
X256134000Y-139002000D01*
G75*
G02*
X255728000Y-138596000I0J406000D01*
G01*
X255728000Y-131968000D01*
G75*
G02*
X256134000Y-131562000I406000J0D01*
G01*
X256946000Y-131562000D01*
G75*
G02*
X257352000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X259892000Y-131968000D02*
X259892000Y-138596000D01*
G75*
G02*
X259486000Y-139002000I-406000J0D01*
G01*
X258674000Y-139002000D01*
G75*
G02*
X258268000Y-138596000I0J406000D01*
G01*
X258268000Y-131968000D01*
G75*
G02*
X258674000Y-131562000I406000J0D01*
G01*
X259486000Y-131562000D01*
G75*
G02*
X259892000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X262432000Y-131968000D02*
X262432000Y-138596000D01*
G75*
G02*
X262026000Y-139002000I-406000J0D01*
G01*
X261214000Y-139002000D01*
G75*
G02*
X260808000Y-138596000I0J406000D01*
G01*
X260808000Y-131968000D01*
G75*
G02*
X261214000Y-131562000I406000J0D01*
G01*
X262026000Y-131562000D01*
G75*
G02*
X262432000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X264972000Y-131968000D02*
X264972000Y-138596000D01*
G75*
G02*
X264566000Y-139002000I-406000J0D01*
G01*
X263754000Y-139002000D01*
G75*
G02*
X263348000Y-138596000I0J406000D01*
G01*
X263348000Y-131968000D01*
G75*
G02*
X263754000Y-131562000I406000J0D01*
G01*
X264566000Y-131562000D01*
G75*
G02*
X264972000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X267512000Y-131968000D02*
X267512000Y-138596000D01*
G75*
G02*
X267106000Y-139002000I-406000J0D01*
G01*
X266294000Y-139002000D01*
G75*
G02*
X265888000Y-138596000I0J406000D01*
G01*
X265888000Y-131968000D01*
G75*
G02*
X266294000Y-131562000I406000J0D01*
G01*
X267106000Y-131562000D01*
G75*
G02*
X267512000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X270052000Y-131968000D02*
X270052000Y-138596000D01*
G75*
G02*
X269646000Y-139002000I-406000J0D01*
G01*
X268834000Y-139002000D01*
G75*
G02*
X268428000Y-138596000I0J406000D01*
G01*
X268428000Y-131968000D01*
G75*
G02*
X268834000Y-131562000I406000J0D01*
G01*
X269646000Y-131562000D01*
G75*
G02*
X270052000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X272592000Y-131968000D02*
X272592000Y-138596000D01*
G75*
G02*
X272186000Y-139002000I-406000J0D01*
G01*
X271374000Y-139002000D01*
G75*
G02*
X270968000Y-138596000I0J406000D01*
G01*
X270968000Y-131968000D01*
G75*
G02*
X271374000Y-131562000I406000J0D01*
G01*
X272186000Y-131562000D01*
G75*
G02*
X272592000Y-131968000I0J-406000D01*
G01*
G37*
G36*
G01*
X275132000Y-131968000D02*
X275132000Y-138596000D01*
G75*
G02*
X274726000Y-139002000I-406000J0D01*
G01*
X273914000Y-139002000D01*
G75*
G02*
X273508000Y-138596000I0J406000D01*
G01*
X273508000Y-131968000D01*
G75*
G02*
X273914000Y-131562000I406000J0D01*
G01*
X274726000Y-131562000D01*
G75*
G02*
X275132000Y-131968000I0J-406000D01*
G01*
G37*
X204470000Y-119380000D03*
D15*
X200660000Y-129540000D03*
X200914000Y-92202000D03*
X274066000Y-92202000D03*
X274320000Y-125857000D03*
D16*
X202946000Y-98425000D03*
D17*
X202946000Y-100965000D03*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,776 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-10-30T17:31:38-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.1*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-10-30 17:31:38*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10C,0.200000*%
%ADD11C,0.203200*%
%ADD12C,0.190500*%
%ADD13C,0.000000*%
%ADD14RoundRect,0.457200X0.381000X3.289000X-0.381000X3.289000X-0.381000X-3.289000X0.381000X-3.289000X0*%
%ADD15C,2.152400*%
%ADD16C,2.527300*%
%ADD17C,1.143000*%
%ADD18C,1.448000*%
%ADD19RoundRect,0.076200X-0.850000X-0.850000X0.850000X-0.850000X0.850000X0.850000X-0.850000X0.850000X0*%
%ADD20O,1.852400X1.852400*%
G04 APERTURE END LIST*
D10*
X233489500Y-128333500D02*
X231330500Y-128333500D01*
X231330500Y-128333500D02*
X232410000Y-126428500D01*
X232410000Y-126428500D02*
X233489500Y-128333500D01*
D11*
X272445237Y-129865216D02*
X271903371Y-129865216D01*
X271903371Y-129865216D02*
X272445237Y-130678016D01*
X272445237Y-130678016D02*
X271903371Y-130678016D01*
X271593733Y-130678016D02*
X271593733Y-129865216D01*
X271129276Y-130678016D02*
X271477618Y-130213559D01*
X271129276Y-129865216D02*
X271593733Y-130329673D01*
X270742228Y-130639312D02*
X270742228Y-130678016D01*
X270742228Y-130678016D02*
X270780933Y-130755426D01*
X270780933Y-130755426D02*
X270819637Y-130794131D01*
X269348856Y-129903921D02*
X269426266Y-129865216D01*
X269426266Y-129865216D02*
X269542380Y-129865216D01*
X269542380Y-129865216D02*
X269658494Y-129903921D01*
X269658494Y-129903921D02*
X269735904Y-129981331D01*
X269735904Y-129981331D02*
X269774609Y-130058740D01*
X269774609Y-130058740D02*
X269813313Y-130213559D01*
X269813313Y-130213559D02*
X269813313Y-130329673D01*
X269813313Y-130329673D02*
X269774609Y-130484492D01*
X269774609Y-130484492D02*
X269735904Y-130561902D01*
X269735904Y-130561902D02*
X269658494Y-130639312D01*
X269658494Y-130639312D02*
X269542380Y-130678016D01*
X269542380Y-130678016D02*
X269464971Y-130678016D01*
X269464971Y-130678016D02*
X269348856Y-130639312D01*
X269348856Y-130639312D02*
X269310152Y-130600607D01*
X269310152Y-130600607D02*
X269310152Y-130329673D01*
X269310152Y-130329673D02*
X269464971Y-130329673D01*
X268690875Y-130252264D02*
X268961809Y-130252264D01*
X268961809Y-130678016D02*
X268961809Y-129865216D01*
X268961809Y-129865216D02*
X268574761Y-129865216D01*
D12*
X232410000Y-127933607D02*
X232371295Y-127972312D01*
X232371295Y-127972312D02*
X232410000Y-128011016D01*
X232410000Y-128011016D02*
X232448704Y-127972312D01*
X232448704Y-127972312D02*
X232410000Y-127933607D01*
X232410000Y-127933607D02*
X232410000Y-128011016D01*
X232410000Y-127701378D02*
X232448704Y-127236921D01*
X232448704Y-127236921D02*
X232410000Y-127198216D01*
X232410000Y-127198216D02*
X232371295Y-127236921D01*
X232371295Y-127236921D02*
X232410000Y-127701378D01*
X232410000Y-127701378D02*
X232410000Y-127198216D01*
D10*
X230293332Y-126698526D02*
X229567618Y-126698526D01*
X229930475Y-127968526D02*
X229930475Y-126698526D01*
X229144285Y-127968526D02*
X229144285Y-126698526D01*
X228599999Y-127968526D02*
X228599999Y-127303288D01*
X228599999Y-127303288D02*
X228660475Y-127182335D01*
X228660475Y-127182335D02*
X228781427Y-127121859D01*
X228781427Y-127121859D02*
X228962856Y-127121859D01*
X228962856Y-127121859D02*
X229083808Y-127182335D01*
X229083808Y-127182335D02*
X229144285Y-127242811D01*
X227995237Y-127968526D02*
X227995237Y-127121859D01*
X227995237Y-126698526D02*
X228055713Y-126759002D01*
X228055713Y-126759002D02*
X227995237Y-126819478D01*
X227995237Y-126819478D02*
X227934760Y-126759002D01*
X227934760Y-126759002D02*
X227995237Y-126698526D01*
X227995237Y-126698526D02*
X227995237Y-126819478D01*
X227450951Y-127908050D02*
X227329998Y-127968526D01*
X227329998Y-127968526D02*
X227088094Y-127968526D01*
X227088094Y-127968526D02*
X226967141Y-127908050D01*
X226967141Y-127908050D02*
X226906665Y-127787097D01*
X226906665Y-127787097D02*
X226906665Y-127726621D01*
X226906665Y-127726621D02*
X226967141Y-127605669D01*
X226967141Y-127605669D02*
X227088094Y-127545192D01*
X227088094Y-127545192D02*
X227269522Y-127545192D01*
X227269522Y-127545192D02*
X227390475Y-127484716D01*
X227390475Y-127484716D02*
X227450951Y-127363764D01*
X227450951Y-127363764D02*
X227450951Y-127303288D01*
X227450951Y-127303288D02*
X227390475Y-127182335D01*
X227390475Y-127182335D02*
X227269522Y-127121859D01*
X227269522Y-127121859D02*
X227088094Y-127121859D01*
X227088094Y-127121859D02*
X226967141Y-127182335D01*
X225455237Y-127908050D02*
X225273808Y-127968526D01*
X225273808Y-127968526D02*
X224971427Y-127968526D01*
X224971427Y-127968526D02*
X224850475Y-127908050D01*
X224850475Y-127908050D02*
X224789999Y-127847573D01*
X224789999Y-127847573D02*
X224729522Y-127726621D01*
X224729522Y-127726621D02*
X224729522Y-127605669D01*
X224729522Y-127605669D02*
X224789999Y-127484716D01*
X224789999Y-127484716D02*
X224850475Y-127424240D01*
X224850475Y-127424240D02*
X224971427Y-127363764D01*
X224971427Y-127363764D02*
X225213332Y-127303288D01*
X225213332Y-127303288D02*
X225334284Y-127242811D01*
X225334284Y-127242811D02*
X225394761Y-127182335D01*
X225394761Y-127182335D02*
X225455237Y-127061383D01*
X225455237Y-127061383D02*
X225455237Y-126940430D01*
X225455237Y-126940430D02*
X225394761Y-126819478D01*
X225394761Y-126819478D02*
X225334284Y-126759002D01*
X225334284Y-126759002D02*
X225213332Y-126698526D01*
X225213332Y-126698526D02*
X224910951Y-126698526D01*
X224910951Y-126698526D02*
X224729522Y-126759002D01*
X224185237Y-127968526D02*
X224185237Y-127121859D01*
X224185237Y-126698526D02*
X224245713Y-126759002D01*
X224245713Y-126759002D02*
X224185237Y-126819478D01*
X224185237Y-126819478D02*
X224124760Y-126759002D01*
X224124760Y-126759002D02*
X224185237Y-126698526D01*
X224185237Y-126698526D02*
X224185237Y-126819478D01*
X223036189Y-127968526D02*
X223036189Y-126698526D01*
X223036189Y-127908050D02*
X223157141Y-127968526D01*
X223157141Y-127968526D02*
X223399046Y-127968526D01*
X223399046Y-127968526D02*
X223519998Y-127908050D01*
X223519998Y-127908050D02*
X223580475Y-127847573D01*
X223580475Y-127847573D02*
X223640951Y-127726621D01*
X223640951Y-127726621D02*
X223640951Y-127363764D01*
X223640951Y-127363764D02*
X223580475Y-127242811D01*
X223580475Y-127242811D02*
X223519998Y-127182335D01*
X223519998Y-127182335D02*
X223399046Y-127121859D01*
X223399046Y-127121859D02*
X223157141Y-127121859D01*
X223157141Y-127121859D02*
X223036189Y-127182335D01*
X221947617Y-127908050D02*
X222068569Y-127968526D01*
X222068569Y-127968526D02*
X222310474Y-127968526D01*
X222310474Y-127968526D02*
X222431427Y-127908050D01*
X222431427Y-127908050D02*
X222491903Y-127787097D01*
X222491903Y-127787097D02*
X222491903Y-127303288D01*
X222491903Y-127303288D02*
X222431427Y-127182335D01*
X222431427Y-127182335D02*
X222310474Y-127121859D01*
X222310474Y-127121859D02*
X222068569Y-127121859D01*
X222068569Y-127121859D02*
X221947617Y-127182335D01*
X221947617Y-127182335D02*
X221887141Y-127303288D01*
X221887141Y-127303288D02*
X221887141Y-127424240D01*
X221887141Y-127424240D02*
X222491903Y-127545192D01*
X219951903Y-127303288D02*
X220375237Y-127303288D01*
X220375237Y-127968526D02*
X220375237Y-126698526D01*
X220375237Y-126698526D02*
X219770475Y-126698526D01*
X218742380Y-127968526D02*
X218742380Y-127303288D01*
X218742380Y-127303288D02*
X218802856Y-127182335D01*
X218802856Y-127182335D02*
X218923808Y-127121859D01*
X218923808Y-127121859D02*
X219165713Y-127121859D01*
X219165713Y-127121859D02*
X219286666Y-127182335D01*
X218742380Y-127908050D02*
X218863332Y-127968526D01*
X218863332Y-127968526D02*
X219165713Y-127968526D01*
X219165713Y-127968526D02*
X219286666Y-127908050D01*
X219286666Y-127908050D02*
X219347142Y-127787097D01*
X219347142Y-127787097D02*
X219347142Y-127666145D01*
X219347142Y-127666145D02*
X219286666Y-127545192D01*
X219286666Y-127545192D02*
X219165713Y-127484716D01*
X219165713Y-127484716D02*
X218863332Y-127484716D01*
X218863332Y-127484716D02*
X218742380Y-127424240D01*
X217593332Y-127908050D02*
X217714284Y-127968526D01*
X217714284Y-127968526D02*
X217956189Y-127968526D01*
X217956189Y-127968526D02*
X218077141Y-127908050D01*
X218077141Y-127908050D02*
X218137618Y-127847573D01*
X218137618Y-127847573D02*
X218198094Y-127726621D01*
X218198094Y-127726621D02*
X218198094Y-127363764D01*
X218198094Y-127363764D02*
X218137618Y-127242811D01*
X218137618Y-127242811D02*
X218077141Y-127182335D01*
X218077141Y-127182335D02*
X217956189Y-127121859D01*
X217956189Y-127121859D02*
X217714284Y-127121859D01*
X217714284Y-127121859D02*
X217593332Y-127182335D01*
X216565237Y-127908050D02*
X216686189Y-127968526D01*
X216686189Y-127968526D02*
X216928094Y-127968526D01*
X216928094Y-127968526D02*
X217049047Y-127908050D01*
X217049047Y-127908050D02*
X217109523Y-127787097D01*
X217109523Y-127787097D02*
X217109523Y-127303288D01*
X217109523Y-127303288D02*
X217049047Y-127182335D01*
X217049047Y-127182335D02*
X216928094Y-127121859D01*
X216928094Y-127121859D02*
X216686189Y-127121859D01*
X216686189Y-127121859D02*
X216565237Y-127182335D01*
X216565237Y-127182335D02*
X216504761Y-127303288D01*
X216504761Y-127303288D02*
X216504761Y-127424240D01*
X216504761Y-127424240D02*
X217109523Y-127545192D01*
X216020952Y-127908050D02*
X215899999Y-127968526D01*
X215899999Y-127968526D02*
X215658095Y-127968526D01*
X215658095Y-127968526D02*
X215537142Y-127908050D01*
X215537142Y-127908050D02*
X215476666Y-127787097D01*
X215476666Y-127787097D02*
X215476666Y-127726621D01*
X215476666Y-127726621D02*
X215537142Y-127605669D01*
X215537142Y-127605669D02*
X215658095Y-127545192D01*
X215658095Y-127545192D02*
X215839523Y-127545192D01*
X215839523Y-127545192D02*
X215960476Y-127484716D01*
X215960476Y-127484716D02*
X216020952Y-127363764D01*
X216020952Y-127363764D02*
X216020952Y-127303288D01*
X216020952Y-127303288D02*
X215960476Y-127182335D01*
X215960476Y-127182335D02*
X215839523Y-127121859D01*
X215839523Y-127121859D02*
X215658095Y-127121859D01*
X215658095Y-127121859D02*
X215537142Y-127182335D01*
X213964762Y-127968526D02*
X213964762Y-126698526D01*
X213964762Y-126698526D02*
X213480952Y-126698526D01*
X213480952Y-126698526D02*
X213360000Y-126759002D01*
X213360000Y-126759002D02*
X213299523Y-126819478D01*
X213299523Y-126819478D02*
X213239047Y-126940430D01*
X213239047Y-126940430D02*
X213239047Y-127121859D01*
X213239047Y-127121859D02*
X213299523Y-127242811D01*
X213299523Y-127242811D02*
X213360000Y-127303288D01*
X213360000Y-127303288D02*
X213480952Y-127363764D01*
X213480952Y-127363764D02*
X213964762Y-127363764D01*
X212513333Y-127968526D02*
X212634285Y-127908050D01*
X212634285Y-127908050D02*
X212694762Y-127847573D01*
X212694762Y-127847573D02*
X212755238Y-127726621D01*
X212755238Y-127726621D02*
X212755238Y-127363764D01*
X212755238Y-127363764D02*
X212694762Y-127242811D01*
X212694762Y-127242811D02*
X212634285Y-127182335D01*
X212634285Y-127182335D02*
X212513333Y-127121859D01*
X212513333Y-127121859D02*
X212331904Y-127121859D01*
X212331904Y-127121859D02*
X212210952Y-127182335D01*
X212210952Y-127182335D02*
X212150476Y-127242811D01*
X212150476Y-127242811D02*
X212090000Y-127363764D01*
X212090000Y-127363764D02*
X212090000Y-127726621D01*
X212090000Y-127726621D02*
X212150476Y-127847573D01*
X212150476Y-127847573D02*
X212210952Y-127908050D01*
X212210952Y-127908050D02*
X212331904Y-127968526D01*
X212331904Y-127968526D02*
X212513333Y-127968526D01*
X211666666Y-127121859D02*
X211424761Y-127968526D01*
X211424761Y-127968526D02*
X211182856Y-127363764D01*
X211182856Y-127363764D02*
X210940952Y-127968526D01*
X210940952Y-127968526D02*
X210699047Y-127121859D01*
X209731428Y-127908050D02*
X209852380Y-127968526D01*
X209852380Y-127968526D02*
X210094285Y-127968526D01*
X210094285Y-127968526D02*
X210215238Y-127908050D01*
X210215238Y-127908050D02*
X210275714Y-127787097D01*
X210275714Y-127787097D02*
X210275714Y-127303288D01*
X210275714Y-127303288D02*
X210215238Y-127182335D01*
X210215238Y-127182335D02*
X210094285Y-127121859D01*
X210094285Y-127121859D02*
X209852380Y-127121859D01*
X209852380Y-127121859D02*
X209731428Y-127182335D01*
X209731428Y-127182335D02*
X209670952Y-127303288D01*
X209670952Y-127303288D02*
X209670952Y-127424240D01*
X209670952Y-127424240D02*
X210275714Y-127545192D01*
X209126667Y-127968526D02*
X209126667Y-127121859D01*
X209126667Y-127363764D02*
X209066190Y-127242811D01*
X209066190Y-127242811D02*
X209005714Y-127182335D01*
X209005714Y-127182335D02*
X208884762Y-127121859D01*
X208884762Y-127121859D02*
X208763809Y-127121859D01*
X207433334Y-127908050D02*
X207251905Y-127968526D01*
X207251905Y-127968526D02*
X206949524Y-127968526D01*
X206949524Y-127968526D02*
X206828572Y-127908050D01*
X206828572Y-127908050D02*
X206768096Y-127847573D01*
X206768096Y-127847573D02*
X206707619Y-127726621D01*
X206707619Y-127726621D02*
X206707619Y-127605669D01*
X206707619Y-127605669D02*
X206768096Y-127484716D01*
X206768096Y-127484716D02*
X206828572Y-127424240D01*
X206828572Y-127424240D02*
X206949524Y-127363764D01*
X206949524Y-127363764D02*
X207191429Y-127303288D01*
X207191429Y-127303288D02*
X207312381Y-127242811D01*
X207312381Y-127242811D02*
X207372858Y-127182335D01*
X207372858Y-127182335D02*
X207433334Y-127061383D01*
X207433334Y-127061383D02*
X207433334Y-126940430D01*
X207433334Y-126940430D02*
X207372858Y-126819478D01*
X207372858Y-126819478D02*
X207312381Y-126759002D01*
X207312381Y-126759002D02*
X207191429Y-126698526D01*
X207191429Y-126698526D02*
X206889048Y-126698526D01*
X206889048Y-126698526D02*
X206707619Y-126759002D01*
X205619048Y-127121859D02*
X205619048Y-127968526D01*
X206163334Y-127121859D02*
X206163334Y-127787097D01*
X206163334Y-127787097D02*
X206102857Y-127908050D01*
X206102857Y-127908050D02*
X205981905Y-127968526D01*
X205981905Y-127968526D02*
X205800476Y-127968526D01*
X205800476Y-127968526D02*
X205679524Y-127908050D01*
X205679524Y-127908050D02*
X205619048Y-127847573D01*
X205014286Y-127121859D02*
X205014286Y-128391859D01*
X205014286Y-127182335D02*
X204893333Y-127121859D01*
X204893333Y-127121859D02*
X204651428Y-127121859D01*
X204651428Y-127121859D02*
X204530476Y-127182335D01*
X204530476Y-127182335D02*
X204470000Y-127242811D01*
X204470000Y-127242811D02*
X204409524Y-127363764D01*
X204409524Y-127363764D02*
X204409524Y-127726621D01*
X204409524Y-127726621D02*
X204470000Y-127847573D01*
X204470000Y-127847573D02*
X204530476Y-127908050D01*
X204530476Y-127908050D02*
X204651428Y-127968526D01*
X204651428Y-127968526D02*
X204893333Y-127968526D01*
X204893333Y-127968526D02*
X205014286Y-127908050D01*
X203865238Y-127121859D02*
X203865238Y-128391859D01*
X203865238Y-127182335D02*
X203744285Y-127121859D01*
X203744285Y-127121859D02*
X203502380Y-127121859D01*
X203502380Y-127121859D02*
X203381428Y-127182335D01*
X203381428Y-127182335D02*
X203320952Y-127242811D01*
X203320952Y-127242811D02*
X203260476Y-127363764D01*
X203260476Y-127363764D02*
X203260476Y-127726621D01*
X203260476Y-127726621D02*
X203320952Y-127847573D01*
X203320952Y-127847573D02*
X203381428Y-127908050D01*
X203381428Y-127908050D02*
X203502380Y-127968526D01*
X203502380Y-127968526D02*
X203744285Y-127968526D01*
X203744285Y-127968526D02*
X203865238Y-127908050D01*
X202534761Y-127968526D02*
X202655713Y-127908050D01*
X202655713Y-127908050D02*
X202716190Y-127787097D01*
X202716190Y-127787097D02*
X202716190Y-126698526D01*
X202171904Y-127121859D02*
X201869523Y-127968526D01*
X201567142Y-127121859D02*
X201869523Y-127968526D01*
X201869523Y-127968526D02*
X201990475Y-128270907D01*
X201990475Y-128270907D02*
X202050952Y-128331383D01*
X202050952Y-128331383D02*
X202171904Y-128391859D01*
D11*
X204264380Y-130252264D02*
X204148266Y-130290969D01*
X204148266Y-130290969D02*
X204109561Y-130329673D01*
X204109561Y-130329673D02*
X204070857Y-130407083D01*
X204070857Y-130407083D02*
X204070857Y-130523197D01*
X204070857Y-130523197D02*
X204109561Y-130600607D01*
X204109561Y-130600607D02*
X204148266Y-130639312D01*
X204148266Y-130639312D02*
X204225676Y-130678016D01*
X204225676Y-130678016D02*
X204535314Y-130678016D01*
X204535314Y-130678016D02*
X204535314Y-129865216D01*
X204535314Y-129865216D02*
X204264380Y-129865216D01*
X204264380Y-129865216D02*
X204186971Y-129903921D01*
X204186971Y-129903921D02*
X204148266Y-129942626D01*
X204148266Y-129942626D02*
X204109561Y-130020035D01*
X204109561Y-130020035D02*
X204109561Y-130097445D01*
X204109561Y-130097445D02*
X204148266Y-130174854D01*
X204148266Y-130174854D02*
X204186971Y-130213559D01*
X204186971Y-130213559D02*
X204264380Y-130252264D01*
X204264380Y-130252264D02*
X204535314Y-130252264D01*
X203761218Y-129942626D02*
X203722514Y-129903921D01*
X203722514Y-129903921D02*
X203645104Y-129865216D01*
X203645104Y-129865216D02*
X203451580Y-129865216D01*
X203451580Y-129865216D02*
X203374171Y-129903921D01*
X203374171Y-129903921D02*
X203335466Y-129942626D01*
X203335466Y-129942626D02*
X203296761Y-130020035D01*
X203296761Y-130020035D02*
X203296761Y-130097445D01*
X203296761Y-130097445D02*
X203335466Y-130213559D01*
X203335466Y-130213559D02*
X203799923Y-130678016D01*
X203799923Y-130678016D02*
X203296761Y-130678016D01*
X202793600Y-129865216D02*
X202716190Y-129865216D01*
X202716190Y-129865216D02*
X202638781Y-129903921D01*
X202638781Y-129903921D02*
X202600076Y-129942626D01*
X202600076Y-129942626D02*
X202561371Y-130020035D01*
X202561371Y-130020035D02*
X202522666Y-130174854D01*
X202522666Y-130174854D02*
X202522666Y-130368378D01*
X202522666Y-130368378D02*
X202561371Y-130523197D01*
X202561371Y-130523197D02*
X202600076Y-130600607D01*
X202600076Y-130600607D02*
X202638781Y-130639312D01*
X202638781Y-130639312D02*
X202716190Y-130678016D01*
X202716190Y-130678016D02*
X202793600Y-130678016D01*
X202793600Y-130678016D02*
X202871009Y-130639312D01*
X202871009Y-130639312D02*
X202909714Y-130600607D01*
X202909714Y-130600607D02*
X202948419Y-130523197D01*
X202948419Y-130523197D02*
X202987123Y-130368378D01*
X202987123Y-130368378D02*
X202987123Y-130174854D01*
X202987123Y-130174854D02*
X202948419Y-130020035D01*
X202948419Y-130020035D02*
X202909714Y-129942626D01*
X202909714Y-129942626D02*
X202871009Y-129903921D01*
X202871009Y-129903921D02*
X202793600Y-129865216D01*
X202213028Y-130445788D02*
X201825981Y-130445788D01*
X202290438Y-130678016D02*
X202019505Y-129865216D01*
X202019505Y-129865216D02*
X201748571Y-130678016D01*
%LPC*%
D13*
G36*
X276606000Y-139446000D02*
G01*
X276098000Y-139954000D01*
X198882000Y-139954000D01*
X198374000Y-139446000D01*
X198374000Y-132080000D01*
X276606000Y-132080000D01*
X276606000Y-139446000D01*
G37*
D14*
X274320000Y-135282000D03*
X271780000Y-135282000D03*
X269240000Y-135282000D03*
X266700000Y-135282000D03*
X264160000Y-135282000D03*
X261620000Y-135282000D03*
X259080000Y-135282000D03*
X256540000Y-135282000D03*
X254000000Y-135282000D03*
X251460000Y-135282000D03*
X248920000Y-135282000D03*
X246380000Y-135282000D03*
X243840000Y-135282000D03*
X241300000Y-135282000D03*
X238760000Y-135282000D03*
X236220000Y-135282000D03*
X233680000Y-135282000D03*
X231140000Y-135282000D03*
X228600000Y-135282000D03*
X226060000Y-135282000D03*
X223520000Y-135282000D03*
X220980000Y-135282000D03*
X218440000Y-135282000D03*
X215900000Y-135282000D03*
X213360000Y-135282000D03*
X210820000Y-135282000D03*
X208280000Y-135282000D03*
X205740000Y-135282000D03*
X203200000Y-135282000D03*
X200660000Y-135282000D03*
D15*
X274320000Y-128397000D03*
D16*
X208026000Y-111760000D03*
D17*
X205486000Y-111760000D03*
D16*
X202946000Y-111760000D03*
X208026000Y-117475000D03*
X202946000Y-117475000D03*
D17*
X206502000Y-119380000D03*
X204470000Y-119380000D03*
D18*
X200660000Y-129540000D03*
X200914000Y-92202000D03*
X274066000Y-92202000D03*
X274320000Y-125857000D03*
D19*
X202946000Y-98425000D03*
D20*
X202946000Y-100965000D03*
M02*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.10-1-10_14)*
G04 #@! TF.CreationDate,2021-06-01T03:35:06-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.0*
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-10-30T17:31:38-04:00*
G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,2.1*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (5.1.10-1-10_14)) date 2021-06-01 03:35:06*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-10-30 17:31:38*
%MOMM*%
%LPD*%
G01*
@ -15,32 +15,32 @@ G04 #@! TA.AperFunction,Profile*
G04 #@! TD*
G04 APERTURE END LIST*
D10*
X200914000Y-89662000D02*
X274066000Y-89662000D01*
X274066000Y-89662000D02*
X276098000Y-139700000D02*
X198882000Y-139700000D01*
X276098000Y-139700000D02*
G75*
G02*
X276606000Y-92202000I0J-2540000D01*
G03*
X276606000Y-139192000I0J508000D01*
G01*
X198374000Y-139192000D02*
G75*
G03*
X198882000Y-139700000I508000J0D01*
G01*
X198374000Y-92202000D02*
X198374000Y-139192000D01*
X276606000Y-92202000D02*
G75*
G02*
X200914000Y-89662000I2540000J0D01*
G03*
X274066000Y-89662000I-2540000J0D01*
G01*
X200914000Y-89662000D02*
X274066000Y-89662000D01*
X200914000Y-89662000D02*
G75*
G03*
X198374000Y-92202000I0J-2540000D01*
G01*
X276606000Y-92202000D02*
X276606000Y-139192000D01*
X198374000Y-92202000D02*
X198374000Y-139192000D01*
X276606000Y-139192000D02*
G75*
G02*
X276098000Y-139700000I-508000J0D01*
G01*
X198882000Y-139700000D02*
G75*
G02*
X198374000Y-139192000I0J508000D01*
G01*
X276098000Y-139700000D02*
X198882000Y-139700000D01*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

Some files were not shown because too many files have changed in this diff Show More