From 466f7ebf1760fa0d12a7cf57960bb4017dd5e62f Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sat, 20 Jul 2024 07:09:18 -0400 Subject: [PATCH] GW4203C.1.0 RC3 --- .../RAM2E_LCMXO2_1200HC_tcl.html | 70 + .../impl1/RAM2E_LCMXO2_1200HC_impl1.alt | 2 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr | 16 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.bgn | 6 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.edi | 4929 +++--- .../impl1/RAM2E_LCMXO2_1200HC_impl1.jed | 1152 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.mrp | 180 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.pad | 4 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.prf | 2 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.srr | 253 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html | 152 + .../impl1/RAM2E_LCMXO2_1200HC_impl1_cck.rpt | 2 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html | 147 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html | 4 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_par.html | 83 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt | 6 +- .../RAM2E_LCMXO2_1200HC_impl1_summary.html | 2 +- .../RAM2E_LCMXO2_1200HC_impl1_synplify.html | 253 +- .../impl1/hdla_gen_hierarchy.html | 6 +- CPLD/LCMXO2-1200HC-NODHGR/promote.xml | 2 +- .../RAM2E_LCMXO2_1200HC_tcl.html | 70 + .../impl1/RAM2E_LCMXO2_1200HC_impl1.alt | 2 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr | 16 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.bgn | 8 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.edi | 4929 +++--- .../impl1/RAM2E_LCMXO2_1200HC_impl1.jed | 1146 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.mrp | 194 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.pad | 4 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.prf | 2 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.srr | 267 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html | 152 + .../impl1/RAM2E_LCMXO2_1200HC_impl1_cck.rpt | 2 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html | 143 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html | 4 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_par.html | 83 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt | 6 +- .../RAM2E_LCMXO2_1200HC_impl1_summary.html | 2 +- .../RAM2E_LCMXO2_1200HC_impl1_synplify.html | 267 +- .../impl1/hdla_gen_hierarchy.html | 6 +- CPLD/LCMXO2-1200HC/promote.xml | 2 +- .../RAM2E_LCMXO2_640HC_tcl.html | 70 + .../impl1/RAM2E_LCMXO2_640HC_impl1.alt | 2 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.areasrr | 16 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.bgn | 4 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.edi | 4929 +++--- .../impl1/RAM2E_LCMXO2_640HC_impl1.jed | 820 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.mrp | 124 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.pad | 4 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.prf | 2 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.srr | 249 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html | 152 + .../impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt | 2 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html | 90 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_pad.html | 4 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_par.html | 85 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt | 6 +- .../RAM2E_LCMXO2_640HC_impl1_summary.html | 2 +- .../RAM2E_LCMXO2_640HC_impl1_synplify.html | 249 +- .../impl1/hdla_gen_hierarchy.html | 6 +- CPLD/LCMXO2-640HC-NODHGR/promote.xml | 2 +- CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html | 70 + .../impl1/RAM2E_LCMXO2_640HC_impl1.alt | 2 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.areasrr | 16 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.bgn | 6 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.edi | 4929 +++--- .../impl1/RAM2E_LCMXO2_640HC_impl1.jed | 812 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.mrp | 124 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.pad | 4 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.prf | 2 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.srr | 257 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html | 152 + .../impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt | 2 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html | 90 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_pad.html | 4 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_par.html | 91 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt | 6 +- .../RAM2E_LCMXO2_640HC_impl1_summary.html | 2 +- .../RAM2E_LCMXO2_640HC_impl1_synplify.html | 257 +- .../impl1/hdla_gen_hierarchy.html | 6 +- CPLD/LCMXO2-640HC/promote.xml | 2 +- CPLD/MAXII-NODHGR/output_files/RAM2E.asm.rpt | 14 +- CPLD/MAXII-NODHGR/output_files/RAM2E.done | 2 +- CPLD/MAXII-NODHGR/output_files/RAM2E.fit.rpt | 245 +- .../output_files/RAM2E.fit.summary | 4 +- CPLD/MAXII-NODHGR/output_files/RAM2E.flow.rpt | 20 +- CPLD/MAXII-NODHGR/output_files/RAM2E.map.rpt | 62 +- .../output_files/RAM2E.map.summary | 4 +- CPLD/MAXII-NODHGR/output_files/RAM2E.pof | Bin 7861 -> 7861 bytes CPLD/MAXII-NODHGR/output_files/RAM2E.sta.rpt | 487 +- .../output_files/RAM2E.sta.summary | 26 +- CPLD/MAXII-NODHGR/output_files/RAM2E.svf | 13886 ++++++++-------- CPLD/MAXII/output_files/RAM2E.asm.rpt | 16 +- CPLD/MAXII/output_files/RAM2E.done | 2 +- CPLD/MAXII/output_files/RAM2E.fit.rpt | 244 +- CPLD/MAXII/output_files/RAM2E.fit.smsg | 2 +- CPLD/MAXII/output_files/RAM2E.fit.summary | 4 +- CPLD/MAXII/output_files/RAM2E.flow.rpt | 20 +- CPLD/MAXII/output_files/RAM2E.map.rpt | 64 +- CPLD/MAXII/output_files/RAM2E.map.summary | 4 +- CPLD/MAXII/output_files/RAM2E.pof | Bin 7861 -> 7861 bytes CPLD/MAXII/output_files/RAM2E.sta.rpt | 487 +- CPLD/MAXII/output_files/RAM2E.sta.summary | 26 +- CPLD/MAXII/output_files/RAM2E.svf | 13862 +++++++-------- CPLD/MAXV-NODHGR/output_files/RAM2E.asm.rpt | 16 +- CPLD/MAXV-NODHGR/output_files/RAM2E.done | 2 +- CPLD/MAXV-NODHGR/output_files/RAM2E.fit.rpt | 240 +- .../output_files/RAM2E.fit.summary | 4 +- CPLD/MAXV-NODHGR/output_files/RAM2E.flow.rpt | 20 +- CPLD/MAXV-NODHGR/output_files/RAM2E.map.rpt | 64 +- .../output_files/RAM2E.map.summary | 4 +- CPLD/MAXV-NODHGR/output_files/RAM2E.pof | Bin 7861 -> 7861 bytes CPLD/MAXV-NODHGR/output_files/RAM2E.sta.rpt | 496 +- .../output_files/RAM2E.sta.summary | 26 +- CPLD/MAXV-NODHGR/output_files/RAM2E.svf | 13688 +++++++-------- CPLD/MAXV/output_files/RAM2E.asm.rpt | 16 +- CPLD/MAXV/output_files/RAM2E.done | 2 +- CPLD/MAXV/output_files/RAM2E.fit.rpt | 241 +- CPLD/MAXV/output_files/RAM2E.fit.smsg | 2 +- CPLD/MAXV/output_files/RAM2E.fit.summary | 4 +- CPLD/MAXV/output_files/RAM2E.flow.rpt | 20 +- CPLD/MAXV/output_files/RAM2E.map.rpt | 64 +- CPLD/MAXV/output_files/RAM2E.map.summary | 4 +- CPLD/MAXV/output_files/RAM2E.pof | Bin 7861 -> 7861 bytes CPLD/MAXV/output_files/RAM2E.sta.rpt | 499 +- CPLD/MAXV/output_files/RAM2E.sta.summary | 26 +- CPLD/MAXV/output_files/RAM2E.svf | 13688 +++++++-------- CPLD/RAM2E.v | 23 +- 127 files changed, 43947 insertions(+), 42911 deletions(-) create mode 100644 CPLD/LCMXO2-1200HC-NODHGR/RAM2E_LCMXO2_1200HC_tcl.html create mode 100644 CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html create mode 100644 CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC_tcl.html create mode 100644 CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html create mode 100644 CPLD/LCMXO2-640HC-NODHGR/RAM2E_LCMXO2_640HC_tcl.html create mode 100644 CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html create mode 100644 CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html create mode 100644 CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html diff --git a/CPLD/LCMXO2-1200HC-NODHGR/RAM2E_LCMXO2_1200HC_tcl.html b/CPLD/LCMXO2-1200HC-NODHGR/RAM2E_LCMXO2_1200HC_tcl.html new file mode 100644 index 0000000..486ec57 --- /dev/null +++ b/CPLD/LCMXO2-1200HC-NODHGR/RAM2E_LCMXO2_1200HC_tcl.html @@ -0,0 +1,70 @@ + +Lattice TCL Log + + +
pn240608044452
+#Start recording tcl command: 6/7/2024 20:49:43
+#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR; Project name: RAM2E_LCMXO2_1200HC
+prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/RAM2E_LCMXO2_1200HC.ldf"
+prj_run Export -impl impl1 -forceAll
+#Stop recording: 6/8/2024 04:44:52
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.alt b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.alt index 7116e0f..115ee72 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.alt +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.alt @@ -1,6 +1,6 @@ NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * NOTE All Rights Reserved * -NOTE DATE CREATED: Fri Jun 07 20:50:28 2024 * +NOTE DATE CREATED: Fri Jul 12 16:08:52 2024 * NOTE DESIGN NAME: RAM2E * NOTE DEVICE NAME: LCMXO2-1200HC-4TQFP100 * NOTE PIN ASSIGNMENTS * diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr index 802bc8f..24c1868 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr @@ -1,7 +1,7 @@ ---------------------------------------------------------------------- Report for cell RAM2E.verilog -Register bits: 125 of 1280 (10%) +Register bits: 124 of 1280 (10%) PIC Latch: 0 I/O cells: 70 Cell usage: @@ -9,7 +9,7 @@ I/O cells: 70 BB 8 100.0 CCU2D 9 100.0 EFB 1 100.0 - FD1P3AX 58 100.0 + FD1P3AX 57 100.0 FD1P3IX 1 100.0 FD1S3AX 31 100.0 FD1S3AY 4 100.0 @@ -22,7 +22,8 @@ I/O cells: 70 OFS1P3BX 6 100.0 OFS1P3DX 12 100.0 OFS1P3IX 3 100.0 - ORCALUT4 275 100.0 + ORCALUT4 268 100.0 + PFUMX 10 100.0 PUR 1 100.0 VHI 3 100.0 VLO 3 100.0 @@ -30,23 +31,24 @@ SUB MODULES RAM2E_UFM 1 100.0 REFB 1 100.0 - TOTAL 494 + TOTAL 496 ---------------------------------------------------------------------- Report for cell RAM2E_UFM.netlist Instance path: ram2e_ufm Cell usage: cell count Res Usage(%) EFB 1 100.0 - FD1P3AX 29 50.0 + FD1P3AX 29 50.9 FD1P3IX 1 100.0 FD1S3IX 1 11.1 - ORCALUT4 268 97.5 + ORCALUT4 260 97.0 + PFUMX 10 100.0 VHI 2 66.7 VLO 2 66.7 SUB MODULES REFB 1 100.0 - TOTAL 305 + TOTAL 307 ---------------------------------------------------------------------- Report for cell REFB.netlist Instance path: ram2e_ufm.ufmefb diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn index 3e378d9..12d7ecb 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn @@ -4,10 +4,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:24 2024 +Fri Jul 12 16:08:44 2024 -Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR -w -jedec -gui RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf +Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf Loading design for application Bitgen from file RAM2E_LCMXO2_1200HC_impl1.ncd. Design name: RAM2E @@ -82,5 +82,5 @@ Available General Purpose Flash Memory: 511 Pages (Page 0 to Page 510). Initialized UFM Pages: 321 Pages (Page 190 to Page 510). Total CPU Time: 3 secs -Total REAL Time: 4 secs +Total REAL Time: 8 secs Peak Memory Usage: 275 MB diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.edi b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.edi index d637ebd..1d3d189 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.edi +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2024 6 7 20 50 2) + (timeStamp 2024 7 12 16 7 33) (author "Synopsys, Inc.") (program "Synplify Pro" (version "N-2018.03L-SP1-1, mapper maplat2018q2p1, Build 055R")) ) @@ -164,6 +164,16 @@ ) ) ) + (cell PFUMX (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port ALUT (direction INPUT)) + (port BLUT (direction INPUT)) + (port C0 (direction INPUT)) + (port Z (direction OUTPUT)) + ) + ) + ) (cell GSR (cellType GENERIC) (view PRIM (viewType NETLIST) (interface @@ -738,197 +748,127 @@ (cell RAM2E_UFM (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename ba_3 "BA_3[1:0]") 2) (direction OUTPUT)) + (port (array (rename ra_33 "RA_33[11:9]") 3) (direction OUTPUT)) (port (array (rename rwbank "RWBank[7:0]") 8) (direction INPUT)) - (port CmdTout_3_0 (direction OUTPUT)) - (port RDout_6 (direction OUTPUT)) - (port RDout_4 (direction OUTPUT)) + (port (array (rename ra "RA[11:0]") 12) (direction INPUT)) (port RDout_0 (direction OUTPUT)) - (port RDout_3 (direction OUTPUT)) - (port RDout_5 (direction OUTPUT)) + (port (array (rename cmdtout_3 "CmdTout_3[2:0]") 3) (direction OUTPUT)) + (port (array (rename ba_3 "BA_3[1:0]") 2) (direction OUTPUT)) (port (array (rename raout_c "RAout_c[7:0]") 8) (direction OUTPUT)) (port (array (rename rar "RAr[7:0]") 8) (direction INPUT)) - (port (array (rename ra "RA[11:0]") 12) (direction INPUT)) (port (array (rename fs "FS[15:0]") 16) (direction INPUT)) (port (array (rename rwbank_3 "RWBank_3[7:0]") 8) (direction OUTPUT)) (port (array (rename din_c "Din_c[7:0]") 8) (direction INPUT)) - (port un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 (direction OUTPUT)) (port (array (rename cmdtout "CmdTout[2:0]") 3) (direction INPUT)) (port (array (rename ain_c "Ain_c[7:0]") 8) (direction INPUT)) - (port (array (rename ra_33 "RA_33[11:9]") 3) (direction OUTPUT)) - (port S_0_0_0_0 (direction OUTPUT)) + (port S_0_0_0 (direction OUTPUT)) (port (array (rename cs "CS[2:0]") 3) (direction INPUT)) (port (array (rename s "S[3:0]") 4) (direction INPUT)) (port Vout3 (direction OUTPUT)) (port nDOE_c (direction OUTPUT)) (port DOEEN (direction INPUT)) + (port N_523_1 (direction OUTPUT)) (port RAT (direction INPUT)) - (port LED_c (direction OUTPUT)) - (port CmdSetRWBankFFLED (direction INPUT)) - (port CmdSetRWBankFFChip (direction INPUT)) - (port CmdLEDGet (direction INPUT)) (port PHI1r (direction INPUT)) (port PHI1_c (direction INPUT)) - (port N_392_i_1z (direction OUTPUT)) - (port N_391_i_1z (direction OUTPUT)) - (port N_390_i_1z (direction OUTPUT)) - (port DQMH_4_iv_0_0_i_0_i_a2_1z (direction OUTPUT)) - (port RWSel_2 (direction OUTPUT)) + (port N_492_i_1z (direction OUTPUT)) + (port N_491_i_1z (direction OUTPUT)) + (port N_359_i_1z (direction OUTPUT)) + (port N_490_i_1z (direction OUTPUT)) + (port N_489_i_1z (direction OUTPUT)) + (port N_358_i_1z (direction OUTPUT)) + (port N_488_i_1z (direction OUTPUT)) + (port CmdSetRWBankFF (direction INPUT)) + (port CmdLEDGet (direction INPUT)) + (port N_197 (direction OUTPUT)) + (port RWSel_2_1z (direction OUTPUT)) (port nWE_c (direction INPUT)) (port nC07X_c (direction INPUT)) - (port N_117_i_1z (direction OUTPUT)) - (port N_351_i_1z (direction OUTPUT)) - (port N_389_i_1z (direction OUTPUT)) - (port N_443_i_1z (direction OUTPUT)) - (port un1_CKE48_0_i_1z (direction OUTPUT)) - (port CmdSetRWBankFFChip_3_1z (direction OUTPUT)) + (port LED_c (direction OUTPUT)) + (port N_347_i_1z (direction OUTPUT)) + (port N_346_i_1z (direction OUTPUT)) + (port N_194_i_1z (direction OUTPUT)) + (port RA_33_2_173_0_1z (direction OUTPUT)) (port RDOE_2 (direction OUTPUT)) (port Ready (direction INPUT)) - (port N_350_i_1z (direction OUTPUT)) - (port CmdLEDGet_3 (direction OUTPUT)) - (port Ready3 (direction OUTPUT)) - (port N_349_i (direction OUTPUT)) - (port N_71_i (direction OUTPUT)) - (port nEN80_c (direction INPUT)) (port CmdRWMaskSet_3 (direction OUTPUT)) - (port CmdSetRWBankFFLED_3 (direction OUTPUT)) - (port CmdLEDSet_3 (direction OUTPUT)) - (port N_73_i (direction OUTPUT)) - (port N_313_i (direction OUTPUT)) - (port N_299_i (direction OUTPUT)) - (port N_285_i (direction OUTPUT)) - (port N_271_i (direction OUTPUT)) - (port N_257_i (direction OUTPUT)) - (port N_243_i_1z (direction OUTPUT)) - (port N_229_i_1z (direction OUTPUT)) - (port N_215_i_1z (direction OUTPUT)) - (port CKE_0_1z (direction OUTPUT)) + (port Ready3 (direction OUTPUT)) + (port N_458_i (direction OUTPUT)) + (port un1_CKE48_i_1z (direction OUTPUT)) + (port un9_VOE_0_a2_1z (direction OUTPUT)) (port CmdLEDSet (direction INPUT)) - (port CmdRWMaskSet (direction INPUT)) - (port N_421_i (direction OUTPUT)) - (port N_420_i (direction OUTPUT)) - (port N_424_i (direction OUTPUT)) - (port N_148 (direction OUTPUT)) - (port CS_0_sqmuxa_0_a2_RNID8OM_1z (direction OUTPUT)) - (port CS_0_sqmuxa_0_a2_RNID1MT_1z (direction OUTPUT)) + (port N_312_i_1z (direction OUTPUT)) + (port N_298_i_1z (direction OUTPUT)) + (port N_284_i_1z (direction OUTPUT)) + (port N_270_i_1z (direction OUTPUT)) + (port N_256_i_1z (direction OUTPUT)) + (port N_242_i_1z (direction OUTPUT)) + (port N_228_i_1z (direction OUTPUT)) + (port N_214_i_1z (direction OUTPUT)) + (port nEN80_c (direction INPUT)) + (port CmdLEDSet_3 (direction OUTPUT)) + (port CmdLEDGet_3 (direction OUTPUT)) + (port CmdSetRWBankFF_3 (direction OUTPUT)) + (port nCAS_0_1z (direction OUTPUT)) + (port CKE_0_1z (direction OUTPUT)) + (port N_50_i (direction OUTPUT)) + (port N_360_i (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNISFDK_1z (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNIR7BR_1z (direction OUTPUT)) + (port un1_CS_0_sqmuxa_i (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNIR0921_1z (direction OUTPUT)) (port C14M_c (direction INPUT)) - (port N_301_i (direction OUTPUT)) - (port N_194 (direction OUTPUT)) - (port N_430_i_1z (direction OUTPUT)) - (port CS6_RNIL59G2_1z (direction OUTPUT)) - (port N_530 (direction OUTPUT)) + (port N_460_i (direction OUTPUT)) + (port N_459_i (direction OUTPUT)) + (port CmdRWMaskSet (direction INPUT)) (port RWBank14 (direction OUTPUT)) (port RWSel (direction INPUT)) - (port un6_DOEEN_0_a2_0_a2_1z (direction OUTPUT)) - (port N_188 (direction OUTPUT)) - (port un9_VOE_0_a2_0_a2_1z (direction OUTPUT)) (port RefReq (direction INPUT)) + (port un6_DOEEN_0_a2_0_a2_1z (direction OUTPUT)) + (port wb_rst13 (direction OUTPUT)) ) (contents - (instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B A))+D (!C (B+A)+C (!B A)))")) - ) - (instance nRAS_0_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C !A+C (B !A)))")) - ) - (instance (rename wb_adr_7_0_4_RNO_0 "wb_adr_7_0_4_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) - ) - (instance (rename wb_adr_7_0_1_RNO_0 "wb_adr_7_0_1_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance nCAS_0_i_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance RA_33_2_173_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) - ) - (instance un9_VOE_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance (rename RA_33_1_1_RNO_10 "RA_33_1_1_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) - ) - (instance wb_req_1_0_127_a2_i_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) - ) - (instance (rename wb_dati_7_0_a3_5_1 "wb_dati_7_0_a3_5[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance nRAS_0_i_a3_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_4_0_RNO_7 "wb_dati_7_0_a2_4_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance nRWE_0_i_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wb_rst13_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance (rename RA_33_0_o2_9 "RA_33_0_o2[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) - ) - (instance nRWE_0_i_o3_RNIP8E61 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) - ) (instance un6_DOEEN_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance (rename RA_33_1_1_RNO_0_10 "RA_33_1_1_RNO_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance RAT_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_a3_0 "un1_CmdBitbangMXO212_1_i_a3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance CKE_7s2_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)+C !A)+D (B !A))")) ) - (instance (rename RA_33_1_RNO_10 "RA_33_1_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance (rename RA_33_0_o2_11 "RA_33_0_o2[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) ) - (instance nRWE_0_i_o3_RNIPAG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance S_1_RNI29JH1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D A)")) ) - (instance nRWE_0_i_o3_RNIO9G81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance CKE_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance nRWE_0_i_o3_RNIN8G81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance (rename un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0 "un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) - (instance nRWE_0_i_o3_RNIRCG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance (rename wb_adr_7_i_a5_1_0_RNO_0 "wb_adr_7_i_a5_1_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance nRWE_0_i_o3_RNIQBG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance Ready3_5_0_i_o5_RNISUVB (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (!B+!A))+D (!C A+C (!B A+B !A)))")) ) - (instance N_425_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)))")) + (instance (rename un1_RWMask_0_sqmuxa_1_i_0_RNO_0 "un1_RWMask_0_sqmuxa_1_i_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) (instance wb_req_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CmdBitbangMXO2_RNIB5O11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B !A))+D (C (B !A)))")) + (instance (rename S_0_i_x2_RNIES2D2_2 "S_0_i_x2_RNIES2D2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)))")) ) - (instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B !A))+D (C (B !A)))")) - ) - (instance (rename wb_dati_7_0_o2_RNO_2 "wb_dati_7_0_o2_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (B A))")) - ) - (instance nRWE_0_i_o3_RNIR47H1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance CS6_RNIL59G2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (!B A+B !A)))")) - ) - (instance N_430_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance (rename S_0_i_0_o3_RNICBFR_1 "S_0_i_0_o3_RNICBFR[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance (rename S_0_i_x2_RNIFT2D2_3 "S_0_i_x2_RNIFT2D2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)))")) ) (instance wb_we (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) @@ -994,324 +934,396 @@ (instance CmdBitbangMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CS_0_sqmuxa_0_a2_RNID1MT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_RNIR0921 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D C+D (!C (B A)+C (!B+!A)))")) ) - (instance CS_0_sqmuxa_0_a2_RNID8OM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_7_7 "wb_dati_7[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_2 "wb_dati_7[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_3 "wb_dati_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_4 "wb_dati_7[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_5 "wb_dati_7[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance CS12_RNI2SPL2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C !B))")) + ) + (instance CS_0_sqmuxa_0_RNIR7BR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (!B A+B !A))")) ) - (instance un1_CS_0_sqmuxa_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C+(B !A)))")) + (instance (rename wb_dati_7_6 "wb_dati_7[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename wb_adr_7_0_0 "wb_adr_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance (rename wb_dati_cnst_7_0__m49 "wb_dati_cnst_7_0_.m49") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance CS_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance (rename wb_dati_7_1 "wb_dati_7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename wb_dati_7_0_5 "wb_dati_7_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) + (instance (rename wb_dati_cnst_7_0__m31_am "wb_dati_cnst_7_0_.m31_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+!A)+C (B A))")) ) - (instance (rename wb_dati_7_0_2 "wb_dati_7_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) + (instance (rename wb_dati_cnst_7_0__m31_bm "wb_dati_cnst_7_0_.m31_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_7 "wb_dati_7_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m31 "wb_dati_cnst_7_0_.m31") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m35_am "wb_dati_cnst_7_0_.m35_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance (rename wb_dati_7_0_6 "wb_dati_7_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m35_bm "wb_dati_cnst_7_0_.m35_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_4 "wb_dati_7_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m35 "wb_dati_cnst_7_0_.m35") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_7_0 "wb_dati_7[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance CS6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance CS_0_sqmuxa_0_RNISFDK (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A+B !A)")) ) - (instance nCAS_0_i_0_RNISBOI3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C (!B !A))")) + (instance (rename wb_dati_cnst_7_0__m39 "wb_dati_cnst_7_0_.m39") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance nRAS_0_i_1_RNI8KIR2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+!A)))")) + (instance (rename wb_dati_cnst_7_0__m18_am "wb_dati_cnst_7_0_.m18_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A+B A)))")) ) - (instance nRWE_0_i_a2_1_1_RNI2DGK2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m18_bm "wb_dati_cnst_7_0_.m18_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C (B !A)))")) ) - (instance (rename wb_dati_7_0_0 "wb_dati_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + (instance (rename wb_dati_cnst_7_0__m18 "wb_dati_cnst_7_0_.m18") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m45_am "wb_dati_cnst_7_0_.m45_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance (rename wb_dati_cnst_7_0__m45_bm "wb_dati_cnst_7_0_.m45_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance (rename wb_dati_7_0_o2_2 "wb_dati_7_0_o2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) + (instance (rename wb_dati_cnst_7_0__m45 "wb_dati_cnst_7_0_.m45") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CS_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D A)")) ) - (instance (rename wb_dati_7_0_3 "wb_dati_7_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C (B+A)))")) + (instance (rename wb_adr_RNO_0 "wb_adr_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) ) - (instance (rename wb_dati_7_0_1 "wb_dati_7_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m11_am "wb_dati_cnst_7_0_.m11_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m11_bm "wb_dati_cnst_7_0_.m11_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A))+D (B+A))")) + (instance (rename wb_dati_cnst_7_0__m11 "wb_dati_cnst_7_0_.m11") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m26 "wb_dati_cnst_7_0_.m26") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance (rename wb_adr_7_0_5_0 "wb_adr_7_0_5[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B !A)))")) + (instance (rename wb_dati_cnst_7_0__m38_am "wb_dati_cnst_7_0_.m38_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) - ) - (instance (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D C)")) - ) - (instance (rename wb_adr_7_0_a2_6_0 "wb_adr_7_0_a2_6[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m38_bm "wb_dati_cnst_7_0_.m38_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance (rename wb_dati_7_0_a2_7 "wb_dati_7_0_a2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A+B A)))")) + (instance (rename wb_dati_cnst_7_0__m38 "wb_dati_cnst_7_0_.m38") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m17 "wb_dati_cnst_7_0_.m17") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_3_4 "wb_dati_7_0_a2_3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m48 "wb_dati_cnst_7_0_.m48") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)+C (!B A+B !A)))")) ) - (instance CS6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) + (instance nRAS_0_i_0_tz_RNIPQGV3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A))")) ) - (instance (rename wb_dati_7_0_1_3 "wb_dati_7_0_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B !A)))")) + (instance nRWE_0_i_0_RNIE9LE2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance (rename wb_dati_7_0_1_1 "wb_dati_7_0_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m10 "wb_dati_cnst_7_0_.m10") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C+(B A)))")) + (instance (rename wb_dati_cnst_7_0__m22_am "wb_dati_cnst_7_0_.m22_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B+!A)+C !A))")) ) - (instance (rename wb_adr_7_0_2_0 "wb_adr_7_0_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) - ) - (instance CKE_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C+(!B+!A)))")) - ) - (instance nCAS_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) - ) - (instance (rename wb_dati_7_0_a3_0_0 "wb_dati_7_0_a3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m22_bm "wb_dati_cnst_7_0_.m22_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_0_0 "un1_CmdBitbangMXO212_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A))+D (C+B))")) + (instance (rename wb_dati_cnst_7_0__m22 "wb_dati_cnst_7_0_.m22") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m25 "wb_dati_cnst_7_0_.m25") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A))+D (C+(B A)))")) ) - (instance (rename wb_dati_7_0_a2_1_7 "wb_dati_7_0_a2_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m29_am "wb_dati_cnst_7_0_.m29_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C+(!B+A)))")) ) - (instance (rename wb_dati_7_0_a2_1 "wb_dati_7_0_a2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance (rename wb_dati_cnst_7_0__m29_bm "wb_dati_cnst_7_0_.m29_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B A)+C A))")) ) - (instance (rename wb_dati_7_0_a2_6 "wb_dati_7_0_a2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A+B A)))")) + (instance (rename wb_dati_cnst_7_0__m29 "wb_dati_cnst_7_0_.m29") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m33_am "wb_dati_cnst_7_0_.m33_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A))+D (!C (B+A)+C A))")) ) - (instance CS6_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m33_bm "wb_dati_cnst_7_0_.m33_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance CS6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) - ) - (instance (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) - ) - (instance (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D C)")) - ) - (instance N_215_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance N_229_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance N_243_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance nRWE_0_i_o3_RNIRCQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNIUFQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI1JQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI4MQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI7PQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance S_1_RNIP23H1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (B !A))+D (!C (!B !A)+C !A))")) - ) - (instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) - ) - (instance CmdLEDSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance (rename RA_33_1_10 "RA_33_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C (B+A)))")) - ) - (instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) - ) - (instance CmdSetRWBankFFLED_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance (rename wb_adr_7_0_a2_4_0 "wb_adr_7_0_a2_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m33 "wb_dati_cnst_7_0_.m33") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CS_0_sqmuxa_0_tz_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_2_1 "wb_dati_7_0_a2_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B A)))")) ) - (instance CmdRWMaskSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance CKE_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C B)+D (!C+(B+!A)))")) ) - (instance CS6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C (B+A)))")) + (instance (rename wb_adr_7_i_2_0 "wb_adr_7_i_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_0_0_0 "un1_CmdBitbangMXO212_1_i_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) + (instance (rename wb_dati_cnst_7_0__m41 "wb_dati_cnst_7_0_.m41") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C (!B+!A)))")) ) - (instance nRWE_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A))+D !A)")) + (instance nCAS_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C A))")) ) - (instance nCAS_0_i_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) - ) - (instance (rename S_0_i_0_o2_RNIFP961_1 "S_0_i_0_o2_RNIFP961[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C (B !A)))")) - ) - (instance S_1_RNIP23H1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D !A)")) - ) - (instance CmdLEDSet_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance CS_0_sqmuxa_0_tz_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance wb_cyc_stb_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C !B))")) + (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) + ) + (instance CmdSetRWBankFF_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance CmdLEDGet_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance CmdLEDSet_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance (rename RA_33_1_10 "RA_33_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance CS_0_sqmuxa_0_a3_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance CS_0_sqmuxa_0_a3_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) + (instance nRAS_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + ) + (instance nRWE_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) + ) + (instance N_214_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance N_228_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance N_242_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance Ready3_5_0_i_o5_RNI0R091 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C A+C (!B+A)))")) + ) + (instance N_256_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_270_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_284_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_298_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_312_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance nRWE_0_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) + ) + (instance (rename wb_dati_cnst_7_0__m2 "wb_dati_cnst_7_0_.m2") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance (rename wb_dati_cnst_7_0__m7 "wb_dati_cnst_7_0_.m7") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) + ) + (instance (rename wb_dati_cnst_7_0__m20 "wb_dati_cnst_7_0_.m20") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance (rename wb_dati_cnst_7_0__m23 "wb_dati_cnst_7_0_.m23") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) + ) + (instance (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) + ) + (instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B !A)))")) + ) + (instance CmdBitbangMXO2_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance CmdExecMXO2_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance CKE_7s2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) + ) + (instance (rename wb_adr_7_i_m2_0 "wb_adr_7_i_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_7_i_a5_1_0_0 "wb_adr_7_i_a5_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!B A))")) + ) + (instance un1_CKE48_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (!B !A+B A))+D (!C (!B+!A)+C (B+!A)))")) + ) + (instance un11_S_0_a2_RNIT9FP2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)+C (!B !A))+D !A)")) + ) + (instance (rename S_0_0_0 "S_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D (!C+A))")) + ) + (instance (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0_0 "un1_wb_cyc_stb_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) + (instance (rename un1_wb_adr_0_sqmuxa_2_i_0_0 "un1_wb_adr_0_sqmuxa_2_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) + (instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) (instance (rename RA_33_0_11 "RA_33_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance Ready3_0_a4_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdLEDGet_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance Ready3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance CmdLEDGet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance CmdRWMaskSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_a2_0_0 "un1_CmdBitbangMXO212_1_i_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (C !B))")) - ) - (instance (rename S_0_0_0_0 "S_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) - ) - (instance (rename RA_33_0_9 "RA_33_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B A))")) - ) - (instance CmdBitbangMXO2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a3_0_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) ) - (instance un1_CS_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a3_3_7_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) - (instance CS6_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B !A)))")) ) - (instance CS6_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance (rename wb_adr_RNO_1_1 "wb_adr_RNO_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (C (!B+!A)))")) - ) - (instance (rename RA_33_1_1_10 "RA_33_1_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance RA_33_10_29_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) - ) - (instance RA_33_8_65_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) + (instance (rename un1_CmdBitbangMXO212_1_0_0_0_0 "un1_CmdBitbangMXO212_1_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!B)+D !A)")) ) (instance RA_33_9_47_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance N_350_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A)))")) + (instance RA_33_10_29_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance (rename wb_dati_7_0_o2_3 "wb_dati_7_0_o2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C (B A))+D (C (!B+!A)))")) + (instance RA_33_8_65_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance (rename wb_dati_7_0_o2_4 "wb_dati_7_0_o2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (B A)+C (!B+!A)))")) - ) - (instance CKE_7_m1_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)))")) + (instance RA_33_6_101_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance RDOE_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance nRAS_0_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance CKE_7s2_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CKE_7s2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B)+D (!C (!B A)))")) ) - (instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D (!C+(!B+!A)))")) + (instance RA_33_5_119_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_7_83_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_3_155_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance wb_adr_1_sqmuxa_0_a2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B+A))")) + ) + (instance un1_FS_20_0_a2_0_a5_RNIR1RL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance RA_33_4_137_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance nRAS_0_i_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C !B)")) + ) + (instance nRAS_0_i_208_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B A))")) + ) + (instance nCAS_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (!C (!B+!A)+C !B))")) + ) + (instance nRAS_0_i_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B+A))+D A)")) ) (instance wb_req_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A))+D (C+(!B+!A)))")) + ) + (instance RA_33_2_173_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance CmdSetRWBankFFChip_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance (rename RA_33_1_a2_10 "RA_33_1_a2[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) ) - (instance CmdExecMXO2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance CS_0_sqmuxa_0_a3_3_8_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance un1_CKE48_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C (!B !A+B A))+D (!C (!B+!A)+C (B+!A)))")) + (instance CS_0_sqmuxa_0_a3_2_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance (rename RA_33_0_0_9 "RA_33_0_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (C+(!B !A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_a5_1_2_0 "un1_CmdBitbangMXO212_1_0_a5_1_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B A))")) ) - (instance nRAS_0_i_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C !B)")) + (instance (rename RA_33_1_1_10 "RA_33_1_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance (rename un1_RWMask_0_sqmuxa_1_i_a2_0_0_0 "un1_RWMask_0_sqmuxa_1_i_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance nCAS_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (!C (!B+!A)+C !B))")) + (instance N_194_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_1_0 "un1_wb_adr_0_sqmuxa_2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+!A)+D (C+B))")) - ) - (instance N_443_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (B+!A)+C B))")) - ) - (instance N_389_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_346_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) ) - (instance N_351_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A))")) - ) - (instance N_117_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_347_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) ) + (instance RA_33_6_101_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) + (instance (rename RWBank_3_0_0 "RWBank_3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) + ) + (instance (rename CmdTout_3_2 "CmdTout_3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A)))")) + ) + (instance RA_33_7_83_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) + (instance nRWE_0_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance RA_33_3_155_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) (instance (rename RWBank_3_0_7 "RWBank_3_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) ) @@ -1321,86 +1333,65 @@ (instance (rename RWBank_3_0_5 "RWBank_3_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) + (instance (rename RWBank_3_0_4 "RWBank_3_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) + ) (instance (rename RWBank_3_0_3 "RWBank_3_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename wb_dati_7_0_o2_1 "wb_dati_7_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B A)))")) - ) - (instance RA_33_4_137_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) - ) - (instance RA_33_3_155_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) - ) - (instance (rename RWBank_3_0_0 "RWBank_3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) - (instance (rename RWBank_3_0_1 "RWBank_3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) (instance (rename RWBank_3_0_2 "RWBank_3_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename RWBank_3_0_4 "RWBank_3_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RWBank_3_0_1 "RWBank_3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename S_0_0_0_a2_0 "S_0_0_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C !A)+D !A)")) + (instance (rename S_0_i_x2_3 "S_0_i_x2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+!A))+D (C (B A)))")) ) - (instance RA_33_7_83_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) + (instance RA_33_4_137_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) ) - (instance RA_33_6_101_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) + (instance nCAS_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B+A))")) ) - (instance (rename RA_33_1_a2_3_10 "RA_33_1_a2_3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (C (B !A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_0_tz_0 "un1_CmdBitbangMXO212_1_0_0_tz[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B !A))")) ) - (instance (rename wb_adr_7_0_o2_1_0 "wb_adr_7_0_o2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+!A))+D (!C+(!B+!A)))")) + (instance LEDEN_RNI6G6M (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) - (instance RWSel_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance (rename un1_CmdBitbangMXO212_1_i_o3_0 "un1_CmdBitbangMXO212_1_i_o3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_FS_32_i_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CmdSetRWBankFFChip_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename wb_adr_7_i_a2_0_0 "wb_adr_7_i_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)))")) ) - (instance RA_33_5_119_i_0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A)))")) + (instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance un1_CS_4_7_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance un1_CS_7_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) - ) - (instance un1_CS_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RA_33_1_a2_3_10 "RA_33_1_a2_3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance CmdBitbangMXO2_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RA_33_5_119_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C B+C (B A)))")) + ) + (instance RWSel_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance CmdLEDGet_3_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance CS_0_sqmuxa_0_a3_3_7_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance nRAS_0_i_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)+C (B+A)))")) + (instance CmdRWMaskSet_3_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance nRWE_0_i_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance (rename wb_dati_7_0_a2_4_0_7 "wb_dati_7_0_a2_4_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance nRWE_0_i_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A)+C (B !A))")) ) - (instance (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance (rename RWMask_RNO_0 "RWMask_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B+!A))")) @@ -1423,13 +1414,16 @@ (instance (rename RWMask_RNO_6 "RWMask_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B+!A))")) ) + (instance un1_wb_we54_1_2_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (B A)))")) + ) (instance RA_33_9_47_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (!B+A)+C !B))")) ) (instance RA_33_10_29_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C+(B !A)))")) ) - (instance RA_33_8_65_i_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RA_33_8_65_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (!B+A)+C !B))")) ) (instance (rename wb_adr_RNO_2 "wb_adr_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -1438,166 +1432,163 @@ (instance (rename wb_adr_RNO_7 "wb_adr_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance CmdSetRWBankFFChip6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (instance un9_VOE_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) ) - (instance CmdSetRWBankFFChip_3_6_0_a2_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un11_S_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance RA_33_2_173_a2_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) + ) + (instance CS12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance DQMH_4_iv_0_0_i_0_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))")) + (instance DQML_4_iv_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C (B+!A)+C B))")) ) - (instance RA_33_2_173_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C A)")) + (instance (rename CmdTout_3_1 "CmdTout_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A))")) ) - (instance wb_adr_7_5_41_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(!B+!A))")) + (instance RWBank10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance (rename wb_adr_RNO_2_1 "wb_adr_RNO_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RAT_2_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance un1_FS_20_0_a2_0_a5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) + (instance CS_0_sqmuxa_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) + (instance (rename S_0_i_x2_2 "S_0_i_x2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (B A))")) ) - (instance nRWE_0_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A+B !A))")) + (instance RA_33_2_173_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) ) - (instance (rename wb_dati_7_0_a2_0_2_7 "wb_dati_7_0_a2_0_2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B+!A)))")) + (instance (rename RA_33_1_0_tz_10 "RA_33_1_0_tz[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B A))")) ) - (instance N_390_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_488_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance N_391_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_358_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance N_392_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_489_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance N_490_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_359_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_491_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_492_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance RA_33_7_83_i_o4_i_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_2_173_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance nCAS_0_sqmuxa_1_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance RWBank10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance un1_LED_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) - (instance nRAS_0_i_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (B A))")) - ) - (instance un1_CS_7_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance (rename un1_CmdBitbangMXO212_1_i_o3_2_0_0 "un1_CmdBitbangMXO212_1_i_o3_2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance (rename wb_adr_RNO_3_1 "wb_adr_RNO_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance un1_FS_33_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance wb_reqc_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance un1_CS_0_sqmuxa_i_a2_0_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance un1_FS_32_i_i_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CS6_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) - (instance CmdExecMXO2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) - (instance Ready3_0_a4_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Ready3_0_a4_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Ready3_0_a4_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance nRAS_0_i_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance (rename wb_adr_7_i_a5_1_1_0 "wb_adr_7_i_a5_1_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance (rename wb_dati_7_0_a2_0_0_6 "wb_dati_7_0_a2_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance (rename wb_adr_7_0_a2_0_1_0 "wb_adr_7_0_a2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (B A))")) - ) - (instance (rename wb_dati_7_0_a2_0_0_0 "wb_dati_7_0_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (C (!B A)))")) - ) - (instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !B+C A)")) ) - (instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C A)")) - ) - (instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C A)")) - ) - (instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_i_m2_2 "un6_RAout_i_m2_i_m2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_i_m2_5 "un6_RAout_i_m2_i_m2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_7 "un6_RAout_i_m2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_6 "un6_RAout_i_m2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance (rename un6_RAout_i_m2_4 "un6_RAout_i_m2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance (rename un6_RAout_i_m2_3 "un6_RAout_i_m2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance (rename un6_RAout_i_m2_1 "un6_RAout_i_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance (rename un6_RAout_i_m2_0 "un6_RAout_i_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance un1_CS_7_4_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename un6_RAout_i_m2_1 "un6_RAout_i_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_2 "un6_RAout_i_m2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_5 "un6_RAout_i_m2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_6 "un6_RAout_i_m2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_7 "un6_RAout_i_m2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance Ready3_5_0_i_o5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+!A)")) ) - (instance (rename RDout_6 "RDout[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename RDout_4 "RDout[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename RDout_0 "RDout[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance un1_CS_2_4_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance un1_CS_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance (rename wb_adr_7_0_o2_0 "wb_adr_7_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename wb_dati_7_0_o2_7 "wb_dati_7_0_o2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) - ) - (instance (rename wb_dati_7_0_a3_4 "wb_dati_7_0_a3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename BA_3_0 "BA_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance CKE_7_m0_0_a2_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename BA_3_1 "BA_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance VOE_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance (rename CmdTout_3_0 "CmdTout_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance wb_we_7_iv_0_0_i_i_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance (rename un1_CmdBitbangMXO212_1_0_o2_0_0 "un1_CmdBitbangMXO212_1_0_o2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) ) - (instance wb_rst6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_nDOE_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance un2_RDOE_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance (rename wb_adr_7_3 "wb_adr_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -1606,367 +1597,205 @@ (instance (rename RDout_3 "RDout[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename RDout_5 "RDout[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdBitbangMXO2_3_0_a5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename CmdTout_3_0_a2_0_a2_0 "CmdTout_3_0_a2_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdSetRWBankFF15_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance CmdLEDGet_3_4_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_a2_0_0 "un1_wb_adr_0_sqmuxa_2_0_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance (rename RA_33_1_a3_10 "RA_33_1_a3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance nRWE_0_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_0 "un1_wb_adr_0_sqmuxa_2_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename S_0_i_0_o3_1 "S_0_i_0_o3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_0_0 "un1_wb_adr_0_sqmuxa_2_0_o2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename S_0_i_0_o2_1 "S_0_i_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename BA_3_1 "BA_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance (rename BA_3_0 "BA_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance nCAS_0_i_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance wb_req_1_0_127_a2_i_o5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CKE_7s2_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C (!B !A))+D (C (!B !A)))")) + (instance un1_FS_32_i_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CKE_7s2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C A)+D (!C+(B+A)))")) + (instance CKE48_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CS6_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)+C (B A)))")) + (instance wb_rst6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance Ready3_0_a4_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_a5_1_0_0 "un1_CmdBitbangMXO212_1_0_a5_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance CS6_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A)+C (B A))")) + (instance (rename wb_dati_cnst_7_0__m27 "wb_dati_cnst_7_0_.m27") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CmdSetRWBankFF_3_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (B A))")) ) - (instance un1_CS_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance CS_0_sqmuxa_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C (!B !A))")) ) - (instance RDOE_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance CS_0_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (C B))")) + ) + (instance CS_0_sqmuxa_0_a3_3_8_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C B))")) + ) + (instance CS_0_sqmuxa_0_a3_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B+A)))")) + ) + (instance (rename RA_33_0_1_9 "RA_33_0_1[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance (rename RA_33_0_9 "RA_33_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C (!B !A)))")) + ) + (instance (rename wb_adr_7_i_0_0 "wb_adr_7_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance (rename wb_adr_7_i_0_1_1_0 "wb_adr_7_i_0_1_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C (B !A))+D (!C (B+A)))")) + ) + (instance (rename wb_adr_7_i_0_1_0 "wb_adr_7_i_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B+!A)+C !A))")) + ) + (instance nCAS_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A))+D !A)")) + ) + (instance nCAS_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C (B+A)+C A))")) + ) + (instance un1_FS_20_0_a2_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance RAT_2_0_a2_0_a2_RNI1J2I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) (instance un1_nDOE_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+!A))")) ) - (instance Vout3_0_a2_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RDOE_2_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) - (instance (rename wb_dati_7_0_a2_1_4 "wb_dati_7_0_a2_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance CmdLEDGet_3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance nRAS_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A))+D !C)")) - ) - (instance (rename wb_dati_7_0_a3_1_1 "wb_dati_7_0_a3_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) - ) - (instance (rename wb_dati_7_0_a3_3_1 "wb_dati_7_0_a3_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance (rename wb_dati_7_0_a3_2_1 "wb_dati_7_0_a3_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance (rename wb_dati_7_0_a2_4 "wb_dati_7_0_a2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) - ) - (instance (rename wb_dati_7_0_a2_3 "wb_dati_7_0_a2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) + (instance Vout3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) (instance ufmefb (viewRef netlist (cellRef REFB)) ) (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) ) (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) ) - (net N_562 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef A (instanceRef Ready3_0_a4_0_a2)) - (portRef A (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_12 "FS[12]") (joined - (portRef (member fs 3)) - (portRef B (instanceRef wb_dati_7_0_a2_3)) - (portRef B (instanceRef wb_dati_7_0_a2_4)) - (portRef A (instanceRef wb_dati_7_0_a3_2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_1_4)) - (portRef A (instanceRef wb_dati_7_0_o2_7)) - (portRef C (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef Ready3_0_a4_0_a2_5)) - (portRef B (instanceRef wb_adr_RNO_3_1)) - (portRef B (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef B (instanceRef wb_req_RNO)) - (portRef D (instanceRef wb_we_RNO_1)) - (portRef A (instanceRef wb_dati_7_0_a2_2_1)) - (portRef C (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_adr_7_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_a2_1)) - (portRef A (instanceRef wb_dati_7_0_a2_7)) - (portRef D (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef B (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef B (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_11 "FS[11]") (joined - (portRef (member fs 4)) - (portRef B (instanceRef Ready3_0_a4_0_a3_1)) - (portRef B (instanceRef wb_dati_7_0_a3_4)) - (portRef B (instanceRef wb_adr_7_0_o2_0)) - (portRef B (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef C (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef D (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef C (instanceRef wb_adr_7_5_41_0_o2)) - (portRef A (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef wb_adr_7_0_o2_1_0)) - (portRef C (instanceRef RA_33_6_101_i_0_a2)) - (portRef C (instanceRef wb_dati_7_0_o2_1)) - (portRef A (instanceRef wb_req_RNO)) - (portRef D (instanceRef wb_dati_7_0_o2_4)) - (portRef D (instanceRef wb_dati_7_0_o2_3)) - (portRef C (instanceRef wb_dati_7_0_a2_3_4)) - (portRef B (instanceRef wb_adr_7_0_a2_6_0)) - (portRef A (instanceRef wb_adr_7_0_5_0)) - (portRef C (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_a3_5_1)) - (portRef B (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef C (instanceRef wb_adr_7_0_4_RNO_0)) - (portRef C (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_13 "FS[13]") (joined - (portRef (member fs 2)) - (portRef D (instanceRef wb_dati_7_0_a2_3)) - (portRef D (instanceRef wb_dati_7_0_a2_4)) - (portRef C (instanceRef wb_dati_7_0_a3_2_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1_4)) - (portRef B (instanceRef wb_dati_7_0_o2_7)) - (portRef D (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef Ready3_0_a4_0_a2_5)) - (portRef C (instanceRef wb_adr_RNO_3_1)) - (portRef D (instanceRef wb_adr_7_0_o2_1_0)) - (portRef C (instanceRef RA_33_4_137_i_0_a2)) - (portRef D (instanceRef wb_dati_7_0_o2_1)) - (portRef C (instanceRef wb_req_RNO)) - (portRef B (instanceRef wb_dati_7_0_a2_2_1)) - (portRef A (instanceRef wb_we_RNO)) - (portRef B (instanceRef wb_dati_7_0_a2_7)) - (portRef B (instanceRef wb_adr_7_0_5_0)) - (portRef A (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef C (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef A (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef D (instanceRef wb_we_RNO_3)) - )) - (net wb_we_7_iv_0_0_111_i_i_1_1 (joined - (portRef Z (instanceRef wb_we_RNO_3)) - (portRef D (instanceRef wb_we_RNO_2)) + (net (rename S_2 "S[2]") (joined + (portRef (member s 1)) + (portRef A (instanceRef Vout3_0_a2)) + (portRef C (instanceRef RA_33_0_9)) + (portRef B (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef B (instanceRef wb_adr_7_3)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef A (instanceRef VOE_2_0_a2)) + (portRef C (instanceRef wb_adr_RNO_6)) + (portRef C (instanceRef wb_adr_RNO_5)) + (portRef C (instanceRef wb_adr_RNO_4)) + (portRef C (instanceRef wb_adr_RNO_0_1)) + (portRef C (instanceRef wb_reqc_2)) + (portRef C (instanceRef RA_33_2_173_a2_0)) + (portRef B (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef C (instanceRef RA_33_1_0_tz_10)) + (portRef C (instanceRef RA_33_2_173_0_a2)) + (portRef C (instanceRef S_0_i_x2_2)) + (portRef C (instanceRef RAT_2_0_a2_0_a2)) + (portRef C (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef C (instanceRef un11_S_0_a2)) + (portRef C (instanceRef un9_VOE_0_a2)) + (portRef B (instanceRef wb_adr_RNO_7)) + (portRef B (instanceRef wb_adr_RNO_2)) + (portRef D (instanceRef RA_33_1_a2_3_10)) + (portRef C (instanceRef S_0_i_x2_3)) + (portRef C (instanceRef N_347_i)) + (portRef C (instanceRef N_346_i)) + (portRef C (instanceRef wb_we_RNO_2)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef C (instanceRef CKE_7s2_0_o2)) + (portRef C (instanceRef un1_CKE48_i)) + (portRef C (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef wb_dati_7_0)) + (portRef A (instanceRef wb_dati_7_1)) + (portRef A (instanceRef wb_dati_7_6)) + (portRef A (instanceRef wb_dati_7_5)) + (portRef A (instanceRef wb_dati_7_4)) + (portRef A (instanceRef wb_dati_7_3)) + (portRef A (instanceRef wb_dati_7_2)) + (portRef A (instanceRef wb_dati_7_7)) + (portRef B (instanceRef wb_req_RNO_0)) + (portRef C (instanceRef CKE_0_RNO)) + (portRef B (instanceRef S_1_RNI29JH1)) + (portRef A (instanceRef RA_33_0_o2_11)) + (portRef C (instanceRef CKE_7s2_0_RNO)) + (portRef C (instanceRef un6_DOEEN_0_a2_0_a2)) + (portRef A (instanceRef wb_rst13_0_a2)) + (portRef A (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_1 "S[1]") (joined (portRef (member s 2)) - (portRef B (instanceRef Vout3_0_a2_1_a2)) - (portRef A (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef CKE_7s2_0_0_1)) - (portRef B (instanceRef S_0_i_0_o3_1)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef C (instanceRef wb_reqc_2)) - (portRef C (instanceRef nRWE_0_i_o3_0)) - (portRef B (instanceRef RA_33_2_173_1_a2)) - (portRef A (instanceRef nRAS_0_i_a2_0_2)) - (portRef B (instanceRef S_0_0_0_a2_0)) - (portRef B (instanceRef N_117_i)) - (portRef B (instanceRef N_389_i)) - (portRef B (instanceRef un1_CKE48_0_i)) - (portRef B (instanceRef CKE_7s2_0_0_o2)) - (portRef B (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef RA_33_9_47_i_0_0)) - (portRef D (instanceRef RA_33_8_65_i_0_0_0)) + (portRef B (instanceRef Vout3_0_a2)) + (portRef A (instanceRef RDOE_2_0_a5)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef B (instanceRef RA_33_1_0_tz_10)) + (portRef B (instanceRef RA_33_2_173_0_a2)) + (portRef B (instanceRef S_0_i_x2_2)) + (portRef B (instanceRef RAT_2_0_a2_0_a2)) + (portRef B (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef B (instanceRef un11_S_0_a2)) + (portRef B (instanceRef un9_VOE_0_a2)) + (portRef B (instanceRef S_0_i_x2_3)) + (portRef B (instanceRef N_347_i)) + (portRef B (instanceRef N_346_i)) + (portRef C (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef C (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef C (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef C (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef B (instanceRef CKE_7s2_0_o2)) + (portRef C (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef D (instanceRef RA_33_8_65_i_0_0)) (portRef D (instanceRef RA_33_10_29_i_0_0)) - (portRef D (instanceRef S_0_0_0_0)) - (portRef D (instanceRef nRWE_0_i_o3_RNI7PQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNI4MQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNI1JQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNIUFQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNIRCQK3)) - (portRef C (instanceRef N_243_i)) - (portRef C (instanceRef N_229_i)) - (portRef C (instanceRef N_215_i)) - (portRef A (instanceRef N_430_i)) - (portRef B (instanceRef wb_req_RNO_0)) - (portRef C (instanceRef N_425_i)) - (portRef A (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef A (instanceRef RAT_2_0_a2)) - (portRef C (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef A (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef B (instanceRef RA_33_0_o2_9)) - (portRef B (instanceRef RA_33_1_1_RNO_10)) - (portRef A (instanceRef un9_VOE_0_a2_0_a2)) - (portRef A (instanceRef nRAS_0_i_a3)) - )) - (net N_427 (joined - (portRef Z (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef D (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef D (instanceRef RA_33_6_101_i_0_a2)) - (portRef D (instanceRef RA_33_7_83_i_0_a2)) - (portRef D (instanceRef RA_33_3_155_i_0_a2)) - (portRef D (instanceRef RA_33_4_137_i_0_a2)) - (portRef B (instanceRef nRAS_0_i_1_RNO)) - (portRef B (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef RA_33_9_47_i_0_0)) - (portRef C (instanceRef RA_33_8_65_i_0_0_0)) - (portRef C (instanceRef RA_33_10_29_i_0_0)) - (portRef A (instanceRef N_243_i)) - (portRef A (instanceRef N_229_i)) - (portRef A (instanceRef N_215_i)) - (portRef B (instanceRef N_430_i)) + (portRef D (instanceRef RA_33_9_47_i_0_0)) + (portRef C (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef B (instanceRef un1_CKE48_i)) + (portRef D (instanceRef N_312_i)) + (portRef D (instanceRef N_298_i)) + (portRef D (instanceRef N_284_i)) + (portRef D (instanceRef N_270_i)) + (portRef D (instanceRef N_256_i)) + (portRef C (instanceRef N_242_i)) + (portRef C (instanceRef N_228_i)) + (portRef C (instanceRef N_214_i)) + (portRef CD (instanceRef wb_req)) (portRef C (instanceRef wb_req_RNO_0)) - (portRef D (instanceRef N_425_i)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef B (instanceRef nRAS_0_i_a3)) - )) - (net (rename FS_0 "FS[0]") (joined - (portRef (member fs 15)) - (portRef A (instanceRef Ready3_0_a4_0_a2_3)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef A (instanceRef wb_cyc_stb_RNO_0)) - (portRef B (instanceRef N_425_i)) - (portRef C (instanceRef nRAS_0_i_a3)) - )) - (net (rename FS_15 "FS[15]") (joined - (portRef (member fs 0)) - (portRef B (instanceRef wb_dati_7_0_a3_3_1)) - (portRef C (instanceRef wb_dati_7_0_a3_1_1)) - (portRef B (instanceRef wb_rst6)) - (portRef D (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef A (instanceRef N_443_i)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef B (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef A (instanceRef wb_req_RNO_0)) - (portRef A (instanceRef N_425_i)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef D (instanceRef nRAS_0_i_a3)) - )) - (net N_554 (joined - (portRef Z (instanceRef nRAS_0_i_a3)) - (portRef B (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) - (portRef A (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef A (instanceRef nCAS_0_i_0_RNISBOI3)) - )) - (net (rename FS_9 "FS[9]") (joined - (portRef (member fs 6)) - (portRef C (instanceRef Ready3_0_a4_0_a3_1)) - (portRef B (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef B (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef B (instanceRef wb_adr_RNO_2_1)) - (portRef B (instanceRef wb_adr_7_5_41_0_o2)) - (portRef D (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef B (instanceRef wb_adr_7_0_o2_1_0)) - (portRef A (instanceRef wb_dati_7_0_o2_1)) - (portRef B (instanceRef wb_we_RNO_1)) - (portRef B (instanceRef wb_dati_7_0_o2_4)) - (portRef B (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_dati_7_0_a2_1_7)) - (portRef A (instanceRef wb_dati_7_0_a3_0_0)) - (portRef B (instanceRef wb_dati_7_0_a2_3_4)) - (portRef A (instanceRef wb_adr_7_0_a2_6_0)) - (portRef A (instanceRef wb_dati_7_0_RNO_7)) - (portRef A (instanceRef wb_dati_7_0_a3_5_1)) - (portRef A (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename FS_8 "FS[8]") (joined - (portRef (member fs 7)) - (portRef A (instanceRef wb_dati_7_0_a3_3_1)) - (portRef D (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef A (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef A (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef A (instanceRef wb_adr_RNO_2_1)) - (portRef A (instanceRef wb_adr_7_5_41_0_o2)) - (portRef D (instanceRef RA_33_9_47_i_0_o2)) - (portRef A (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef A (instanceRef wb_adr_7_0_o2_1_0)) - (portRef A (instanceRef wb_we_RNO_1)) - (portRef A (instanceRef wb_dati_7_0_o2_4)) - (portRef A (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_dati_7_0_a2_3_4)) - (portRef B (instanceRef wb_dati_7_0_a3_5_1)) - (portRef A (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef B (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename FS_10 "FS[10]") (joined - (portRef (member fs 5)) - (portRef A (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef wb_dati_7_0_a3_4)) - (portRef A (instanceRef wb_adr_7_0_o2_0)) - (portRef A (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef A (instanceRef wb_adr_RNO_3_1)) - (portRef C (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef C (instanceRef wb_adr_RNO_2_1)) - (portRef C (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef wb_dati_7_0_o2_1)) - (portRef C (instanceRef wb_we_RNO_1)) - (portRef C (instanceRef wb_dati_7_0_o2_4)) - (portRef C (instanceRef wb_dati_7_0_o2_3)) - (portRef B (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_dati_7_0_1)) - (portRef A (instanceRef wb_dati_7_0_6)) - (portRef A (instanceRef wb_adr_7_0_0)) - (portRef D (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef D (instanceRef wb_dati_7_0_a3_5_1)) - (portRef C (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename wb_adr_7_0_a2_1_0_0 "wb_adr_7_0_a2_1_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_4_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename wb_adr_7_0_a2_2_0_0 "wb_adr_7_0_a2_2_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_1_0)) + (portRef D (instanceRef CKE_0_RNO)) + (portRef C (instanceRef S_1_RNI29JH1)) + (portRef B (instanceRef RA_33_0_o2_11)) + (portRef D (instanceRef CKE_7s2_0_RNO)) + (portRef D (instanceRef un6_DOEEN_0_a2_0_a2)) + (portRef B (instanceRef wb_rst13_0_a2)) + (portRef B (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_3 "S[3]") (joined (portRef (member s 0)) - (portRef C (instanceRef Vout3_0_a2_1_a2)) - (portRef C (instanceRef CKE_7s2_0_0)) - (portRef B (instanceRef S_0_i_0_o2_1)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef B (instanceRef nRWE_0_i_o3)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) + (portRef C (instanceRef Vout3_0_a2)) + (portRef D (instanceRef nCAS_0_RNO_1)) + (portRef B (instanceRef CKE48_0_o2)) + (portRef B (instanceRef VOE_2_0_a2)) (portRef B (instanceRef LEDEN_RNO)) (portRef B (instanceRef RWMask_RNO_7)) (portRef D (instanceRef wb_reqc_2)) - (portRef D (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) + (portRef D (instanceRef RA_33_2_173_a2_0)) + (portRef C (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef D (instanceRef RAT_2_0_a2_0_a2)) + (portRef D (instanceRef DQML_4_iv_0_17)) + (portRef D (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef D (instanceRef un11_S_0_a2)) + (portRef D (instanceRef un9_VOE_0_a2)) (portRef B (instanceRef RWMask_RNO_6)) (portRef B (instanceRef RWMask_RNO_5)) (portRef B (instanceRef RWMask_RNO_4)) @@ -1974,469 +1803,296 @@ (portRef B (instanceRef RWMask_RNO_2)) (portRef B (instanceRef RWMask_RNO_1)) (portRef B (instanceRef RWMask_RNO_0)) - (portRef B (instanceRef nRAS_0_i_a2_0_2)) - (portRef D (instanceRef RA_33_1_a2_3_10)) - (portRef D (instanceRef S_0_0_0_a2_0)) - (portRef D (instanceRef N_117_i)) - (portRef D (instanceRef N_389_i)) - (portRef D (instanceRef N_443_i)) - (portRef D (instanceRef un1_CKE48_0_i)) - (portRef D (instanceRef CKE_7s2_0_0_o2)) - (portRef D (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef S_1_RNIP23H1)) + (portRef D (instanceRef S_0_i_x2_3)) + (portRef D (instanceRef N_347_i)) + (portRef D (instanceRef N_346_i)) + (portRef D (instanceRef N_194_i)) + (portRef C (instanceRef RA_33_1_a2_10)) + (portRef D (instanceRef nRAS_0_i_0_tz)) + (portRef D (instanceRef CKE_7s2_0_o2)) (portRef B (instanceRef wb_cyc_stb_RNO)) - (portRef D (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef D (instanceRef wb_we_RNO_0)) - (portRef D (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef C (instanceRef RAT_2_0_a2)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef D (instanceRef un1_CKE48_i)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef A (instanceRef CKE_0_RNO)) + (portRef C (instanceRef RA_33_0_o2_11)) + (portRef A (instanceRef CKE_7s2_0_RNO)) (portRef A (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef C (instanceRef RA_33_0_o2_9)) - (portRef C (instanceRef nRWE_0_i_0_RNO)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef B (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef C (instanceRef un9_VOE_0_a2_0_a2)) - (portRef C (instanceRef RA_33_2_173_a2_2)) - (portRef A (instanceRef nCAS_0_i_a2_1_1)) + (portRef C (instanceRef wb_rst13_0_a2)) + (portRef C (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_0 "S[0]") (joined (portRef (member s 3)) - (portRef D (instanceRef Vout3_0_a2_1_a2)) - (portRef B (instanceRef CKE_7s2_0_0)) - (portRef A (instanceRef CKE_7s2_0_0_1)) - (portRef B (instanceRef BA_3_0)) + (portRef D (instanceRef Vout3_0_a2)) + (portRef B (instanceRef nCAS_0_RNO)) + (portRef A (instanceRef CKE48_0_o2)) (portRef B (instanceRef BA_3_1)) - (portRef A (instanceRef S_0_i_0_o3_1)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef A (instanceRef nRWE_0_i_o3)) - (portRef A (instanceRef nRAS_0_i_a2_0_1)) + (portRef B (instanceRef BA_3_0)) (portRef B (instanceRef wb_reqc_2)) - (portRef C (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef A (instanceRef S_0_0_0_a2_0)) - (portRef A (instanceRef N_117_i)) - (portRef A (instanceRef N_389_i)) - (portRef C (instanceRef N_443_i)) - (portRef A (instanceRef un1_CKE48_0_i)) - (portRef D (instanceRef nCAS_0_i_0)) - (portRef B (instanceRef RAT_2_0_a2)) - (portRef D (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef D (instanceRef RA_33_0_o2_9)) - (portRef D (instanceRef nRWE_0_i_0_RNO)) - (portRef C (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef C (instanceRef RA_33_1_1_RNO_10)) - (portRef B (instanceRef un9_VOE_0_a2_0_a2)) - (portRef A (instanceRef RA_33_2_173_a2_2)) - (portRef B (instanceRef nCAS_0_i_a2_1_1)) - )) - (net (rename S_2 "S[2]") (joined - (portRef (member s 1)) - (portRef A (instanceRef Vout3_0_a2_1_a2)) - (portRef C (instanceRef CKE_7s2_0_0_1)) - (portRef C (instanceRef wb_we_RNO_2)) - (portRef B (instanceRef nCAS_0_i_a2_1_0)) - (portRef A (instanceRef S_0_i_0_o2_1)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) - (portRef B (instanceRef wb_adr_7_3)) - (portRef B (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef C (instanceRef wb_adr_RNO_6)) - (portRef C (instanceRef wb_adr_RNO_5)) - (portRef C (instanceRef wb_adr_RNO_4)) - (portRef B (instanceRef nRAS_0_i_a2_0_1)) - (portRef C (instanceRef RA_33_2_173_1_a2)) - (portRef B (instanceRef wb_adr_RNO_7)) - (portRef B (instanceRef wb_adr_RNO_2)) - (portRef C (instanceRef RA_33_1_a2_3_10)) - (portRef C (instanceRef S_0_0_0_a2_0)) - (portRef C (instanceRef N_117_i)) - (portRef C (instanceRef N_389_i)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef C (instanceRef un1_CKE48_0_i)) - (portRef C (instanceRef CKE_7s2_0_0_o2)) - (portRef C (instanceRef CKE_7_m1_i_a2)) - (portRef C (instanceRef S_1_RNIP23H1)) - (portRef C (instanceRef wb_adr_RNO_1)) - (portRef C (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef wb_dati_7_0_0_7)) - (portRef C (instanceRef wb_adr_7_0_2_0)) - (portRef C (instanceRef wb_dati_7_0_0_4)) - (portRef C (instanceRef wb_dati_7_0_1_1)) - (portRef C (instanceRef wb_dati_7_0_1_6)) - (portRef B (instanceRef wb_dati_7_0_3)) - (portRef B (instanceRef wb_dati_7_0_0)) - (portRef B (instanceRef wb_dati_7_0_2)) - (portRef B (instanceRef wb_dati_7_0_5)) - (portRef CD (instanceRef wb_req)) - (portRef A (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef C (instanceRef wb_we_RNO_0)) - (portRef C (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef C (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef C (instanceRef RA_33_1_RNO_10)) - (portRef D (instanceRef RAT_2_0_a2)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef B (instanceRef RA_33_2_173_a2_0)) + (portRef A (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef A (instanceRef RA_33_1_0_tz_10)) + (portRef A (instanceRef S_0_i_x2_2)) + (portRef A (instanceRef RAT_2_0_a2_0_a2)) + (portRef C (instanceRef DQML_4_iv_0_17)) + (portRef A (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef A (instanceRef un11_S_0_a2)) + (portRef A (instanceRef un9_VOE_0_a2)) + (portRef A (instanceRef S_0_i_x2_3)) + (portRef A (instanceRef N_347_i)) + (portRef A (instanceRef N_346_i)) + (portRef C (instanceRef N_194_i)) + (portRef B (instanceRef RA_33_1_a2_10)) + (portRef B (instanceRef S_0_0_0)) + (portRef B (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef A (instanceRef un1_CKE48_i)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef D (instanceRef RA_33_0_o2_11)) + (portRef B (instanceRef CKE_7s2_0_RNO)) (portRef B (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef A (instanceRef RA_33_0_o2_9)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef A (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef A (instanceRef RA_33_1_1_RNO_10)) - (portRef D (instanceRef un9_VOE_0_a2_0_a2)) - (portRef D (instanceRef RA_33_2_173_a2_2)) - (portRef C (instanceRef nCAS_0_i_a2_1_1)) + (portRef D (instanceRef wb_rst13_0_a2)) + (portRef D (instanceRef nRAS_0_i_a3_1_0)) )) - (net RefReq (joined - (portRef RefReq) - (portRef A (instanceRef nCAS_0_i_a2_1_0)) - (portRef A (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef nCAS_0_i_a2_1_1)) + (net nRAS_0_i_a3_1_0 (joined + (portRef Z (instanceRef nRAS_0_i_a3_1_0)) + (portRef C (instanceRef nRAS_0_i_208_tz)) )) - (net nCAS_0_i_a2_1_1 (joined - (portRef Z (instanceRef nCAS_0_i_a2_1_1)) - (portRef C (instanceRef nCAS_0_i_0_RNISBOI3)) - )) - (net (rename RWBank_7 "RWBank[7]") (joined - (portRef (member rwbank 0)) - (portRef B (instanceRef RA_33_2_173_a2_2)) - )) - (net N_512 (joined - (portRef Z (instanceRef RA_33_2_173_a2_2)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - )) - (net (rename un9_VOE_0_a2_0_a2_1z "un9_VOE_0_a2_0_a2") (joined - (portRef Z (instanceRef un9_VOE_0_a2_0_a2)) - (portRef un9_VOE_0_a2_0_a2_1z) - )) - (net N_301 (joined - (portRef Z (instanceRef RA_33_1_1_RNO_10)) - (portRef A (instanceRef RA_33_1_1_10)) - )) - (net N_188 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef A (instanceRef RA_33_1_a2_3_10)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef B (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef C (instanceRef RA_33_1_1_RNO_0_10)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef N_188) - )) - (net N_550 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef C (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - (portRef B (instanceRef RA_33_0_11)) - )) - (net N_556 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_5_1)) - (portRef D (instanceRef wb_dati_7_0_a2_2_1)) - (portRef B (instanceRef wb_dati_7_0_0_4)) - (portRef A (instanceRef wb_dati_7_0_o2_RNO_2)) - )) - (net N_347_2 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_a2_4_0_7)) - )) - (net N_240 (joined - (portRef Z (instanceRef nRWE_0_i_o3_0)) - (portRef A (instanceRef nRAS_0_i_1_RNO)) - (portRef A (instanceRef nRWE_0_i_0_RNO)) - )) - (net (rename FS_2 "FS[2]") (joined - (portRef (member fs 13)) - (portRef B (instanceRef Ready3_0_a4_0_a2_4)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef B (instanceRef nRAS_0_i_1_RNO_0)) - (portRef B (instanceRef nCAS_0_i_o2)) - (portRef B (instanceRef nRWE_0_i_0_RNO)) - )) - (net nRWE_0_i_a2_1 (joined - (portRef Z (instanceRef nRWE_0_i_0_RNO)) - (portRef D (instanceRef nRWE_0_i_0)) - )) - (net N_230 (joined - (portRef Z (instanceRef RA_33_0_o2_9)) - (portRef A (instanceRef RA_33_0_9)) - (portRef A (instanceRef RA_33_0_11)) - )) - (net N_426 (joined - (portRef Z (instanceRef nRWE_0_i_o3)) - (portRef D (instanceRef nRWE_0_i_a2_1_1)) - (portRef D (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef D (instanceRef RA_33_1_RNO_10)) - (portRef C (instanceRef nRWE_0_i_o3_RNIP8E61)) - )) - (net nRWE_0_i_o3_RNIP8E61 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef C (instanceRef wb_dati_7_0_a3_3_1)) - (portRef B (instanceRef wb_dati_7_0_a3_1_1)) - (portRef B (instanceRef nCAS_0_i_0_RNO)) + (net wb_rst13 (joined + (portRef Z (instanceRef wb_rst13_0_a2)) + (portRef C (instanceRef nCAS_0_sqmuxa)) + (portRef C (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef D (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef D (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef C (instanceRef S_0_0_0)) + (portRef D (instanceRef nRWE_0_i_a3)) + (portRef D (instanceRef nRAS_0_i_1)) + (portRef D (instanceRef wb_cyc_stb_RNO_0)) + (portRef D (instanceRef nCAS_0)) (portRef B (instanceRef CKE_0)) - (portRef CD (instanceRef wb_rst)) - (portRef C (instanceRef nRWE_0_i_o3_RNIR47H1)) + (portRef D (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef D (instanceRef wb_rst)) + (portRef C (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef C (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef wb_rst13) )) (net (rename un6_DOEEN_0_a2_0_a2_1z "un6_DOEEN_0_a2_0_a2") (joined (portRef Z (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2)) - (portRef D (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef B (instanceRef CS6_RNIL59G2)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef D (instanceRef CS12_RNI2SPL2)) + (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) (portRef un6_DOEEN_0_a2_0_a2_1z) )) + (net CKE_7s2_0_0 (joined + (portRef Z (instanceRef CKE_7s2_0_RNO)) + (portRef C (instanceRef CKE_7s2_0)) + )) + (net N_62 (joined + (portRef Z (instanceRef RA_33_0_o2_11)) + (portRef A (instanceRef RA_33_0_1_9)) + (portRef A (instanceRef RA_33_0_11)) + )) + (net (rename SZ0Z_1 "S_1") (joined + (portRef Z (instanceRef S_1)) + (portRef A (instanceRef S_0_0_0)) + (portRef D (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef D (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef A (instanceRef S_1_RNI29JH1)) + )) + (net N_60_2 (joined + (portRef Z (instanceRef CKE48_0_o2)) + (portRef B (instanceRef RA_33_0_9)) + (portRef C (instanceRef RA_33_1_a2_3_10)) + (portRef D (instanceRef wb_req_RNO_0)) + (portRef D (instanceRef S_1_RNI29JH1)) + )) + (net N_469 (joined + (portRef Z (instanceRef S_1_RNI29JH1)) + (portRef A (instanceRef un11_S_0_a2_RNIT9FP2)) + )) + (net RefReq (joined + (portRef RefReq) + (portRef C (instanceRef nCAS_0_RNO_1)) + (portRef C (instanceRef nRAS_0_i_0_tz)) + (portRef B (instanceRef CKE_0_RNO)) + )) + (net N_101 (joined + (portRef Z (instanceRef CKE_0_RNO)) + (portRef C (instanceRef CKE_0)) + )) (net RWSel (joined (portRef RWSel) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef B (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef D (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef N_351_i)) - (portRef D (instanceRef N_350_i)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2)) - (portRef C (instanceRef CS6_RNIL59G2)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef B (instanceRef CmdTout_3_0)) + (portRef C (instanceRef CmdTout_3_1)) + (portRef D (instanceRef CmdTout_3_2)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef C (instanceRef CS12_RNI2SPL2)) + (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + )) + (net N_503 (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef B (instanceRef nCAS_0_RNO_1)) + (portRef A (instanceRef RA_33_1_a2_10)) + (portRef B (instanceRef nRAS_0_i_0_tz)) + (portRef D (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) )) (net RWBank14 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef B (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) - (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - (portRef B (instanceRef un1_CS_0_sqmuxa_i_a2_0)) + (portRef A (instanceRef CS_0_sqmuxa_0)) (portRef SP (instanceRef CmdBitbangMXO2)) (portRef SP (instanceRef CmdExecMXO2)) (portRef RWBank14) )) - (net (rename RWBankZ0Z_3 "RWBank[3]") (joined - (portRef (member rwbank 4)) - (portRef A (instanceRef RA_33_1_1_RNO_0_10)) + (net (rename FS_11 "FS[11]") (joined + (portRef (member fs 4)) + (portRef A (instanceRef wb_adr_7_i_0_1_0)) + (portRef D (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef D (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef B (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef A (instanceRef wb_we_RNO_3)) + (portRef C (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef RA_33_6_101_i_0_a3)) + (portRef B (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_dati_cnst_7_0__m23)) + (portRef A (instanceRef wb_dati_cnst_7_0__m20)) + (portRef A (instanceRef wb_dati_cnst_7_0__m7)) + (portRef A (instanceRef wb_dati_cnst_7_0__m2)) + (portRef B (instanceRef wb_dati_cnst_7_0__m41)) + (portRef B (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef B (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef A (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net N_530 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) - (portRef B (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef RA_33_1_1_RNO_0_10)) - (portRef N_530) + (net N_462 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5)) + (portRef C (instanceRef wb_adr_7_i_0_1_0)) + (portRef D (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef D (instanceRef Ready3_0_a3_7)) + (portRef D (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef B (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net N_487 (joined - (portRef Z (instanceRef RA_33_1_1_RNO_0_10)) - (portRef B (instanceRef RA_33_1_1_10)) + (net (rename FS_10 "FS[10]") (joined + (portRef (member fs 5)) + (portRef C (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef C (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef A (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef C (instanceRef RA_33_7_83_i_0_a3)) + (portRef A (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef C (instanceRef wb_dati_cnst_7_0__m10)) + (portRef C (instanceRef wb_dati_cnst_7_0__m48)) + (portRef C (instanceRef wb_dati_cnst_7_0__m17)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m38)) + (portRef A (instanceRef wb_dati_cnst_7_0__m26)) + (portRef C (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef C (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m45)) + (portRef C (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef C (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m35_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m31_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m31_am)) + (portRef C (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef C (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net RAT_2 (joined - (portRef Z (instanceRef RAT_2_0_a2)) - (portRef D (instanceRef nCAS_0_i_0_RNO)) - (portRef B (instanceRef nRWE_0_i_0)) - (portRef C (instanceRef RA_33_1_10)) + (net N_556 (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) + (portRef D (instanceRef wb_adr_7_i_a5_1_0_0)) )) - (net N_527 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net (rename FS_13 "FS[13]") (joined + (portRef (member fs 2)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef wb_adr_7_i_0_1_0)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m27)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef B (instanceRef Ready3_0_a3_7)) + (portRef C (instanceRef wb_we_RNO_3)) + (portRef D (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef RA_33_4_137_i_0_a3)) + (portRef C (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_we_RNO)) + (portRef B (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m11)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m18)) + (portRef A (instanceRef wb_dati_cnst_7_0__m39)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m35)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m31)) + (portRef A (instanceRef wb_dati_cnst_7_0__m49)) + (portRef D (instanceRef Ready3_5_0_i_o5_RNISUVB)) )) - (net (rename FS_4 "FS[4]") (joined - (portRef (member fs 11)) - (portRef B (instanceRef Ready3_0_a4_0_a2_5)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef C (instanceRef nRAS_0_i_1_RNO_0)) - (portRef B (instanceRef nRWE_0_i_o3_0)) - (portRef B (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef C (instanceRef RA_33_10_29_i_0_o2)) - (portRef B (instanceRef RA_33_9_47_i_0_o2)) - (portRef C (instanceRef nRWE_0_i_a2_1_1)) - (portRef B (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef B (instanceRef RA_33_6_101_i_0_a2)) - (portRef B (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef RA_33_4_137_i_0_a2)) - (portRef D (instanceRef nCAS_0_i_o2)) - (portRef A (instanceRef RA_33_0_0_9)) - (portRef A (instanceRef RA_33_1_RNO_10)) + (net N_496 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef C (instanceRef Ready3_5_0_i_o5_RNI0R091)) )) - (net (rename FS_1 "FS[1]") (joined - (portRef (member fs 14)) - (portRef A (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef A (instanceRef nRAS_0_i_1_RNO_0)) - (portRef A (instanceRef RA_33_10_29_i_0_o2)) - (portRef A (instanceRef nRWE_0_i_a2_1_1)) - (portRef A (instanceRef nCAS_0_i_o2)) - (portRef B (instanceRef RA_33_1_RNO_10)) + (net CmdRWMaskSet (joined + (portRef CmdRWMaskSet) + (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) )) - (net N_486 (joined - (portRef Z (instanceRef RA_33_1_RNO_10)) - (portRef A (instanceRef RA_33_1_10)) + (net N_448 (joined + (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) + (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) )) - (net (rename RA_5 "RA[5]") (joined - (portRef (member ra 6)) - (portRef B (instanceRef nRWE_0_i_o3_RNIPAG81)) - )) - (net N_416 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef B (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net (rename RA_4 "RA[4]") (joined - (portRef (member ra 7)) - (portRef B (instanceRef nRWE_0_i_o3_RNIO9G81)) - )) - (net N_459 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net (rename RA_3 "RA[3]") (joined - (portRef (member ra 8)) - (portRef B (instanceRef RWSel_2_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIN8G81)) - )) - (net N_458 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net (rename RA_7 "RA[7]") (joined - (portRef (member ra 4)) - (portRef B (instanceRef nRWE_0_i_o3_RNIRCG81)) - )) - (net N_452 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net (rename RA_6 "RA[6]") (joined - (portRef (member ra 5)) - (portRef B (instanceRef nRWE_0_i_o3_RNIQBG81)) - )) - (net N_455 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_425_i (joined - (portRef Z (instanceRef N_425_i)) - (portRef C (instanceRef nRAS_0_i_1)) - (portRef A (instanceRef nRAS_0_i_a2_1)) - (portRef A (instanceRef nRWE_0_i_0)) - (portRef A (instanceRef nCAS_0_i_0)) + (net (rename FS_15 "FS[15]") (joined + (portRef (member fs 0)) + (portRef B (instanceRef wb_rst6)) + (portRef A (instanceRef DQML_4_iv_0_17)) + (portRef C (instanceRef Ready3_0_a3_7)) + (portRef B (instanceRef nCAS_0_sqmuxa)) + (portRef A (instanceRef N_194_i)) + (portRef B (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef A (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef A (instanceRef wb_req_RNO_0)) )) (net wb_adr_0_sqmuxa_1_i (joined (portRef Z (instanceRef wb_req_RNO_0)) (portRef SP (instanceRef wb_req)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_1_0 "un1_wb_adr_0_sqmuxa_2_1[0]") (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef A (instanceRef wb_we_RNO_0)) - (portRef A (instanceRef CmdBitbangMXO2_RNIB5O11)) + (net un11_S (joined + (portRef Z (instanceRef un11_S_0_a2)) + (portRef D (instanceRef S_0_0_0)) + (portRef D (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef A (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef A (instanceRef S_0_i_x2_RNIES2D2_2)) )) - (net CmdBitbangMXO2 (joined - (portRef Q (instanceRef CmdBitbangMXO2)) - (portRef B (instanceRef CmdBitbangMXO2_RNIB5O11)) + (net N_76_i (joined + (portRef Z (instanceRef S_0_i_x2_2)) + (portRef B (instanceRef S_0_i_x2_RNIES2D2_2)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_i_0 "un1_wb_adr_0_sqmuxa_2_i[0]") (joined - (portRef Z (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef SP (instanceRef wb_adr_7)) - (portRef SP (instanceRef wb_adr_6)) - (portRef SP (instanceRef wb_adr_5)) - (portRef SP (instanceRef wb_adr_4)) - (portRef SP (instanceRef wb_adr_3)) - (portRef SP (instanceRef wb_adr_2)) - (portRef SP (instanceRef wb_adr_1)) - (portRef SP (instanceRef wb_adr_0)) - (portRef SP (instanceRef wb_dati_7)) - (portRef SP (instanceRef wb_dati_6)) - (portRef SP (instanceRef wb_dati_5)) - (portRef SP (instanceRef wb_dati_4)) - (portRef SP (instanceRef wb_dati_3)) - (portRef SP (instanceRef wb_dati_2)) - (portRef SP (instanceRef wb_dati_1)) - (portRef SP (instanceRef wb_dati_0)) + (net N_459_i (joined + (portRef Z (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef N_459_i) )) - (net CmdExecMXO2 (joined - (portRef Q (instanceRef CmdExecMXO2)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef C (instanceRef wb_cyc_stb_RNO)) - (portRef B (instanceRef wb_we_RNO_0)) + (net N_73_i (joined + (portRef Z (instanceRef S_0_i_x2_3)) + (portRef B (instanceRef S_0_i_x2_RNIFT2D2_3)) )) - (net (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0 "un1_wb_cyc_stb_0_sqmuxa_1_i[0]") (joined - (portRef Z (instanceRef wb_we_RNO_0)) - (portRef SP (instanceRef wb_we)) + (net N_460_i (joined + (portRef Z (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef N_460_i) )) - (net N_528 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_1_1)) - (portRef C (instanceRef wb_dati_7_0_a2_3)) - (portRef C (instanceRef wb_dati_7_0_a2_4)) - (portRef B (instanceRef wb_dati_7_0_a3_2_1)) - (portRef C (instanceRef wb_dati_7_0_a2_2_1)) - (portRef D (instanceRef wb_adr_7_0_a2_4_0)) - (portRef C (instanceRef wb_adr_7_0_1_0)) - (portRef A (instanceRef wb_dati_7_0_0_7)) - (portRef B (instanceRef wb_dati_7_0_o2_RNO_2)) - )) - (net N_547 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef C (instanceRef wb_dati_7_0_o2_2)) - )) - (net (rename FS_14 "FS[14]") (joined - (portRef (member fs 1)) - (portRef D (instanceRef wb_dati_7_0_a3_3_1)) - (portRef A (instanceRef wb_dati_7_0_a3_1_1)) - (portRef A (instanceRef wb_rst6)) - (portRef A (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef B (instanceRef wb_adr_RNO_6)) - (portRef B (instanceRef wb_adr_RNO_5)) - (portRef B (instanceRef wb_adr_RNO_4)) - (portRef C (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef wb_reqc_2)) - (portRef C (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef wb_cyc_stb_RNO_0)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) - (portRef D (instanceRef nRWE_0_i_o3_RNIR47H1)) - )) - (net N_529 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef C (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_0_4)) - (portRef D (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename CS_0 "CS[0]") (joined - (portRef (member cs 2)) - (portRef A (instanceRef un1_CS_4_5)) - (portRef A (instanceRef CmdSetRWBankFFChip6)) - (portRef A (instanceRef CS6_RNO_0)) - (portRef A (instanceRef CS6_0)) - (portRef A (instanceRef CS6_1_RNO)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) - (portRef A (instanceRef CS6_RNIL59G2)) - )) - (net CS6 (joined - (portRef Z (instanceRef CS6)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2)) - (portRef A (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef D (instanceRef CS6_RNIL59G2)) - )) - (net (rename CS6_RNIL59G2_1z "CS6_RNIL59G2") (joined - (portRef Z (instanceRef CS6_RNIL59G2)) - (portRef CS6_RNIL59G2_1z) - )) - (net (rename N_430_i_1z "N_430_i") (joined - (portRef Z (instanceRef N_430_i)) - (portRef N_430_i_1z) - )) - (net N_194 (joined - (portRef Z (instanceRef S_0_i_0_o3_1)) - (portRef B (instanceRef S_1_RNIP23H1)) - (portRef C (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef B (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef N_194) - )) - (net N_301_i (joined - (portRef Z (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef N_301_i) - )) - (net N_92 (joined + (net wb_we_RNO (joined (portRef Z (instanceRef wb_we_RNO)) (portRef D (instanceRef wb_we)) )) + (net N_63 (joined + (portRef Z (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef SP (instanceRef wb_we)) + )) (net C14M_c (joined (portRef C14M_c) (portRef C14M_c (instanceRef ufmefb)) @@ -2476,9 +2132,9 @@ (portRef Q (instanceRef wb_we)) (portRef wb_we (instanceRef ufmefb)) )) - (net wb_rst6 (joined + (net wb_rst6_i (joined (portRef Z (instanceRef wb_rst6)) - (portRef D (instanceRef wb_rst)) + (portRef CD (instanceRef wb_rst)) )) (net wb_rst (joined (portRef Q (instanceRef wb_rst)) @@ -2490,18 +2146,37 @@ )) (net wb_req (joined (portRef Q (instanceRef wb_req)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) + (portRef D (instanceRef un1_FS_33_3)) )) (net (rename wb_dati_7_0 "wb_dati_7[0]") (joined - (portRef Z (instanceRef wb_dati_7_0_0)) + (portRef Z (instanceRef wb_dati_7_0)) (portRef D (instanceRef wb_dati_0)) )) + (net (rename un1_wb_adr_0_sqmuxa_2_i_0_0 "un1_wb_adr_0_sqmuxa_2_i_0[0]") (joined + (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef SP (instanceRef wb_adr_7)) + (portRef SP (instanceRef wb_adr_6)) + (portRef SP (instanceRef wb_adr_5)) + (portRef SP (instanceRef wb_adr_4)) + (portRef SP (instanceRef wb_adr_3)) + (portRef SP (instanceRef wb_adr_2)) + (portRef SP (instanceRef wb_adr_1)) + (portRef SP (instanceRef wb_adr_0)) + (portRef SP (instanceRef wb_dati_7)) + (portRef SP (instanceRef wb_dati_6)) + (portRef SP (instanceRef wb_dati_5)) + (portRef SP (instanceRef wb_dati_4)) + (portRef SP (instanceRef wb_dati_3)) + (portRef SP (instanceRef wb_dati_2)) + (portRef SP (instanceRef wb_dati_1)) + (portRef SP (instanceRef wb_dati_0)) + )) (net (rename wb_dati_0 "wb_dati[0]") (joined (portRef Q (instanceRef wb_dati_0)) (portRef (member wb_dati 7) (instanceRef ufmefb)) )) (net (rename wb_dati_7_1 "wb_dati_7[1]") (joined - (portRef Z (instanceRef wb_dati_7_0_1)) + (portRef Z (instanceRef wb_dati_7_1)) (portRef D (instanceRef wb_dati_1)) )) (net (rename wb_dati_1 "wb_dati[1]") (joined @@ -2509,7 +2184,7 @@ (portRef (member wb_dati 6) (instanceRef ufmefb)) )) (net (rename wb_dati_7_2 "wb_dati_7[2]") (joined - (portRef Z (instanceRef wb_dati_7_0_2)) + (portRef Z (instanceRef wb_dati_7_2)) (portRef D (instanceRef wb_dati_2)) )) (net (rename wb_dati_2 "wb_dati[2]") (joined @@ -2517,7 +2192,7 @@ (portRef (member wb_dati 5) (instanceRef ufmefb)) )) (net (rename wb_dati_7_3 "wb_dati_7[3]") (joined - (portRef Z (instanceRef wb_dati_7_0_3)) + (portRef Z (instanceRef wb_dati_7_3)) (portRef D (instanceRef wb_dati_3)) )) (net (rename wb_dati_3 "wb_dati[3]") (joined @@ -2525,7 +2200,7 @@ (portRef (member wb_dati 4) (instanceRef ufmefb)) )) (net (rename wb_dati_7_4 "wb_dati_7[4]") (joined - (portRef Z (instanceRef wb_dati_7_0_4)) + (portRef Z (instanceRef wb_dati_7_4)) (portRef D (instanceRef wb_dati_4)) )) (net (rename wb_dati_4 "wb_dati[4]") (joined @@ -2533,7 +2208,7 @@ (portRef (member wb_dati 3) (instanceRef ufmefb)) )) (net (rename wb_dati_7_5 "wb_dati_7[5]") (joined - (portRef Z (instanceRef wb_dati_7_0_5)) + (portRef Z (instanceRef wb_dati_7_5)) (portRef D (instanceRef wb_dati_5)) )) (net (rename wb_dati_5 "wb_dati[5]") (joined @@ -2541,7 +2216,7 @@ (portRef (member wb_dati 2) (instanceRef ufmefb)) )) (net (rename wb_dati_7_6 "wb_dati_7[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_6)) + (portRef Z (instanceRef wb_dati_7_6)) (portRef D (instanceRef wb_dati_6)) )) (net (rename wb_dati_6 "wb_dati[6]") (joined @@ -2549,7 +2224,7 @@ (portRef (member wb_dati 1) (instanceRef ufmefb)) )) (net (rename wb_dati_7_7 "wb_dati_7[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_7)) + (portRef Z (instanceRef wb_dati_7_7)) (portRef D (instanceRef wb_dati_7)) )) (net (rename wb_dati_7 "wb_dati[7]") (joined @@ -2560,40 +2235,40 @@ (portRef Z (instanceRef wb_cyc_stb_RNO)) (portRef D (instanceRef wb_cyc_stb)) )) - (net N_111 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net (rename un1_CmdBitbangMXO212_1_i_0 "un1_CmdBitbangMXO212_1_i[0]") (joined + (portRef Z (instanceRef wb_cyc_stb_RNO_0)) (portRef SP (instanceRef wb_cyc_stb)) )) (net wb_cyc_stb (joined (portRef Q (instanceRef wb_cyc_stb)) (portRef wb_cyc_stb (instanceRef ufmefb)) )) - (net (rename wb_adr_7_0 "wb_adr_7[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_0)) + (net N_495_i (joined + (portRef Z (instanceRef wb_adr_RNO_0)) (portRef D (instanceRef wb_adr_0)) )) (net (rename wb_adr_0 "wb_adr[0]") (joined (portRef Q (instanceRef wb_adr_0)) (portRef (member wb_adr 7) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_0)) + (portRef B (instanceRef wb_dati_7_0)) )) - (net (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (joined + (net N_493_i (joined (portRef Z (instanceRef wb_adr_RNO_1)) (portRef D (instanceRef wb_adr_1)) )) (net (rename wb_adr_1 "wb_adr[1]") (joined (portRef Q (instanceRef wb_adr_1)) (portRef (member wb_adr 6) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_1_1)) + (portRef B (instanceRef wb_dati_7_1)) )) - (net N_394_i (joined + (net N_66_i (joined (portRef Z (instanceRef wb_adr_RNO_2)) (portRef D (instanceRef wb_adr_2)) )) (net (rename wb_adr_2 "wb_adr[2]") (joined (portRef Q (instanceRef wb_adr_2)) (portRef (member wb_adr 5) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_2)) + (portRef B (instanceRef wb_dati_7_2)) )) (net (rename wb_adr_7_3 "wb_adr_7[3]") (joined (portRef Z (instanceRef wb_adr_7_3)) @@ -2602,16 +2277,16 @@ (net (rename wb_adr_3 "wb_adr[3]") (joined (portRef Q (instanceRef wb_adr_3)) (portRef (member wb_adr 4) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_3)) + (portRef B (instanceRef wb_dati_7_3)) )) - (net N_110 (joined + (net (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (joined (portRef Z (instanceRef wb_adr_RNO_4)) (portRef D (instanceRef wb_adr_4)) )) (net (rename wb_adr_4 "wb_adr[4]") (joined (portRef Q (instanceRef wb_adr_4)) (portRef (member wb_adr 3) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_0_4)) + (portRef B (instanceRef wb_dati_7_4)) )) (net (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (joined (portRef Z (instanceRef wb_adr_RNO_5)) @@ -2620,7 +2295,7 @@ (net (rename wb_adr_5 "wb_adr[5]") (joined (portRef Q (instanceRef wb_adr_5)) (portRef (member wb_adr 2) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_5)) + (portRef B (instanceRef wb_dati_7_5)) )) (net (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (joined (portRef Z (instanceRef wb_adr_RNO_6)) @@ -2629,22 +2304,22 @@ (net (rename wb_adr_6 "wb_adr[6]") (joined (portRef Q (instanceRef wb_adr_6)) (portRef (member wb_adr 1) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_1_6)) + (portRef B (instanceRef wb_dati_7_6)) )) - (net N_393_i (joined + (net N_494_i (joined (portRef Z (instanceRef wb_adr_RNO_7)) (portRef D (instanceRef wb_adr_7)) )) (net (rename wb_adr_7 "wb_adr[7]") (joined (portRef Q (instanceRef wb_adr_7)) (portRef (member wb_adr 0) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_0_7)) + (portRef B (instanceRef wb_dati_7_7)) )) - (net N_193_i (joined + (net N_82_i (joined (portRef Z (instanceRef RWMask_RNO_0)) (portRef D (instanceRef RWMask_0)) )) - (net N_104 (joined + (net (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (joined (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) (portRef SP (instanceRef RWMask_7)) (portRef SP (instanceRef RWMask_6)) @@ -2659,7 +2334,7 @@ (portRef Q (instanceRef RWMask_0)) (portRef C (instanceRef RWBank_3_0_0)) )) - (net N_398_i (joined + (net N_81_i (joined (portRef Z (instanceRef RWMask_RNO_1)) (portRef D (instanceRef RWMask_1)) )) @@ -2667,7 +2342,7 @@ (portRef Q (instanceRef RWMask_1)) (portRef C (instanceRef RWBank_3_0_1)) )) - (net N_397_i (joined + (net N_364_i (joined (portRef Z (instanceRef RWMask_RNO_2)) (portRef D (instanceRef RWMask_2)) )) @@ -2683,7 +2358,7 @@ (portRef Q (instanceRef RWMask_3)) (portRef C (instanceRef RWBank_3_0_3)) )) - (net N_253_i (joined + (net N_80_i (joined (portRef Z (instanceRef RWMask_RNO_4)) (portRef D (instanceRef RWMask_4)) )) @@ -2691,7 +2366,7 @@ (portRef Q (instanceRef RWMask_4)) (portRef C (instanceRef RWBank_3_0_4)) )) - (net N_268_i (joined + (net N_79_i (joined (portRef Z (instanceRef RWMask_RNO_5)) (portRef D (instanceRef RWMask_5)) )) @@ -2699,7 +2374,7 @@ (portRef Q (instanceRef RWMask_5)) (portRef C (instanceRef RWBank_3_0_5)) )) - (net N_283_i (joined + (net N_363_i (joined (portRef Z (instanceRef RWMask_RNO_6)) (portRef D (instanceRef RWMask_6)) )) @@ -2707,7 +2382,7 @@ (portRef Q (instanceRef RWMask_6)) (portRef C (instanceRef RWBank_3_0_6)) )) - (net N_396 (joined + (net (rename RWMask_RNO_7 "RWMask_RNO[7]") (joined (portRef Z (instanceRef RWMask_RNO_7)) (portRef D (instanceRef RWMask_7)) )) @@ -2719,318 +2394,481 @@ (portRef Z (instanceRef LEDEN_RNO)) (portRef D (instanceRef LEDEN)) )) - (net N_98 (joined + (net (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (joined (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) (portRef SP (instanceRef LEDEN)) )) (net LEDEN (joined (portRef Q (instanceRef LEDEN)) - (portRef A (instanceRef un1_LED_i)) - (portRef D (instanceRef RWBank10)) + (portRef C (instanceRef RWBank10)) + (portRef A (instanceRef LEDEN_RNI6G6M)) )) (net CmdExecMXO2_3 (joined - (portRef Z (instanceRef CmdExecMXO2_3)) + (portRef Z (instanceRef CmdExecMXO2_3_0_a5)) (portRef D (instanceRef CmdExecMXO2)) )) + (net CmdExecMXO2 (joined + (portRef Q (instanceRef CmdExecMXO2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef C (instanceRef wb_cyc_stb_RNO)) + (portRef C (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + )) (net CmdBitbangMXO2_3 (joined - (portRef Z (instanceRef CmdBitbangMXO2_3)) + (portRef Z (instanceRef CmdBitbangMXO2_3_0_a5)) (portRef D (instanceRef CmdBitbangMXO2)) )) + (net CmdBitbangMXO2 (joined + (portRef Q (instanceRef CmdBitbangMXO2)) + (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + )) + (net (rename CS_0 "CS[0]") (joined + (portRef (member cs 2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef A (instanceRef CmdLEDGet_3_0_a2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNIR0921)) + )) (net (rename CS_1 "CS[1]") (joined (portRef (member cs 1)) - (portRef A (instanceRef CS6_RNO)) - (portRef B (instanceRef CmdSetRWBankFFChip6)) - (portRef B (instanceRef CS6_0)) - (portRef B (instanceRef CS6_1_RNO)) - (portRef A (instanceRef CS6_1)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_o2)) + (portRef A (instanceRef CmdSetRWBankFF15_0_a2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) (net (rename CS_2 "CS[2]") (joined (portRef (member cs 0)) - (portRef C (instanceRef CmdSetRWBankFFChip6)) - (portRef B (instanceRef CS6_RNO_0)) - (portRef C (instanceRef CS6_0)) - (portRef A (instanceRef CS6_2)) - (portRef C (instanceRef CS6_1_RNO)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef B (instanceRef CS_0_sqmuxa_0_o2)) + (portRef B (instanceRef CmdSetRWBankFF15_0_a2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef C (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) (net CS_0_sqmuxa (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef D (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef Z (instanceRef CS_0_sqmuxa_0)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef C (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef B (instanceRef CS12_RNI2SPL2)) + (portRef D (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) - (net (rename CS_0_sqmuxa_0_a2_RNID1MT_1z "CS_0_sqmuxa_0_a2_RNID1MT") (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) - (portRef CS_0_sqmuxa_0_a2_RNID1MT_1z) + (net (rename CS_0_sqmuxa_0_RNIR0921_1z "CS_0_sqmuxa_0_RNIR0921") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNIR0921)) + (portRef CS_0_sqmuxa_0_RNIR0921_1z) )) - (net (rename CS_0_sqmuxa_0_a2_RNID8OM_1z "CS_0_sqmuxa_0_a2_RNID8OM") (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef CS_0_sqmuxa_0_a2_RNID8OM_1z) + (net (rename wb_dati_cnst_7 "wb_dati_cnst[7]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m49)) + (portRef C (instanceRef wb_dati_7_7)) )) - (net un1_CS_0_sqmuxa_i_a2_0_a2_0_1 (joined - (portRef Z (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef un1_CS_0_sqmuxa_i_a2_0)) + (net (rename wb_dati_cnst_2 "wb_dati_cnst[2]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m27)) + (portRef C (instanceRef wb_dati_7_5)) + (portRef C (instanceRef wb_dati_7_2)) )) - (net N_148 (joined - (portRef Z (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef N_148) + (net (rename wb_dati_cnst_3 "wb_dati_cnst[3]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31)) + (portRef C (instanceRef wb_dati_7_3)) )) - (net N_537 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_3_4)) - (portRef C (instanceRef wb_adr_7_0_a2_6_0)) - (portRef C (instanceRef wb_dati_7_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_1)) - (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - (portRef C (instanceRef wb_dati_7_0_6)) - (portRef B (instanceRef wb_adr_7_0_0)) + (net (rename wb_dati_cnst_4 "wb_dati_cnst[4]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35)) + (portRef C (instanceRef wb_dati_7_4)) )) - (net (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_4_0)) - (portRef C (instanceRef wb_adr_7_0_0)) + (net CS12 (joined + (portRef Z (instanceRef CS12)) + (portRef A (instanceRef CS12_RNI2SPL2)) )) - (net (rename wb_adr_7_0_5_0 "wb_adr_7_0_5[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_5_0)) - (portRef D (instanceRef wb_adr_7_0_0)) + (net un1_CS_0_sqmuxa_i (joined + (portRef Z (instanceRef CS12_RNI2SPL2)) + (portRef un1_CS_0_sqmuxa_i) )) - (net N_241 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_2)) - (portRef A (instanceRef wb_dati_7_0_2)) - (portRef A (instanceRef wb_dati_7_0_5)) + (net (rename CS_0_sqmuxa_0_RNIR7BR_1z "CS_0_sqmuxa_0_RNIR7BR") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef CS_0_sqmuxa_0_RNIR7BR_1z) )) - (net N_341 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_7)) - (portRef A (instanceRef wb_dati_7_0_7)) + (net (rename wb_dati_cnst_6 "wb_dati_cnst[6]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m39)) + (portRef C (instanceRef wb_dati_7_6)) )) - (net N_344 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_7)) + (net N_46 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45)) + (portRef B (instanceRef wb_dati_cnst_7_0__m49)) )) - (net (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_7)) + (net i4_mux (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m48)) + (portRef C (instanceRef wb_dati_cnst_7_0__m49)) )) - (net (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_0_7)) - (portRef D (instanceRef wb_dati_7_0_7)) + (net (rename wb_dati_cnst_1 "wb_dati_cnst[1]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18)) + (portRef C (instanceRef wb_dati_7_1)) )) - (net N_197 (joined - (portRef Z (instanceRef wb_adr_7_5_41_0_o2)) - (portRef A (instanceRef wb_adr_RNO_1_1)) - (portRef B (instanceRef wb_dati_7_0_1_3)) - (portRef B (instanceRef wb_dati_7_0_1)) - (portRef B (instanceRef wb_dati_7_0_6)) + (net N_26 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m25)) + (portRef C (instanceRef wb_dati_cnst_7_0__m26)) + (portRef B (instanceRef wb_dati_cnst_7_0__m31_am)) )) - (net (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_6)) - (portRef D (instanceRef wb_dati_7_0_6)) + (net N_30 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29)) + (portRef C (instanceRef wb_dati_cnst_7_0__m31_am)) )) - (net N_336 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_3_4)) - (portRef A (instanceRef wb_dati_7_0_4)) + (net m31_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m31)) )) - (net N_435 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4)) - (portRef B (instanceRef wb_dati_7_0_o2_2)) - (portRef B (instanceRef wb_dati_7_0_4)) + (net (rename FS_8 "FS[8]") (joined + (portRef (member fs 7)) + (portRef D (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef A (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef A (instanceRef Ready3_5_0_i_o5)) + (portRef A (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef D (instanceRef RA_33_9_47_i_0_o2)) + (portRef A (instanceRef wb_adr_7_i_a2_0_0)) + (portRef A (instanceRef wb_dati_cnst_7_0__m41)) + (portRef A (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m25)) + (portRef A (instanceRef wb_dati_cnst_7_0__m22_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m10)) + (portRef A (instanceRef wb_dati_cnst_7_0__m48)) + (portRef A (instanceRef wb_dati_cnst_7_0__m17)) + (portRef A (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net N_437 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1_4)) - (portRef B (instanceRef wb_dati_7_0_1_6)) - (portRef C (instanceRef wb_dati_7_0_4)) + (net (rename FS_9 "FS[9]") (joined + (portRef (member fs 6)) + (portRef C (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef B (instanceRef Ready3_5_0_i_o5)) + (portRef B (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef D (instanceRef RA_33_8_65_i_0_o2)) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef B (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m33)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m29)) + (portRef B (instanceRef wb_dati_cnst_7_0__m25)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m22)) + (portRef B (instanceRef wb_dati_cnst_7_0__m10)) + (portRef B (instanceRef wb_dati_cnst_7_0__m48)) + (portRef B (instanceRef wb_dati_cnst_7_0__m17)) + (portRef B (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (joined - (portRef Z (instanceRef wb_dati_7_0_0_4)) - (portRef D (instanceRef wb_dati_7_0_4)) + (net N_8 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m7)) + (portRef D (instanceRef wb_dati_cnst_7_0__m10)) + (portRef D (instanceRef wb_dati_cnst_7_0__m48)) + (portRef D (instanceRef wb_dati_cnst_7_0__m17)) + (portRef C (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net N_352 (joined - (portRef Z (instanceRef CS6_RNO)) - (portRef A (instanceRef CS6)) + (net m31_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m31)) )) - (net CS6_1 (joined - (portRef Z (instanceRef CS6_1)) - (portRef B (instanceRef CS6)) + (net N_23 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22)) + (portRef B (instanceRef wb_dati_cnst_7_0__m26)) + (portRef B (instanceRef wb_dati_cnst_7_0__m35_am)) )) - (net CS6_2 (joined - (portRef Z (instanceRef CS6_2)) - (portRef C (instanceRef CS6)) + (net N_34 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33)) + (portRef C (instanceRef wb_dati_cnst_7_0__m35_am)) )) - (net CS6_182_0_a2_3 (joined - (portRef Z (instanceRef CS6_RNO_0)) - (portRef D (instanceRef CS6)) + (net m35_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m35)) )) - (net nCAS_0_i_0 (joined - (portRef Z (instanceRef nCAS_0_i_0)) - (portRef B (instanceRef nCAS_0_i_0_RNISBOI3)) + (net m35_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m35)) )) - (net N_424_i (joined - (portRef Z (instanceRef nCAS_0_i_0_RNISBOI3)) - (portRef N_424_i) + (net (rename wb_dati_cnst_0 "wb_dati_cnst[0]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11)) + (portRef C (instanceRef wb_dati_7_0)) )) - (net nCAS_0_i_a2_1_0 (joined - (portRef Z (instanceRef nCAS_0_i_a2_1_0)) - (portRef C (instanceRef nRAS_0_i_1_RNI8KIR2)) + (net (rename CS_0_sqmuxa_0_RNISFDK_1z "CS_0_sqmuxa_0_RNISFDK") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef CS_0_sqmuxa_0_RNISFDK_1z) + )) + (net N_11 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m10)) + (portRef B (instanceRef wb_dati_cnst_7_0__m39)) + )) + (net N_39 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38)) + (portRef C (instanceRef wb_dati_cnst_7_0__m39)) + )) + (net N_3_i (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m2)) + (portRef D (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef D (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef D (instanceRef wb_dati_cnst_7_0__m18_am)) + )) + (net m18_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m18)) + )) + (net m18_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m18)) + )) + (net N_42 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m45_am)) + )) + (net m45_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m45)) + )) + (net N_24 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m23)) + (portRef C (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m25)) + (portRef D (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m45_bm)) + )) + (net m45_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m45)) + )) + (net CS_0_sqmuxa_0_a3_2_5 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CS_0_sqmuxa_0)) + )) + (net CS_0_sqmuxa_0_a3_3 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef C (instanceRef CS_0_sqmuxa_0)) + )) + (net CS_0_sqmuxa_0_tz_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_tz_2)) + (portRef D (instanceRef CS_0_sqmuxa_0)) + )) + (net N_508 (joined + (portRef Z (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef wb_adr_RNO_0)) + )) + (net N_542 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef B (instanceRef wb_adr_7_i_0_0)) + (portRef D (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C (instanceRef wb_adr_RNO_1)) + (portRef B (instanceRef wb_adr_RNO_0)) + )) + (net (rename wb_adr_7_i_2_0 "wb_adr_7_i_2[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_2_0)) + (portRef C (instanceRef wb_adr_RNO_0)) + )) + (net (rename wb_adr_7_i_a5_1_0 "wb_adr_7_i_a5_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef D (instanceRef wb_adr_RNO_0)) + )) + (net m11_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m11)) + )) + (net m11_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m11)) + )) + (net N_27 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m26)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m27)) + )) + (net m38_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m38)) + )) + (net m38_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m38)) + )) + (net N_18 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m17)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m27)) + )) + (net nCAS_0_sqmuxa (joined + (portRef Z (instanceRef nCAS_0_sqmuxa)) + (portRef A (instanceRef nRWE_0_i_a3)) + (portRef A (instanceRef nRWE_0_i_0)) + (portRef A (instanceRef nRAS_0_i_1)) + (portRef A (instanceRef nCAS_0)) + (portRef A (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef A (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + )) + (net N_610_tz (joined + (portRef Z (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + )) + (net nRAS_0_i_0_tz (joined + (portRef Z (instanceRef nRAS_0_i_0_tz)) + (portRef C (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) )) (net nRAS_0_i_1 (joined (portRef Z (instanceRef nRAS_0_i_1)) - (portRef D (instanceRef nRAS_0_i_1_RNI8KIR2)) + (portRef D (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) )) - (net N_420_i (joined - (portRef Z (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef N_420_i) - )) - (net N_447 (joined - (portRef Z (instanceRef nRAS_0_i_a2_1)) - (portRef A (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (net N_360_i (joined + (portRef Z (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + (portRef N_360_i) )) (net nRWE_0_i_0 (joined (portRef Z (instanceRef nRWE_0_i_0)) - (portRef C (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (portRef B (instanceRef nRWE_0_i_0_RNIE9LE2)) )) - (net nRWE_0_i_a2_1_1 (joined - (portRef Z (instanceRef nRWE_0_i_a2_1_1)) - (portRef D (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (net nRWE_0_i_a3_0_0 (joined + (portRef Z (instanceRef nRWE_0_i_a3_0_0)) + (portRef C (instanceRef nRWE_0_i_0_RNIE9LE2)) )) - (net N_421_i (joined - (portRef Z (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) - (portRef N_421_i) - )) - (net N_552 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_0_0)) - (portRef A (instanceRef wb_dati_7_0_a2_1_4)) - (portRef D (instanceRef wb_dati_7_0_a2_7)) - (portRef D (instanceRef wb_adr_7_0_5_0)) - (portRef A (instanceRef wb_dati_7_0_0)) - )) - (net (rename wb_dati_7_0_a2_0_0 "wb_dati_7_0_a2_0[0]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef wb_dati_7_0_0)) - )) - (net CmdRWMaskSet (joined - (portRef CmdRWMaskSet) - (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - )) - (net (rename un1_RWMask_0_sqmuxa_1_i_a2_0_0_0 "un1_RWMask_0_sqmuxa_1_i_a2_0_0[0]") (joined - (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - )) - (net N_324 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1)) - (portRef A (instanceRef wb_dati_7_0_1_1)) - (portRef C (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_dati_7_0_o2_2)) - )) - (net N_329 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_3)) - (portRef A (instanceRef wb_dati_7_0_3)) - )) - (net (rename wb_dati_7_0_1_3 "wb_dati_7_0_1[3]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_3)) - (portRef D (instanceRef wb_dati_7_0_3)) - )) - (net (rename wb_dati_7_0_1_1 "wb_dati_7_0_1[1]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_1)) - (portRef D (instanceRef wb_dati_7_0_1)) - )) - (net N_338 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_1_6)) - )) - (net (rename wb_adr_7_0_2_0 "wb_adr_7_0_2[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_2_0)) - (portRef B (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename wb_adr_7_0_a2_0_0_0 "wb_adr_7_0_a2_0_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef wb_adr_7_0_4_0)) + (net N_50_i (joined + (portRef Z (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef N_50_i) )) (net N_501 (joined - (portRef Z (instanceRef wb_adr_7_0_a2_6_0)) - (portRef C (instanceRef wb_adr_7_0_5_0)) - )) - (net CmdLEDSet (joined - (portRef CmdLEDSet) - (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) - )) - (net N_542 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_3_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_a3_0_0)) + (portRef Z (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef B (instanceRef wb_adr_7_i_m2_0)) + (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + (portRef C (instanceRef wb_dati_cnst_7_0__m23)) + (portRef C (instanceRef wb_dati_cnst_7_0__m20)) + (portRef C (instanceRef wb_dati_cnst_7_0__m7)) + (portRef C (instanceRef wb_dati_cnst_7_0__m2)) + (portRef D (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m22_am)) )) - (net (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (joined - (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + (net m22_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m22)) )) - (net N_193 (joined - (portRef Z (instanceRef wb_adr_7_0_o2_0)) - (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_RNO_7)) + (net N_21 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m20)) + (portRef B (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m25)) + (portRef B (instanceRef wb_dati_cnst_7_0__m22_bm)) )) - (net (rename wb_dati_7_0_a2_0_1_7 "wb_dati_7_0_a2_0_1[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef D (instanceRef wb_dati_7_0_RNO_7)) + (net m22_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m22)) )) - (net N_544 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_4)) - (portRef B (instanceRef wb_dati_7_0_a2_1_4)) - (portRef C (instanceRef wb_dati_7_0_a2_7)) + (net (rename FS_12 "FS[12]") (joined + (portRef (member fs 3)) + (portRef A (instanceRef wb_adr_7_i_0_0)) + (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef C (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef wb_we_RNO_3)) + (portRef C (instanceRef wb_we_RNO_0)) + (portRef B (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_we_RNO_1)) + (portRef B (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef B (instanceRef wb_dati_cnst_7_0__m23)) + (portRef B (instanceRef wb_dati_cnst_7_0__m20)) + (portRef B (instanceRef wb_dati_cnst_7_0__m7)) + (portRef B (instanceRef wb_dati_cnst_7_0__m2)) + (portRef A (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m29_am)) )) - (net N_133 (joined - (portRef Z (instanceRef CS6_1_RNO)) - (portRef B (instanceRef CS6_1)) + (net m29_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m29)) )) - (net un1_CS_4_1 (joined - (portRef Z (instanceRef un1_CS_4_1)) - (portRef C (instanceRef CS6_1)) + (net m29_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m29)) )) - (net un1_CS_4_5 (joined - (portRef Z (instanceRef un1_CS_4_5)) - (portRef D (instanceRef CS6_2)) - (portRef D (instanceRef CS6_1)) + (net m33_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m33)) )) - (net N_327 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_2_1)) - (portRef B (instanceRef wb_dati_7_0_1_1)) + (net m33_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m33)) )) - (net (rename Din_c_0 "Din_c[0]") (joined - (portRef (member din_c 7)) - (portRef B (instanceRef un1_CS_6_3)) - (portRef A (instanceRef wb_we_RNO_2)) - (portRef A (instanceRef RDout_0)) - (portRef A (instanceRef LEDEN_RNO)) - (portRef A (instanceRef CS6_RNO_1)) - (portRef A (instanceRef un1_CS_7_1)) - (portRef A (instanceRef RWMask_RNO_0)) - (portRef A (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef A (instanceRef un1_CS_4_7_0_a2)) - (portRef B (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_0)) - (portRef B (instanceRef CmdBitbangMXO2_3)) - (portRef B (instanceRef CmdLEDSet_3_0_a2)) - (portRef A (instanceRef wb_adr_7_0_2_0)) + (net (rename Din_c_1 "Din_c[1]") (joined + (portRef (member din_c 6)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef wb_adr_RNO_0_1)) + (portRef A (instanceRef N_491_i)) + (portRef A (instanceRef RWMask_RNO_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) + (portRef A (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef B (instanceRef CmdExecMXO2_3_0_a5)) + (portRef B (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef B (instanceRef CmdLEDSet_3_0_a5)) + (portRef B (instanceRef CmdLEDGet_3_0_a5)) + (portRef A (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef A (instanceRef CS_0_sqmuxa_0_tz_2)) )) - (net N_499 (joined - (portRef Z (instanceRef wb_adr_7_0_a2_4_0)) - (portRef B (instanceRef wb_adr_7_0_2_0)) + (net N_390 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef B (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef B (instanceRef CS_0_sqmuxa_0_tz_2)) )) - (net (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_1_0)) - (portRef D (instanceRef wb_adr_7_0_2_0)) + (net CS_0_sqmuxa_0_a3_0_3_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) + (portRef C (instanceRef CS_0_sqmuxa_0_tz_2)) + )) + (net CS_0_sqmuxa_0_tz_0 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_tz_0)) + (portRef D (instanceRef CS_0_sqmuxa_0_tz_2)) + )) + (net N_83 (joined + (portRef Z (instanceRef wb_adr_RNO_0_1)) + (portRef A (instanceRef wb_adr_RNO_1)) + )) + (net N_487 (joined + (portRef Z (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef C (instanceRef wb_we_RNO_1)) + (portRef B (instanceRef wb_adr_RNO_1)) + )) + (net N_557 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef A (instanceRef wb_adr_7_i_2_0)) + (portRef D (instanceRef wb_adr_RNO_1)) )) (net CKE_7_sm0 (joined - (portRef Z (instanceRef CKE_7s2_0_0)) + (portRef Z (instanceRef CKE_7s2_0)) (portRef A (instanceRef CKE_0)) )) - (net N_521 (joined - (portRef Z (instanceRef CKE_7_m1_i_a2)) - (portRef C (instanceRef CKE_0)) - )) - (net N_522 (joined - (portRef Z (instanceRef RDOE_2_0_a2)) + (net N_523 (joined + (portRef Z (instanceRef RDOE_2_0_a5)) (portRef A (instanceRef RDOE_2_0)) (portRef D (instanceRef CKE_0)) )) @@ -3038,246 +2876,113 @@ (portRef Z (instanceRef CKE_0)) (portRef CKE_0_1z) )) - (net N_208 (joined - (portRef Z (instanceRef CKE_7s2_0_0_o2)) - (portRef A (instanceRef CKE_7s2_0_0)) - (portRef B (instanceRef nCAS_0_i_0)) + (net (rename wb_adr_7_i_0_0 "wb_adr_7_i_0[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_0)) + (portRef B (instanceRef wb_adr_7_i_2_0)) )) - (net N_585_tz (joined - (portRef Z (instanceRef nCAS_0_i_0_RNO)) - (portRef C (instanceRef nCAS_0_i_0)) + (net (rename wb_adr_7_i_a5_1_1_0 "wb_adr_7_i_a5_1_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef C (instanceRef wb_adr_7_i_2_0)) )) - (net (rename un1_CmdBitbangMXO212_1_i_0_0_0 "un1_CmdBitbangMXO212_1_i_0_0[0]") (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net nCAS_0_192_i_1_0 (joined + (portRef Z (instanceRef nCAS_0_RNO)) + (portRef B (instanceRef nCAS_0)) )) - (net wb_ack (joined - (portRef wb_ack (instanceRef ufmefb)) - (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef D (instanceRef wb_cyc_stb_RNO_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net nCAS_0_192_i_1_tz (joined + (portRef Z (instanceRef nCAS_0_RNO_0)) + (portRef C (instanceRef nCAS_0)) )) - (net N_432 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_7)) - (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_adr_RNO_1_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1_7)) + (net (rename nCAS_0_1z "nCAS_0") (joined + (portRef Z (instanceRef nCAS_0)) + (portRef nCAS_0_1z) )) - (net N_429 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_1)) - (portRef B (instanceRef wb_dati_7_0_a2_1)) - )) - (net (rename wb_dati_7_0_a2_0_6 "wb_dati_7_0_a2_0[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef D (instanceRef wb_dati_7_0_a2_6)) - )) - (net CS6_182_0_a2_0_1 (joined - (portRef Z (instanceRef CS6_1_RNO_0)) - (portRef D (instanceRef CS6_1_RNO)) - )) - (net CS6_0 (joined - (portRef Z (instanceRef CS6_0)) - (portRef B (instanceRef CS6_2)) - )) - (net un1_CS_6_3 (joined - (portRef Z (instanceRef un1_CS_6_3)) - (portRef C (instanceRef CS6_2)) - )) - (net (rename wb_dati_7_0_a2_4_0_7 "wb_dati_7_0_a2_4_0[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef D (instanceRef wb_dati_7_0_0_7)) - )) - (net N_300 (joined - (portRef Z (instanceRef wb_adr_7_0_o2_1_0)) - (portRef B (instanceRef wb_adr_7_0_1_0)) - )) - (net (rename RA_0 "RA[0]") (joined - (portRef (member ra 11)) - (portRef A (instanceRef RWSel_2_0_a2)) - (portRef B (instanceRef N_215_i)) - )) - (net RA_33_10_29_i_0_0 (joined - (portRef Z (instanceRef RA_33_10_29_i_0_0)) - (portRef D (instanceRef N_215_i)) - )) - (net (rename N_215_i_1z "N_215_i") (joined - (portRef Z (instanceRef N_215_i)) - (portRef N_215_i_1z) - )) - (net (rename RA_1 "RA[1]") (joined - (portRef (member ra 10)) - (portRef B (instanceRef N_229_i)) - )) - (net RA_33_9_47_i_0_0 (joined - (portRef Z (instanceRef RA_33_9_47_i_0_0)) - (portRef D (instanceRef N_229_i)) - )) - (net (rename N_229_i_1z "N_229_i") (joined - (portRef Z (instanceRef N_229_i)) - (portRef N_229_i_1z) - )) - (net (rename RA_2 "RA[2]") (joined - (portRef (member ra 9)) - (portRef B (instanceRef N_243_i)) - )) - (net RA_33_8_65_i_0_0_0 (joined - (portRef Z (instanceRef RA_33_8_65_i_0_0_0)) - (portRef D (instanceRef N_243_i)) - )) - (net (rename N_243_i_1z "N_243_i") (joined - (portRef Z (instanceRef N_243_i)) - (portRef N_243_i_1z) - )) - (net (rename Ain_c_3 "Ain_c[3]") (joined - (portRef (member ain_c 4)) - (portRef A (instanceRef un6_RAout_i_m2_3)) - (portRef A (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net N_457 (joined - (portRef Z (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net N_257_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIRCQK3)) - (portRef N_257_i) - )) - (net (rename Ain_c_4 "Ain_c[4]") (joined - (portRef (member ain_c 3)) - (portRef A (instanceRef un6_RAout_i_m2_4)) - (portRef A (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net N_400 (joined - (portRef Z (instanceRef RA_33_6_101_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net N_271_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIUFQK3)) - (portRef N_271_i) - )) - (net (rename Ain_c_5 "Ain_c[5]") (joined - (portRef (member ain_c 2)) - (portRef A (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef A (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net N_468 (joined - (portRef Z (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef C (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net N_285_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI1JQK3)) - (portRef N_285_i) - )) - (net (rename Ain_c_6 "Ain_c[6]") (joined - (portRef (member ain_c 1)) - (portRef A (instanceRef un6_RAout_i_m2_6)) - (portRef A (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_454 (joined - (portRef Z (instanceRef RA_33_4_137_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_299_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI4MQK3)) - (portRef N_299_i) - )) - (net (rename Ain_c_7 "Ain_c[7]") (joined - (portRef (member ain_c 0)) - (portRef A (instanceRef un6_RAout_i_m2_7)) - (portRef A (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net N_451 (joined - (portRef Z (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net N_313_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI7PQK3)) - (portRef N_313_i) - )) - (net (rename SZ0Z_1 "S_1") (joined - (portRef Z (instanceRef S_1)) - (portRef A (instanceRef S_0_0_0_0)) - (portRef A (instanceRef S_1_RNIP23H1)) - (portRef A (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef A (instanceRef S_1_RNIP23H1_0)) - )) - (net N_73_i (joined - (portRef Z (instanceRef S_1_RNIP23H1_0)) - (portRef N_73_i) - )) - (net N_506 (joined - (portRef Z (instanceRef wb_cyc_stb_RNO_0)) - (portRef A (instanceRef wb_cyc_stb_RNO)) - )) - (net (rename Din_c_1 "Din_c[1]") (joined - (portRef (member din_c 6)) - (portRef C (instanceRef CS6_RNO_2)) - (portRef B (instanceRef CS6_RNO)) - (portRef B (instanceRef un1_CS_4_5)) - (portRef B (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_392_i)) - (portRef A (instanceRef RWMask_RNO_1)) - (portRef B (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef A (instanceRef RWBank_3_0_1)) - (portRef B (instanceRef CmdExecMXO2_3)) - (portRef B (instanceRef CmdSetRWBankFFChip_3)) - (portRef A (instanceRef CS6_1_RNO_0)) - (portRef C (instanceRef CmdBitbangMXO2_3)) - (portRef A (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef A (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef A (instanceRef wb_adr_RNO_1)) - (portRef A (instanceRef CmdLEDSet_3_0_a3)) - )) - (net (rename Din_c_3 "Din_c[3]") (joined - (portRef (member din_c 4)) - (portRef B (instanceRef CS6_RNO_2)) - (portRef A (instanceRef RDout_3)) - (portRef A (instanceRef wb_adr_7_3)) - (portRef A (instanceRef un1_CS_7_4_i_o2)) - (portRef A (instanceRef RWMask_RNO_3)) - (portRef C (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef B (instanceRef un1_CS_6_2)) - (portRef D (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_3)) - (portRef A (instanceRef un1_CS_4_1)) - (portRef B (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef B (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef B (instanceRef CmdLEDSet_3_0_a3)) - )) - (net (rename Din_c_4 "Din_c[4]") (joined - (portRef (member din_c 3)) - (portRef A (instanceRef RDout_4)) - (portRef A (instanceRef wb_adr_RNO_4)) - (portRef A (instanceRef CmdExecMXO2_3_0)) - (portRef B (instanceRef CS6_RNO_1)) - (portRef A (instanceRef RWMask_RNO_4)) - (portRef D (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef B (instanceRef CmdBitbangMXO2_3_3)) - (portRef C (instanceRef un1_CS_6_2)) - (portRef A (instanceRef un1_CS_7_2)) - (portRef C (instanceRef un1_CS_4_7_0_a2)) - (portRef A (instanceRef RWBank_3_0_4)) - (portRef C (instanceRef CmdSetRWBankFFChip_3)) + (net N_392 (joined + (portRef Z (instanceRef CmdSetRWBankFF15_0_a2)) (portRef C (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef C (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef C (instanceRef CmdLEDSet_3_0_a3)) + (portRef C (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef A (instanceRef CS_0_sqmuxa_0_tz_0)) )) - (net N_95 (joined - (portRef Z (instanceRef CmdLEDSet_3_0_a2)) - (portRef D (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef D (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef D (instanceRef CmdLEDSet_3_0_a3)) + (net CS_0_sqmuxa_0_a3_3_7 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef B (instanceRef CS_0_sqmuxa_0_tz_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_0_0_0 "un1_CmdBitbangMXO212_1_0_0_0[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef A (instanceRef wb_cyc_stb_RNO_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_a5_1_0_0 "un1_CmdBitbangMXO212_1_0_a5_1_0[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef D (instanceRef wb_cyc_stb_RNO)) + (portRef B (instanceRef wb_cyc_stb_RNO_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_a5_1_2_0 "un1_CmdBitbangMXO212_1_0_a5_1_2[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) + (portRef C (instanceRef wb_cyc_stb_RNO_0)) + )) + (net CmdSetRWBankFF_3_0_a3_1 (joined + (portRef Z (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CmdSetRWBankFF_3_0_a3)) + )) + (net CmdSetRWBankFF_3 (joined + (portRef Z (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef CmdSetRWBankFF_3) + )) + (net (rename Din_c_0 "Din_c[0]") (joined + (portRef (member din_c 7)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef LEDEN_RNO)) + (portRef A (instanceRef N_492_i)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef RWMask_RNO_0)) + (portRef A (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef A (instanceRef RWBank_3_0_0)) + (portRef A (instanceRef wb_we_RNO_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) + (portRef A (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef CmdExecMXO2_3_0_a5)) + (portRef A (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef A (instanceRef CmdLEDSet_3_0_a5)) + (portRef A (instanceRef CmdLEDGet_3_0_a5)) + )) + (net N_552 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CmdExecMXO2_3_0_a5)) + (portRef C (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef C (instanceRef CmdLEDSet_3_0_a5)) + (portRef C (instanceRef CmdLEDGet_3_0_a5)) + )) + (net un1_CS_3_3 (joined + (portRef Z (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef D (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef D (instanceRef CmdLEDSet_3_0_a5)) + (portRef D (instanceRef CmdLEDGet_3_0_a5)) + )) + (net CmdLEDGet_3 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a5)) + (portRef CmdLEDGet_3) )) (net CmdLEDSet_3 (joined - (portRef Z (instanceRef CmdLEDSet_3_0_a3)) + (portRef Z (instanceRef CmdLEDSet_3_0_a5)) (portRef CmdLEDSet_3) )) - (net N_555 (joined - (portRef Z (instanceRef RA_33_1_a3_10)) - (portRef A (instanceRef CKE_7s2_0_0_o2)) + (net CKE20_m (joined + (portRef Z (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef nRAS_0_i_0_tz)) + (portRef A (instanceRef RA_33_1_10)) + )) + (net N_111 (joined + (portRef Z (instanceRef RA_33_1_a2_10)) + (portRef C (instanceRef nRWE_0_i_a3)) (portRef B (instanceRef RA_33_1_10)) )) + (net N_115 (joined + (portRef Z (instanceRef RA_33_1_a2_3_10)) + (portRef C (instanceRef RA_33_1_10)) + )) (net (rename RA_33_1_1_10 "RA_33_1_1[10]") (joined (portRef Z (instanceRef RA_33_1_1_10)) (portRef D (instanceRef RA_33_1_10)) @@ -3286,110 +2991,274 @@ (portRef Z (instanceRef RA_33_1_10)) (portRef (member ra_33 1)) )) - (net N_502 (joined - (portRef Z (instanceRef wb_adr_RNO_0_1)) - (portRef B (instanceRef wb_adr_RNO_1)) + (net CS_0_sqmuxa_0_a3_2_5_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_2_5)) )) - (net wb_adr_7_5_41_0_1 (joined - (portRef Z (instanceRef wb_adr_RNO_1_1)) - (portRef D (instanceRef wb_adr_RNO_1)) + (net (rename Din_c_6 "Din_c[6]") (joined + (portRef (member din_c 1)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef wb_adr_RNO_6)) + (portRef A (instanceRef N_358_i)) + (portRef A (instanceRef RWMask_RNO_6)) + (portRef A (instanceRef RWBank_3_0_6)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef B (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7)) )) - (net CmdSetRWBankFFLED_3 (joined - (portRef Z (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef CmdSetRWBankFFLED_3) + (net CS_0_sqmuxa_0_a3_3_7_5 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7)) )) - (net N_202 (joined - (portRef Z (instanceRef wb_we_RNO_1)) - (portRef B (instanceRef wb_we_RNO)) + (net N_120 (joined + (portRef Z (instanceRef nRWE_0_i_a3)) + (portRef B (instanceRef nRWE_0_i_0)) + (portRef B (instanceRef nRAS_0_i_1)) )) - (net N_540 (joined - (portRef Z (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef B (instanceRef wb_we_RNO_2)) - (portRef D (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef wb_adr_RNO_1_1)) - (portRef C (instanceRef wb_we_RNO)) + (net N_609_tz (joined + (portRef Z (instanceRef nRAS_0_i_1_RNO)) + (portRef C (instanceRef nRAS_0_i_1)) )) - (net wb_we_7_iv_0_0_111_i_i_1 (joined - (portRef Z (instanceRef wb_we_RNO_2)) - (portRef D (instanceRef wb_we_RNO)) - )) - (net CmdRWMaskSet_3 (joined - (portRef Z (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef CmdRWMaskSet_3) - )) - (net un1_CS_7_2 (joined - (portRef Z (instanceRef un1_CS_7_2)) - (portRef D (instanceRef CS6_0)) - )) - (net N_481 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) + (net RAT_2 (joined + (portRef Z (instanceRef RAT_2_0_a2_0_a2)) + (portRef A (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef nCAS_0_RNO)) + (portRef C (instanceRef nRWE_0_i_0)) )) (net nEN80_c (joined (portRef nEN80_c) + (portRef D (instanceRef RDOE_2_0_a5)) (portRef C (instanceRef un1_nDOE_i)) - (portRef D (instanceRef RDOE_2_0_a2)) - (portRef D (instanceRef CKE_7s2_0_0_1)) - (portRef A (instanceRef RA_33_1_a3_10)) - (portRef A (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef C (instanceRef nRAS_0_i_a2_0_1)) - (portRef C (instanceRef un1_LED_i)) - (portRef C (instanceRef nRWE_0_i_0)) + (portRef C (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef un2_RDOE_1)) + (portRef A (instanceRef un1_nDOE_0_a2_0)) + (portRef C (instanceRef LEDEN_RNI6G6M)) + (portRef B (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef CKE_7s2_0)) + (portRef D (instanceRef nRWE_0_i_0)) )) - (net N_309 (joined - (portRef Z (instanceRef nCAS_0_i_o2)) - (portRef A (instanceRef nCAS_0_i_0_RNO)) + (net N_513 (joined + (portRef Z (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef D (instanceRef RA_33_5_119_i_0_a3)) + (portRef D (instanceRef RA_33_4_137_i_0_a3)) + (portRef D (instanceRef RA_33_3_155_i_0_a3)) + (portRef D (instanceRef RA_33_7_83_i_0_a3)) + (portRef D (instanceRef RA_33_6_101_i_0_a3)) + (portRef A (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef A (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef A (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef A (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef A (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef C (instanceRef RA_33_8_65_i_0_0)) + (portRef C (instanceRef RA_33_10_29_i_0_0)) + (portRef C (instanceRef RA_33_9_47_i_0_0)) + (portRef A (instanceRef N_242_i)) + (portRef A (instanceRef N_228_i)) + (portRef A (instanceRef N_214_i)) )) - (net N_545 (joined - (portRef Z (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef B (instanceRef RA_33_1_a2_3_10)) - (portRef C (instanceRef nCAS_0_i_0_RNO)) + (net (rename RA_0 "RA[0]") (joined + (portRef (member ra 11)) + (portRef A (instanceRef RWSel_2)) + (portRef B (instanceRef N_214_i)) )) - (net N_248 (joined - (portRef Z (instanceRef S_0_i_0_o2_1)) - (portRef B (instanceRef S_0_0_0_0)) - (portRef D (instanceRef S_0_i_0_o2_RNIFP961_1)) + (net RA_33_10_29_i_0_0 (joined + (portRef Z (instanceRef RA_33_10_29_i_0_0)) + (portRef D (instanceRef N_214_i)) )) - (net N_71_i (joined - (portRef Z (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef N_71_i) + (net (rename N_214_i_1z "N_214_i") (joined + (portRef Z (instanceRef N_214_i)) + (portRef N_214_i_1z) )) - (net N_349_i (joined - (portRef Z (instanceRef S_1_RNIP23H1)) - (portRef N_349_i) + (net (rename RA_1 "RA[1]") (joined + (portRef (member ra 10)) + (portRef B (instanceRef N_228_i)) )) - (net CmdSetRWBankFFChip6 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip6)) - (portRef A (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef CmdBitbangMXO2_3)) - (portRef A (instanceRef CmdLEDGet_3_0_a3)) - (portRef A (instanceRef CmdLEDSet_3_0_a2)) + (net RA_33_9_47_i_0_0 (joined + (portRef Z (instanceRef RA_33_9_47_i_0_0)) + (portRef D (instanceRef N_228_i)) )) - (net (rename Din_c_2 "Din_c[2]") (joined - (portRef (member din_c 5)) - (portRef C (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_391_i)) - (portRef A (instanceRef wb_adr_RNO_2)) - (portRef A (instanceRef RWMask_RNO_2)) - (portRef A (instanceRef CmdBitbangMXO2_3_3)) - (portRef A (instanceRef un1_CS_6_2)) - (portRef B (instanceRef un1_CS_4_7_0_a2)) - (portRef C (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_2)) - (portRef C (instanceRef CS6_RNO_0)) - (portRef B (instanceRef CmdLEDGet_3_0_a3)) - (portRef C (instanceRef CmdLEDSet_3_0_a2)) + (net (rename N_228_i_1z "N_228_i") (joined + (portRef Z (instanceRef N_228_i)) + (portRef N_228_i_1z) )) - (net N_412 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef D (instanceRef CmdSetRWBankFFChip_3)) - (portRef C (instanceRef CmdLEDGet_3_0_a3)) - (portRef D (instanceRef CmdLEDSet_3_0_a2)) + (net (rename RA_2 "RA[2]") (joined + (portRef (member ra 9)) + (portRef B (instanceRef N_242_i)) )) - (net N_272 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef C (instanceRef wb_cyc_stb_RNO_0)) + (net RA_33_8_65_i_0_0 (joined + (portRef Z (instanceRef RA_33_8_65_i_0_0)) + (portRef D (instanceRef N_242_i)) + )) + (net (rename N_242_i_1z "N_242_i") (joined + (portRef Z (instanceRef N_242_i)) + (portRef N_242_i_1z) + )) + (net (rename Ain_c_3 "Ain_c[3]") (joined + (portRef (member ain_c 4)) + (portRef A (instanceRef un6_RAout_i_m2_3)) + (portRef A (instanceRef N_256_i)) + )) + (net N_431 (joined + (portRef Z (instanceRef RA_33_7_83_i_0_a3)) + (portRef B (instanceRef N_256_i)) + )) + (net N_433 (joined + (portRef Z (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef C (instanceRef N_256_i)) + )) + (net (rename N_256_i_1z "N_256_i") (joined + (portRef Z (instanceRef N_256_i)) + (portRef N_256_i_1z) + )) + (net (rename Ain_c_4 "Ain_c[4]") (joined + (portRef (member ain_c 3)) + (portRef A (instanceRef un6_RAout_i_m2_4)) + (portRef A (instanceRef N_270_i)) + )) + (net N_428 (joined + (portRef Z (instanceRef RA_33_6_101_i_0_a3)) + (portRef B (instanceRef N_270_i)) + )) + (net N_430 (joined + (portRef Z (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef C (instanceRef N_270_i)) + )) + (net (rename N_270_i_1z "N_270_i") (joined + (portRef Z (instanceRef N_270_i)) + (portRef N_270_i_1z) + )) + (net (rename Ain_c_5 "Ain_c[5]") (joined + (portRef (member ain_c 2)) + (portRef A (instanceRef un6_RAout_i_m2_5)) + (portRef A (instanceRef N_284_i)) + )) + (net N_437 (joined + (portRef Z (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef N_284_i)) + )) + (net N_439 (joined + (portRef Z (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef C (instanceRef N_284_i)) + )) + (net (rename N_284_i_1z "N_284_i") (joined + (portRef Z (instanceRef N_284_i)) + (portRef N_284_i_1z) + )) + (net (rename Ain_c_6 "Ain_c[6]") (joined + (portRef (member ain_c 1)) + (portRef A (instanceRef un6_RAout_i_m2_6)) + (portRef A (instanceRef N_298_i)) + )) + (net N_434 (joined + (portRef Z (instanceRef RA_33_4_137_i_0_a3)) + (portRef B (instanceRef N_298_i)) + )) + (net N_436 (joined + (portRef Z (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef C (instanceRef N_298_i)) + )) + (net (rename N_298_i_1z "N_298_i") (joined + (portRef Z (instanceRef N_298_i)) + (portRef N_298_i_1z) + )) + (net (rename Ain_c_7 "Ain_c[7]") (joined + (portRef (member ain_c 0)) + (portRef A (instanceRef un6_RAout_i_m2_7)) + (portRef A (instanceRef N_312_i)) + )) + (net N_440 (joined + (portRef Z (instanceRef RA_33_3_155_i_0_a3)) + (portRef B (instanceRef N_312_i)) + )) + (net N_441 (joined + (portRef Z (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef C (instanceRef N_312_i)) + )) + (net (rename N_312_i_1z "N_312_i") (joined + (portRef Z (instanceRef N_312_i)) + (portRef N_312_i_1z) + )) + (net N_73 (joined + (portRef Z (instanceRef nRWE_0_i_o2_2)) + (portRef B (instanceRef nRWE_0_i_a3)) + )) + (net CmdLEDSet (joined + (portRef CmdLEDSet) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + )) + (net (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_4[0]") (joined + (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + )) + (net un1_FS_20 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) + )) + (net wb_ack (joined + (portRef wb_ack (instanceRef ufmefb)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) + )) + (net N_65 (joined + (portRef Z (instanceRef wb_we_RNO_0)) + (portRef B (instanceRef wb_we_RNO)) + )) + (net N_468 (joined + (portRef Z (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef D (instanceRef wb_we_RNO_3)) + (portRef B (instanceRef wb_we_RNO_1)) + (portRef C (instanceRef wb_we_RNO)) + )) + (net wb_we_7_iv_0_0_0_1 (joined + (portRef Z (instanceRef wb_we_RNO_1)) + (portRef D (instanceRef wb_we_RNO)) + )) + (net CmdExecMXO2_3_1 (joined + (portRef Z (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef D (instanceRef CmdExecMXO2_3_0_a5)) + (portRef D (instanceRef CmdBitbangMXO2_3_0_a5)) + )) + (net N_57 (joined + (portRef Z (instanceRef CKE_7s2_0_o2)) + (portRef A (instanceRef nCAS_0_RNO_1)) + (portRef A (instanceRef CKE_7s2_0)) + )) + (net (rename un9_VOE_0_a2_1z "un9_VOE_0_a2") (joined + (portRef Z (instanceRef un9_VOE_0_a2)) + (portRef D (instanceRef CKE_7s2_0)) + (portRef un9_VOE_0_a2_1z) + )) + (net N_555 (joined + (portRef Z (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef wb_adr_7_i_a5_1_0_0)) + )) + (net (rename un1_CKE48_i_1z "un1_CKE48_i") (joined + (portRef Z (instanceRef un1_CKE48_i)) + (portRef un1_CKE48_i_1z) + )) + (net N_458_i (joined + (portRef Z (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef N_458_i) + )) + (net (rename S_0_0_0 "S_0_0[0]") (joined + (portRef Z (instanceRef S_0_0_0)) + (portRef S_0_0_0) + )) + (net N_369 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) + (portRef A (instanceRef wb_cyc_stb_RNO)) + )) + (net N_133 (joined + (portRef Z (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef B (instanceRef RA_33_0_1_9)) + (portRef A (instanceRef RA_33_1_1_10)) + (portRef C (instanceRef RA_33_2_173_0)) + (portRef A (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef RA_33_0_11)) )) (net (rename RA_11 "RA[11]") (joined (portRef (member ra 0)) @@ -3403,301 +3272,366 @@ (portRef Z (instanceRef RA_33_0_11)) (portRef (member ra_33 0)) )) - (net Ready3_0_a4_0_a2_3 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_3)) - (portRef B (instanceRef Ready3_0_a4_0_a2)) + (net CmdLEDGet_3_0_a2_0 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef D (instanceRef CmdLEDGet_3_0_a2)) )) - (net Ready3_0_a4_0_a2_4 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_4)) - (portRef C (instanceRef Ready3_0_a4_0_a2)) + (net nCAS_7_sqmuxa_3 (joined + (portRef Z (instanceRef Ready3_0_a3_6)) + (portRef B (instanceRef nRWE_0_i_o2_2)) + (portRef A (instanceRef Ready3_0_a3)) )) - (net Ready3_0_a4_0_a2_5 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_5)) - (portRef D (instanceRef Ready3_0_a4_0_a2)) + (net Ready3_0_a3_5 (joined + (portRef Z (instanceRef Ready3_0_a3_5)) + (portRef B (instanceRef Ready3_0_a3)) + )) + (net Ready3_0_a3_7 (joined + (portRef Z (instanceRef Ready3_0_a3_7)) + (portRef C (instanceRef Ready3_0_a3)) + )) + (net un1_FS_20_1 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef D (instanceRef Ready3_0_a3)) )) (net Ready3 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2)) + (portRef Z (instanceRef Ready3_0_a3)) (portRef Ready3) )) - (net CmdLEDGet_3_0_a3_0_0 (joined - (portRef Z (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef D (instanceRef CmdLEDGet_3_0_a3)) + (net CmdRWMaskSet_3_0_a3_2 (joined + (portRef Z (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef D (instanceRef CmdRWMaskSet_3_0_a3)) )) - (net CmdLEDGet_3 (joined - (portRef Z (instanceRef CmdLEDGet_3_0_a3)) - (portRef CmdLEDGet_3) + (net CmdRWMaskSet_3 (joined + (portRef Z (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef CmdRWMaskSet_3) )) - (net N_314 (joined - (portRef Z (instanceRef S_0_0_0_a2_0)) - (portRef C (instanceRef S_0_0_0_0)) - )) - (net (rename S_0_0_0_0 "S_0_0_0[0]") (joined - (portRef Z (instanceRef S_0_0_0_0)) - (portRef S_0_0_0_0) - )) - (net (rename RA_9 "RA[9]") (joined - (portRef (member ra 2)) - (portRef B (instanceRef RA_33_0_9)) - )) - (net (rename RA_33_0_0_9 "RA_33_0_0[9]") (joined - (portRef Z (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef RA_33_0_9)) - )) - (net (rename RA_33_9 "RA_33[9]") (joined - (portRef Z (instanceRef RA_33_0_9)) - (portRef (member ra_33 2)) - )) - (net CmdBitbangMXO2_3_3 (joined - (portRef Z (instanceRef CmdBitbangMXO2_3_3)) - (portRef D (instanceRef CmdBitbangMXO2_3)) + (net (rename Din_c_3 "Din_c[3]") (joined + (portRef (member din_c 4)) + (portRef B (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef B (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef A (instanceRef RDout_3)) + (portRef A (instanceRef wb_adr_7_3)) + (portRef C (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef RWMask_RNO_3)) + (portRef A (instanceRef RWBank_3_0_3)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) (net (rename Din_c_5 "Din_c[5]") (joined (portRef (member din_c 2)) - (portRef A (instanceRef CS6_RNO_2)) - (portRef A (instanceRef RDout_5)) - (portRef B (instanceRef un1_CS_7_4_i_o2)) + (portRef C (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_4)) (portRef A (instanceRef wb_adr_RNO_5)) - (portRef B (instanceRef CmdExecMXO2_3_0)) - (portRef A (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) + (portRef A (instanceRef N_489_i)) (portRef A (instanceRef RWMask_RNO_5)) - (portRef D (instanceRef un1_CS_6_2)) (portRef A (instanceRef RWBank_3_0_5)) - (portRef B (instanceRef un1_CS_4_1)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) - (net (rename Din_c_7 "Din_c[7]") (joined - (portRef (member din_c 0)) - (portRef C (instanceRef un1_CS_6_3)) - (portRef C (instanceRef CS6_RNO)) - (portRef B (instanceRef un1_CS_2_4_0_i)) - (portRef A (instanceRef RWMask_RNO_7)) - (portRef D (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_390_i)) - (portRef C (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef A (instanceRef wb_adr_RNO_7)) - (portRef A (instanceRef RWBank_3_0_7)) - (portRef C (instanceRef CmdExecMXO2_3)) - (portRef B (instanceRef CS6_1_RNO_0)) - (portRef C (instanceRef un1_CS_4_1)) + (net N_74 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_o2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) - (net un1_CS_4_7 (joined - (portRef Z (instanceRef un1_CS_4_7_0_a2)) - (portRef D (instanceRef CS6_1_RNO_0)) - (portRef D (instanceRef un1_CS_4_1)) + (net CS_0_sqmuxa_0_a3_3_7_3 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) )) - (net N_99 (joined - (portRef Z (instanceRef un1_CS_7_4_i_o2)) - (portRef C (instanceRef CmdBitbangMXO2_3_3)) - (portRef C (instanceRef un1_CS_7_2)) - (portRef C (instanceRef CS6_1_RNO_0)) + (net wb_we_7_iv_0_0_0_0 (joined + (portRef Z (instanceRef wb_we_RNO_2)) + (portRef D (instanceRef wb_we_RNO_1)) )) - (net CS6_182_0_a2_0 (joined - (portRef Z (instanceRef CS6_RNO_1)) - (portRef D (instanceRef CS6_RNO_0)) - )) - (net wb_adr_7_5_41_a2_2_0 (joined - (portRef Z (instanceRef wb_adr_RNO_3_1)) - (portRef D (instanceRef wb_adr_RNO_1_1)) - )) - (net N_557 (joined - (portRef Z (instanceRef RA_33_1_a2_3_10)) - (portRef D (instanceRef nRAS_0_i_1)) - (portRef B (instanceRef nRAS_0_i_a2_1)) - (portRef C (instanceRef RA_33_1_1_10)) - )) - (net (rename RA_10 "RA[10]") (joined - (portRef (member ra 1)) - (portRef D (instanceRef RA_33_1_1_10)) - )) - (net (rename Ain_c_0 "Ain_c[0]") (joined - (portRef (member ain_c 7)) - (portRef A (instanceRef un6_RAout_i_m2_0)) - (portRef A (instanceRef RA_33_10_29_i_0_0)) - )) - (net N_279 (joined - (portRef Z (instanceRef RA_33_10_29_i_0_o2)) - (portRef B (instanceRef RA_33_10_29_i_0_0)) - )) - (net (rename Ain_c_2 "Ain_c[2]") (joined - (portRef (member ain_c 5)) - (portRef A (instanceRef un6_RAout_i_m2_i_m2_2)) - (portRef A (instanceRef RA_33_8_65_i_0_0_0)) - )) - (net N_280 (joined - (portRef Z (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef RA_33_8_65_i_0_0_0)) + (net (rename un1_CmdBitbangMXO212_1_0_0_tz_0 "un1_CmdBitbangMXO212_1_0_0_tz[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) )) (net (rename Ain_c_1 "Ain_c[1]") (joined (portRef (member ain_c 6)) (portRef A (instanceRef un6_RAout_i_m2_1)) (portRef A (instanceRef RA_33_9_47_i_0_0)) )) - (net N_278 (joined + (net N_416 (joined (portRef Z (instanceRef RA_33_9_47_i_0_o2)) (portRef B (instanceRef RA_33_9_47_i_0_0)) )) - (net (rename CmdTout_0 "CmdTout[0]") (joined - (portRef (member cmdtout 2)) - (portRef A (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef A (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef A (instanceRef N_351_i)) - (portRef A (instanceRef N_350_i)) + (net (rename Ain_c_0 "Ain_c[0]") (joined + (portRef (member ain_c 7)) + (portRef A (instanceRef un6_RAout_i_m2_0)) + (portRef A (instanceRef RA_33_10_29_i_0_0)) )) - (net (rename CmdTout_1 "CmdTout[1]") (joined - (portRef (member cmdtout 1)) - (portRef B (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef B (instanceRef N_351_i)) - (portRef B (instanceRef N_350_i)) + (net N_103 (joined + (portRef Z (instanceRef RA_33_10_29_i_0_o2)) + (portRef B (instanceRef RA_33_10_29_i_0_0)) )) - (net (rename CmdTout_2 "CmdTout[2]") (joined - (portRef (member cmdtout 0)) - (portRef C (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef N_350_i)) + (net (rename Ain_c_2 "Ain_c[2]") (joined + (portRef (member ain_c 5)) + (portRef A (instanceRef un6_RAout_i_m2_2)) + (portRef A (instanceRef RA_33_8_65_i_0_0)) )) - (net (rename N_350_i_1z "N_350_i") (joined - (portRef Z (instanceRef N_350_i)) - (portRef N_350_i_1z) + (net N_102 (joined + (portRef Z (instanceRef RA_33_8_65_i_0_o2)) + (portRef B (instanceRef RA_33_8_65_i_0_0)) )) - (net N_310 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_dati_7_0_a2_3)) - )) - (net N_190 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_4)) - (portRef A (instanceRef wb_dati_7_0_a2_4)) + (net (rename RA_4 "RA[4]") (joined + (portRef (member ra 7)) + (portRef B (instanceRef RA_33_6_101_i_0_a3_1)) )) (net Ready (joined (portRef Ready) - (portRef B (instanceRef RDout_5)) (portRef B (instanceRef RDout_3)) - (portRef B (instanceRef RDout_0)) - (portRef B (instanceRef RDout_4)) - (portRef B (instanceRef RDout_6)) - (portRef B (instanceRef un1_LED_i)) (portRef C (instanceRef S_1)) - (portRef B (instanceRef N_392_i)) - (portRef B (instanceRef N_391_i)) - (portRef B (instanceRef N_390_i)) + (portRef B (instanceRef N_492_i)) + (portRef B (instanceRef N_491_i)) + (portRef B (instanceRef N_359_i)) + (portRef B (instanceRef N_490_i)) + (portRef B (instanceRef N_489_i)) + (portRef B (instanceRef N_358_i)) + (portRef B (instanceRef N_488_i)) + (portRef B (instanceRef LEDEN_RNI6G6M)) (portRef B (instanceRef RDOE_2_0)) )) (net RDOE_2 (joined (portRef Z (instanceRef RDOE_2_0)) (portRef RDOE_2) )) + (net N_138 (joined + (portRef Z (instanceRef un1_nDOE_0_a2_0)) + (portRef A (instanceRef CKE_7s2_0_o2)) + )) + (net (rename RA_5 "RA[5]") (joined + (portRef (member ra 6)) + (portRef B (instanceRef RA_33_5_119_i_0_a3_1)) + )) + (net (rename RA_3 "RA[3]") (joined + (portRef (member ra 8)) + (portRef B (instanceRef RWSel_2)) + (portRef B (instanceRef RA_33_7_83_i_0_a3_1)) + )) + (net (rename RA_7 "RA[7]") (joined + (portRef (member ra 4)) + (portRef B (instanceRef RA_33_3_155_i_0_a3_1)) + )) + (net (rename FS_14 "FS[14]") (joined + (portRef (member fs 1)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef A (instanceRef wb_rst6)) + (portRef A (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef B (instanceRef wb_adr_RNO_6)) + (portRef B (instanceRef wb_adr_RNO_5)) + (portRef B (instanceRef wb_adr_RNO_4)) + (portRef B (instanceRef wb_adr_RNO_0_1)) + (portRef D (instanceRef Ready3_0_a3_5)) + (portRef A (instanceRef wb_reqc_2)) + (portRef C (instanceRef RA_33_3_155_i_0_a3)) + (portRef A (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + )) + (net (rename RA_6 "RA[6]") (joined + (portRef (member ra 5)) + (portRef B (instanceRef RA_33_4_137_i_0_a3_1)) + )) + (net (rename FS_1 "FS[1]") (joined + (portRef (member fs 14)) + (portRef A (instanceRef Ready3_0_a3_6)) + (portRef A (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef RA_33_10_29_i_0_o2)) + (portRef A (instanceRef RA_33_1_a2_3_10)) + (portRef A (instanceRef un1_FS_32_i_i_o2)) + (portRef A (instanceRef nCAS_0_RNO_0)) + (portRef A (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_3 "FS[3]") (joined + (portRef (member fs 12)) + (portRef A (instanceRef un1_FS_32_i_i_o2_0)) + (portRef C (instanceRef Ready3_0_a3_6)) + (portRef C (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef RA_33_8_65_i_0_o2)) + (portRef B (instanceRef RA_33_10_29_i_0_o2)) + (portRef A (instanceRef RA_33_9_47_i_0_o2)) + (portRef B (instanceRef nRWE_0_i_a3_0_0)) + (portRef A (instanceRef RA_33_5_119_i_0_a3)) + (portRef A (instanceRef RA_33_4_137_i_0_a3)) + (portRef A (instanceRef RA_33_3_155_i_0_a3)) + (portRef A (instanceRef RA_33_7_83_i_0_a3)) + (portRef A (instanceRef RA_33_6_101_i_0_a3)) + (portRef C (instanceRef nCAS_0_RNO_0)) + (portRef B (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_4 "FS[4]") (joined + (portRef (member fs 11)) + (portRef A (instanceRef RA_33_0_9)) + (portRef B (instanceRef un1_FS_32_i_i_o2_0)) + (portRef D (instanceRef Ready3_0_a3_6)) + (portRef D (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef B (instanceRef RA_33_8_65_i_0_o2)) + (portRef C (instanceRef RA_33_10_29_i_0_o2)) + (portRef B (instanceRef RA_33_9_47_i_0_o2)) + (portRef C (instanceRef nRWE_0_i_a3_0_0)) + (portRef B (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef RA_33_1_a2_3_10)) + (portRef B (instanceRef RA_33_4_137_i_0_a3)) + (portRef B (instanceRef RA_33_3_155_i_0_a3)) + (portRef B (instanceRef RA_33_7_83_i_0_a3)) + (portRef B (instanceRef RA_33_6_101_i_0_a3)) + (portRef D (instanceRef nCAS_0_RNO_0)) + (portRef C (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_2 "FS[2]") (joined + (portRef (member fs 13)) + (portRef B (instanceRef Ready3_0_a3_6)) + (portRef B (instanceRef un1_FS_32_i_i_o2_3)) + (portRef B (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef nRWE_0_i_a3_0_0)) + (portRef B (instanceRef nCAS_0_RNO_0)) + )) (net wb_reqc_2 (joined (portRef Z (instanceRef wb_reqc_2)) (portRef D (instanceRef wb_req_RNO)) )) - (net N_511 (joined - (portRef Z (instanceRef RA_33_2_173_1_a2)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) + (net N_98 (joined + (portRef Z (instanceRef RA_33_2_173_0_a2)) + (portRef A (instanceRef RA_33_2_173_0)) + )) + (net N_99 (joined + (portRef Z (instanceRef RA_33_2_173_a2_0)) + (portRef B (instanceRef RA_33_2_173_0)) )) (net (rename RWBank_1 "RWBank[1]") (joined (portRef (member rwbank 6)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) + (portRef D (instanceRef RA_33_2_173_0)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - (portRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0) + (net (rename RA_33_2_173_0_1z "RA_33_2_173_0") (joined + (portRef Z (instanceRef RA_33_2_173_0)) + (portRef RA_33_2_173_0_1z) )) - (net CmdSetRWBankFFChip_3_5 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef CmdExecMXO2_3)) - (portRef A (instanceRef CmdSetRWBankFFChip_3)) + (net un2_RDOE_1 (joined + (portRef Z (instanceRef un2_RDOE_1)) + (portRef D (instanceRef nCAS_0_RNO)) + (portRef D (instanceRef RA_33_1_a2_10)) )) - (net (rename CmdSetRWBankFFChip_3_1z "CmdSetRWBankFFChip_3") (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3)) - (portRef CmdSetRWBankFFChip_3_1z) + (net CS_0_sqmuxa_0_a3_3_8_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8)) )) - (net CmdExecMXO2_3_0 (joined - (portRef Z (instanceRef CmdExecMXO2_3_0)) - (portRef D (instanceRef CmdExecMXO2_3)) + (net (rename Din_c_4 "Din_c[4]") (joined + (portRef (member din_c 3)) + (portRef A (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef B (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef A (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef A (instanceRef wb_adr_RNO_4)) + (portRef A (instanceRef N_490_i)) + (portRef A (instanceRef RWMask_RNO_4)) + (portRef B (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_4)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net (rename un1_CKE48_0_i_1z "un1_CKE48_0_i") (joined - (portRef Z (instanceRef un1_CKE48_0_i)) - (portRef un1_CKE48_0_i_1z) + (net N_391 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_4)) + (portRef B (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef C (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net (rename RWBank_2 "RWBank[2]") (joined - (portRef (member rwbank 5)) - (portRef D (instanceRef RA_33_0_0_9)) + (net N_396 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef C (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net nRAS_0_i_210_tz_tz_0 (joined - (portRef Z (instanceRef nRAS_0_i_1_RNO_0)) - (portRef C (instanceRef nRAS_0_i_1_RNO)) + (net nCAS_0_sqmuxa_1_3 (joined + (portRef Z (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef nRWE_0_i_o2_2)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) )) - (net nRAS_0_i_210_0 (joined - (portRef Z (instanceRef nRAS_0_i_1_RNO)) - (portRef B (instanceRef nRAS_0_i_1)) + (net un1_FS_33_3 (joined + (portRef Z (instanceRef un1_FS_33_3)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) )) - (net (rename FS_3 "FS[3]") (joined - (portRef (member fs 12)) - (portRef A (instanceRef Ready3_0_a4_0_a2_5)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef A (instanceRef nRWE_0_i_o3_0)) - (portRef A (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef RA_33_10_29_i_0_o2)) - (portRef A (instanceRef RA_33_9_47_i_0_o2)) - (portRef B (instanceRef nRWE_0_i_a2_1_1)) - (portRef A (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef A (instanceRef RA_33_6_101_i_0_a2)) - (portRef A (instanceRef RA_33_7_83_i_0_a2)) - (portRef A (instanceRef RA_33_3_155_i_0_a2)) - (portRef A (instanceRef RA_33_4_137_i_0_a2)) - (portRef C (instanceRef nCAS_0_i_o2)) + (net (rename RA_10 "RA[10]") (joined + (portRef (member ra 1)) + (portRef B (instanceRef RA_33_1_1_10)) )) - (net N_200 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) + (net (rename RWBankZ0Z_3 "RWBank[3]") (joined + (portRef (member rwbank 4)) + (portRef C (instanceRef RA_33_1_1_10)) + )) + (net (rename RA_33_1_0_tz_10 "RA_33_1_0_tz[10]") (joined + (portRef Z (instanceRef RA_33_1_0_tz_10)) + (portRef D (instanceRef RA_33_1_1_10)) + )) + (net N_481 (joined + (portRef Z (instanceRef wb_we_RNO_3)) + (portRef B (instanceRef wb_we_RNO_2)) )) (net (rename RWBank_0 "RWBank[0]") (joined (portRef (member rwbank 7)) - (portRef B (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef B (instanceRef N_443_i)) + (portRef B (instanceRef DQML_4_iv_0_17)) + (portRef B (instanceRef N_194_i)) )) - (net (rename N_443_i_1z "N_443_i") (joined - (portRef Z (instanceRef N_443_i)) - (portRef N_443_i_1z) + (net (rename N_194_i_1z "N_194_i") (joined + (portRef Z (instanceRef N_194_i)) + (portRef N_194_i_1z) )) - (net (rename N_389_i_1z "N_389_i") (joined - (portRef Z (instanceRef N_389_i)) - (portRef N_389_i_1z) + (net (rename N_346_i_1z "N_346_i") (joined + (portRef Z (instanceRef N_346_i)) + (portRef N_346_i_1z) )) - (net (rename N_351_i_1z "N_351_i") (joined - (portRef Z (instanceRef N_351_i)) - (portRef N_351_i_1z) - )) - (net (rename N_117_i_1z "N_117_i") (joined - (portRef Z (instanceRef N_117_i)) - (portRef N_117_i_1z) + (net (rename N_347_i_1z "N_347_i") (joined + (portRef Z (instanceRef N_347_i)) + (portRef N_347_i_1z) )) (net RWBank10 (joined (portRef Z (instanceRef RWBank10)) - (portRef B (instanceRef RWBank_3_0_4)) - (portRef B (instanceRef RWBank_3_0_2)) (portRef B (instanceRef RWBank_3_0_1)) - (portRef B (instanceRef RWBank_3_0_0)) + (portRef B (instanceRef RWBank_3_0_2)) (portRef B (instanceRef RWBank_3_0_3)) + (portRef B (instanceRef RWBank_3_0_4)) (portRef B (instanceRef RWBank_3_0_5)) (portRef B (instanceRef RWBank_3_0_6)) (portRef B (instanceRef RWBank_3_0_7)) + (portRef B (instanceRef RWBank_3_0_0)) + )) + (net (rename RWBank_3_0 "RWBank_3[0]") (joined + (portRef Z (instanceRef RWBank_3_0_0)) + (portRef (member rwbank_3 7)) + )) + (net (rename CmdTout_0 "CmdTout[0]") (joined + (portRef (member cmdtout 2)) + (portRef A (instanceRef CmdTout_3_0)) + (portRef A (instanceRef CmdTout_3_1)) + (portRef A (instanceRef CS12)) + (portRef A (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_1 "CmdTout[1]") (joined + (portRef (member cmdtout 1)) + (portRef B (instanceRef CmdTout_3_1)) + (portRef B (instanceRef CS12)) + (portRef B (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_2 "CmdTout[2]") (joined + (portRef (member cmdtout 0)) + (portRef C (instanceRef CS12)) + (portRef C (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_3_2 "CmdTout_3[2]") (joined + (portRef Z (instanceRef CmdTout_3_2)) + (portRef (member cmdtout_3 0)) + )) + (net (rename Din_c_7 "Din_c[7]") (joined + (portRef (member din_c 0)) + (portRef B (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef C (instanceRef CS_0_sqmuxa_0_o2)) + (portRef C (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_4)) + (portRef A (instanceRef RWMask_RNO_7)) + (portRef A (instanceRef N_488_i)) + (portRef A (instanceRef wb_adr_RNO_7)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_7)) )) (net (rename RWBank_3_7 "RWBank_3[7]") (joined (portRef Z (instanceRef RWBank_3_0_7)) (portRef (member rwbank_3 0)) )) - (net (rename Din_c_6 "Din_c[6]") (joined - (portRef (member din_c 1)) - (portRef D (instanceRef un1_CS_6_3)) - (portRef A (instanceRef un1_CS_2_4_0_i)) - (portRef A (instanceRef RDout_6)) - (portRef A (instanceRef wb_adr_RNO_6)) - (portRef C (instanceRef CmdExecMXO2_3_0)) - (portRef C (instanceRef CS6_RNO_1)) - (portRef B (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef A (instanceRef RWMask_RNO_6)) - (portRef B (instanceRef un1_CS_7_2)) - (portRef D (instanceRef un1_CS_4_7_0_a2)) - (portRef A (instanceRef RWBank_3_0_6)) - )) (net (rename RWBank_3_6 "RWBank_3[6]") (joined (portRef Z (instanceRef RWBank_3_0_6)) (portRef (member rwbank_3 1)) @@ -3706,88 +3640,80 @@ (portRef Z (instanceRef RWBank_3_0_5)) (portRef (member rwbank_3 2)) )) + (net (rename RWBank_3_4 "RWBank_3[4]") (joined + (portRef Z (instanceRef RWBank_3_0_4)) + (portRef (member rwbank_3 3)) + )) (net (rename RWBank_3_3 "RWBank_3[3]") (joined (portRef Z (instanceRef RWBank_3_0_3)) (portRef (member rwbank_3 4)) )) - (net (rename RWBank_3_0 "RWBank_3[0]") (joined - (portRef Z (instanceRef RWBank_3_0_0)) - (portRef (member rwbank_3 7)) - )) - (net (rename RWBank_3_1 "RWBank_3[1]") (joined - (portRef Z (instanceRef RWBank_3_0_1)) - (portRef (member rwbank_3 6)) + (net (rename Din_c_2 "Din_c[2]") (joined + (portRef (member din_c 5)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef A (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef A (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef A (instanceRef N_359_i)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef wb_adr_RNO_2)) + (portRef A (instanceRef RWMask_RNO_2)) + (portRef A (instanceRef RWBank_3_0_2)) )) (net (rename RWBank_3_2 "RWBank_3[2]") (joined (portRef Z (instanceRef RWBank_3_0_2)) (portRef (member rwbank_3 5)) )) - (net (rename RWBank_3_4 "RWBank_3[4]") (joined - (portRef Z (instanceRef RWBank_3_0_4)) - (portRef (member rwbank_3 3)) + (net (rename RWBank_3_1 "RWBank_3[1]") (joined + (portRef Z (instanceRef RWBank_3_0_1)) + (portRef (member rwbank_3 6)) )) - (net nC07X_c (joined - (portRef nC07X_c) - (portRef C (instanceRef RWSel_2_0_a2)) + (net (rename FS_0 "FS[0]") (joined + (portRef (member fs 15)) + (portRef A (instanceRef un1_FS_32_i_i_o2_3)) + (portRef A (instanceRef Ready3_0_a3_7)) + (portRef A (instanceRef nCAS_0_sqmuxa)) )) - (net nWE_c (joined - (portRef nWE_c) - (portRef B (instanceRef un1_nDOE_i)) - (portRef C (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef RA_33_1_a3_10)) - (portRef B (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef C (instanceRef nRAS_0_i_a2_0_2)) - (portRef D (instanceRef RWSel_2_0_a2)) - )) - (net RWSel_2 (joined - (portRef Z (instanceRef RWSel_2_0_a2)) - (portRef RWSel_2) - )) - (net (rename FS_5 "FS[5]") (joined - (portRef (member fs 10)) - (portRef B (instanceRef Ready3_0_a4_0_a2_3)) - (portRef C (instanceRef RA_33_9_47_i_0_o2)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) - )) - (net (rename FS_6 "FS[6]") (joined - (portRef (member fs 9)) - (portRef C (instanceRef Ready3_0_a4_0_a2_3)) - (portRef C (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (net LED_c (joined + (portRef Z (instanceRef LEDEN_RNI6G6M)) + (portRef LED_c) )) (net (rename FS_7 "FS[7]") (joined (portRef (member fs 8)) - (portRef D (instanceRef Ready3_0_a4_0_a2_3)) + (portRef C (instanceRef Ready3_0_a3_5)) + (portRef C (instanceRef un1_FS_33_3)) (portRef D (instanceRef RA_33_10_29_i_0_o2)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (portRef B (instanceRef un1_FS_32_i_i_o2)) )) - (net (rename un1_CmdBitbangMXO212_1_i_o3_2_0 "un1_CmdBitbangMXO212_1_i_o3_2[0]") (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (net N_362 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2_0)) + (portRef C (instanceRef un1_FS_32_i_i_o2)) )) - (net N_228_i (joined - (portRef Z (instanceRef wb_adr_RNO_2_1)) - (portRef C (instanceRef wb_adr_RNO_0_1)) + (net un1_FS_32_i_i_o2_3 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2_3)) + (portRef D (instanceRef un1_FS_32_i_i_o2)) )) - (net un1_CS_7_1 (joined - (portRef Z (instanceRef un1_CS_7_1)) - (portRef D (instanceRef un1_CS_7_2)) + (net nC07X_c (joined + (portRef nC07X_c) + (portRef C (instanceRef RWSel_2)) )) - (net un1_CS_6_2 (joined - (portRef Z (instanceRef un1_CS_6_2)) - (portRef A (instanceRef un1_CS_6_3)) + (net nWE_c (joined + (portRef nWE_c) + (portRef C (instanceRef RDOE_2_0_a5)) + (portRef B (instanceRef un1_nDOE_i)) + (portRef B (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef B (instanceRef un2_RDOE_1)) + (portRef B (instanceRef un1_nDOE_0_a2_0)) + (portRef D (instanceRef RWSel_2)) )) - (net N_388 (joined - (portRef Z (instanceRef un1_CS_2_4_0_i)) - (portRef D (instanceRef CmdBitbangMXO2_3_3)) + (net (rename RWSel_2_1z "RWSel_2") (joined + (portRef Z (instanceRef RWSel_2)) + (portRef RWSel_2_1z) )) - (net nRAS_0_i_a2_0_1 (joined - (portRef Z (instanceRef nRAS_0_i_a2_0_1)) - (portRef D (instanceRef nRAS_0_i_a2_0_2)) - )) - (net nRAS_0_i_a2_0_2 (joined - (portRef Z (instanceRef nRAS_0_i_a2_0_2)) - (portRef A (instanceRef nRAS_0_i_1)) + (net (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_3[0]") (joined + (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) )) (net (rename wb_dato_0 "wb_dato[0]") (joined (portRef (member wb_dato 7) (instanceRef ufmefb)) @@ -3818,25 +3744,71 @@ (portRef (member wb_dato 1) (instanceRef ufmefb)) (portRef C (instanceRef RWMask_RNO_6)) )) - (net (rename DQMH_4_iv_0_0_i_0_i_a2_1z "DQMH_4_iv_0_0_i_0_i_a2") (joined - (portRef Z (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef DQMH_4_iv_0_0_i_0_i_a2_1z) + (net (rename FS_5 "FS[5]") (joined + (portRef (member fs 10)) + (portRef A (instanceRef Ready3_0_a3_5)) + (portRef C (instanceRef un1_FS_32_i_i_o2_3)) + (portRef A (instanceRef un1_FS_33_3)) + (portRef C (instanceRef RA_33_9_47_i_0_o2)) + )) + (net (rename FS_6 "FS[6]") (joined + (portRef (member fs 9)) + (portRef B (instanceRef Ready3_0_a3_5)) + (portRef D (instanceRef un1_FS_32_i_i_o2_3)) + (portRef B (instanceRef un1_FS_33_3)) + (portRef C (instanceRef RA_33_8_65_i_0_o2)) + )) + (net N_197 (joined + (portRef Z (instanceRef DQML_4_iv_0_17)) + (portRef N_197) + )) + (net (rename CmdTout_3_1 "CmdTout_3[1]") (joined + (portRef Z (instanceRef CmdTout_3_1)) + (portRef (member cmdtout_3 1)) + )) + (net CmdLEDGet (joined + (portRef CmdLEDGet) + (portRef A (instanceRef RWBank10)) + )) + (net CmdSetRWBankFF (joined + (portRef CmdSetRWBankFF) + (portRef B (instanceRef RWBank10)) )) (net (rename RA_8 "RA[8]") (joined (portRef (member ra 3)) - (portRef A (instanceRef RA_33_2_173_1_a2)) + (portRef A (instanceRef RA_33_2_173_0_a2)) )) - (net (rename N_390_i_1z "N_390_i") (joined - (portRef Z (instanceRef N_390_i)) - (portRef N_390_i_1z) + (net (rename N_488_i_1z "N_488_i") (joined + (portRef Z (instanceRef N_488_i)) + (portRef N_488_i_1z) )) - (net (rename N_391_i_1z "N_391_i") (joined - (portRef Z (instanceRef N_391_i)) - (portRef N_391_i_1z) + (net (rename N_358_i_1z "N_358_i") (joined + (portRef Z (instanceRef N_358_i)) + (portRef N_358_i_1z) )) - (net (rename N_392_i_1z "N_392_i") (joined - (portRef Z (instanceRef N_392_i)) - (portRef N_392_i_1z) + (net (rename N_489_i_1z "N_489_i") (joined + (portRef Z (instanceRef N_489_i)) + (portRef N_489_i_1z) + )) + (net (rename N_490_i_1z "N_490_i") (joined + (portRef Z (instanceRef N_490_i)) + (portRef N_490_i_1z) + )) + (net (rename N_359_i_1z "N_359_i") (joined + (portRef Z (instanceRef N_359_i)) + (portRef N_359_i_1z) + )) + (net (rename N_491_i_1z "N_491_i") (joined + (portRef Z (instanceRef N_491_i)) + (portRef N_491_i_1z) + )) + (net (rename N_492_i_1z "N_492_i") (joined + (portRef Z (instanceRef N_492_i)) + (portRef N_492_i_1z) + )) + (net (rename RWBank_7 "RWBank[7]") (joined + (portRef (member rwbank 0)) + (portRef A (instanceRef RA_33_2_173_a2_0)) )) (net PHI1_c (joined (portRef PHI1_c) @@ -3846,68 +3818,16 @@ (portRef PHI1r) (portRef B (instanceRef S_1)) )) - (net CmdLEDGet (joined - (portRef CmdLEDGet) - (portRef A (instanceRef RWBank10)) - )) - (net CmdSetRWBankFFChip (joined - (portRef CmdSetRWBankFFChip) - (portRef B (instanceRef RWBank10)) - )) - (net CmdSetRWBankFFLED (joined - (portRef CmdSetRWBankFFLED) - (portRef C (instanceRef RWBank10)) - )) - (net LED_c (joined - (portRef Z (instanceRef un1_LED_i)) - (portRef LED_c) - )) - (net (rename wb_dato_7 "wb_dato[7]") (joined - (portRef (member wb_dato 0) (instanceRef ufmefb)) - (portRef C (instanceRef RWMask_RNO_7)) - )) (net RAT (joined (portRef RAT) - (portRef B (instanceRef un6_RAout_i_m2_0)) + (portRef B (instanceRef un6_RAout_i_m2_7)) + (portRef B (instanceRef un6_RAout_i_m2_6)) + (portRef B (instanceRef un6_RAout_i_m2_5)) + (portRef B (instanceRef un6_RAout_i_m2_2)) (portRef B (instanceRef un6_RAout_i_m2_1)) + (portRef B (instanceRef un6_RAout_i_m2_0)) (portRef B (instanceRef un6_RAout_i_m2_3)) (portRef B (instanceRef un6_RAout_i_m2_4)) - (portRef B (instanceRef un6_RAout_i_m2_6)) - (portRef B (instanceRef un6_RAout_i_m2_7)) - (portRef B (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef B (instanceRef un6_RAout_i_m2_i_m2_2)) - )) - (net (rename RAr_2 "RAr[2]") (joined - (portRef (member rar 5)) - (portRef C (instanceRef un6_RAout_i_m2_i_m2_2)) - )) - (net (rename RAout_c_2 "RAout_c[2]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_i_m2_2)) - (portRef (member raout_c 5)) - )) - (net (rename RAr_5 "RAr[5]") (joined - (portRef (member rar 2)) - (portRef C (instanceRef un6_RAout_i_m2_i_m2_5)) - )) - (net (rename RAout_c_5 "RAout_c[5]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef (member raout_c 2)) - )) - (net (rename RAr_7 "RAr[7]") (joined - (portRef (member rar 0)) - (portRef C (instanceRef un6_RAout_i_m2_7)) - )) - (net (rename RAout_c_7 "RAout_c[7]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_7)) - (portRef (member raout_c 0)) - )) - (net (rename RAr_6 "RAr[6]") (joined - (portRef (member rar 1)) - (portRef C (instanceRef un6_RAout_i_m2_6)) - )) - (net (rename RAout_c_6 "RAout_c[6]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_6)) - (portRef (member raout_c 1)) )) (net (rename RAr_4 "RAr[4]") (joined (portRef (member rar 3)) @@ -3925,13 +3845,9 @@ (portRef Z (instanceRef un6_RAout_i_m2_3)) (portRef (member raout_c 4)) )) - (net (rename RAr_1 "RAr[1]") (joined - (portRef (member rar 6)) - (portRef C (instanceRef un6_RAout_i_m2_1)) - )) - (net (rename RAout_c_1 "RAout_c[1]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_1)) - (portRef (member raout_c 6)) + (net (rename wb_dato_7 "wb_dato[7]") (joined + (portRef (member wb_dato 0) (instanceRef ufmefb)) + (portRef C (instanceRef RWMask_RNO_7)) )) (net (rename RAr_0 "RAr[0]") (joined (portRef (member rar 7)) @@ -3941,37 +3857,45 @@ (portRef Z (instanceRef un6_RAout_i_m2_0)) (portRef (member raout_c 7)) )) - (net (rename RDout_6 "RDout[6]") (joined - (portRef Z (instanceRef RDout_6)) - (portRef RDout_6) + (net (rename RAr_1 "RAr[1]") (joined + (portRef (member rar 6)) + (portRef C (instanceRef un6_RAout_i_m2_1)) )) - (net (rename RDout_4 "RDout[4]") (joined - (portRef Z (instanceRef RDout_4)) - (portRef RDout_4) + (net (rename RAout_c_1 "RAout_c[1]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_1)) + (portRef (member raout_c 6)) )) - (net (rename RDout_0 "RDout[0]") (joined - (portRef Z (instanceRef RDout_0)) - (portRef RDout_0) + (net (rename RAr_2 "RAr[2]") (joined + (portRef (member rar 5)) + (portRef C (instanceRef un6_RAout_i_m2_2)) )) - (net (rename RDout_3 "RDout[3]") (joined - (portRef Z (instanceRef RDout_3)) - (portRef RDout_3) + (net (rename RAout_c_2 "RAout_c[2]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_2)) + (portRef (member raout_c 5)) )) - (net (rename RDout_5 "RDout[5]") (joined - (portRef Z (instanceRef RDout_5)) - (portRef RDout_5) + (net (rename RAr_5 "RAr[5]") (joined + (portRef (member rar 2)) + (portRef C (instanceRef un6_RAout_i_m2_5)) )) - (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined - (portRef Z (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef CmdTout_3_0) + (net (rename RAout_c_5 "RAout_c[5]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_5)) + (portRef (member raout_c 2)) )) - (net (rename RWBank_6 "RWBank[6]") (joined - (portRef (member rwbank 1)) - (portRef A (instanceRef BA_3_1)) + (net (rename RAr_6 "RAr[6]") (joined + (portRef (member rar 1)) + (portRef C (instanceRef un6_RAout_i_m2_6)) )) - (net (rename BA_3_1 "BA_3[1]") (joined - (portRef Z (instanceRef BA_3_1)) - (portRef (member ba_3 0)) + (net (rename RAout_c_6 "RAout_c[6]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_6)) + (portRef (member raout_c 1)) + )) + (net (rename RAr_7 "RAr[7]") (joined + (portRef (member rar 0)) + (portRef C (instanceRef un6_RAout_i_m2_7)) + )) + (net (rename RAout_c_7 "RAout_c[7]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_7)) + (portRef (member raout_c 0)) )) (net (rename RWBank_5 "RWBank[5]") (joined (portRef (member rwbank 2)) @@ -3981,13 +3905,62 @@ (portRef Z (instanceRef BA_3_0)) (portRef (member ba_3 1)) )) - (net CKE_7s2_0_0_1 (joined - (portRef Z (instanceRef CKE_7s2_0_0_1)) - (portRef D (instanceRef CKE_7s2_0_0)) + (net (rename RWBank_6 "RWBank[6]") (joined + (portRef (member rwbank 1)) + (portRef A (instanceRef BA_3_1)) )) - (net CS6_182_0_o2_1 (joined - (portRef Z (instanceRef CS6_RNO_2)) - (portRef D (instanceRef CS6_RNO)) + (net (rename BA_3_1 "BA_3[1]") (joined + (portRef Z (instanceRef BA_3_1)) + (portRef (member ba_3 0)) + )) + (net N_523_1 (joined + (portRef Z (instanceRef VOE_2_0_a2)) + (portRef B (instanceRef RDOE_2_0_a5)) + (portRef N_523_1) + )) + (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined + (portRef Z (instanceRef CmdTout_3_0)) + (portRef (member cmdtout_3 2)) + )) + (net (rename RDout_0 "RDout[3]") (joined + (portRef Z (instanceRef RDout_3)) + (portRef RDout_0) + )) + (net CS_0_sqmuxa_0_o2_1 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef D (instanceRef CS_0_sqmuxa_0_o2)) + )) + (net CS_0_sqmuxa_0_a3_3_8_1 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8)) + )) + (net (rename RA_9 "RA[9]") (joined + (portRef (member ra 2)) + (portRef C (instanceRef RA_33_0_1_9)) + )) + (net (rename RWBank_2 "RWBank[2]") (joined + (portRef (member rwbank 5)) + (portRef D (instanceRef RA_33_0_1_9)) + )) + (net (rename RA_33_0_1_9 "RA_33_0_1[9]") (joined + (portRef Z (instanceRef RA_33_0_1_9)) + (portRef D (instanceRef RA_33_0_9)) + )) + (net (rename RA_33_9 "RA_33[9]") (joined + (portRef Z (instanceRef RA_33_0_9)) + (portRef (member ra_33 2)) + )) + (net (rename wb_adr_7_i_0_1_0 "wb_adr_7_i_0_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_1_0)) + (portRef C (instanceRef wb_adr_7_i_0_0)) + )) + (net (rename wb_adr_7_i_0_1_1_0 "wb_adr_7_i_0_1_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef D (instanceRef wb_adr_7_i_0_1_0)) + )) + (net nCAS_0_192_i_1_0_1 (joined + (portRef Z (instanceRef nCAS_0_RNO_1)) + (portRef C (instanceRef nCAS_0_RNO)) )) (net DOEEN (joined (portRef DOEEN) @@ -3998,7 +3971,7 @@ (portRef nDOE_c) )) (net Vout3 (joined - (portRef Z (instanceRef Vout3_0_a2_1_a2)) + (portRef Z (instanceRef Vout3_0_a2)) (portRef Vout3) )) ) @@ -4040,6 +4013,9 @@ ) (instance (rename RC_RNO_0 "RC_RNO[0]") (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance RDOE_RNIAM8C (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance DOEEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (!B !A))")) + ) (instance Ready_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) @@ -4179,18 +4155,18 @@ ) (instance (rename RC_2 "RC[2]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance (rename RAr_0 "RAr[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_0 "RA[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance (rename RAr_0 "RAr[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + ) (instance (rename RAr_1 "RAr[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RA_1 "RA[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance (rename RAr_2 "RAr[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_2 "RA[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance (rename RAr_2 "RAr[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + ) (instance (rename RAr_3 "RAr[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RA_3 "RA[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) @@ -4199,14 +4175,14 @@ ) (instance (rename RAr_4 "RAr[4]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance (rename RAr_5 "RAr[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_5 "RA[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance (rename RA_6 "RA[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance (rename RAr_5 "RAr[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RAr_6 "RAr[6]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance (rename RA_6 "RA[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) (instance (rename RA_7 "RA[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance (rename RAr_7 "RAr[7]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -4264,11 +4240,9 @@ (instance (rename CmdTout_2 "CmdTout[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CmdSetRWBankFFLED (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance CmdSetRWBankFF (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CmdSetRWBankFFChip (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) (instance CmdRWMaskSet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) @@ -4362,13 +4336,13 @@ ) (instance C14M_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) ) (instance VOE_i_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D A)")) + (property lut_function (string "(!D (!C+(!B+!A))+D (B+A))")) ) (instance (rename RC_RNO_2 "RC_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+!A))")) ) (instance RATc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (property lut_function (string "(!C+(B+A))")) ) (instance RefReq_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) @@ -4441,25 +4415,30 @@ (portRef SP (instanceRef CmdTout_1)) (portRef SP (instanceRef CmdTout_0)) )) - (net un9_VOE_0_a2_0_a2 (joined - (portRef un9_VOE_0_a2_0_a2_1z (instanceRef ram2e_ufm)) + (net un9_VOE_0_a2 (joined + (portRef un9_VOE_0_a2_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef RWSel)) )) (net (rename S_0 "S[0]") (joined (portRef Q (instanceRef S_0)) (portRef (member s 3) (instanceRef ram2e_ufm)) (portRef A (instanceRef RATc)) + (portRef A (instanceRef VOE_i_0io_RNO)) + (portRef C (instanceRef DOEEN_RNO)) )) (net (rename S_1 "S[1]") (joined (portRef Q (instanceRef S_1)) (portRef (member s 2) (instanceRef ram2e_ufm)) - (portRef B (instanceRef RATc)) + (portRef B (instanceRef VOE_i_0io_RNO)) + (portRef D (instanceRef RAT)) + (portRef B (instanceRef DOEEN_RNO)) )) (net (rename S_2 "S[2]") (joined (portRef Q (instanceRef S_2)) (portRef (member s 1) (instanceRef ram2e_ufm)) + (portRef B (instanceRef RATc)) (portRef C (instanceRef VOE_i_0io_RNO)) - (portRef CD (instanceRef RAT)) + (portRef A (instanceRef DOEEN_RNO)) )) (net (rename S_3 "S[3]") (joined (portRef Q (instanceRef S_3)) @@ -4483,6 +4462,11 @@ (portRef (member fs 13) (instanceRef ram2e_ufm)) (portRef A1 (instanceRef FS_cry_0_1)) )) + (net (rename FS_3 "FS[3]") (joined + (portRef Q (instanceRef FS_3)) + (portRef (member fs 12) (instanceRef ram2e_ufm)) + (portRef A0 (instanceRef FS_cry_0_3)) + )) (net (rename FS_4 "FS[4]") (joined (portRef Q (instanceRef FS_4)) (portRef (member fs 11) (instanceRef ram2e_ufm)) @@ -4686,42 +4670,18 @@ (portRef SP (instanceRef Vout_0io_1)) (portRef SP (instanceRef Vout_0io_0)) )) - (net RWSel_2 (joined - (portRef RWSel_2 (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWSel)) - )) (net (rename RA_0 "RA[0]") (joined (portRef Q (instanceRef RA_0)) (portRef (member ra 11) (instanceRef ram2e_ufm)) (portRef D (instanceRef RAr_0)) )) - (net (rename RDout_0 "RDout[0]") (joined - (portRef RDout_0 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_0)) - )) (net (rename RDout_3 "RDout[3]") (joined - (portRef RDout_3 (instanceRef ram2e_ufm)) + (portRef RDout_0 (instanceRef ram2e_ufm)) (portRef I (instanceRef RD_pad_3)) )) - (net (rename RDout_4 "RDout[4]") (joined - (portRef RDout_4 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_4)) - )) - (net (rename RDout_5 "RDout[5]") (joined - (portRef RDout_5 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_5)) - )) - (net (rename RDout_6 "RDout[6]") (joined - (portRef RDout_6 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_6)) - )) - (net CmdSetRWBankFFLED (joined - (portRef Q (instanceRef CmdSetRWBankFFLED)) - (portRef CmdSetRWBankFFLED (instanceRef ram2e_ufm)) - )) - (net CmdSetRWBankFFChip (joined - (portRef Q (instanceRef CmdSetRWBankFFChip)) - (portRef CmdSetRWBankFFChip (instanceRef ram2e_ufm)) + (net CmdSetRWBankFF (joined + (portRef Q (instanceRef CmdSetRWBankFF)) + (portRef CmdSetRWBankFF (instanceRef ram2e_ufm)) )) (net (rename BA_3_0 "BA_3[0]") (joined (portRef (member ba_3 1) (instanceRef ram2e_ufm)) @@ -4748,26 +4708,6 @@ (portRef Ready3 (instanceRef ram2e_ufm)) (portRef A (instanceRef Ready_0)) )) - (net RWBank14 (joined - (portRef RWBank14 (instanceRef ram2e_ufm)) - (portRef SP (instanceRef CmdLEDGet)) - (portRef SP (instanceRef CmdLEDSet)) - (portRef SP (instanceRef CmdRWMaskSet)) - (portRef SP (instanceRef CmdSetRWBankFFChip)) - (portRef SP (instanceRef CmdSetRWBankFFLED)) - (portRef SP (instanceRef RWBank_7)) - (portRef SP (instanceRef RWBank_6)) - (portRef SP (instanceRef RWBank_5)) - (portRef SP (instanceRef RWBank_4)) - (portRef SP (instanceRef RWBank_3)) - (portRef SP (instanceRef RWBank_2)) - (portRef SP (instanceRef RWBank_1)) - (portRef SP (instanceRef RWBank_0)) - )) - (net (rename RWBank_3_0 "RWBank_3[0]") (joined - (portRef (member rwbank_3 7) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_0)) - )) (net (rename RWBank_3_1 "RWBank_3[1]") (joined (portRef (member rwbank_3 6) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_1)) @@ -4776,10 +4716,26 @@ (portRef (member rwbank_3 5) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_2)) )) + (net (rename RWBank_3_3 "RWBank_3[3]") (joined + (portRef (member rwbank_3 4) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_3)) + )) (net (rename RWBank_3_4 "RWBank_3[4]") (joined (portRef (member rwbank_3 3) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_4)) )) + (net (rename RWBank_3_5 "RWBank_3[5]") (joined + (portRef (member rwbank_3 2) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_5)) + )) + (net (rename RWBank_3_6 "RWBank_3[6]") (joined + (portRef (member rwbank_3 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_6)) + )) + (net (rename RWBank_3_7 "RWBank_3[7]") (joined + (portRef (member rwbank_3 0) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_7)) + )) (net RDOE (joined (portRef Q (instanceRef RDOE)) (portRef A (instanceRef RDOE_RNIAM8C)) @@ -4792,17 +4748,9 @@ (portRef CmdLEDGet_3 (instanceRef ram2e_ufm)) (portRef D (instanceRef CmdLEDGet)) )) - (net CmdSetRWBankFFChip_3 (joined - (portRef CmdSetRWBankFFChip_3_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdSetRWBankFFChip)) - )) - (net CmdSetRWBankFFLED_3 (joined - (portRef CmdSetRWBankFFLED_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdSetRWBankFFLED)) - )) - (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined - (portRef CmdTout_3_0 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_0)) + (net CmdLEDSet_3 (joined + (portRef CmdLEDSet_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdLEDSet)) )) (net (rename RWBank_1 "RWBank[1]") (joined (portRef Q (instanceRef RWBank_1)) @@ -4824,6 +4772,10 @@ (portRef Q (instanceRef RWBank_7)) (portRef (member rwbank 0) (instanceRef ram2e_ufm)) )) + (net CmdSetRWBankFF_3 (joined + (portRef CmdSetRWBankFF_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdSetRWBankFF)) + )) (net (rename RA_1 "RA[1]") (joined (portRef Q (instanceRef RA_1)) (portRef (member ra 10) (instanceRef ram2e_ufm)) @@ -4885,20 +4837,24 @@ (portRef Q (instanceRef nRAS)) (portRef D (instanceRef nRASout_0io)) )) - (net (rename S_0_0_0_0 "S_0_0_0[0]") (joined - (portRef S_0_0_0_0 (instanceRef ram2e_ufm)) + (net nCAS_0 (joined + (portRef nCAS_0_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef nCAS)) + )) + (net (rename S_0_0_0 "S_0_0[0]") (joined + (portRef S_0_0_0 (instanceRef ram2e_ufm)) (portRef D (instanceRef S_0)) )) - (net CS6_RNIL59G2 (joined - (portRef CS6_RNIL59G2_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNISFDK (joined + (portRef CS_0_sqmuxa_0_RNISFDK_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_0)) )) - (net CS_0_sqmuxa_0_a2_RNID8OM (joined - (portRef CS_0_sqmuxa_0_a2_RNID8OM_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNIR7BR (joined + (portRef CS_0_sqmuxa_0_RNIR7BR_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_1)) )) - (net CS_0_sqmuxa_0_a2_RNID1MT (joined - (portRef CS_0_sqmuxa_0_a2_RNID1MT_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNIR0921 (joined + (portRef CS_0_sqmuxa_0_RNIR0921_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_2)) )) (net (rename RC_RNO_1 "RC_RNO[1]") (joined @@ -4913,31 +4869,129 @@ (portRef (member ra_33 2) (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_9)) )) - (net (rename RA_33_10 "RA_33[10]") (joined - (portRef (member ra_33 1) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RA_10)) - )) (net (rename RA_33_11 "RA_33[11]") (joined (portRef (member ra_33 0) (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_11)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (joined - (portRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 (instanceRef ram2e_ufm)) + (net RA_33_2_173_0 (joined + (portRef RA_33_2_173_0_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_8)) )) - (net N_148 (joined - (portRef N_148 (instanceRef ram2e_ufm)) - (portRef CD (instanceRef CS_2)) - (portRef CD (instanceRef CS_1)) - (portRef CD (instanceRef CS_0)) + (net RWBank14 (joined + (portRef RWBank14 (instanceRef ram2e_ufm)) + (portRef SP (instanceRef CmdLEDGet)) + (portRef SP (instanceRef CmdLEDSet)) + (portRef SP (instanceRef CmdRWMaskSet)) + (portRef SP (instanceRef CmdSetRWBankFF)) + (portRef SP (instanceRef RWBank_7)) + (portRef SP (instanceRef RWBank_6)) + (portRef SP (instanceRef RWBank_5)) + (portRef SP (instanceRef RWBank_4)) + (portRef SP (instanceRef RWBank_3)) + (portRef SP (instanceRef RWBank_2)) + (portRef SP (instanceRef RWBank_1)) + (portRef SP (instanceRef RWBank_0)) )) - (net (rename FS_3 "FS[3]") (joined - (portRef Q (instanceRef FS_3)) - (portRef (member fs 12) (instanceRef ram2e_ufm)) - (portRef A0 (instanceRef FS_cry_0_3)) + (net (rename ram2e_ufm_wb_rst13 "ram2e_ufm.wb_rst13") (joined + (portRef wb_rst13 (instanceRef ram2e_ufm)) + (portRef CD (instanceRef BA_0io_1)) + (portRef CD (instanceRef BA_0io_0)) )) - (net un1_CKE48_0_i (joined - (portRef un1_CKE48_0_i_1z (instanceRef ram2e_ufm)) + (net CKE_0 (joined + (portRef CKE_0_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef CKE)) + )) + (net (rename RA_33_10 "RA_33[10]") (joined + (portRef (member ra_33 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RA_10)) + )) + (net CmdRWMaskSet_3 (joined + (portRef CmdRWMaskSet_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdRWMaskSet)) + )) + (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined + (portRef (member cmdtout_3 2) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_0)) + )) + (net (rename CmdTout_3_1 "CmdTout_3[1]") (joined + (portRef (member cmdtout_3 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_1)) + )) + (net (rename CmdTout_3_2 "CmdTout_3[2]") (joined + (portRef (member cmdtout_3 0) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_2)) + )) + (net N_197 (joined + (portRef N_197 (instanceRef ram2e_ufm)) + (portRef D (instanceRef DQML_0io)) + )) + (net (rename RWBank_3_0 "RWBank_3[0]") (joined + (portRef (member rwbank_3 7) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_0)) + )) + (net RWSel_2 (joined + (portRef RWSel_2_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWSel)) + )) + (net N_523_1 (joined + (portRef N_523_1 (instanceRef ram2e_ufm)) + (portRef CD (instanceRef VOE_i_0io)) + )) + (net N_488_i (joined + (portRef N_488_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_7)) + )) + (net N_358_i (joined + (portRef N_358_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_6)) + )) + (net N_489_i (joined + (portRef N_489_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_5)) + )) + (net N_490_i (joined + (portRef N_490_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_4)) + )) + (net N_359_i (joined + (portRef N_359_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_2)) + )) + (net N_491_i (joined + (portRef N_491_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_1)) + )) + (net N_492_i (joined + (portRef N_492_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_0)) + )) + (net N_50_i (joined + (portRef N_50_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef nRWE)) + )) + (net N_460_i (joined + (portRef N_460_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_3)) + )) + (net N_459_i (joined + (portRef N_459_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_2)) + )) + (net N_458_i (joined + (portRef N_458_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_1)) + )) + (net N_360_i (joined + (portRef N_360_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef nRAS)) + )) + (net N_347_i (joined + (portRef N_347_i_1z (instanceRef ram2e_ufm)) + (portRef SP (instanceRef BA_0io_1)) + (portRef SP (instanceRef BA_0io_0)) + )) + (net un1_CKE48_i (joined + (portRef un1_CKE48_i_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef RA_11)) (portRef SP (instanceRef RA_10)) (portRef SP (instanceRef RA_9)) @@ -4951,140 +5005,53 @@ (portRef SP (instanceRef RA_1)) (portRef SP (instanceRef RA_0)) )) - (net DQMH_4_iv_0_0_i_0_i_a2 (joined - (portRef DQMH_4_iv_0_0_i_0_i_a2_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef DQMH_0io)) - )) - (net CKE_0 (joined - (portRef CKE_0_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CKE)) - )) - (net CmdLEDSet_3 (joined - (portRef CmdLEDSet_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdLEDSet)) - )) - (net CmdRWMaskSet_3 (joined - (portRef CmdRWMaskSet_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdRWMaskSet)) - )) - (net (rename RWBank_3_3 "RWBank_3[3]") (joined - (portRef (member rwbank_3 4) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_3)) - )) - (net (rename RWBank_3_5 "RWBank_3[5]") (joined - (portRef (member rwbank_3 2) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_5)) - )) - (net (rename RWBank_3_6 "RWBank_3[6]") (joined - (portRef (member rwbank_3 1) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_6)) - )) - (net (rename RWBank_3_7 "RWBank_3[7]") (joined - (portRef (member rwbank_3 0) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_7)) - )) - (net N_530 (joined - (portRef N_530 (instanceRef ram2e_ufm)) - (portRef CD (instanceRef VOE_i_0io)) - )) - (net N_188 (joined - (portRef N_188 (instanceRef ram2e_ufm)) - (portRef A (instanceRef VOE_i_0io_RNO)) - )) - (net N_194 (joined - (portRef N_194 (instanceRef ram2e_ufm)) - (portRef B (instanceRef VOE_i_0io_RNO)) - )) - (net N_390_i (joined - (portRef N_390_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_7)) - )) - (net N_391_i (joined - (portRef N_391_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_2)) - )) - (net N_392_i (joined - (portRef N_392_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_1)) - )) - (net N_424_i (joined - (portRef N_424_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nCAS)) - )) - (net N_421_i (joined - (portRef N_421_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nRWE)) - )) - (net N_349_i (joined - (portRef N_349_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_3)) - )) - (net N_73_i (joined - (portRef N_73_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_2)) - )) - (net N_71_i (joined - (portRef N_71_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_1)) - )) - (net N_420_i (joined - (portRef N_420_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nRAS)) - )) - (net N_117_i (joined - (portRef N_117_i_1z (instanceRef ram2e_ufm)) - (portRef SP (instanceRef BA_0io_1)) - (portRef SP (instanceRef BA_0io_0)) - )) - (net N_350_i (joined - (portRef N_350_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_2)) - )) - (net N_351_i (joined - (portRef N_351_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_1)) - )) - (net N_313_i (joined - (portRef N_313_i (instanceRef ram2e_ufm)) + (net N_312_i (joined + (portRef N_312_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_7)) )) - (net N_299_i (joined - (portRef N_299_i (instanceRef ram2e_ufm)) + (net N_298_i (joined + (portRef N_298_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_6)) )) - (net N_285_i (joined - (portRef N_285_i (instanceRef ram2e_ufm)) + (net N_284_i (joined + (portRef N_284_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_5)) )) - (net N_271_i (joined - (portRef N_271_i (instanceRef ram2e_ufm)) + (net N_270_i (joined + (portRef N_270_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_4)) )) - (net N_257_i (joined - (portRef N_257_i (instanceRef ram2e_ufm)) + (net N_256_i (joined + (portRef N_256_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_3)) )) - (net N_243_i (joined - (portRef N_243_i_1z (instanceRef ram2e_ufm)) + (net N_242_i (joined + (portRef N_242_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_2)) )) - (net N_229_i (joined - (portRef N_229_i_1z (instanceRef ram2e_ufm)) + (net N_228_i (joined + (portRef N_228_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_1)) )) - (net N_215_i (joined - (portRef N_215_i_1z (instanceRef ram2e_ufm)) + (net N_214_i (joined + (portRef N_214_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_0)) )) - (net N_443_i (joined - (portRef N_443_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef DQML_0io)) + (net un1_CS_0_sqmuxa_i (joined + (portRef un1_CS_0_sqmuxa_i (instanceRef ram2e_ufm)) + (portRef CD (instanceRef CS_2)) + (portRef CD (instanceRef CS_1)) + (portRef CD (instanceRef CS_0)) )) - (net N_389_i (joined - (portRef N_389_i_1z (instanceRef ram2e_ufm)) + (net N_346_i (joined + (portRef N_346_i_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef DQMH_0io)) (portRef SP (instanceRef DQML_0io)) )) + (net N_194_i (joined + (portRef N_194_i_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef DQMH_0io)) + )) (net (rename FS_cry_0 "FS_cry[0]") (joined (portRef COUT (instanceRef FS_cry_0_0)) (portRef CIN (instanceRef FS_cry_0_1)) @@ -5181,10 +5148,6 @@ (portRef S0 (instanceRef FS_s_0_15)) (portRef D (instanceRef FS_15)) )) - (net RATc (joined - (portRef Z (instanceRef RATc)) - (portRef D (instanceRef RAT)) - )) (net VOE_ic_i (joined (portRef Z (instanceRef VOE_i_0io_RNO)) (portRef D (instanceRef VOE_i_0io)) @@ -5338,8 +5301,7 @@ (portRef CK (instanceRef CmdLEDGet)) (portRef CK (instanceRef CmdLEDSet)) (portRef CK (instanceRef CmdRWMaskSet)) - (portRef CK (instanceRef CmdSetRWBankFFChip)) - (portRef CK (instanceRef CmdSetRWBankFFLED)) + (portRef CK (instanceRef CmdSetRWBankFF)) (portRef CK (instanceRef CmdTout_2)) (portRef CK (instanceRef CmdTout_1)) (portRef CK (instanceRef CmdTout_0)) @@ -5926,18 +5888,17 @@ (portRef B (instanceRef RD_pad_7)) (portRef (member rd 0)) )) - (net N_623_0 (joined + (net N_727_0 (joined + (portRef Z (instanceRef DOEEN_RNO)) + (portRef CD (instanceRef DOEEN)) + )) + (net N_659_0 (joined (portRef Z (instanceRef Ready_0)) (portRef D (instanceRef Ready)) )) - (net N_301_i (joined - (portRef N_301_i (instanceRef ram2e_ufm)) - (portRef CD (instanceRef DOEEN)) - )) - (net N_430_i (joined - (portRef N_430_i_1z (instanceRef ram2e_ufm)) - (portRef CD (instanceRef BA_0io_1)) - (portRef CD (instanceRef BA_0io_0)) + (net RATc_i (joined + (portRef Z (instanceRef RATc)) + (portRef CD (instanceRef RAT)) )) (net RDOE_i (joined (portRef Z (instanceRef RDOE_RNIAM8C)) diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.jed b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.jed index aade93d..361b3d1 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.jed +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.jed @@ -2,7 +2,7 @@ NOTE Diamond (64-bit) 3.11.3.469 JEDEC Compatible Fuse File.* NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation.* NOTE All Rights Reserved.* -NOTE DATE CREATED: Fri Jun 07 20:50:25 2024* +NOTE DATE CREATED: Fri Jul 12 16:08:45 2024* NOTE DESIGN NAME: RAM2E_LCMXO2_1200HC_impl1.ncd* NOTE DEVICE NAME: LCMXO2-1200HC-4TQFP100* NOTE JEDEC FILE STATUS: Final Version 1.95* @@ -82,599 +82,599 @@ QF343936* G0* F0* L000000 -11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000000010100010001 -00001001010100000011000000000011010010001111111101000110000000000000000000000000101110001110000000000001010011010000000000000000 +11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000001000100001100 +00001010000001010011000000101000010010001111111101000110000000000000000000000000101110001110000000000001010011010000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000100010000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000100100 +00000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000001100001010010011100000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000010010100000000000000100001001001011001100100101000100010000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000001001110100100000000100100 +00000000000000000000100011101100000001000100010101100000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000100001100100000001001000000000000000000000010010110011110011000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001001101001100010001100100100000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001 +01001110000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000 +00010001100000000000000000000000000000001000000001000111000011000001001101001000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000001000010100100000100001100001100100000000000000000000010000111100001001001101001 +10000100100100011100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100000010011 +00001000000000000100001000000000000000000001001011000110100110000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000010011100000100111001001110000000100010100111000000000000000100110000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000000000000000000000001000111000 +11010000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +00001000000000000000000001000100100000000010000001001101001100000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000010010000000000000000000000100010100111000010000000000000000000010011100010011010011001110010000100101000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000001001100000011010100000010110000000000000000000 +10001000101001000101001000000000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000010000001110010000010010001000100010101100000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000010000100000100001000000000000001000000000100001010001010010100100111000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000 +00100100000001000010001000001000110000100100001001100000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000001000100000001001110110101000000010011101101010000001011000010011000000000000010010100100101000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -00000001001100000000000010001100000001011010000100001100000100111100110010000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000010010000000000010000110000110010000001010101001000000000000000001000010001000001001111001 -10001001010010110100100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -01000000000000100101100011000000000001101000011001000000000000010001101001111000101000110000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100101001000100011000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011000001 -00100100001100000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000001000010000000010001001000010001001110000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000010000000000100000000000001001101001111000100001001100000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100010100100000000000000001000100010100 -10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00010000101000000111001000001110001000010001000100110000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000001000010000000000000000000000000000010000110010100000000100011100101010100110001000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000001001000000000000000001000000000000000000000100000100011000010000110000 -01000010100100000110010001000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110 -00010011100000000000001011000010001010011101011000000000000000010000000000001100001100100111100101000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011010001000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -00111001001001111000000101001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -10000110011000000000000000000001000011001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000010000010101110111000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000100001000000000001000000000001001110000000000000001010101000010000000000000100001000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000000100001000000001110000100000000000 -01011110000001000000000100000000000000100101000111100100000000000000000000000000000000000000000000000000000000000000000000000000 -00010010000000100100000000000100111010001000000100001000001001110000010000100000100001000000000000001000011001100000001011000000 -00000000000000000000000000000000000000000000000000000000000000000001001010000010010100000000000000001100000110111000000110100100 -01000100000001000000000010000000001000010000000001110000100010111110000100000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000001001011010010000000100111100000010010100001110000010000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011001100100100001000011001100 -00010000110011001001000000010011000000010001100100001000011001010101001100000000000000000000000000000000001001100000000000000000 -00000000000000000000000000000000000000000000001001100000010011000000100110010001001000100100001000001000110100111000100011010001 -01001110000000100011100110000000000000000000000000011100010000000000000000000000000000000000000000000000000000000000000000000000 -10000000000100000000001000000001001110000000011000010100100011000100000001001010010000000001011100000000000000000000000000100001 -10011000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000001000110001000100000000000010001 -00100110000000000000000000000000000000000000000001010110000000000000000000000000000000000000000000000000000000000000000010001000 -10000110011000001000011001100000110100011010011010011100000111000010000000100101000001000110000010001100000000000000000000000000 -00000000010101100000000000000000000000000000000000000000000000000000011001010000000000000010011100100110000001001101000100000000 -00100110100110100011100111000010011000001001101010010000010011000000000000000000000000000110000110000000000000000000000000000000 -00000000000000000000000000000000000000000000001000000000010000000100111000000001000000000000000011001000010000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000100100001000000010000000010010000001011110011010101000010111 -10011000011000000010001110001000011010101001001001100000110100011111000010010011010000111000101001000001100100001101100100111001 -10001001010000010011011110010000010001010011110011010010000000000000000000000000000000000101011100100000000000000000000000000000 -00000000000000000000001001010010000100000000000000000010000000000001100100100100101110000101000100000100111100101100110101110000 -10001110011110010010000011100101000101001101001110001001010001100101110010000110011100000000000000000000000000000101011000000000 -00000000000000000000000000000000000000000000000000000000000100000000001000000000010000010001110000000100011100101010010100011101 -00101110010010010000110011100100110101101101111000100100010011111100110001001010000000000000000000000001100001100000000000000000 -00000000000000000000000000000000000000000000000000100010000100110000000000000010011000000010010110001100001100101010100000101100 -10001101000001100100010110001100010001001000001001000100110111001000010010101001011100010110000000000000000000000000000001010110 -00000000000000000000000000000000000000000000000000000000000001010010010001010011101101010010100111010111100101100000110010100001 -00011010000000100010100110100010110100100110011011110000001001111101001010011010000011101000010100111010010011000001100111001000 -00101010100000010001010011000000000000000000000000001100001100000000000000000000000000000000000000000000000000000000000000000000 -00000000000000001001110110110001001000110001001001101000001100011001100010101010001101000111010111000101101100000100110111000010 -01000100011001010000100001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000010001010011100101001010110101000010001001111000011000011100001010100100110010000110000011001001011000011101010010010 -00010100001100000100100010000111010000101000001011111001100000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000010001000001000110000101001100101111000100001100100100010010111000110000100111101100100011 -10100110010110011010011110000110010001001101001010000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000001000101001110101100100010011100001011100101000010110010001001110000101001000011001010010011101100001010111000 -01101010010110010100001101001010110100001011001000101100110001010001001000010101011100111111000000110010010000010000011001100101 -00111000000000000000000000000110000110000000000000000000000000000000000000000000000000000000000000000010000110010100000100101010 -01100100011010010101001100100011100001100100100000110010010010000101001011001010000010010110000010001110011111100001001001011001 -01110100001010001011001000011001111001010010011110001111010000100000000000000000000000000000000000000000000000000000110010100000 -00000000000000000000000000000000000000000000010000000100011010011010011100100011010011010100100010001110000110010000100000010001 -00001100010100110001001011100000101001001000101011110001100011000110001010011001001001000011101100000100111000000100110000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001100100010010011101001000100101000 -10001100100001010011011011010001100100001100000100001010111110000110010001110000010010110111010000011110001101111000010010011001 -10010100000010011101100101000000100100000000000000000000110000110000000000000000000000000000000000000000000000000000000000000000 -00000000000010000001100010010111000010001110000101001100001100100010011100001010100100100011100110000100101100100101101110100000 -11000101001011000101000111001101001100110100100110010111001010101011011100001010010010100100010010011100000000000000000000000000 -00000001011000000000000000000000000000000000000000000000000000000000000010001101100101000100101100110010001100010011000001000101 -00011000010101010010011010001001101100001001000011100001010100001111011000000110010000100110110010000000100001100111000000000000 -00000000000000000000000100011000000000000000000000000000000000000000000000000000000000001001100001000110100110001011111110001000 -00001110000001000100001010010110001001110010100100100010000011000101001100010010010001110001001101100100111100100011100100001100 -01001011000101010110110001010011110001100000000000000000000000000000000000000001001100000000000000000000000000000000000000000000 -00000000000000000010001001001000100101001001101001000100101001001100101101010000110011001101000001101110100000010010010011010000 -01100100100100111100110100001100011100000100000010011100100011010100100000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000111111111010110111101111111111111101111001001011011111111111111110110100100111101111111111111 -10111100100101101111111111111111010100110100000101000001110111011110101110001010001010000101000101000010100011111110010101000111 -00010100101000111111110100010000110111011110011001001100000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000001100111110110000110111101111001100111101111011000011011111001100111110110000110111101111001100111101111011000 -01101111100110011111011000011001100001111001110111111001010001101011100101011111111001111111111011000111101111111111011101110010 -01111111101110111000110001111111110111110101010101011111011101111110111111000110101110100100100000111100000010001100000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000110111111111000011011110111100110011110111101 -10000110111110011001111101100001101111011110011001111011110110000110111110011001111111100001100110000101001001001111111100001101 -11011111010111001001011010001111110011010100011110001000110100111111110010000100000111110000010010101101111111001100101010100100 -10101001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110011010000011 -11011111111111111011110000010110111111111111111101101000001111011111111111111011110000010110111111110100001111111010010000111111 -11100001111110111110101110001110111111100110011111110111111001100011101111011111111001010000011111011100110001001111111111010011 -10101010100001110011001110011110011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00010000011110100111001001000111111000100110110100010000011110100111001001000111111000100110110100010000011110100111001000000100 -00001000111111000000001000000010011100100000010001111110000000000011100001000010010000000000000000000000000000000000000000000000 -00000000000000000000000000000000001000010000000001110100000000010000010010000000000000100101000100101000000000000000000010010100 -00100011100100000000000000000000000000101001101001000000000000000000000000001000110000000000000000000000000000010101000000000000 -00000000001011000000101010000010000110011000000000000101101100110100011100011000010001110001100000000000000000000000000000000000 -00100110000000000000000001001010000000000000000000000000000010000000001010011010010010001110001100001000100000000000001000110001 -00011100110000000000000001001010010001110001101001010000000000000000000000000000100110100110000000000000000000000000000000000000 -00000000000000000000000000011000010100000001001100000000000110000101000001000000000000000000110011100010010100010000101001010001 -00001100110000000000000000000000000100100100100000000010001000000000000000000000000000000000000000000000000101111010010001001010 -10010110000010100100100011000010001001100101000000100101010000110010100001001100110010100000000001000100111100001000010010100000 -10010100000000000000000000000000000000000101011000010000000000000000001000110000000000000000100011000001000110000000010000110011 -00000110000011010011001000010000000000000011000001101001100100100000100010000001010101001010000100011000010000111010000101001010 -00100001110100001000000000000000000000000000000001010110000000000000000001001010000000000000000000000000000000000100010111100000 -00100010100110111001000000000000010011010011000000010000000000000001000000000010011010001100010001100000100011100000000000000000 -00000000000000011000011110000000000000000000000000000000000000000000010011000000100110000000000001010110011001000010100100000010 -00010001000101101000001000000000000011100100000000100011100101000101110010000000001000001001010000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000001001101001011100010010100111011001000101001101000111000011001110100110100 -00010001101000011011011000011010011111010000111000010010000010111101001100010001010000001110000101100101001000000100000000000010 -00011001111000111100010010000010110111001001001001110000000000000000000000000000010101110010000000000000000000000000000000000000 -00000000000010000000100001001001001001100110001101010001001001100011010000101011001101100000100110100010010010010011101000000010 -01101011111100100001000100010100010100100100100100101101010100011101101111010000010001101111000000100110110010110110010111001000 -01100100100010100110100010000011100100001100100010000000000000000000000000000000010101100000000000000100001100111000000000000000 -00000000000000000000100100100111110101100001001101000011110100100100101100100001000011110000001100001111000001000100000100101110 -10010101110001000001001111100101000110010101010011100011011000001101000001010011000100101100010110101001010011000100011001001000 -10000001001010001000101001011011110000000000000000000000000011000011110000000000000000000000000000000000000000000000000000000101 -10110010100100011010000011000100101110010000101011001000100100011010000001100000110100011100010101001100101100001100001100111110 -00011000001110001000001001001001010110010101011011000010010000110010010000110000010011010110010011101100010110100000101100000110 -00001100110111001010011100000000000000000000000000000000010101100000100010000000000000000000000000000000000000000000000011100001 -00010011111100000110111000011011000010101101100000010001010011110110111011010011000001001101001001000101000111001010010000111110 -01000110010101011010000010101001100010110101010011000010101001101101000101101001001000101000111011001110100000010001110001111001 -00001100110100110100100010110101000110100000011001000011001010000000100100000000000000000000110000111100000000010000000000000000 -00000000000000000000000000000001010111001001001111000011110000001110110000001000001101000100100100100101000011110000000100111010 -01011001111111000010101101101100100011000100100111000001101011100011010000101000110010011011110000001000011001000111001001011001 -00100110001001010001000110100001000111000100111100010000000110001001010100100000000000000000000000000000000100111000000000000000 -00000000000000000000000000000000110100010000100010001000111001001100101000100110100011110010100000110010001010000011000010101100 -10100010010110111101011100100110001011111101000010001000000110001010101011000011000101000100000111000001010010010011110000000110 -10110001001001001010110010001010111010011000010001110000010011000000000000000000000000000000000000010010000000000000000000000000 -00000000000000000000000011011000000100001100001111000110001001000100000101110100111010000111100001001000111001001101100000100011 -11000100100110001100110011010110000010010010001010010101110000100011100100001000100000101001100100001100100100011000110101101010 -00100110000110010101111001001001001110010001001000001101000100100101000000100101000000000000000000000000000000000000000000000000 -00000000000000000000000000000001001100001000111110000010101001010010110100110110111000010101100110001110100101001101001001011001 -10010100110100101010111110011110011010100100011001110011001001000111111000010010000110110100010010110001010000101101100000110010 -10000100101110110000111001110001000011011001000001000000000000000000001001000000000000001100001111000000000000000000000000000000 -00000000000000000000000000000010000100001000000100011100011000010011100011001001100100000110001100001000111100000110101111100011 -11001000101001011010011001111000001110001000001001111110100000011100010000001001100101010001001111000111000001110110000000010110 -11110010100000000000000000000000000000000000000100010000000001000011001110000000000000000000000000000000000000000100010110110000 -11100101000100111010011100101001111000001010011000110100010010010011100110101001010100101100000100011010010001100101100100011101 -10010000110010011000101001000001100010100110000011010010001001101101001001100001100101100011000100011100111000010000001001110000 -00100111000001001010000000000000000000010000000000000000000000000000000000000000000000000001001001101110000001000001000101011010 -10011011110000000100100100000010010010011010001010000111010000010001000101001101100100010100111000100001110100001010011100100001 -01001011001110001000101110001001100111010001111000010111000011000001001111111001000100100100010011010010101001001001110000100001 -00000000000000000001100001111000000000010011000000000000000000000000000000000000000000000100100000010010011100000111100000110010 -01000100101011011010011100110010111000100100010011001101000001100101010111110010011100100101000101001100000010000110010101000001 -00001100000100001110010100010001011111000100010010110010110010110010110000100100111001001110000000000000000000000000000000001100 -10100000000000000000000000000000000000000000000010011100001001011110001001100110100101001000001001001110001010100110000010101100 -11101000001000011100011010110100000110010110010000110100100111001001001110010001010001101000001100100100111001100011100000100110 -00010101001101100100100010000110000001000101101100000110110000010010010011110010010110110101111100100000001100100101100001101100 -00000000000000000000000000000000000000011010110000000000000000000000000000000000000000000000010001010010000000110010100111010001 -00100111101001001011111000011000010010000001100001010100001100110001000000110110000000100111101110100000110100001000110010000110 -00001000011110100010100110001000001001000100110010010110001110000110001100101001101101010001010011100000000000000000000000000000 -00000000000000000000000000000000000000000000001000100001000001011001001111010010100111001000010100001100011010011100011100100000 -00101111101001110110000010010001000101110000100100000010001100100011100111001001100100010111000010001001001001100100010100000111 -00010001001001000111110001000110001001011100100001001100100110010010110110010110000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000101000111100111101110011111111101000101111111110110011101101101100111111111011101111001100111 -01110110101101001001111001110100111101000011111111011111011100011000011111001110101000100111100001110010101110100001111111011101 -00001111000000111101111101100001100111010100001111110000111001100101000001010000110010111111100110010110101111110100001111011111 -11100110010000000000000000000000000000000000000000000000000000000000000000000000000000000011000001101010001111000000100100111001 -00001111010001110000110011111110101010001010111010001101110111100011110101010111111110111001001100000110110001011110001111110100 -00010010010100011111111011000111111111100101000100100100000010001111001100110100100110010101111111111011000111010001111011101100 -10011110000001111011111100011110000110001010111010000110000110001111111110100100101000101000110000110011010111111100111111100100 -11100111111001111000110000000000000000000000000000000000000000000000000000000000000000000000000000110000011001111000000010101111 -00001100100010101000110101111110010110100011011101110111010101100101000111111001101110101111110101011110010111111110001100110011 -10001011000101011101011111010101000110111111111011111111001001101000100010001111000101011111001100011000110011111001000010100001 -00101111111001110100010001011010101001001110111001100011111101011000101100111111111101111001111110111110011111100000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000111111001101100111111111111110001110101110110111111101101100 -11111111101110111010101111110000000111111111011100100010110010001111010101010111111000011001101110110001010110100001100010011100 -11101010001010001000011111011101111001100110011011001111111010000110011111111111110010111011111111110010000111011101111111011110 -11010101011110001000010100010100001100111111101000010100011101111110000000000000000000000000000000000000000000000000000000000000 -00000000000000000010101010101101001110010101010010010001111110000000010000000100111001000000010011000101010100100010011100100000 -00111000010000101110001001110010000001000111111000000001010101001000100111001010101001000100111000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000001001010000010010101000000000100001100010000001100100010100100100101000 -10010000010001010000010101100000000000100001000000000000000000000000001000100000000000000000001001010000000000000000000100011000 -00000000000000000000000000001000011001100000100001110100010011001000101000011110100000011001000101101000010100011100111000010010 -10001100110010100110000011001000101101001100100100000000000000000000000000000000000000000111000001010011000000000010011000010011 -10000000000000000000000000000000000000000000000000001000110010011000100011000000100010100111000001110001001110100010100010011000 -10011001001001001101001110000000000010000000000000000010000000000000000000000010011000000000000000000000000000000010010100000000 -00000000000000000000000001100101000100100000011110000000100011010001010000100000000100011011000101010100110010010001100100001010 -01110000100010100111000000000000000000100001000000000000000000100100000000000000000000000000000000000000000000000000000000000000 -01000110010010100000000000110100010010001000001001011000101110100000001000001001001000000010001010000101001000011001010000010000 -10000000000000000000000000000000000000000110011110000000000000000000010001100000000000000000000000000000000000010011011100000101 -00110100101000011000011010000010000010001111100010001001110100001110100000110010001001000110010101010011000100110000000010000111 -01001001000000000000000000000000000000000000000100001000011001111000000000000000000000000000000000000000000000000011001010000000 -11000101001110101000010011001001101110100000100111000010011100001100001010100011100110000110100010011100100001000111000110100100 -11010000100100011000110100010001001010001011010100000000000000000000000000000000000000000010101000000000000000000000100101000000 -00000000000000000000000000010010101010111100010010100000100010111000001000000111000100000001000011001010001000000010011010011001 -01110010010111010001001000110110010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000001001110000100100011000010100000010000010111010010110001010010010001110000110110010011010000111000010101011101000011101100 -00010011110000001011011100010010100110011010000101000101000001000111100010100100111001000000111001000110011001001010010110000000 -01001000000000000000000000000001000010000010010001100111100100100000000000000000000000000000000000000000000010000100010000010001 -11001010000100100011110000000110100001000111000001111100000101001011001001110011000001000111101000100011000010110100110100101111 -10001001010110100100010001001000100100001110100001010001110011010000111000110001001000110001011011001000100101010001010010000000 -00000001001100000010000000000000000110000011010000100000011001111000000000000000000000000000000000000000000000000000010011100100 -01001001100010010111100001001001000111010000011010000101101001100100001001000001001101000111100100010100110001001000000100000001 -00111010001110000010011001001101001011001110110010010111010011011011001100101000001000100010010100000000000000000000000010000100 -00011010100110000000000000000000000000000000000000000000000000000000001011100100111010000110110110010010001110011111011000101000 -01110100010010000110011110010110000010011011000001101101000001100111010001010001111000001101000001001110100000011010000011111000 -00101001110100011101001010001101110010000100011000000100100010000110011100000000000100011000000000000000000000000110011110000000 -00000000000000000000000000000000000000000000001100101000000100111010010011000001101100010100100111100011100100110110000100100011 -10000110011110001111000100100100111110100000101100101001100001100011111010000001000101100011001010001000100010001110010010010101 -01001110100001000000000000000000000000000000000000001100100011000000000010011100000000000000000000000000000000000000000000100101 -00011100100001000111000101000101101000100001001011000101011011000111001011001001010101110110001111000010011100101000100100100110 -01010011110000010001000001001111001101000001010110100000100000100110010011010011001000110100110010001000101111100010000000000000 -00000000000000000011000001110000000000000000000000000000000000000000000000000000000001000110001001001001101001001001011001110111 -01000001000101001111001100101001100101010001001100100010101001111001100001000110100011100100001001000111000110000100010100111010 -01101001000011011001000100100010000100100011010011100000000000000000000000000000100000000000000100010000000000000000000000000000 -00000000000000000000000101001110000101010000010001110011110010101100000110110100101010011100100010100001110010000110011110011101 -00011110100001011010010011001101011000100010110000011011010010011000000000010001110011111000100100100001101100101100001010100100 -00100000000000000000000000000000000000000010011100000000000000000000000000000000000000000000100111000001100011000000100011100001 -11010001101010111110001001010010110001010010010001011001001001111000000111010000010001110000011010010100100111011010101001011011 -00010110100110100100010110110000010110111000101010010110001100010010101111000110010100001011000000100001000000000000000000000000 -00000000100000000000000000000000000000000000000000000000000000100010000000000010011010100101011101000010001110010100111000000110 -01111000110100101111000100010011010011001001010100110110100001010001100010001010001111100100001010110100110100000100111100101010 -01001001111000001000001001000000100010000000000000000000000000010011000000000000000000000010000110011100000000110010100000000000 -00000000000000000000000000001100010010100000110100100110010110100101001111000100100010111000010010011010011001100010010100011100 -00111100000101001101000101001110010100101000001000110001001000100000110100011010011100001100101010100111000010001110001000000000 -00000000000000001001000000000000000000000000000000000000000000000000000000000000000001100101000000010100101000010100001100110011 -10000101100001100100111000100010011010001011000101001000001110110010010010101110010110110100100110011000001000011001101000000100 -01100010000110010001100010110111000100001000001001100010000010000010110000000000000000000000000000000010000000000000010001101100 -10010000000000000000000000000000000000000000000010000100100111000100111101011110000011011001000101001100010010011000110011000100 -10000100110001100110011110010111010000100101100100011111000010001001111000010100110100001110110000000010110101000011011000011001 -11010100000100110000000000000000000000000000000000000000000000011100000010000000000000000000000000000000000000000000011000011000 -00001001010100011011100010001001100111100000010000011101000001000111001010100111101101111000010010010011101000000100000100001100 -11101100101000011000010101001100100101000001001001000010011001001001001000001010010000000000000000000000000000000100110000000000 -00000100110010011100000000000000000000000000000000000000000001001000000100111110001010010010010110111001100101001010010010110001 -11001011001001001010100001100100100111100101000110110000010010010000110000000110100010001001011101011000001101000001100101100011 -00010111101101000100000000000000000000000000000000000001001000000010010000110001010001001000000000000000000000000000000000000000 -00000001001100010000101000010101011011010011001001101001101000101000011100101010100110011110000000110000011001001101001111001011 -01011100010100001100101101100000100100100111000110100010010011110011111110000001001000011001100011011100001100010100001010110000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000110011111100000101000110011001110000111000011 -11101000011111011111111110000100001100100101000011111100001000001000011111101110111110011001111011101111011001101010001100011101 -01100110011101000100001110011001111111110011001100111101000110000111011101111110001011100110100011101110011001111111111111011000 -01101001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101100000111011111111011101 -11110111000010110111100010001000111010000100100101000111111101110001111111101001101000101100100111110111111011001101100001100111 -10111111110111011101011111111011110111011000011001100100010111111000010010011011001100100011011110100011010110111000101110001111 -10001111111110111010010011001101111010001000110010010010011000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000001010100000100010101000110111111111011100001000001101101111011000011101010001001001111001100101010001100001101 -10010011110011001100100100100010101110111111001110010111110011101010101000101010111010111010111111110000010001101011101010111100 -11001111101110111100001100011110111110101000100111000011010010110000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000011111100110000011011101111111011101100001101010111110111101111101110110111111110000111110111001110001 -01001010101111011101100001111101110011000101010100000110011101101111111110101010011001100111111111100100001111001100111110011111 -00110011110111011000011011101110001000100110111111011110100001101110111111001000000001110111111000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000100000000001000011001011100001100111100010000100000001110000100001000000011100 -00100001010101001001000111111000000001010101001001000111111000100001001000100011111100010000110001001110010001001110000000000000 -00000000000000000000000000000000000001000000000000000000000001000100000000100011000000000000000000000110010000110000001011001001 -01000000011010000010000100011100101100000000011010110001100101000100101000000001000011000000000010001000000000000000000000000000 -00000001000111000000000000100110010000110011000000001001100000000000100000000000010010100000000000000000001000011001101000010010 -01001100000110110100110100001000011001101100110010010011000010001100000000010010010000000001001000000000100000000000100110000000 -00000100110100101000000000000011010000101001101000000000001101100000001101000100000000000000000000000000000000000000000000000000 -10001100001001100100011000000100100100011010011010011100000001010010011010001000110010100000011010001001000010000000000001001000 -00000000001001001001000000000000000100110100110001000001001110000010011101000000000000010001100000000000000000000000000000000000 -00000010001001001101000001000000100110000010011000110100010011100000011000001001001000100000100110010001101011100000010111000000 -00000000000000000000000000000000000010110110001110001010101101001100000000000000000000000001000100000000000000000000000000000100 -01000000100000101111100100001011011000000000110000011010011000001100000110000001000000110010100000001001010000000100100000010011 -00000000000000000000000000000000010001100000000001100111000000000000000000001000000000000000000000000000000000100000000100001110 -10000101000010001000011001101100100010000000000000100001100111010010010000100001100110010100110000011001100101001100000000000000 -00000000000100000000000000000000110100001010011000011000011110000110011110010011100100110000000000000000000000000000000000000000 -00000000001100101000100110000100111000000000000000010011000001001001001100110010100000100100100110001001100000100100000000000000 -00000000000000000000100110100110000110100111100001010101001110100000000000000000000000000000000000000000000000000000100001000001 -10000101000000100010111001000000000000010111011000010100000101110010010000010111000000000000000000000000001000100000000000000001 -01101101111000110111000001000010000000000000000000000000000000000000000000001001110000100010010000110011001000000100010100101111 -00000101011000010001110010110010110110011000101001110000010100000110010000110100101000100100000110001001011010101001110010000001 -00010001010010001101000010010010000000000000000000000000000100111000000000000000000000000110011100011000110010000000000000000000 -00000000000000000000000010001000010000010010101010010100011010010101100100001111000100100001110010010100001100111001000001001000 -10010111010000110010001011100001000010000101100100010100000111011000001000010100001000000001010100000000000000000000100010000100 -00000000000000110000011011000011110000000110011110010011100000000000000000000000000000000000000000000000000100011101011001000110 -10010011000100101000000100011100010100001111000100010010101001011000101000111000111001101001000100111010011000001101000101111001 -00010000010100100000000000000000000000000000000000000000010001001101001111000000000000000000000000000000000000000000000000000000 -00010010001111000001101111111010000001001000110000101001101011000101001010011111000101000100110101001010000001100001010001000011 -00111110001001010000111100010001001011000100010101110011110110010001010101110000001011001001100000000000000000000000000000000000 -00000010000000000110011110000000000000000000000000000000000000000000000000000001001100010010001000001000101101100000000100001000 -00101110110010001000100101011010010011000010100100001000011001100100101111010000001011011001101000010000000000000000000000000000 -00000000010011101001110001100001111000000011001110000000000000000000000000000000000000000000000100010000000110100100101101010100 -00011011001001000001000110010011111110010001011101110100000110101100010001010111111100110001000111001110100010001110011000110101 -10010100110011010001001011011101001100010000101001000000000000000100001100111000000000000000000000001011000100010000110000011100 -00100101000000000000000000000000000000000000000000000000001000011000101001110010001000010011110001110001010010110001100111000001 -10100001100111001111000010011010000010110100001001000110100101100100110100000111000110001101011000100011100101010001100100001100 -11100000000000000000000000000000000010010000001000000000001001110000000000000000000000000000000000000000000010011100000101001110 -01000101001001001111001111100101001110000101011011010101110000010000110000101011110000101101100000100101110010001010001010001010 -11011101110000010010101000101100100001101010100110100101100111110000101011100000011001011001100100101110000011010010110011110011 -10000000000000000000000000000000000000000000000001000101111001000000000000000000000000000000000000000000000000000001011011101000 -10010011001000110101111101110100110100001001100011001010100110000101010010010011011010000100100010100001110100010010010100011001 -00010100111100111100001000000000000000000000000000000000000000000000001000101111000000000001001010000000000000000000000000000000 -00000000000000000010010110000010001110000000010010010010000010011111011110011000100001100010010111101000001000110101110110011010 -01000011110000100100011001100100100100000000011000100100100101000000000000000000000000000000000000001000100000000000000000000000 -10000110011100000000000000000000000000000000000011000100100010010010011111011010001000010010010000010000000000010011010001010010 -11000011001100100101001000001100101000001000100100001110110000000010011000000000000000000000000000000000000000000000000001000100 -10011100000000000000000000000000000000000000000000000001000011001011110100000000010011110110000100010100001100000101100010011010 -11111100000110100000110101100010001111010000101010111000100111001000010011101011111000111011011101011000011001000100100010010011 -10010010000000000000000000000000000000000000010011100000001111100000000011100010000000000000000000000000000000000000000000000000 -10001010110110010100100010100001010110010001110111011001010000100100010010100000100101010110110000110000010010011001010010100001 -10010110011101001001001110100001100110000000000000000000000000000000000000000100100010011010000011110000000000000010011100000000 -00000000000000000000000000000000000000000001000001110000010100100001110000010100110111000100000100101100101110001101000001110001 -00111100011010100011100111100111010101111011000100111000000110001010010010010110001100000100101000000000000000000000000000000000 -00000011000101000100100010011000000000010001100000000000000000000000000000000000000000000001100110010101001011000101000100010100 -10000111000001000110010001010011110000011100000010100001110100000101001101000100100110100011111001000000100000100000110001001010 -01111000011000100100001100101100100001001100000000000000000000000000000000000000010001100000000000000110010100001001110000000000 -00000000000000000000000000000000000110010010100100000011100001001100110001110100010111101000001010011001101000101100000110010101 -00101100100010100110001000001001100110001011011100000010100110100100010001001000111011001001101001110110000111010011100100100000 -00000000000000000000000000000000010001001001111100000110000000000000000000000000000000000000000000000000000000000001101111111110 -01101110111011101110100001111100111111000100010000111001111111111100100100110111111101111101100110111100110111111100110111111111 -01110101000010100010010101100101110101000010100011111110110101000111111101101001011010000110010001111111110100010100000000000000 -00000000000000000000000000000000100110000000000000000000000000000000000000000000000000000001010001011111001001110111011110011001 -11000111010001001111001001100011001011001010001110111011110011001110010011110011111111110011100011111111111011111111001001001100 -00111110010100111111111010010011111101111010001000111100111111101000100100100101111111011111000011001100100011111111101000111111 -00001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111100011111110101 -00100111111000011011001100111000110101001001000001101110111100011111011101111111101111001001111111110110001111101101010011111111 -01110111101111111011101111000111010001111111101100101101000111001000010001010100010100010010101010001100001100110010001010100010 -01010101000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111000110 -01100100111111010011111111100011111111001000011011011111111011101100111011101111011101110111111110011100111110101000101000011110 -11111110111011110110011001011101010000110000111111110100000110111111111111011110110001100111111111011001101100110011111011111100 -11111110111001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010010001 -11000010000101010100100011100001000011000110010011100001000010000000100111001000000100011111100000000101010100100100011111100000 -00011000100111001001000111111000000001001000000000000000000000000000000000000000000010101011110000000000000000000000000000000000 +00000010011110011110011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110 +01101000100000000000000000000000000000000000010010100000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000100001100001000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000100000000001000100000010001000000010000100000110000011000000000000000000010010000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000111000000100000000000010 +01110000100000000000000000001001100100010100111111100000000000000000000000000000000000000000000000000000000000000000000000000000 +00010010000000100100100100000000001001110000001000001100000110000001111000000000000000001000000000000000000011100010101001100000 +00100100000000000000000000000000000000000000000000000000000000000000000000000000000100101000001001011001010000000001000010000010 +00011000001010010000100011000000000000000000000000010001000011000001110000100110000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000100000000000000010001100000001000100000000000000000010001100000000000000000 +00000000010100100000000000000000000000000000000000000000000000000000000000000000000000000000001000011001101101100000000110010001 +01001100010010100001101010000000000000001000110010001100100011001101000010100110000000000000000000000000000000000010011000000000 +00000000000000000000000000000000000000000000000000000000001000100000001001000000000000010011010011000000000001001101001100000100 +11010011000000000000000000000000001001100000000000000000000000000000000000000000000000000000000000000000000000000000000010101100 +00000000000001000000000000010010100100000001001010011000010010000000000000000000000000010010000000000000000000000000000000000000 +00000000000000000000000000000000000001000000000000010011100000010001100100010000000000000000001000110000000000000000000000000000 +00000000010101100000000000000000000000000000000000000000000000000000000000000000000100001110100100100000000000001001100000000000 +00000000001101000010100110000000000000000000000000000000010101100000000000000000000000000000000000000000000000000000000000000000 +00100010000000000000000000100110100110000000000000000010011010011000000000000000000000000000010111000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000101110100111000000000100000000000000000000001100001001000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000001001110100000000000111001000010000110001110001111000010011000 +00100110100100001000001100011000100100000001001100101101111000001000101010010001010011100100011000011100001101001110100101100001 +10010110000100000010010000000000000000000000000001010111001000000000000000000000000000000000000000000000000000000000100010001000 +01000000010010011001001001001000100001110000001101011001100000110100110100110000000001001010100110100110000000110010000101000100 +01001001001100001000100000000000000000000000000000000000010101100000000000000000000100010000100011000000000000000000000000000000 +00000000001000010100110100000100101000001010111100011001100110000000000100000010010010011000100111000011010000010100111111010000 +01001000001110000010000000010010100000000000000000010111000000000000000000000000000000100000000100110000000000000000000000000000 +00000000000000100110100000010001010110001000111001110100010001001100000010001100010011001001000100010000001001001000010010011101 +00011100001001001110000000000000000000000000000001010110000000000000000000000000000000000000000000000000000000000000010011000100 +01010011101101010010111100100010000110110010101000101100100011010011100000110101000010010001000000000100010100111010001000000001 +00110001000010000000000000000000000000010111000000000000000000000000000000000000000000000000000000000000000000001000110000001001 +10000100110100100100110110110000110011100000100000000000000001000000000010001001101010000010000100000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000010001100010010100010000001110001010111100100000000010011001 +00000100110000010011100000000000011000110001110100100100111000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000010011110110111010100001001110100011100110101001101100110010001000000100010101001000100110000100010 +00000000010011110010100110010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00001011010100100010010110011010011000011001001010000000100111000100111010011101000100000100000100011000101001100111100111100001 +10000010001100000000000000000000000001011100000000000000000100111001000110000000000000000000000000000000000000000000000000000010 +01011001010110100101000000110001100110011101001010000000100010100001010000100000000100101000010001110011110001010100110011100000 +00000000000000000000000000000000001000010001001010000000000000000000000000000000000000000000000000000001001001001011010101001111 +00011010011101100100010100010010010000000001000000100111100011001000000000100010000001001000100000100001110010010011110000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011101000010111000100010001110011 +00100011100000111001000000000100010000100000010011000000000000001000111010101001101010011001111001000000000000000000000000001011 +10000000000000000000000000000000000000000000000000000000000000000000000000000001000101100000110101100001001001000111101101000010 +01110000000100011010011100000000001000011001100000100001100000100111000000001000000000000000000000000000000000010011000000000000 +00000000000000000000000000000000000000000000000000110001001001001101001000001100100101101111100001100111000000011111000001001011 +00001011001000110010010000000000110100010001010010110001100010100110001000000000000000000000000000000000000000010010100000000000 +00000000000000000000000000000000000000000000000000100010010001110000000001100100110010000100100001000010010000100111100110000000 +01000100100000000100100100110110010000110000111010100000000000010000100000000000000000000000000101001000000000000000000000000000 +00000000000000000000000000000000010000100100100001000001001100010111111011100000010000000000010000000001001100000010011101001011 +00011100111110000100111100010001000101010011011110000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000010100011101010101000011100111111001111101111111100100001010001111001111000000001111111010001101001101100110 +00000000001111011101100110101000111111101010000111100111111111111001100110000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000010000010100001111000000001010001111001000100100111110111111111110011000110000000111 +11110101001010110100110110001100000000001101000100101110110101010111010100001010111100000110110110000010001100000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000001000101001111111001100100100111100110000101000101000 +10010011101111111101110111000000001011011001010110000100110001100000000001111010100101110110101111111010001001010111100001001111 +00100001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111111111100100 +01000011100110011001111111011111111101110000110100011001000100000000011010111110011011011111001100000000000111101010010011011010 +11111111110111010000111011011111111110110100110000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000010000100100111001000000011100001000000000000100011111100000000100100000000000001100010011100100100011111100010000100 +10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001001010000000100101000001 +00111000100000100010100111011001001001000110000000010000100100001000000010000100000000000000000000000101001101001000000000000000 +00000000000000000000000000000000000000000000000000000000010001010010000010010000010010001001000000011001100101001101000010001000 +01100110000000010111010001100100010010001110001100011100010000000000000000000000000000000010011000000000000000000000000000000000 +00000000000000000000000001000101010010010001010011001000100001001101001000000101001010000100100100100110000001101000001100100000 +00010010110011110101001000100100101010100100101001100000000000000000000000000001001101001100000000000000000000000000000000000000 +00000000000000000000000000001000000001100100001100001010000000101111100010111100000000010111110000100001011111000101001110001100 +10010101001100000000100101100111001001110110010001010011100000000000000000000000000010010010010000000000000000000000000000000000 +00000000000000000000000000000000000001001000010010100001001010110000100100000010101010000000010000000001100100100100000000000000 +00010011100000111100000010000100000000000000000000000000010101100000000000000000010001100000000000000001000110000000000000000000 +00000001100000110100110100011001001001100100010100110000010010010001000001100110010101001000010000110011010001100000000001011010 +00000100011000000000000000000000000000000101011000000000000000000000000000000000000000000000000000001010100000010011000001001110 +01010101001001101000100101100000010011001000010010010010011001001100001001100000000000000010001010011101000111000111000000000000 +00000000000000000011000011110000000000000000000000001001010000000000000000100110000000000000000000000000000000001000001000000000 +10111110000000001011110010010000110010010110001110010100000001000011111010000010000000000101101100101000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000110001100000100111010000111100010001110000100100000101010111001001011 +10000100101100100101110100010011110100000100001001001111010101001001000101000001100101100110111000010011000000100111000000100100 +01101001001001101010000001011011001101101000010000000000000000000000000000000000010101110010000000000000000000000000000000000000 +00000000000000000000010011011000011010010010101000011000001110000010000100110010010111000100110110100100110001010110010001111100 +01000100111110011000110001010000001001000010000010011011010000100010001000010000010001000110100100111101100000001001010100100000 +00000000000000000000000001010110000000000000000000011010100000000000000000000000000000000000000001000101000011000010001001011110 +00010010010000000000110010110001100101010111001000000011011000001000001001111010011001110100010011000101001010010100000000010010 +11001111001101011000000100000100100100011111100000000000000000000000000000011000011110000000000000000000000000000000000000000000 +00000000000000000000000100101010000010000111100100001010111110010000011100101101101001001111010000010011001000001100111010010001 +01001100101100101101100110001001000100101110000100111100100001001110000000110000001110001001001111010100101010110010010011001000 +10100110100100000000000000000000000000000101011000000000000000000000000000000000000000000000000000000010001001001010001000010111 +00001001110000100001000101000111000011000010100110001100000110010001101101100000110101000010001011000001101001111001100011100001 +00100011110001010000000010011001000111100100001010011010011100101101110000100110011010011100000000000000000000000011000011110000 +00000000000000000000000000000000000000000000000000000000001101000011100111000010111010000110000001001111001100110110010010010010 +01110100100100011111100000011100001011010011010110001001111000111001000100001100101100000111000010100000000111010010001001101001 +01010011010011110010101100110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001 +01001000101000100011000010011001011000101110000100100001100110101101100011010011011010011000100101100010010001110011000000100110 +10011000101001001010010000010010001000001000101011000000100000100001000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000001000010010000001100110001100001010010010001111111000001000111011111001011110001000010011011010010101 +11000001001010110011010000100100011100100110101000000110001001011010010010000000100010000111010010010101110111001100100100110111 +00001001110000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001001001101000100001110000 +01000110100000101001000100000011000010011100001110110110000010011001010010100000110100010011101010000011001001001000110111010000 +00100101010011010011000000001000101000100110000011010010010011101000111000111110000100100110000000000000000000000000011000011110 +00000000000100111000001001110000000000000000000000000000000000000000100101010000100010001111010001000110100001101001010100110100 +11110010110010001000011011001001001100011000100011010001000100110101111010001111000010011101000010000000010010100101111011100100 +00010000110011010111110000110001000000000000000000000000000000000000000000000000000000100001100111000000000000000000000000000000 +00000100100010000100001101110000100111001000101001110010001100100101111100000110011100100000101101110010000111101000000100100110 +01001001001111000111100011000101001000001001000010110011010001000000100101100100100100100110000000000000000000000000000000000000 +00100001000001000010000000000010000100000000000000000000000000000010001110010000100101110100001110110110000010001110000110010001 +10001101011001000011001101001101001001001111110100110100010010011000100110011001001000010001111000100100100110000000010001111000 +00011100111110100000111100001000100100101001100010100001111001000011100100000000000000000000000000001100001111000000000111000110 +00000000000000000000000000000000000000000000000000001001001000010000110010001010011000010100111010010011001111101100101010010100 +00010000101100100100100011111001000001001110110100010010001110010010011001000100001001110001100000110100110100111001000111110000 +10010011000000000000000000000000000000000000101010001000000000000000000000000000000000000000000001001110000000001001100100010000 +00111000100010000011010100000000101011100011010011101100110100100010111010000001000110001100000011000100100100000100111000000010 +01001000101100011001010000101000010101111100001100101000000000000000000000000000000000010010100000000000000000000000000000000000 +00010000100000000000010010010001101000010010110010010010001101110010000011100000010010000100100010100000111001000001001111000101 +11000100001001100100100100010100111100101011010110000000010000001000001011001101010000100101000100101100100100100000000000000000 +00000000000000000000000100110000000000000000000000000000000000000000000000000010011001000110001001011000101001101110010001100110 +00110011010010101010000010011101101010010010000110011000100110010011010000010001100010110111000100101010010001001110100010000100 +11011010000011110100000101011100011011000001101101000010100111000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000110101111100110011001100111111111011010111110111111110011011011101001101010001010000101000101000010100010100001 +11111101111001100110111111001110100010000111001111111111110011100110111101110111100010001000011110011111111111000010011000000011 +01011111111111111001111011101111101111101010001111111110011101111111111111101000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000010110101001001110011001010001011111011101010001001001010001010001000111100110011111110101011011 +10000111011111111101111111000111111001111111111110110010011101110111010001011100111100110010010000101110111000100011101110111010 +11111001000011001100111000110000001101011111101000100100101111111111101110001111110111011010001001001010001110111111100011000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000011000011110011001100110100010101000101000010100 +01010001011101111110111111110111011011000001010001111011111100011101000111110111110010111111101111111111011101110010100010101100 +10111011101100011011111010111111000000110011001110001100000011101110111110001000011101110001110001000011000111011111000000010100 +01111011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110011001100001111001111011 +10011010111110111111011011001110111001101010001010000111101111110100010011011011111111010000111001111110100010011011111101011111 +10111110000111011101111111110011100110111011000111110111011000011111001111111001100110011000000011101100011111011101011101110111 +11110111001010001111001111011101111111111101111000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000100000000001100000011100100010011100110001001110010010111011110000000010111101000111111000000001100010011100100100011111 +10001000011000100111001001000111111000100001001000000010000000100111001000000010011100000000000000000000000000000000000000000000 +00000000100010000000000000000000000000000000000001100100100001010101010100000000010010110011100000000100000110001001000000010000 +00000000001100000110100110000000000000000000000000000100110000000000000000000000000000001000000000000000000000000000000000000001 +00001110100000110000000010000111010000010010010100100001000010010000000001001100000001000010000010001100000000000000000000000000 +00000010000110011000000000100110000000000000000000000000000000000000000000000000000000001000011001011010011010011001100110100010 +00000000100001000100110001001100000100111000100110000000010010110001010011100100011000000000000000000000000000000010011000000000 +00000000000000000000000000000000000000000000000000000000000000010010010000001010100100011001000010100011001011110001001000010001 +11101010000000110001100100000000000010001010011111000000111000011001110000000000000000000000010000100001001000000000000000000000 +00000000000000000000010001000000000000000000010000000000000100010101011100100001001010100001000001000010010001010011101011001000 +01000100000000000000001000001001100000010011100000000000000000000000000001100111100000011000000110000000000000000000000000000001 +10000100100000100011000000000000000000000101100000011000001101001100000000000100001100110000000100111000000000001000110000000000 +00000000000000000000001000010000011001111000000000000000000000000000000100010000000000000100110000000000001010101000101001110000 +00010011001101000101000010000001010010001000000000001110000001000101101100101000000001001100100001101001000000000000000000000000 +00000000110000001100000000000000000000000000000000000010000000000010011000000000000000000010001000000001100101001100001101011000 +00000000000000100001000010000100000010101000100100000000010001100000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000001000010001001100100001011001000011001001001101001111001110101100110110011011100110010011001001000101101 +01001110100001100000100100110000100110010100100110010011110011101011100010011001000011001111000001000010100110000100100000000000 +00000000000000000100100001100111100100100000000000000000000000000000000000000000000000001000000000000000100101100111110100001011 +00000011011010000011001010001001001000100110000001110001001101001010010001101001000111000010011100001011001111001001101000010010 +00101000011001000010010111100000100100100100000110001001001001101101000100011100100000100010100001110100001011011001000000000000 +00100000000000000001100000110100001000000110011110000000000000000000000000101100000000000000000000000000000000000000010001000100 +11110000100010101001101000010010000010010010001101000010010000001101000010101101100011100011000111000010000101100010011000000010 +00010001000000100100000000000000000000000000011010100110000000000000000000000000000000000000000000000000000000000100000101011001 +11000110001100100001011000001100101001010010101000001000000010110001110010000110011000110011101001111000101101000010010011010011 +01000110101010110000111011000101001001110101010001110010000011100000100101101110101001001010110010011110011010011110011100000000 +00000000000000000000000001100111100000000000000000000000000000000000000000000000000000000000000001000011101101001100111011010100 +00011000000111000101000001000000010001111001010011010011100011000001000110100010001000101000111000111000001000110010001100010001 +11001011000101000000100100000000000000000000000000000000011001000110000000000000000000000000000000000000000000000000000000000000 +01000010100111000100101111000100100010010010010010000010010010001000100010001000001001110100100110010010011100101001110000001100 +11101001101111000000110100000101010010010010100110000001110011000110010010011100010011001100010011110001100000000000000000000000 +00011000001110000000000000000000000000000000000000000000000000000000000001000101000100000110001010010010101001110010011001010101 +01101100001000100111100001100111001000001100001001100010101110010110110111001011111001100000000000100100000010101000000000000000 +00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000110000011011000010011100000011100110010 +00100000100000110100001011010001101010110010011110000110010010000111101000011010111000111101000001110100101011110001000001010100 +00010011101110101010110010000111101000000100010010010101000011001110000000000000000000000000000000100111000000000000000000000000 +00000000000000000000000000000000000000100000010011110011100100011100000010010100100000100001101011100001100101110001010011101000 +00011010110000100101010001011010001101001100010100100001001011000111101101000101101100001100100010000000000000000000000000000000 +00100000000000000000000000000000000000000000000000000000000000000000000000100110100011000100000110100010010011110111101001111100 +01100010011110011110001100110000011001000100010001110011000001110000010000000100001110110100010011100000100010000000001000100000 +00000000000000000000100001100111000000000010000110011100000000000000000000000000000000000000000000000110001100010001011010001101 +00001001100010010101101110001100001000100110010010010011001001101101010000100101111010010110010011100000011000011001001001011001 +01011100100001001100100000000000100011010010010011100110100010000000000001000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000001000001001011001111100110010011000101001001101001100100110010000111000110101001110010001001101 +10001000100111010110101001001001001001000000100001100100111100000010000110000001001100100010000100111000000000000000000000000100 +00000000000000010011100000000000000000000000000000000000000000000000000000100110010010100110001001010011001001011000011000101000 +00000001100100100100110011101000000001000000101010010000110000110011000100010001001001111000001110010000110001100000010000110000 +11001100000000000000010000100000000100111000000000000110110010000000000000000000000000000000000000000000100111000000001000111000 +01010000001000111000000001001011100110100110000101101000001011110001010010111001100110000000001000011001101110100000100001001100 +01010010001010010000101111100000010011000011001001101101001001111001000000100111010101100000000000000010000000000000100100000000 +00000001010010000000000000000000000000000000000000010000100000000010000110001000100111001001000100000110000011001001100100010111 +01010001111010000010100100110000011011001100100000011001000101100010010000101010100011100011001001010011100000101001000010010000 +00010000000000000000000000000000000000000010010000100010010001100000000000000000000000000000000000000000000000010010110011001000 +01100101111010000010000100110010010001001111001111101010010101011100000110001001000110101001110001010010001101110000011110000000 +10000110001110011001100010011101100100001110110001010011000101100100000111100000010001101001001001101100001001110100101011010100 +00010010010101001100100101110010001010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00010000110100011110011000110001110111101011110101000101000100110111111111010100001100000110111101000001010001111101110010001011 +10010000100110111111001110111101111111100111111110001111110111001111111011110100010000000111011111101111110000111111111001111110 +11010100001111011111000111111010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000 +10100101000111001100110001111000111011101011111101011101000111111110011001011001111111010111010101010010010011111110100001000111 +00101111111111010010011011001001110011001100011110011001111001100111001001111111100111001100010001110100010101110010001111011101 +10001100001010001000111100100010101000100100101000110110111110001111110111110001111111011100011000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000010010001111001100011001000101010001010111111100111111011001110111010100011101 +01010011001010011111111011010010111101110100110010001111100110011011101010001110111111011000010011110011000011010111111111110111 +01100111111111001100110001100001010000100001110100111001110010011111111011001011110001111001001000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000100001011100110010110111011111000011111000011101110111101000110101000 +01000001010000111100000011111110110101000111010101001101101111111000100010011011111111101010000110000100111111111000110001001111 +01110011010100011110011001001100000111110111000110100111001100110011101000011101110110001111001001000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000110000011100100000010001111110001000011000110111001000100111001000000 +01001110011000100100010011100100000001001110010010000000100000001001110010000000000000000000000000000000000000000000000000000000 +00000000000000001000110000000000000000000000000000000100101100011100100000100010001000101001110000000001001011001011001100000000 +00000000000000000000000000000000000010101010000000000000001001100100001100110000000010011000000000000000000010010100001000110000 +00000000000000000000001100000110110100100100100110001000001001010000000001100100010100110000000000010001100000000100000100110000 +00000000000000000000001000011001100000000011011000000010011000000000000000000000000000000001001010000000000000000000000000000001 +00100001101000100100111010011000000010000110001110011001110010000100100000000010010110011000100111000001001000000000000000000000 +00000001001100010000010011100000001001110100000000000001000110000000000000000000000000000000000000000000000000001000011001100001 +01111100010100111010010000000010000011000110010110001001000000000010000000100001000001000100000000000000000000000000011001010011 +00011000100110000000010001100000000000000000000000000000000000000000000000000000000001000100100100000100010100010000001000001001 +00000100000010011000010101010000010101010000100001001100000000000000000000000000000000001010100000000000011001110000000000000000 +00000000000100011000000000001000110000000001000011001110000000000001000110000100010000100000100101011010100000010001100000010001 +10001000011001100000010000000000000000001001100000000000000000000010000111010001000001100001111001100111100100111001101000001000 +00000000000000000100101000000000001001010000000000000000000000010011000100110100111101001100111001001101001100100011000010100100 +01001011110001000000010000110011110000000010001110010100000000000010010000000000000000000000010011000011010011110110000001110011 +10100000000000000000000000000000000000000000000000000000000000000001011110000010111100010011000010010100000000000100011000010110 +10000000000000000000000000000000000110010100110000000011011100000100001000000000000000000000000000000000000000000000000000000000 +11010001000000100001010000010010100011000101011101100010100110100011001100001001101110010010110001001100100001110001001001001101 +00001111000010011100010001110000101100010111010000010001001000111011010010000110011100010010000000000000000000000000000000000000 +01100111000110001100100000000000000000000000000000000000000000000000000000000100110000100001001100100100100111010010011010001011 +00110100011100010110010000100111100000011001000011101110000100100010011010001010010010011001001000110100001010010111010100000100 +10101101000010100110110100110010000000101001110000100100000000000000010001000011010000010000000000011000001101100001111001100111 +10010011100000000000000000000000000000000000000000000000000000000100011000100011100000010001111011001000010010011100010001100000 +11010111110001101000100100001001000111001111011001100100010100011000100101100010100010110001100001001111000111010101101010000000 +10001000000000000000000000000000000000011010011110000000000000000000000000000000000000000000000000000000000000000000000110101000 +00110010011010000101001111001111000101000000110101000010011000110001011010011110011111010100000111001000010011010011111010010101 +11000100010011101100000110100001011110000001001000101111100000010011100100100101101010011000000000000000100111001000110000000000 +00000000011001111000010000000000000000000000000000000000000000000000000000000000100001000001000101100100010111000100010110010001 +11001111000101000011101000101100110100111100001100111100011110000100110001110010110000101001101001001100100100111010000000100011 +10000110000101000011001111100101001100100100100010011101000110000000000000000000000000000000010001111000011110000011001110000000 +00000000000000000000000000000000000000010001000000000000000000100110100011100000100111100101011110000001000100100100110101000010 +01101001111001010100111010000101100010110110100011010100110010010011010011111011000001100011000001101010000001101100010100110001 +00111100110101111100001100111000000000000000000000000100101000011010001110000000001001010100001000000000000000000000000000000000 +00000000000000000000100000010001000011000010011011001110000001100100010001110111111100110101001001001010100010010001110000110001 +11001001110001000100111100011010011010010110001010011001000001110100000000100010100010100100100011001001011000110000000000000000 +00000000000000010000000000100111000000000000000000000000000000000000000000001001110000000000101010010000100001001101000101110010 +00001001001000101001001110000001111000010001000110110010001011010100001001100100101101100100000110100001001001001000111000111000 +11001001111110001000011000100101000001001101001011100100010101100100010100000000000000000000000000000001001010000000000001000101 +11100100000000100100000000000001001000000000000000000000010011100000000000000100000100101000011100000111000011101010100100000100 +10100010001011100001101001110000100111010111100101101110000011001001100100101010110010110110011100011001000011000011110010000010 +00110010001100000000000000000000000000000001000101111000000000000001001010000000000000000000000000000000000001000100000000000010 +00010000000000101010100000100011110010100110011101110100000100110011100001001101110100001000011010010110100001010001101000001001 +00111101101010001100010011010101011000101000001000111001001001000001000111100001001000000000000000000000000000100010000100110000 +00000000100101000000000001001010000000000000000000000000000000100000000000011000100101000010110001010100011010001000001110011010 +10000001010010010001011100000101001111111000000001001011000001001111000110000110100000100010001011100010101001110010001110010000 +00000000000000000000000000100000000100100000000001000100100111000000000000000000000000000000000000000000000000000000000000010111 +11011011010111001110110001010010011001001111110000001100110011010000101000111011010010011011000001100100011100101111001000010011 +11101001010111000000110010000011010000011001010010000010010111000110001000000110101000001011000000000000000000000000000000000000 +00111110000000001001100000000000000000000000000000000000000000000000000100011011010001000010000111001001000110011010010011110010 +10010001011100101000111001000010000110010101001101110010000111001000000011001101010111010000001001011010111000011110000010100110 +01000000101100010011010000100100100000000000000000000000000001000110000100101100000111100000000000100111001001000100100000000000 +00000000000000000000000000000000000000000000010000100100001010011100100110110100000110000101000111001110110001101010110001000110 +10001001101101010100101101101010010110101011001001000000100101110100000110101100011000101001011000000000000000000000000000100101 +00100011010011101001100000000100010010011100000000000000000000000000000000000000000000000000010010000011000101000111000000110011 +11000110001000101111001110010010100100000010011101001011000001110000001010011010010100100000100001100010100111100010010011110001 +00010010000100011000000000000000000000100110000000001000110000000000000100100000000000000000000000000000000000000000000000000010 +00000100010000010001010001001001110010010010000101110100000010011001000101101000001100011110100000101100101100100010000010001101 +00100100101001000011000001101010010100111000100010100001000000000000000000000100100000000110110010001001111100000110000000000000 +00000000000000000000000000000000000000000000000000001100110011001010001111010101011111101101101110111011110000001100000111011001 +10011111111100110011001111001100010100010100001111101111110101001101000001001110110100010111101010100110111111110100001011101111 +11110101010001111110010100110111111111000101000000000000000000000000000000000001001100000000000000000000000000000000000000000000 +00000000000000000001001000001111110011110101010110101110100010100010001111111010101100001111101011110000001111110010001000111111 +10010001001001100000011111101111110001110100011000000111001000001101010101010010010100011110111111000111111101100111100110010010 +01111111110101000100011101000010010011101111110000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000011001111111010001010111010001110001100000100101110001100101000111101110111111111010000101000011110011111100110111 +10010011000110011101100111101110110011001111111011100110001100111011011110111010101111100110010110001001111101111111000111111111 +11000111111001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111100011001111 +11100111100001111111011111100111110110011011111110010000110011111110011110011011000001111111110000010000011011111110110000111111 +00110011100001110011111111011001101001101100110001110100010001100011001110110111101110001011101110011000100111110111011100110111 +11011110011111110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100 +01111110001000010000001000111111000000001011110010011100100000001110000100001100000011100100010011100100000001001110010111100000 +00000000000000000000000000000011000000111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000010011100000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000001001110000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000010010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000010010100000000000000001001110100000000000000000000000000000000000000000000000000001001010000000000000000000000000 -00000000000000000000000000000000000010001100000000000000010110000000001010110010000100000010011101010110000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000001001010000000000100110000000100110000000000000001000 -01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 -00110000000000010000100101010001010110000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000 -00000000000000000000000000000000000110100001000100101000001001000000000010000100000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000001001100001000110110000101000101010000000001001010010000000000010011100 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000000010010100000 -00000010001100100001001000100010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000010000100100011000100110001001110101101001000110000010010100000100000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100000010011100000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010011100000000100100 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111100100110000 -00000100001001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000010001100000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000010001100000001001100000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000001001110000000000010011000000001 -00011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001100000000000000000000000010001000000 -00000000000000000010000100000000000000000000010000110001011000001111001110000000000000000000010000110001011000001100000000000000 -00000000001100000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000 -00000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011110 -11001000001001000000001000011100101000000000001100000110000100001001011100000000000000000000000000000000000000000000000000000000 -00000000000000000001000110000000000000000000000000000000000000000000000010001100000000100001110100001000001110000010100110100001 -00000000000000000000000000000000000000000000000000000000000000000000000000010010100000000000000000000000000001000000000001001101 -00110100001100001100100111000000100000001001110000000000100110000100110100111000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000010000100000000010011011000001101000010000001001100000000000100111100100010000111 -01000001110000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 -00100000010010101001000000000000000010110110010101001110001001010001000110100100000000000000000000000000000000000000000000000000 -00000000000000000000000001000110000000000000000000000000000000010000010010000000000000000010011111010001101001100000001000110001 -10010001010011000000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000000100001 -00010000110000110010000100110000000000001000010001001011000010001000111110100000000010011010011100000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000100110010010000000000000001000011001110101101000001000 -01000011000110010000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000000000110000 -01100100110000000000100000010011000000001100100100111000100010111111000001101001100010110011010010011001011011110010000001111000 -10010011000000000000010010000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000100100 -10011110001100000000101010001100000111001000011001110010000000010011000100100001001110000100000100001100100100011000001000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001010011001100000000000100001010 -10111001110000001100101000010011010010110010101001100110100001000100101010000110000010111110011110011000000000000100101000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000001110100000000000000011110000001001101010110100010 -10011100001111000000110001101011000010101110100000000100010011100100111001000000100100000000000000000000000000000000000000000000 -00000000000000000000000010011000000000000000000000000000000000000001000010010000110011110000110010100010000000000000000010001011 -00000110100111000011000010101000011001111010100010011100000000000000000000000000000000000000000000000000000000000000000010001100 -00000000000000000000000000000000000100011000000100110001110010000000100101010101000000001001001100100001100101010011010000001001 -11000100000000000000000001001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011 -01001010010001010010100000000000100010111000100001101010010001000111000001001101000011010010010000110011100000000000010000100000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101000011000001100100011001000010001000 -11000000001101100000100001010001100011010100011101110000100111110110010100011100100101001110000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000100001000010100100000000100100010001000010000101000110100111101100 -10011100010010101001010010001001001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000100100000100111001000110000000010111000001001010100000100001011001100010010000010010010010100011110000001001111101 -10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101001011 -00110000000001001010000010011010000111100001001000010100100010000010000110011110010001000101000110000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000001011110010001101100000110000000001001100000010000110010110 -10011100101000101110111010000000001001100000110001001001001110000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000001000001100100010100111000000000000010010110010011001001000101001110010100001000101101 -00100110010110011000010000010000110011000000000000000000000000000000000000000000000000000000100011000000000000000000000000000000 -00000000000000000011000101101001111011000000100011100011000010010100010101000000001001010000001100011000000010010110010100000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010110011010011100000100110000100 -00000000000100000010010110000000100001100100100000110100001010010110011000010000010100111100100000000000000000000000000000000000 -00000000000000000000100110000000000000000000000000000000000000000000000000101101010010000001011011001010000010110000101010000011 -00010010011010001001011011110010000101011001000100000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000011111111101100101111010100011110011110011100110011001100000111100000000000001111111100101000100001 -11011111111100111111001111111110011001111111111110000100110111111010100010100000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000100100101000101000100011110101010111110011110011011001101001010000111100000 -01000110000001111111100111011101111000101001010001111111100100011011111111100101000111001011010001011111110101100001111001111011 -11110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110110100010 -00101010001011111001010101000100011000011111111100000001000101010001010001001001000001111111101001111011111100100110100101110000 -11100001100101000111001111110010101110001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000101000110100111101111101110111111101000010011000001010000000000111111101111011101111000011011101110111111001010001 -00001110000111111111111011001101111110000111100111100111100111100000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000001010101010111000101001100000000000000010101010010001110000111000001001110010101010010010 -00111111000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000000000000000100 -10000000000000000000000011001010010000100000000000010010000000000000000000000000000000000000000100010000000000000000000000000000 -00001000110000000000000000000000010001100000101100000000000000000000000001011000110010001010011000000010001101001100000000000000 -00000000000000000000000000000011010000101001100000000000000000000000000000001001010000000000000000000000000000000000000000000000 -10100100000000100100000000010011101001000000000000000000000000000000000000000000000100110100110001000001001110000000000000000000 -00000000000000000000000000001001100000000000010010100000000000000000000010001000001000110000000100101000000000000000000000000000 -00000000000101111000010011000000000000000000000000000000000000000000000000000000000000000000010010000001000000000010111110010000 -00110010010000001000110000000000000000000000000000000000000000000000100010000000011000011000011000010101001100000000000000000000 -01000110000000000000000000000000001000011001110000000010000110011000000000000001001110000000000000000000000000000000000000000000 -00000001101000010100110000110000111100000110000110001000011001011001100000000000000000000000000000000000000000000000000000000000 -00010011000000100110000010010100000000000000000000000000000000000000000000000000010011011010010010001101001111000000111000000100 -00000000000000000001001010000000000000000000000000000000000100101000010001010010000000000000000010000000000000000000000000000000 -00000000000000000000101111000011011100000000000100010000000000000000000001001100000000000000000000000001001110000000000000000000 -00110001010010001011010001001000001001100110001001011100000011100010100000000000000000000000000000000000000000000000000000000000 -01100001100100000000010000000000000000000000000100011000000000000000000000001000100000000000010001010001000000000101010100000100 -00011010000101111000000100011000011100001101110100000000000000000000000000000100110000000000001101000001000000010101000011000001 -10110000111100000110000110000000000000000000000000000000000000000000000000000000000000000100000110010100000000000000100010100100 -10011100001000111001000000000000000000000000000000000000000000000000000110100111100000000000000000000000000000101010000000000000 -00000000000000000101010000000000000100101000000000100111101111100001101111100011010011110001011001010101000011001111011000101111 -00000101010000000000000000100011000000000001000110000000000000000110000110001000111010010010001000000000100000000000000000000000 -00000000000000000000000000000100001100010001001101000100110010010000011100100101001111001001100001110111000100001110010000010010 -01100001010000000000000000000000000000000000010011100000000000000011000011110000000100111000010011100000000000000000000000000000 -00000000000000000000000000010010100100100000000001101000110100111110000110010011010001101101000100000000000000000000000000000000 -00001000010000000000000001100000111000000000000000000000000000000000000000000000000000000000100110000000000001000001000110001000 -01010001100010101101000000100110100100110100101001001110000000000000000000000000000000000000000000000000010000000000000000000000 -10001000000000000000000000000000000000000100011000000000001011111001010000010011000000100010110010000101001111001011110000100100 -01110111001011000000000000000000000000000000000000000000001010010000000000111110100000000000000000000000000001001100000000000000 -00000000000000000000000000000000010001001000111111000001100110000010001100000000000000000000000000000000000000000001001110000100 -00010001011110000000000000000000000100111000000000000000010001100000000000000000100011000000000000000000110100010010110100000000 -10110101011101100001100010000000111000010010110100000000000000000000000000000000000001001100000000000100001100110000000000000000 -00100001000000000000000000000000000000000000000000000000000000100101000000000010001111001000101000011001010010000110010100000000 -00000000000000000000000000000010010000000000000100100000010000000000000000000000000000000000000000000000000100110000000000000000 -00010001011000010100000000010010010010110001001101100000010011001100100100100000000000000000000000000000000000000000000000100010 -00000000001111000000001001000000000000000000000000000000000000000000000000000000000000000000001000010100111001010110010100100101 -00110000110011000000000000000000000000000000000000000000000010001101001011000001111000000000000000100111001101000100001001110000 -00000000000000000000000000000000000000000000000000100100000000001001000000101111110000110111100010000001001001100100100100100000 -00000000000000000000000000000000000000000010010001001100100110000010010100000000000000000000000000000000000000000000000000000000 -00000000000001000111000010000001000010010000010110110001100000000000000000000000000000000000000000000011001010000000000000110001 -10000010001001000100000000000000000000000000000000000000000000000000000001000010000000001000000000110000101011011000011110100000 -01001100100010010011100000000000000000000000000000000000000000000000111001000011000001100000000000100111000000000000000000000000 -00000000000000000000000000000000000010100000110100010000110111011100000101000111111111001111110111101000010100000101000000000000 -00000000000000000000000000000000000000000100110001001100000000000000000000000000000000000000000000000000000000000000110011001101 -00100110100010000110111011100000110001001110100010010010100011111111011000111101111111010010011111111101100110011100011000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000011101110110011011 -10111000001100010101101000100100101000101000010100000110001100111111111100000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000011110011000011101110110011011101110000011010101011111011111100001 -11111110111101111111011111110110011100110011010000000000000000000000000000000000000000000000000000000000001111110000000000000000 -00000000000000000000000000000000000000000000000101010100100000010000000000100000001110000100001000000000000000000000000000000000 -00000000000000000000000001010101111000000000000001111000000000100001000000000000000000000000000000000000000000000000000000000000 -00000000000001000010000000000000000000000000000000000000000000000000000000000000010011100001001010000000000000000000000000000000 -00000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000000000001101110000010010111010 -00100100111000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 -00000000000000001001110000100111100010111000100100000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000001001010000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000001100111100001000011001100000000000000000000000000000000 -00000000000000000000000001010110000000000000000000000000000000000000000000000000000000000000000000000001010100000110011110010011 -10010011000000000000000000000000000000000000000000000000000000000000001000110000000000000000000000000000000000000000000000000000 -00000000000000010101000001000011001110100000000000000000000000000000000000000000000000000000001010110000000000010010100000000000 -00000000000000000000000000000000000000000000000000000000000000000001000110000000000000000000000000000000000000000000000000000000 -00000000000000000110010010000000100101000000000000000000000000000000000000100111000000000000000001100111100101110000000000000000 -00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001001100000000100001000100110000 -00000000100001101010000001100111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000010010000000000000010101000000000100111000000000000000000000000000000000000000000000000000000000000000 -00000000010010000000100011000000000000000000000000000100011000000000000000000000000000000110011110000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000011110010000100000000000000000000000000001001100000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000100100000000000000000000000000010001100000000000000000000000000000000000000000 -10010000000000000000000000000000000000000000000000000000000000000100010000100110000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000100000000100100000000100001000000 -00000000000000000000000000000000000000000000000000000000000100110000000001000000000000000000000000000000000000000000000000000000 -00000000001111100000000010011100000000010011100000000001000110000000000000000000000000000000000000000000000010010100000000000000 -00000000000000000000000000000000000000000010101100000000001001110011001001000000000000100010000000000001001010000000000000000000 -00000000000000000000000000000000000000000000000000000000100111000000000000000000000000010011000100111000001001010010011001000110 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000 -01001110000000000011000110000010001001110001000000000000000000000000000000000000000000000000000000000000000000000001001000000000 -00000000000000000000000000000000000000000000001001000000000000000011100100000000000000000000000000000000000000000000000000000000 +00000000000000000000000000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100 +00100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000001010110000000000000011000000110000010000000000000000000000000000000000000000000000000100100000000000000000000000 +00000000000000000000000000000000000000000000000010001100101101000001000011001110000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000100110001001111101010000000000000000010011000000 +00000000000000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100 +00000000000000000000000000000001001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000001000110010000000000100010100111000011000000110010010000110000001100000000000100001000000100110000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000000000001001110000100010001001100 +00001101010000000100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000100001000000000001000010000000000000010010100100001000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000001010110000000000000010000000100100000001000110000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100010001000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010000000000000000 +00000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000010001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011000 +00000000000000000000010001000000000000000000000000110000011000000000000000000000010000110001011000001100000000000000000000000010 +00010000000000000000000000011000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00010001010010000100110010001100000000100110001011011000010000000000000100100000000000000000000000000000000000000000000000000000 +00000000000000000000000000000010001100001000110000000000000001000000000001001010000110000100101010110010000110011011010100000000 +01001110000010010100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100000000000000000000 +10011010011100100111010011000100111001001100011010100000010001010000010011000010011010001100000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000100101000000000000001000000001000011101011000100000100001100110100001 +00100000000100100001000010100100001000000000000100101010010100000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000010101010010101000100100011000000000000110101000010000000000100100000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000001000011001100100100001110000010100110100111000 +01100001001000001000010100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000100111000001110001000100111000000000100110000001000110000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000010001100001000001011010000000001000001000001101010000000010000101001 +01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010100101110 +00001010000110011001110000010111000100011001001011100100010100110010000111100010001000011000011110010100100111001101000001100111 +10100110010000100101110010101011011000000010011110000001001100000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000001000000000000000100011010010000011000100110010010111001001000100100100000001111000100110000100 +10010110001100000110000100011100110011100001010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000010000010110111010100000100100100110100011111001100111100010000001000111101000010111000010000100010100 +01111001001001000110100011011001001000010100101000110101001101110100110000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000001111001000001110000010111100100011010000100000101010110010001011010110000100 +11100100000100000100111100110010011101011110000100001100000110100100110010000000000000000000000000000000000000000000000000001001 +10000000000000000000000000000000000000000000000000000010000110010011000010011100100010101001010111010001010010101000111001111000 +11101010100000100001010010100101101100100100011100101001100011001100111000100110000000000000000000000000000000000000000000000000 +00001000110010000110011100000000000000000000000000000000000000000000000011010100010010001000100111101011100010011010011000001000 +10011100001001001111001010110110000010000010100110010000010000111100011000111001000010010000000000000000010011100000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000001101000100100101010101010001100100000100011110011000111 +01000010100111010001011000010011101001101100110111001000001000100111000010010011000010010011000001101001101001110010011000000000 +00000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010110011111001101101 +00000100111010000001100010010000100111110100100110101110011011001101000100100100000110000100111100000100000100010100010100011000 +10001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001000011 +00110011001000010101001110010001000100101101001110100010010100100001011011100010010010010100011000100101101100000110010001000000 +00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011001010100 +11011100001001001010100111100011100000111000010010001000010000100000100100011100100001001000011100010011100100100011000110000010 +01000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111010011 +00100010001001101000100100011010011110011010011011010010011001101010010001001011101100000000100110110010000111010000010100110001 +00010000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010010100 +00010011100100110100001100000111001000000100011010000000001100000110101101110101000000101101000010011000000000001001110000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000001001110000100011000001000011111001001100000101100 +10101010010110101110011011100000101001101001110101101010101000001101000001010001100011010000010100110010001001000001100100010000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000001000011001000011010 +00100111010000001001110101101100010100100001001011110000001110010000111100100000001000101101000010100111010011011110000001000101 +10010001011000110000100101100110011001001001001100000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000010011001001000010010111010001101001011000010010000011010001001000011000110000110001100010001101000100 +11010000011011101001101001100010000110000110010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000100110000000110000011011010001101001100010001101011111110010000001100101010100000100010100111000110010010010 +00001001100100110110000101110001111001000010110001001010010010001101000101101010000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000011110011011010000110110000011001110111001101100110001110010001001110001 +00011010011111001101100111111111000110001111111100111111011101111110101111101000001101001001110000001101111111110101000010100010 +10000111010000000011001111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +10001011110111001111111110100100101000101000100011011001000101001000110000111110101011001010101110001100100100111111110001000111 +11111000111111100001001001101000100110010001010001110100011111111101001001110111111111111110110001111101000000001110011111100011 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010110101000111001101 +10011100111111010001100001110111101110011111101110101000111111011110001110100010100001010001111101110100011110010001111001100111 +00100111001100111110011110111010000111100010000001000111101001101000111101111100000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000011010100001100110011011011000001100011111100110111101110110100001111111 +01111101110111101010000111011111111111101110111111100011011011100110011001111001101110100111110011111101110100001111000100001000 +00111001100110011010110000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000110000001110010001001110011000100101001010100111001100011001001001110010111101000111111000100001000000010011100101111000 +00000000000000000000000000000000000000000000000001001100000000000000000000000000000000000000000000000000000000000000000010000100 +00100000010010000000100101000000000000000010000100000000000000000000000000000000101010000000000000000000000000000000000000000001 +00011000001000110000000000001101010000000000000000000000010000110011000001000011001100010010001000011001100100110001000010000000 +00000000000000000000000000000000001000011001100000000000000000000000000000000000000000010010100000100101000000000000000001010100 +00000000000111100100000100110001001110010011001110000100000100110011000101000000010011000000000000000000000000000000000000000010 +01100010000010011100000000000000000000000000000000000000000000000000000000000000000000000000001000000100010100001100101010000001 +00001010011101000000001001110010010001001000000010000000000000000000000000000000000000110010100100001001100000000001000011000101 +00011000000000000000000100010000000000000000000000000000000001001000010110010010000001000000000100011010010100000100101000010001 +01110000100100111100010010010010100100000100001000000000000000000000000000000100001001010100000001011100010000110011000000001000 +01100111000000000100000100011000000100011000010001100000100011000000000000000000000000000000001000011001100100100001000011001100 +00011100000101000001001010000000000000000000100101000000000000000000010000110011000011000011110001011100010011011010000010000000 +00000000000010010100000010010100000000000000000000000000100110010011010100100101001001001100010000010011111010011001001110010011 +01000100000100110100010100010000100010100110000000000000000000010010000000000000000000000110100100100011010011110001000001000000 +00000000000000000000000000000001001010000010011000000000000001101000100111000000110001010011100010000010000110001001001000001000 +11100011001000000010011100100000001001111001111000101101000001100100000000100000000000000000000000000000000000100000001100101001 +00001101110000000000100100000001000000000000000000000000000000000000000000000001001110001110001000001000010000010010010011000001 +00001000000000000001000011001110100001000000000000000000000000000000000000000000000000000101110100000010010100000000000000000000 +00000000000000000000000001000000100010000000010010000001000011101000010100011000110010010010010001000000000000000000000100010000 +00000000000000000000000100010000100000000000000001100000110110000111100001011100000000010000100000000000000000000000000000000000 +00000000000000000000001001010000010100100000000000000010001100000000000000000000000000000000000000000000110100111100000000000000 +00000000000000000000000000000000000000000000000001110010000001011000000100000100110111010000010010000110010010010110000000000000 +00000000100111000000000000000000000000001001110000000000000000000101110001000000000000000000000000000000000000000000000000000000 +00000001001000010001000100111001001011100001001010110000100001100111000000000110100001000100001100110000000000000000000000000000 +10011100000000000000011000011110000000000000000000000000000000000000000000000000000000000001100100110100110000010000111011000001 +10001100000010011001001110000010001000000100010011010100010010001110010000000000000000000000000000100001000000000010010100001100 +00011100000111001000010000100000000000100001100111000000000000000000000000000000000000000000010011100100001000001001000000000000 +00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000011001 +01001100110000100000111000001001001110001100010100100111100101110101000001010100001001110000010011110010110101010000111010010010 +00000000000000000000000000000000000001001010000000000000001111101000000000000000000000000000000000000000000000000000000000001000 +11100111001000100100011010011000100000100011010011001000001000011110000100010011110101010011110010110001001110000010000110000100 +11000011001010000000000000000000000000000000000000010010000010001011110000000000000010001100000000000100011000000000000000000000 +00000000000000000000011001000100000011010000101001100000110100001011001010011100011000000100110010001101001010100110010001100010 +01101111000100110110000000000000000000000000000000000000000000110010010000000000000000000000000000000000000000000000000000000000 +00000000010011100000000100111000001001100010001001000110100110111000000111000101000100011010011010100110011101010101000110000000 +00000000000000000000000000000000100000000000000001000000010011000000000000100110000000000000000000000000000000000000000010011001 +10010010000010010001100010110011101100000010001011000101101011011001110001001000110010001001000011000111001000100101100111100110 +10101010000110000010011100000000000000000000000000000000000000000000000000111100000001000111000110000000000000000000000000000000 +00000000000000010000000000000000001000111001010001000111000010000100010010011100010001001001110000000000000000000000000000000000 +00000000100011001001000100011100000111100000000000100111001010110000000000000000000000000000000000000000000000000001110100000001 +01100000010000111010000101001110001001011001001000110001001100101110000100110000001001000000000000000000000000000000000000000010 +01100011000101000100111010011000001001010100001100111000000000000000000000000000000000000000000000000000000100011000001000001001 +01010011100010011000010001101001100110001010110100110001101000101001110000000000000000000000000000000000000000000010001100000000 +00110001100001001101000100000000000000000000000000000000000000000000000100010000000010000100001000001101010000100110010011000101 +10000001001000100101111000000100100100010010101100010010010001000000000000000000000000000000000000000000001110010000110000011000 +00100111000000000000000000000000000000000000000000000000000000110100110011101011110000111010000011101111110011111011110101000111 +11111010110100010011111111101100001001111011111111111111011110010010110111111111111111101101001001111011111111111111011110010010 +11011111111111111110101001110000111110100001110011111000000000000000000000000000000000000000000001001100010011000000000000000000 +00000000000000000000000000000000000000110101111111101011110000010100000101000100011111111101110100010010001100111110110000110111 +10111100110011110111101100001101111100110011111011000011011110111100110011110111101100001101111100110011111010111011111100001111 +10111011000101001110011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +01110110011111100111111011000000001010001110001100001101111111010100011010101011001001100100010110111111111000011011110111100110 +01111011110110000110111110011001111101100001101111011110011001111011110110000110111110011001111111011101010001110100101101011101 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101000001111001111000 +01101010101100111001101101111011110111001010001000011100100010111111110011010000011110111111111111110111100000101101111111111111 +11101101000001111011111111111111011110000010110111111110100000111111000010000111111101100000000000000000000000000000000000000000 +00000000001111110000000000000000000000000000000000000000000000000000000001000000010011000100000010001111110000000010000000111000 +01000100000111101001110010010001111110001001101101000100000111101001110010010001111110001001101101000100000111101001010010110001 +01101000100000000000000000000000000000000000000000000001100000011111100000000000011110000000100001000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100100011010010100 +00000000000000000000000000000000000000000000000000000000010000111100000101001110000000000000000000000000000000000000000000000000 +00000000000000000000000000110111000001110100000100110000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000010011100000010011101000000100110000000000000000000000000000000000000000000 +00000000000000000000000000000000000010101110101100000000000000000000000000000000000000000000000000000000001001010000000000000000 +00000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000000000000000000000000000000000000 +01100111100001000011001100000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000000000000000 +10010100000000000000000000000000000011000000110001100111100100111001001100000000000000000000000000000000000000000000000000000000 +00000010101000000101001000000000000000000000000000000100100000000000000000000000000000000110000001100000010000110011101000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000100110001100010010000000000000000000000000000000000000000000 +00000100111000000000000000000000011001111001011110000000000000000000000000000000000000000000000000000000000000000010010000100010 +11100001011110100000000000000000000000000000000000000000000000001000010000000000000001000011100000011000011001111000000000000000 +00000000000000000000000000000000000000000000000000000000010100110010000000000000000000000000000000000000000000000000000000000000 +00110000001100000000000000000000000000000000000000000000000000000000000000000000000000010000110000110011000110000001100000000000 +00000000000000000000000000000000000000000000000001100111100000000000000000000000000000000000000000000000000000000000000000000010 +01011001000100011100000000000100100000000000000000000000000000000000000000000000000000000110000001100000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000100110100101010000010000100000000000000000000000000000000000000000000000000 +00000000000000000010011100000000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000 +00000000000000000000000000000000000000000011110010000000000000000000000000000100110000000100111000000000010001100000000000000000 +00000000000000000010010100100001000000000000000000000000000000000000000000000000100011000010010000000000000000000000000001000110 +00001000100000000000010010100000000000000000000000001011000000000000000000000000000000000000000000000000000000000001000100010001 +01001100000000000000001001010000000000000000000000000000000000000000000000000000000010011010001100000000000000000000000000000000 +00000000000000000000000001000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000100011100001 +00000000010010000100000000000000000000000000000000000000000000000000001000000000001111100000000010011100000000000000000000000000 +00000000000000000000000000000000001000011000111110010000000000000100100000000000000000000000000000000000000000000000000000010101 +10000000001001110100011100011010001100000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000 +00000000010011100000000000000000000000001001100010011100001001010100101100110010010000000000000000000000000000000000000000000000 +00000000000000000010010100000000000000000000000000000000100001000000000000000000000000000001001110000000001100011000001101000100 +01001110000000000000000000000000000000000000000000000000000000000000100110001001000000000100101000000000000000000000000000000000 +00000000000000001001000000000000001110010000000000000000000000000000000000000000000000000000000000000000000000110101111100111011 +10111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000011010111110100100111011101111111111001000110000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000011000011110011100111111111111100000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000011100111111 +11111110000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000000000000000000000000000 +00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000100010000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000 +00000000000000000101110001000011001100000000000000000000000000000000000000100011000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000001011100000000010111000010011000000000000000000000000000000000000001001010000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000010111000000000100000000000000000000000000000000000 +00000000000000000000000000000000000000000000010010100000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101 +00000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000101110000001011100000000000000010010100000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000101110000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000010111000000000000000000000000000100011000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000001011100000000000000000000000010000100000000000000000000 +00000000000000010000100000000000000010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000100111 +00000000000000000000100111000010011000000000000000000000000000000010011100000000001010100011000000110000000000000000000000000000 +00000000000000000000000000000000000000000011110010000000000000000000000000000000100000000000100100000000000000000000000000000000 +01000110000000000000000000000000000000000000000000000000000000000010001000010010110011000010010000000000000000000000000000001000 +01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001001000000000001000 +01100111000000000000000000000000000000000000000000000000000000000000000010010100000000000000000000000000000000000000000000000000 +00000000000000000000101011000000000000000000000000000000000100101000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000011111000000010001000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000010011100100110000000000000000000000000000000000000000000000000 +00000000000000000000100111000000000000000000000000000000000000000000000000000000001000110000000000100101000000000000000000000000 +00000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000001001000000000110001 +10000011010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000001010010010000100000001110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000011000011000010000110011000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001100000001100001 -10000010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000001100001100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000001100001100100000000000000000000000000000010010000000000000000000100100000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000001100001100000000001100001100000000000000000000000 -00000100101000000000000000001001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000001111110000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000101001000000000000000001010010000000000000000100010000101100100110100101100101000000000000000000000000000000000000000000 +00000000000000010001000000000000000000000000000000000000000000000000000000000000000001000110000010000010011000001001001001000000 +00000010011000000000000000000100110000000000000000011000000110000000000010000000000000000000000000000000000000000000000010011100 +00000000000000010011100000000001001100000001001000001000101000100000000000010010010011100000000000000001001001001100000000000000 +00000000000000000000000000000000000000000000000000000001000010000000000000000010000100000000000000000000001001001001111001110000 +00000010000100000000000000000010010000000000000000011000000110000000000000000000000000000000000010010000000000000000000000000000 +00000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110110 +01100000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000000000000000000 +00000000000000001010101001100000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000000000000 +00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010010100000000000000000100011000100000 +00000000000000000000000000000000000000000000000000000000000000000000000000000001001110000000111001000000000000000000000100111000 +00000000000000000000101001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000100000100 +00100000010001000000000000000100100000000000000000000010000111000000111000100000000000000000000000000001000010000000000100110000 +00000000000000000000000000000000000000000000000000000001001100010000000000000000000100110000000000000000000010001000010001100000 +00000000000000000000100011000000000000000000000000000000000000000000000000000000000000000001000010001000110000000000000000010010 +00000000000000000000001101101000010011100000000000000000000000000000000000010001100000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000001001000000000000000000000000001001110000001000000000000000000000000000 +00000000000000000000000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001111010 +00000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000100100000000100001000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000 +00000000000000010011000000000000000000000000000000100011001001110001101010000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000010101100000000000000000000000000000000000000000000000000000000000000000000000000010011000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00100100000000000000000000000000000000000000001100000011000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000100001100111000000000000000000000001000110000000000010001100000000000000000001001110000000 +00000000000000100011010011000000000000100110000000000000000100011010011000000000010001000000000000000000000000000000000000000000 +00000000000000011100110000000000000000000010001100000000000000000001001010100110000000000000010010000000000000000010010000000000 +00101010100100000010001000000000000000000000000000000000000000000101011000010000110011100000001001110000000000000000011100100000 +00000000000000000100000001000100100011000000000000000000000000000001001000000000001001010000100000000000000000000000000000000000 +00000000010001100000000000100110000000000000000000000000000000000000000001001100111010000000000000000000000000000000010100100000 +00000010001000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100111110000100100000000000 +01001011000010000000000000000100101100001000000000000000000000010010110000100000000001001010000000000000000010010100000000000000 +00000000000000000000000000000000000000010001111011000000000000000010011000000000000000000000000000000000000000010000001001100000 +00000000000000000000000001001100000000000010011000000000000000000000000000000000000000010111111100000101000000000000000010000100 +00000000000000010000100000000000000000000001001111000010000000000000000000000000000100111000000000001001110000000000000000000000 +00000000000000000000001000000100111000000000000001001010000000000000000010010100000000000000000001000010001001010000000000000000 +00000000000000000000000000000000000000000000000000000000000000000101111110100000110011000000001011010011101010001110100000000000 +00000001011010011101010001110100000000000000000000000011000111100100000111111100111111000000000000101101010001110011110011100000 +00000000101101011000110011111000001111100000000000000010111111010000011001100000000000000000000000000000000000000101111110100000 +11001100000000101101010111111111010011111100000000000000000010110100111010100011101000000000000000000000000110001111001000001111 +11100111111000000000000001000111110100000111010000000000000000000011000110011111100001111110000000000000010111111010000011001100 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001101100011000110001100111100000011 +00111000000010000110001110001110011110011101000011000111000111001111001110000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000010001010010010111110000000110000011011000110001100011001111000000110011100000000000001100 +00011011000110001100011001111000000110011100000001000011000111000111001111001110100001100011100011100111100111000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000 11000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000110000110000000000000000000100010000000000000000000000000000000000000000000000000000000000000001001010000000000 -00000000000000000000000000000000000000000011000011000000000000000000000000001001110000000000000000000000000000000000000000000000 -00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000100111000000000000000000000000 -00000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000111100 -10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -01001100000010011010011000100100000000000000000000000000010001100000000000000000000000000000000000000000000101010000000000001010 -10000000000000000000000000000000000000000000100100000001001000000000000000000000000000000000100101000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000010001000000000000000000000 -00000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000100101000000100111 -00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000 -00000100011000000010010100110110000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000 -00000000000000000000000000000000000000110010010000000000001100011000001000110000000000000000000000000000000000000000000000000000 -00000000000000000000010000000000000000000000000000000000000000000000000000000000010000100011100100000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000001111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000001010010000000000000000010100100000000000000001000100000100110100 -10110100100000000000000000000000000000000000000000000000000001000010000100010000000000000000000000100101000000000000000000000000 -00000000000000000000000010000010011000001001001001110000000000100110000000000000000001001100000001001100000000001010100000000000 -01000000000000000000000000000000001001110000000000000100111000000000000000001001110000000000000000100010100100000100010100001100 -11100000000001001000000000000000000010010010011000000100100000000000000000000000000000000000000000000000000000000000000100001000 -00000000000000100001000000000000000001100110001000010010011100000100000000000000000000000000000001001000000000000000001010100000 -00100000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000011001000101001100010001100000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000010011000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000101010001001010000000000000 -00000000000000000000010101110101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -00000000000000000000100110000000000000000001001100000000000000000000000010100100000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000010000000001000110000000000000000010001100000000000000000000010001000000000000000000000 -00000001000010000000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -01000110000000000000000000000000100011000000000000000000000000000000000000000000000000000000000100010000000000000000111010000000 -00000000000000011101000000000000000000000000001101101000010011100000000000000000000000000000000000010001100000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000000100000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000001000011001110100010000010011000000000000000000000000000000000000000000000000000000000100001100111000000000000000000000000 -00000000000000000000000000000000000000000000010010000000010000100000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000010011100010001100000000000000000000000000000000000000 -00000000000100010000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000 -00000000000000000000000000000000000010011100000000000000000000000001010110000000000000000000000000000000000000010000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000 -00000000000000010011100100010100110000000000000000000000000000000000000000000000000000000000000000000000011011000000000000000000 -00000000000000000010010100000000000000000000010011000000000000001000110000000000000001000110100110000000000100011000000000000000 -00000000000000000000000001110000100010101000000000010001101010010000000000000000011101000000000000000000000000001000100111001101 -10000000000010011100000000000000010010000000000001010111001000000100010000000000000000000000100110001000100000000010000100000000 -00000000000000000000000010011000000000000000000000010001001001110000000000000000000000000000010010000000000011001010000000100000 -00000000000000000000000000001000110010000000000000010001000000000000100100011101000000000000000000000000000000000000000000001001 -01000000000000000000000000000001010010000000000000000000000000000110010100000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000001010110000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000001000000100111101101000000000000100101100001000000000000000010010110000100000000000000000000001001011000010 -00000000010010100000000000000000100101000000000000000000000000000000000000000000000000010001111011000000000000000010011000000000 -00000000000000000000000000000001000000100110000000000000000000000000000001001100000000000010011000000000000000000000000000000000 -00000001011101110000010100000000000000001000010000000000000000010000100000000000000000000001001111000010000000000000000000000000 -00010011100000000000100111000000000000000000000000000000000000000000001000000100111000000000000001001010000000000000000010010100 -00000000000000000100001000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000101110110100000 -11001100000000110000101000111010100011101000000000000000000110000101000111010100011101000000000000000000000000110001111001000001 -11111100111111000000000000110000101001000111001111001110000000000000110000101001100011001111100000111110000000000000001011101101 -00000110011000000000000000000000000000000000000001011101101000001100110000000011000010100101110111110100111111000000000000000000 -11000010100011101010001110100000000000000000000000011000111100100000111111100111111000000000000001000111110100000111010000000000 -00000000001100011001111110000111111000000000000001011101101000001100110000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000001100000110110001100011000110011110000001100111000000010000110001110001110011110011101000011000111 -00011100111100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001010010010111 -01000000011000001101100011000110001100111100000011001110000000000000110000011011000110001100011001111000000110011100000001000011 -00011100011100111100111010000110001110001110011110011100000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000100000100011000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100011000000000000000000000000000000 -00100101000000000000000111000101000000000000000000000000000000000000010011100000000000000000100111000000000000000001001110000000 -00000000000000001001110000000000000000010011100000000000000000100111000000000000000001001110000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110001101001 -11111111111111111111111111111111111111111111111111111111111111111100001010000000000000000000000000000000000000000000000000000000 -00101010101001110010001000000000000000000000000001000000000000000000000000000000111111111111111101011110000000000000000000000000 +00000000000000100001100011000000000000000000000000000000001001010000000000000001110001010000000000000000000000000000000000000100 +11100000000000000000100111000000000000000001001110000000000000000000000010011100000000000000000100111000000000000000001001110000 +00000000000001001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000001101100100111010111111111111111111111111111111111111111111111111111111111111111111000010 +10000000000000000000000000000000000000000000000000000000001010101010011100100010000000000000000000000000010000000000000000000000 +00000000111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101011110000000000000000000000000 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 * NOTE END CONFIG DATA* -L75520 +L74752 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 @@ -2776,10 +2776,10 @@ L302720 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 * -CDD6F* +CAADA* NOTE FEATURE_ROW* E0000000000000000000000000000000000000000000000000000000000000000 0000010001100000* NOTE User Electronic Signature Data* UH00000000* -D1BC +D08B diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.mrp b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.mrp index 7b60fc8..534f17a 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.mrp +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.mrp @@ -10,25 +10,26 @@ Command line: map -a MachXO2 -p LCMXO2-1200HC -t TQFP100 -s 4 -oc Commercial RAM2E_LCMXO2_1200HC_impl1.prf -mp RAM2E_LCMXO2_1200HC_impl1.mrp -lpf //Mac/ iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl 1_synplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 - -gui + -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml + Target Vendor: LATTICE Target Device: LCMXO2-1200HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469 -Mapped on: 06/07/24 20:50:05 +Mapped on: 07/12/24 16:07:54 Design Summary -------------- - Number of registers: 125 out of 1520 (8%) - PFU registers: 103 out of 1280 (8%) + Number of registers: 124 out of 1520 (8%) + PFU registers: 102 out of 1280 (8%) PIO registers: 22 out of 240 (9%) - Number of SLICEs: 148 out of 640 (23%) - SLICEs as Logic/ROM: 148 out of 640 (23%) + Number of SLICEs: 145 out of 640 (23%) + SLICEs as Logic/ROM: 145 out of 640 (23%) SLICEs as RAM: 0 out of 480 (0%) SLICEs as Carry: 9 out of 640 (1%) - Number of LUT4s: 296 out of 1280 (23%) - Number used as logic LUTs: 278 + Number of LUT4s: 289 out of 1280 (23%) + Number used as logic LUTs: 271 Number used as distributed RAM: 0 Number used as ripple logic: 18 Number used as shift registers: 0 @@ -58,53 +59,54 @@ Design Summary 2. Number of logic LUT4s does not include count of distributed RAM and ripple logic. Number of clocks: 2 - Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M ) - Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 ) + Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M ) Page 1 -Design: RAM2E Date: 06/07/24 20:50:05 +Design: RAM2E Date: 07/12/24 16:07:54 Design Summary (cont) --------------------- + Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 ) Number of Clock Enables: 13 - Net N_117_i: 2 loads, 0 LSLICEs - Net RWBank14: 11 loads, 11 LSLICEs + Net N_347_i: 2 loads, 0 LSLICEs + Net RWBank14: 10 loads, 10 LSLICEs Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs - Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs - Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs - Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs - Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs - Net un1_CKE48_0_i: 6 loads, 6 LSLICEs - Net N_389_i: 2 loads, 0 LSLICEs + Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs + Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs + Net un9_VOE_0_a2: 1 loads, 1 LSLICEs + Net un1_CKE48_i: 6 loads, 6 LSLICEs + Net N_346_i: 2 loads, 0 LSLICEs Net Vout3: 8 loads, 0 LSLICEs - Number of LSRs: 8 - Net N_148: 2 loads, 2 LSLICEs - Net N_430_i: 2 loads, 0 LSLICEs + Number of LSRs: 9 + Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs + Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs Net RC7: 2 loads, 2 LSLICEs - Net S[2]: 2 loads, 2 LSLICEs + Net S[1]: 1 loads, 1 LSLICEs Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs - Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs - Net N_530: 1 loads, 0 LSLICEs - Net N_301_i: 1 loads, 1 LSLICEs + Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs + Net N_523_1: 1 loads, 0 LSLICEs + Net N_727_0: 1 loads, 1 LSLICEs + Net RATc_i: 1 loads, 1 LSLICEs Number of nets driven by tri-state buffers: 0 Top 10 highest fanout non-clock nets: - Net S[2]: 60 loads - Net S[3]: 48 loads - Net S[1]: 46 loads - Net S[0]: 31 loads - Net FS[11]: 24 loads - Net FS[10]: 23 loads - Net FS[12]: 23 loads - Net FS[9]: 23 loads - Net FS[13]: 21 loads - Net FS[8]: 18 loads + Net S[2]: 51 loads + Net S[1]: 44 loads + Net S[3]: 40 loads + Net S[0]: 32 loads + Net FS[8]: 29 loads + Net FS[9]: 26 loads + Net FS[10]: 25 loads + Net FS[11]: 23 loads + Net FS[13]: 20 loads + Net ram2e_ufm.wb_rst13: 17 loads @@ -124,18 +126,18 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will Controller, GSR, Hardened User SPI Port, Hardened Primary User I2C Port. Functionality is restored after the Flash Memory (UFM/Configuration) Interface is disabled using Disable Configuration Interface command 0x26 - followed by Bypass command 0xFF. -WARNING - map: IO buffer missing for top level port nWE80...logic will be Page 2 -Design: RAM2E Date: 06/07/24 20:50:05 +Design: RAM2E Date: 07/12/24 16:07:54 Design Errors/Warnings (cont) ----------------------------- + followed by Bypass command 0xFF. +WARNING - map: IO buffer missing for top level port nWE80...logic will be discarded. IO (PIO) Attributes @@ -190,19 +192,19 @@ IO (PIO) Attributes | RAout[2] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | RAout[1] | OUTPUT | LVCMOS33 | | -+---------------------+-----------+-----------+------------+ -| RAout[0] | OUTPUT | LVCMOS33 | | Page 3 -Design: RAM2E Date: 06/07/24 20:50:05 +Design: RAM2E Date: 07/12/24 16:07:54 IO (PIO) Attributes (cont) -------------------------- +---------------------+-----------+-----------+------------+ +| RAout[0] | OUTPUT | LVCMOS33 | | ++---------------------+-----------+-----------+------------+ | BA[1] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ | BA[0] | OUTPUT | LVCMOS33 | OUT | @@ -256,19 +258,19 @@ IO (PIO) Attributes (cont) | Din[7] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[6] | INPUT | LVCMOS33 | | -+---------------------+-----------+-----------+------------+ -| Din[5] | INPUT | LVCMOS33 | | Page 4 -Design: RAM2E Date: 06/07/24 20:50:05 +Design: RAM2E Date: 07/12/24 16:07:54 IO (PIO) Attributes (cont) -------------------------- +---------------------+-----------+-----------+------------+ +| Din[5] | INPUT | LVCMOS33 | | ++---------------------+-----------+-----------+------------+ | Din[4] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[3] | INPUT | LVCMOS33 | | @@ -322,18 +324,18 @@ Signal FS_s_0_COUT[15] undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/CFGSTDBY undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/CFGWAKE undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/wbc_ufm_irq undriven or does not drive anything - - clipped. -Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped. Page 5 -Design: RAM2E Date: 06/07/24 20:50:05 +Design: RAM2E Date: 07/12/24 16:07:54 Removed logic (cont) -------------------- + clipped. +Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped. @@ -388,18 +390,18 @@ Signal ram2e_ufm/ufmefb/PLLCLKO undriven or does not drive anything - clipped. Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped. Signal N_1 undriven or does not drive anything - clipped. Block RefReq.CN was optimized away. -Block RDOE_RNIAM8C was optimized away. -Block nCASout.CN was optimized away. Page 6 -Design: RAM2E Date: 06/07/24 20:50:05 +Design: RAM2E Date: 07/12/24 16:07:54 Removed logic (cont) -------------------- +Block RDOE_RNIAM8C was optimized away. +Block nCASout.CN was optimized away. Block ram2e_ufm/ufmefb/VCC was optimized away. Block ram2e_ufm/ufmefb/GND was optimized away. @@ -452,14 +454,78 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0 Run Time and Memory Usage ------------------------- - Total CPU Time: 0 secs - Total REAL Time: 2 secs - Peak Memory Usage: 64 MB - + Total CPU Time: 1 secs + Total REAL Time: 8 secs Page 7 + + +Design: RAM2E Date: 07/12/24 16:07:54 + +Run Time and Memory Usage (cont) +-------------------------------- + Peak Memory Usage: 65 MB + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Page 8 + + Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.pad b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.pad index 0ad3a3b..a1ffae7 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.pad +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.pad @@ -6,7 +6,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.42 -Fri Jun 07 20:50:15 2024 +Fri Jul 12 16:08:20 2024 Pinout by Port Name: +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+ @@ -311,5 +311,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:20 2024 +Fri Jul 12 16:08:32 2024 diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.prf b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.prf index e7a743d..e0a25c0 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.prf +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.prf @@ -1,5 +1,5 @@ SCHEMATIC START ; -# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jun 07 20:50:06 2024 +# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jul 12 16:07:57 2024 SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ; LOCATE COMP "RD[0]" SITE "36" ; diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.srr b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.srr index c36dda7..0595fd0 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.srr +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1.srr @@ -3,7 +3,7 @@ #OS: Windows 8 6.2 #Hostname: ZANEMACWIN11 -# Fri Jun 7 20:49:56 2024 +# Fri Jul 12 16:07:01 2024 #Implementation: impl1 @@ -52,6 +52,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0 @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\REFB.v" (library work) @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-OFF.v" (library work) Verilog syntax check successful! +File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling Selecting top level module RAM2E @N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work. Running optimization stage 1 on VHI ....... @@ -77,12 +78,12 @@ Running optimization stage 2 on EFB ....... Running optimization stage 2 on VLO ....... Running optimization stage 2 on VHI ....... -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) +At c_ver Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:05s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:57 2024 +# Fri Jul 12 16:07:10 2024 ###########################################################] @@ -102,13 +103,14 @@ Implementation : impl1 Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\layer0.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:57 2024 +# Fri Jul 12 16:07:11 2024 ###########################################################] @@ -118,12 +120,12 @@ For a summary of runtime and memory usage for all design units, please see file: @END -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) +At c_hdl Exit (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:08s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:57 2024 +# Fri Jul 12 16:07:11 2024 ###########################################################] @@ -143,18 +145,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwor Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_comp.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:58 2024 +# Fri Jul 12 16:07:14 2024 ###########################################################] -Premap Report - -# Fri Jun 7 20:49:58 2024 +# Fri Jul 12 16:07:14 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -199,7 +200,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h @A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP. @N: MH105 |UMR3 is only supported for HAPS-80. @N: MH105 |UMR3 is only supported for HAPS-80. -@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. +@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. syn_allowed_resources : blockrams=7 set on top level netlist RAM2E Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) @@ -212,7 +213,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -227,7 +228,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - @@ -247,14 +248,14 @@ Number of ICG latches not removed: 0 #### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[ -2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s) +2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s) 0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) 0 instances converted, 0 sequential instances remain driven by gated/generated clocks =========================== Non-Gated/Non-Generated Clocks ============================ Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------- -@KP:ckid0_0 C14M port 121 PHI1r +@KP:ckid0_0 C14M port 120 PHI1r @KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0] ======================================================================================= @@ -264,27 +265,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I @N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized. Finished Pre Mapping Phase. -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) +Starting constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker preprocessing (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) None None -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) Pre-mapping successful! -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) +At Mapper Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Jun 7 20:49:59 2024 +Process took 0h:00m:04s realtime, 0h:00m:01s cputime +# Fri Jul 12 16:07:19 2024 ###########################################################] -Map & Optimize Report - -# Fri Jun 7 20:50:00 2024 +# Fri Jul 12 16:07:22 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -313,18 +312,18 @@ Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:0 Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) +Mapper Initialization Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) +Start loading timing files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) +Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) @N: MF284 |Setting synthesis effort to medium for the design -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) +Starting Optimization and Mapping (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) Available hyper_sources - for debug and ip models @@ -333,61 +332,61 @@ Available hyper_sources - for debug and ip models @N: FX493 |Applying initial value "00000000" on instance RWBank[7:0]. @N: FX493 |Applying initial value "0000" on instance S[3:0]. -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) +Finished RTL optimizations (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) @N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0] -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) +Starting factoring (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) +Finished factoring (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) -Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Starting Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished preparing to map (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB) -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology mapping (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------ - 1 0h:00m:01s 6.90ns 281 / 125 + 1 0h:00m:01s 6.90ns 280 / 124 -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished restoring hierarchy (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) +Start Writing Netlists (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_m.srm -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB) +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB) Writing EDIF Netlist and constraint files @N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\RAM2E_LCMXO2_1200HC_impl1.edi N-2018.03L-SP1-1 @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB) +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 163MB) -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Start final timing analysis (Real Time elapsed 0h:00m:14s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB) @W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-1200hc-nodhgr\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @N: MT615 |Found clock C14M with period 69.84ns @@ -395,7 +394,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0 ##### START OF TIMING REPORT #####[ -# Timing Report written on Fri Jun 7 20:50:03 2024 +# Timing Report written on Fri Jul 12 16:07:38 2024 # @@ -420,9 +419,9 @@ Worst slack in design: 6.897 Requested Estimated Requested Estimated Clock Clock Starting Clock Frequency Frequency Period Period Slack Type Group ---------------------------------------------------------------------------------------------------------------------- -C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup +C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0 -System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup +System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup ====================================================================================================================== Estimated period and frequency reported as NA means no slack depends directly on the clock waveform @@ -437,9 +436,9 @@ Clocks | rise to rise | fall to fall | rise ---------------------------------------------------------------------------------------------------------------- Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------------- -System C14M | 69.841 67.088 | No paths - | No paths - | No paths - +System C14M | 69.841 66.719 | No paths - | No paths - | No paths - C14M System | 69.841 68.797 | No paths - | No paths - | No paths - -C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths - +C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths - RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp - RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths - ================================================================================================================ @@ -468,10 +467,10 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------- -S[2] C14M FD1S3AX Q S[2] 1.366 31.599 -S[3] C14M FD1S3AX Q S[3] 1.345 31.619 -S[1] C14M FD1S3AX Q S[1] 1.344 31.800 -S[0] C14M FD1S3AX Q S[0] 1.305 31.838 +S[2] C14M FD1S3AX Q S[2] 1.353 31.675 +S[3] C14M FD1S3AX Q S[3] 1.337 31.691 +S[0] C14M FD1S3AX Q S[0] 1.319 32.182 +S[1] C14M FD1S3AX Q S[1] 1.344 32.656 RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707 RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707 RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771 @@ -484,21 +483,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771 Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599 -VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800 -Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634 -=================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +---------------------------------------------------------------------------------- +VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675 +RAT C14M FD1S3IX CD RATc_i 34.118 32.147 +Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647 +================================================================================== @@ -512,9 +511,9 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 34.118 - - Propagation time: 2.519 + - Propagation time: 2.442 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 31.599 + = Slack (non-critical) : 31.675 Number of logic level(s): 1 Starting point: S[2] / Q @@ -522,16 +521,16 @@ Path information for path number 1: The start point is clocked by C14M [rising] on pin CK The end point is clocked by C14M [falling] on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------- -S[2] FD1S3AX Q Out 1.366 1.366 - -S[2] Net - - - - 58 -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 - -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 - -N_530 Net - - - - 3 -VOE_i_0io OFS1P3IX CD In 0.000 2.519 - -============================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------- +S[2] FD1S3AX Q Out 1.353 1.353 - +S[2] Net - - - - 50 +ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 - +ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 - +N_523_1 Net - - - - 2 +VOE_i_0io OFS1P3IX CD In 0.000 2.442 - +======================================================================================= @@ -620,7 +619,7 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313 @@ -635,21 +634,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7] Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------- -ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736 -ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736 -======================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719 +ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736 +ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +=============================================================================================================== @@ -663,30 +662,33 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 69.369 - - Propagation time: 2.282 + - Propagation time: 2.650 - Clock delay at starting point: 0.000 (ideal) - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 67.088 + = Slack (non-critical) : 66.719 - Number of logic level(s): 2 + Number of logic level(s): 3 Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO - Ending point: ram2e_ufm.RWMask[0] / SP + Ending point: ram2e_ufm.wb_cyc_stb / SP The start point is clocked by System [rising] The end point is clocked by C14M [rising] on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ----------------------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - -wb_ack Net - - - - 5 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 - -un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 - -N_104 Net - - - - 8 -ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 - -================================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - +wb_ack Net - - - - 4 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 - +un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 - +un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 - +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 - +un1_CmdBitbangMXO212_1_i[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 - +=============================================================================================================== @@ -695,16 +697,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In Timing exceptions that could not be applied None -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Finished final timing analysis (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Finished timing report (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) --------------------------------------- Resource Usage Report Part: lcmxo2_1200hc-4 -Register bits: 125 of 1280 (10%) +Register bits: 124 of 1280 (10%) PIC Latch: 0 I/O cells: 70 @@ -713,7 +715,7 @@ Details: BB: 8 CCU2D: 9 EFB: 1 -FD1P3AX: 58 +FD1P3AX: 57 FD1P3IX: 1 FD1S3AX: 31 FD1S3AY: 4 @@ -726,15 +728,16 @@ OB: 41 OFS1P3BX: 6 OFS1P3DX: 12 OFS1P3IX: 3 -ORCALUT4: 275 +ORCALUT4: 268 +PFUMX: 10 PUR: 1 VHI: 3 VLO: 3 Mapper successful! -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 164MB) +At Mapper Exit (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 163MB) -Process took 0h:00m:03s realtime, 0h:00m:03s cputime -# Fri Jun 7 20:50:03 2024 +Process took 0h:00m:18s realtime, 0h:00m:03s cputime +# Fri Jul 12 16:07:42 2024 ###########################################################] diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html new file mode 100644 index 0000000..23b6c44 --- /dev/null +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html @@ -0,0 +1,152 @@ + +Bitgen Report + + +
BITGEN: Bitstream Generator Diamond (64-bit) 3.11.3.469
+Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
+Copyright (c) 1995 AT&T Corp.   All rights reserved.
+Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
+Copyright (c) 2001 Agere Systems   All rights reserved.
+Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
+Fri Jun 07 20:50:24 2024
+
+
+Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR -w -jedec -gui RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf 
+
+Loading design for application Bitgen from file RAM2E_LCMXO2_1200HC_impl1.ncd.
+Design name: RAM2E
+NCD version: 3.3
+Vendor:      LATTICE
+Device:      LCMXO2-1200HC
+Package:     TQFP100
+Performance: 4
+Loading device for application Bitgen from file 'xo2c1200.nph' in environment: C:/lscc/diamond/3.11_x64/ispfpga.
+Package Status:                     Final          Version 1.42.
+Performance Hardware Data Status:   Final          Version 34.4.
+
+Running DRC.
+DRC detected 0 errors and 0 warnings.
+Reading Preference File from RAM2E_LCMXO2_1200HC_impl1.prf.
+
+
+Preference Summary:
+
++---------------------------------+---------------------------------+
+|  Preference                     |  Current Setting                |
++---------------------------------+---------------------------------+
+|                         RamCfg  |                        Reset**  |
++---------------------------------+---------------------------------+
+|                     MCCLK_FREQ  |                         2.08**  |
++---------------------------------+---------------------------------+
+|                  CONFIG_SECURE  |                          OFF**  |
++---------------------------------+---------------------------------+
+|                          INBUF  |                           ON**  |
++---------------------------------+---------------------------------+
+|                      JTAG_PORT  |                       ENABLE**  |
++---------------------------------+---------------------------------+
+|                       SDM_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                 SLAVE_SPI_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                MASTER_SPI_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                       I2C_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|        MUX_CONFIGURATION_PORTS  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                  CONFIGURATION  |                          CFG**  |
++---------------------------------+---------------------------------+
+|                COMPRESS_CONFIG  |                           ON**  |
++---------------------------------+---------------------------------+
+|                        MY_ASSP  |                          OFF**  |
++---------------------------------+---------------------------------+
+|               ONE_TIME_PROGRAM  |                          OFF**  |
++---------------------------------+---------------------------------+
+|                 ENABLE_TRANSFR  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                  SHAREDEBRINIT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|            BACKGROUND_RECONFIG  |                          OFF**  |
++---------------------------------+---------------------------------+
+ *  Default setting.
+ ** The specified setting matches the default setting.
+
+
+Creating bit map...
+ 
+Bitstream Status: Final           Version 1.95.
+ 
+Saving bit stream in "RAM2E_LCMXO2_1200HC_impl1.jed".
+ 
+===========
+UFM Summary.
+===========
+UFM Size:        511 Pages (128*511 Bits).
+UFM Utilization: General Purpose Flash Memory.
+ 
+Available General Purpose Flash Memory:  511 Pages (Page 0 to Page 510).
+Initialized UFM Pages:                   321 Pages (Page 190 to Page 510).
+ 
+Total CPU Time: 3 secs 
+Total REAL Time: 4 secs 
+Peak Memory Usage: 275 MB
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_cck.rpt b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_cck.rpt index d21c722..f24b212 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_cck.rpt +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_cck.rpt @@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11 Implementation : impl1 -# Written on Fri Jun 7 20:49:59 2024 +# Written on Fri Jul 12 16:07:16 2024 ##### DESIGN INFO ####################################################### diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html index ea026a4..200b5a1 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html @@ -18,24 +18,25 @@ Command line: map -a MachXO2 -p LCMXO2-1200HC -t TQFP100 -s 4 -oc Commercial RAM2E_LCMXO2_1200HC_impl1.prf -mp RAM2E_LCMXO2_1200HC_impl1.mrp -lpf //Mac/ iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl 1_synplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 - -gui + -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml + Target Vendor: LATTICE Target Device: LCMXO2-1200HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469 -Mapped on: 06/07/24 20:50:05 +Mapped on: 07/12/24 16:07:54 Design Summary - Number of registers: 125 out of 1520 (8%) - PFU registers: 103 out of 1280 (8%) + Number of registers: 124 out of 1520 (8%) + PFU registers: 102 out of 1280 (8%) PIO registers: 22 out of 240 (9%) - Number of SLICEs: 148 out of 640 (23%) - SLICEs as Logic/ROM: 148 out of 640 (23%) + Number of SLICEs: 145 out of 640 (23%) + SLICEs as Logic/ROM: 145 out of 640 (23%) SLICEs as RAM: 0 out of 480 (0%) SLICEs as Carry: 9 out of 640 (1%) - Number of LUT4s: 296 out of 1280 (23%) - Number used as logic LUTs: 278 + Number of LUT4s: 289 out of 1280 (23%) + Number used as logic LUTs: 271 Number used as distributed RAM: 0 Number used as ripple logic: 18 Number used as shift registers: 0 @@ -65,44 +66,45 @@ Mapped on: 06/07/24 20:50:05 2. Number of logic LUT4s does not include count of distributed RAM and ripple logic. Number of clocks: 2 - Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M ) - Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 ) + Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M ) + Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 ) Number of Clock Enables: 13 - Net N_117_i: 2 loads, 0 LSLICEs - Net RWBank14: 11 loads, 11 LSLICEs + Net N_347_i: 2 loads, 0 LSLICEs + Net RWBank14: 10 loads, 10 LSLICEs Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs - Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs - Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs - Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs - Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs - Net un1_CKE48_0_i: 6 loads, 6 LSLICEs - Net N_389_i: 2 loads, 0 LSLICEs + Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs + Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs + Net un9_VOE_0_a2: 1 loads, 1 LSLICEs + Net un1_CKE48_i: 6 loads, 6 LSLICEs + Net N_346_i: 2 loads, 0 LSLICEs Net Vout3: 8 loads, 0 LSLICEs - Number of LSRs: 8 - Net N_148: 2 loads, 2 LSLICEs - Net N_430_i: 2 loads, 0 LSLICEs + Number of LSRs: 9 + Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs + Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs Net RC7: 2 loads, 2 LSLICEs - Net S[2]: 2 loads, 2 LSLICEs + Net S[1]: 1 loads, 1 LSLICEs Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs - Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs - Net N_530: 1 loads, 0 LSLICEs - Net N_301_i: 1 loads, 1 LSLICEs + Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs + Net N_523_1: 1 loads, 0 LSLICEs + Net N_727_0: 1 loads, 1 LSLICEs + Net RATc_i: 1 loads, 1 LSLICEs Number of nets driven by tri-state buffers: 0 Top 10 highest fanout non-clock nets: - Net S[2]: 60 loads - Net S[3]: 48 loads - Net S[1]: 46 loads - Net S[0]: 31 loads - Net FS[11]: 24 loads - Net FS[10]: 23 loads - Net FS[12]: 23 loads - Net FS[9]: 23 loads - Net FS[13]: 21 loads - Net FS[8]: 18 loads + Net S[2]: 51 loads + Net S[1]: 44 loads + Net S[3]: 40 loads + Net S[0]: 32 loads + Net FS[8]: 29 loads + Net FS[9]: 26 loads + Net FS[10]: 25 loads + Net FS[11]: 23 loads + Net FS[13]: 20 loads + Net ram2e_ufm.wb_rst13: 17 loads @@ -124,9 +126,9 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will Controller, GSR, Hardened User SPI Port, Hardened Primary User I2C Port. Functionality is restored after the Flash Memory (UFM/Configuration) Interface is disabled using Disable Configuration Interface command 0x26 + followed by Bypass command 0xFF. WARNING - map: IO buffer missing for top level port nWE80...logic will be - discarded. @@ -182,9 +184,9 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be | RAout[2] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | RAout[1] | OUTPUT | LVCMOS33 | | + +---------------------+-----------+-----------+------------+ | RAout[0] | OUTPUT | LVCMOS33 | | - +---------------------+-----------+-----------+------------+ | BA[1] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ @@ -239,9 +241,9 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be | Din[7] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[6] | INPUT | LVCMOS33 | | + +---------------------+-----------+-----------+------------+ | Din[5] | INPUT | LVCMOS33 | | - +---------------------+-----------+-----------+------------+ | Din[4] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ @@ -297,9 +299,9 @@ Signal FS_s_0_COUT[15] undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/CFGSTDBY undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/CFGWAKE undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/wbc_ufm_irq undriven or does not drive anything - + clipped. Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped. - Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped. @@ -354,9 +356,9 @@ Signal ram2e_ufm/ufmefb/PLLCLKO undriven or does not drive anything - clipped. Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped. Signal N_1 undriven or does not drive anything - clipped. Block RefReq.CN was optimized away. + Block RDOE_RNIAM8C was optimized away. Block nCASout.CN was optimized away. - Block ram2e_ufm/ufmefb/VCC was optimized away. Block ram2e_ufm/ufmefb/GND was optimized away. @@ -414,13 +416,68 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0 Run Time and Memory Usage ------------------------- - Total CPU Time: 0 secs - Total REAL Time: 2 secs - Peak Memory Usage: 64 MB + Total CPU Time: 1 secs + Total REAL Time: 8 secs + + Peak Memory Usage: 65 MB + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html index 87364da..89fd605 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html @@ -14,7 +14,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.42 -Fri Jun 07 20:50:15 2024 +Fri Jul 12 16:08:20 2024 Pinout by Port Name: +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+ @@ -320,7 +320,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:20 2024 +Fri Jul 12 16:08:32 2024 diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html index acaf73e..39431d3 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html @@ -12,11 +12,12 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:07 2024 +Fri Jul 12 16:08:03 2024 C:/lscc/diamond/3.11_x64/ispfpga\bin\nt64\par -f RAM2E_LCMXO2_1200HC_impl1.p2t RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir -RAM2E_LCMXO2_1200HC_impl1.prf -gui +RAM2E_LCMXO2_1200HC_impl1.prf -gui -msgset +//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml Preference file: RAM2E_LCMXO2_1200HC_impl1.prf. @@ -25,22 +26,22 @@ Preference file: RAM2E_LCMXO2_1200HC_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 56.210 0 0.326 0 17 Completed +5_1 * 0 56.179 0 0.319 0 38 Completed * : Design saved. -Total (real) run time for 1-seed: 17 secs +Total (real) run time for 1-seed: 40 secs par done! Note: user must run 'Trace' for timing closure signoff. Lattice Place and Route Report for Design "RAM2E_LCMXO2_1200HC_impl1_map.ncd" -Fri Jun 07 20:50:07 2024 +Fri Jul 12 16:08:04 2024 Best Par Run PAR: Place And Route Diamond (64-bit) 3.11.3.469. -Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_1200HC_impl1.prf +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_1200HC_impl1.prf Preference file: RAM2E_LCMXO2_1200HC_impl1.prf. Placement level-cost: 5-1. Routing Iterations: 6 @@ -66,39 +67,39 @@ Ignore Preference Error(s): True 70+4(JTAG)/80 93% bonded IOLOGIC 22/108 20% used - SLICE 148/640 23% used + SLICE 145/640 22% used EFB 1/1 100% used -Number of Signals: 465 -Number of Connections: 1330 +Number of Signals: 447 +Number of Connections: 1292 Pin Constraint Summary: 70 out of 70 pins locked (100% locked). The following 1 signal is selected to use the primary clock routing resources: - C14M_c (driver: C14M, clk load #: 85) + C14M_c (driver: C14M, clk load #: 84) WARNING - par: Signal "C14M_c" is selected to use Primary clock resources. However, its driver comp "C14M" is located at "62", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew. The following 1 signal is selected to use the secondary clock routing resources: - RWBank14 (driver: ram2e_ufm/SLICE_82, clk load #: 0, sr load #: 0, ce load #: 11) + RWBank14 (driver: ram2e_ufm/SLICE_89, clk load #: 0, sr load #: 0, ce load #: 10) No signal is selected as Global Set/Reset. Starting Placer Phase 0. -....... -Finished Placer Phase 0. REAL time: 2 secs +........ +Finished Placer Phase 0. REAL time: 4 secs Starting Placer Phase 1. .................... -Placer score = 84481. -Finished Placer Phase 1. REAL time: 8 secs +Placer score = 83226. +Finished Placer Phase 1. REAL time: 15 secs Starting Placer Phase 2. . -Placer score = 83723 -Finished Placer Phase 2. REAL time: 8 secs +Placer score = 83139 +Finished Placer Phase 2. REAL time: 15 secs @@ -112,8 +113,8 @@ Global Clock Resources: DCC : 0 out of 8 (0%) Global Clocks: - PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 85 - SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_82" on site "R7C12A", clk load = 0, ce load = 11, sr load = 0 + PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 84 + SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_89" on site "R7C12C", clk load = 0, ce load = 10, sr load = 0 PRIMARY : 1 out of 8 (12%) SECONDARY: 1 out of 8 (12%) @@ -140,20 +141,20 @@ I/O Bank Usage Summary: | 3 | 20 / 20 (100%) | 3.3V | - | +----------+----------------+------------+-----------+ -Total placer CPU time: 7 secs +Total placer CPU time: 8 secs Dumping design to file RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd. -0 connections routed; 1330 unrouted. +0 connections routed; 1292 unrouted. Starting router resource preassignment WARNING - par: The driver of primary clock net C14M_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew. WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=PHI1_c loads=5 clock_loads=3 -Completed router resource preassignment. Real time: 14 secs +Completed router resource preassignment. Real time: 29 secs -Start NBR router at 20:50:21 06/07/24 +Start NBR router at 16:08:33 07/12/24 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -168,35 +169,35 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 20:50:21 06/07/24 +Start NBR special constraint process at 16:08:33 07/12/24 -Start NBR section for initial routing at 20:50:21 06/07/24 +Start NBR section for initial routing at 16:08:33 07/12/24 Level 4, iteration 1 -19(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 56.222ns/0.000ns; real time: 15 secs +14(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 56.179ns/0.000ns; real time: 37 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 20:50:22 06/07/24 +Start NBR section for normal routing at 16:08:41 07/12/24 Level 4, iteration 1 6(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 56.222ns/0.000ns; real time: 15 secs +Estimated worst slack/total negative slack<setup>: 56.179ns/0.000ns; real time: 37 secs Level 4, iteration 2 1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 56.210ns/0.000ns; real time: 15 secs +Estimated worst slack/total negative slack<setup>: 56.179ns/0.000ns; real time: 37 secs Level 4, iteration 3 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 56.210ns/0.000ns; real time: 15 secs +Estimated worst slack/total negative slack<setup>: 56.179ns/0.000ns; real time: 37 secs -Start NBR section for setup/hold timing optimization with effort level 3 at 20:50:22 06/07/24 +Start NBR section for setup/hold timing optimization with effort level 3 at 16:08:41 07/12/24 -Start NBR section for re-routing at 20:50:23 06/07/24 +Start NBR section for re-routing at 16:08:41 07/12/24 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 56.210ns/0.000ns; real time: 16 secs +Estimated worst slack/total negative slack<setup>: 56.179ns/0.000ns; real time: 37 secs -Start NBR section for post-routing at 20:50:23 06/07/24 +Start NBR section for post-routing at 16:08:41 07/12/24 End NBR router with 0 unrouted connection @@ -204,7 +205,7 @@ NBR Summary ----------- Number of unrouted connections : 0 (0.00%) Number of connections with timing violations : 0 (0.00%) - Estimated worst slack<setup> : 56.210ns + Estimated worst slack<setup> : 56.179ns Timing score<setup> : 0 ----------- Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. @@ -215,9 +216,9 @@ WARNING - par: The following clock signals will be routed by using generic routi Signal=PHI1_c loads=5 clock_loads=3 Total CPU time 14 secs -Total REAL time: 16 secs +Total REAL time: 38 secs Completely routed. -End of route. 1330 routed (100.00%); 0 unrouted. +End of route. 1292 routed (100.00%); 0 unrouted. Hold time timing score: 0, hold timing errors: 0 @@ -231,14 +232,14 @@ All signals are completely routed. PAR_SUMMARY::Run status = Completed PAR_SUMMARY::Number of unrouted conns = 0 -PAR_SUMMARY::Worst slack<setup/<ns>> = 56.210 +PAR_SUMMARY::Worst slack<setup/<ns>> = 56.179 PAR_SUMMARY::Timing score<setup/<ns>> = 0.000 -PAR_SUMMARY::Worst slack<hold /<ns>> = 0.326 +PAR_SUMMARY::Worst slack<hold /<ns>> = 0.319 PAR_SUMMARY::Timing score<hold /<ns>> = 0.000 PAR_SUMMARY::Number of errors = 0 Total CPU time to completion: 14 secs -Total REAL time to completion: 17 secs +Total REAL time to completion: 39 secs par done! diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt index 737fc1f..bcca9c5 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt @@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11 Implementation : impl1 -# Written on Fri Jun 7 20:49:58 2024 +# Written on Fri Jul 12 16:07:14 2024 ##### FILES SYNTAX CHECKED ############################################## Constraint File(s): "\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc" @@ -33,7 +33,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -47,7 +47,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html index fb566fc..60669c4 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html @@ -62,7 +62,7 @@ Updated: -2024/06/07 20:50:28 +2024/07/12 16:08:52 Implementation Location: diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html index b1edda4..4683258 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html @@ -12,7 +12,7 @@ #OS: Windows 8 6.2 #Hostname: ZANEMACWIN11 -# Fri Jun 7 20:49:56 2024 +# Fri Jul 12 16:07:01 2024 #Implementation: impl1 @@ -61,6 +61,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0 @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\REFB.v" (library work) @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-OFF.v" (library work) Verilog syntax check successful! +File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling Selecting top level module RAM2E @N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work. Running optimization stage 1 on VHI ....... @@ -86,12 +87,12 @@ Running optimization stage 2 on EFB ....... Running optimization stage 2 on VLO ....... Running optimization stage 2 on VHI ....... -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) +At c_ver Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:05s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:57 2024 +# Fri Jul 12 16:07:10 2024 ###########################################################] @@ -111,13 +112,14 @@ Implementation : impl1 Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\layer0.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:57 2024 +# Fri Jul 12 16:07:11 2024 ###########################################################] @@ -127,12 +129,12 @@ For a summary of runtime and memory usage for all design units, please see file: @END -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) +At c_hdl Exit (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:08s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:57 2024 +# Fri Jul 12 16:07:11 2024 ###########################################################] @@ -152,18 +154,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwor Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_comp.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:58 2024 +# Fri Jul 12 16:07:14 2024 ###########################################################] -Premap Report - -# Fri Jun 7 20:49:58 2024 +# Fri Jul 12 16:07:14 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -208,7 +209,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h @A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP. @N: MH105 |UMR3 is only supported for HAPS-80. @N: MH105 |UMR3 is only supported for HAPS-80. -@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. +@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. syn_allowed_resources : blockrams=7 set on top level netlist RAM2E Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) @@ -221,7 +222,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -236,7 +237,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - @@ -256,14 +257,14 @@ Number of ICG latches not removed: 0 #### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[ -2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s) +2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s) 0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) 0 instances converted, 0 sequential instances remain driven by gated/generated clocks =========================== Non-Gated/Non-Generated Clocks ============================ Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------- -@KP:ckid0_0 C14M port 121 PHI1r +@KP:ckid0_0 C14M port 120 PHI1r @KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0] ======================================================================================= @@ -273,27 +274,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I @N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized. Finished Pre Mapping Phase. -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) +Starting constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker preprocessing (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) None None -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) Pre-mapping successful! -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) +At Mapper Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Jun 7 20:49:59 2024 +Process took 0h:00m:04s realtime, 0h:00m:01s cputime +# Fri Jul 12 16:07:19 2024 ###########################################################] -Map & Optimize Report - -# Fri Jun 7 20:50:00 2024 +# Fri Jul 12 16:07:22 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -322,18 +321,18 @@ Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:0 Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) +Mapper Initialization Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) +Start loading timing files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) +Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) @N: MF284 |Setting synthesis effort to medium for the design -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) +Starting Optimization and Mapping (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) Available hyper_sources - for debug and ip models @@ -342,61 +341,61 @@ Available hyper_sources - for debug and ip models @N: FX493 |Applying initial value "00000000" on instance RWBank[7:0]. @N: FX493 |Applying initial value "0000" on instance S[3:0]. -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) +Finished RTL optimizations (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) @N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0] -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) +Starting factoring (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) +Finished factoring (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) -Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Starting Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished preparing to map (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB) -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology mapping (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------ - 1 0h:00m:01s 6.90ns 281 / 125 + 1 0h:00m:01s 6.90ns 280 / 124 -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished restoring hierarchy (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) +Start Writing Netlists (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_m.srm -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB) +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB) Writing EDIF Netlist and constraint files @N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC-NODHGR\impl1\RAM2E_LCMXO2_1200HC_impl1.edi N-2018.03L-SP1-1 @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB) +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 163MB) -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Start final timing analysis (Real Time elapsed 0h:00m:14s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB) @W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-1200hc-nodhgr\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @N: MT615 |Found clock C14M with period 69.84ns @@ -404,7 +403,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0 ##### START OF TIMING REPORT #####[ -# Timing Report written on Fri Jun 7 20:50:03 2024 +# Timing Report written on Fri Jul 12 16:07:38 2024 # @@ -429,9 +428,9 @@ Worst slack in design: 6.897 Requested Estimated Requested Estimated Clock Clock Starting Clock Frequency Frequency Period Period Slack Type Group ---------------------------------------------------------------------------------------------------------------------- -C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup +C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0 -System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup +System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup ====================================================================================================================== Estimated period and frequency reported as NA means no slack depends directly on the clock waveform @@ -446,9 +445,9 @@ Clocks | rise to rise | fall to fall | rise ---------------------------------------------------------------------------------------------------------------- Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------------- -System C14M | 69.841 67.088 | No paths - | No paths - | No paths - +System C14M | 69.841 66.719 | No paths - | No paths - | No paths - C14M System | 69.841 68.797 | No paths - | No paths - | No paths - -C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths - +C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths - RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp - RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths - ================================================================================================================ @@ -477,10 +476,10 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------- -S[2] C14M FD1S3AX Q S[2] 1.366 31.599 -S[3] C14M FD1S3AX Q S[3] 1.345 31.619 -S[1] C14M FD1S3AX Q S[1] 1.344 31.800 -S[0] C14M FD1S3AX Q S[0] 1.305 31.838 +S[2] C14M FD1S3AX Q S[2] 1.353 31.675 +S[3] C14M FD1S3AX Q S[3] 1.337 31.691 +S[0] C14M FD1S3AX Q S[0] 1.319 32.182 +S[1] C14M FD1S3AX Q S[1] 1.344 32.656 RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707 RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707 RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771 @@ -493,21 +492,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771 Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599 -VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800 -Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634 -=================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +---------------------------------------------------------------------------------- +VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675 +RAT C14M FD1S3IX CD RATc_i 34.118 32.147 +Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647 +================================================================================== @@ -521,9 +520,9 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 34.118 - - Propagation time: 2.519 + - Propagation time: 2.442 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 31.599 + = Slack (non-critical) : 31.675 Number of logic level(s): 1 Starting point: S[2] / Q @@ -531,16 +530,16 @@ Path information for path number 1: The start point is clocked by C14M [rising] on pin CK The end point is clocked by C14M [falling] on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------- -S[2] FD1S3AX Q Out 1.366 1.366 - -S[2] Net - - - - 58 -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 - -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 - -N_530 Net - - - - 3 -VOE_i_0io OFS1P3IX CD In 0.000 2.519 - -============================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------- +S[2] FD1S3AX Q Out 1.353 1.353 - +S[2] Net - - - - 50 +ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 - +ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 - +N_523_1 Net - - - - 2 +VOE_i_0io OFS1P3IX CD In 0.000 2.442 - +======================================================================================= @@ -629,7 +628,7 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313 @@ -644,21 +643,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7] Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------- -ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736 -ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736 -======================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719 +ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736 +ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +=============================================================================================================== @@ -672,30 +671,33 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 69.369 - - Propagation time: 2.282 + - Propagation time: 2.650 - Clock delay at starting point: 0.000 (ideal) - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 67.088 + = Slack (non-critical) : 66.719 - Number of logic level(s): 2 + Number of logic level(s): 3 Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO - Ending point: ram2e_ufm.RWMask[0] / SP + Ending point: ram2e_ufm.wb_cyc_stb / SP The start point is clocked by System [rising] The end point is clocked by C14M [rising] on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ----------------------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - -wb_ack Net - - - - 5 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 - -un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 - -N_104 Net - - - - 8 -ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 - -================================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - +wb_ack Net - - - - 4 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 - +un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 - +un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 - +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 - +un1_CmdBitbangMXO212_1_i[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 - +=============================================================================================================== @@ -704,16 +706,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In Timing exceptions that could not be applied None -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Finished final timing analysis (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Finished timing report (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) --------------------------------------- Resource Usage Report Part: lcmxo2_1200hc-4 -Register bits: 125 of 1280 (10%) +Register bits: 124 of 1280 (10%) PIC Latch: 0 I/O cells: 70 @@ -722,7 +724,7 @@ Details: BB: 8 CCU2D: 9 EFB: 1 -FD1P3AX: 58 +FD1P3AX: 57 FD1P3IX: 1 FD1S3AX: 31 FD1S3AY: 4 @@ -735,16 +737,17 @@ OB: 41 OFS1P3BX: 6 OFS1P3DX: 12 OFS1P3IX: 3 -ORCALUT4: 275 +ORCALUT4: 268 +PFUMX: 10 PUR: 1 VHI: 3 VLO: 3 Mapper successful! -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 164MB) +At Mapper Exit (Real Time elapsed 0h:00m:17s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 163MB) -Process took 0h:00m:03s realtime, 0h:00m:03s cputime -# Fri Jun 7 20:50:03 2024 +Process took 0h:00m:18s realtime, 0h:00m:03s cputime +# Fri Jul 12 16:07:42 2024 ###########################################################] diff --git a/CPLD/LCMXO2-1200HC-NODHGR/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO2-1200HC-NODHGR/impl1/hdla_gen_hierarchy.html index 5a643cc..491e7db 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/impl1/hdla_gen_hierarchy.html +++ b/CPLD/LCMXO2-1200HC-NODHGR/impl1/hdla_gen_hierarchy.html @@ -2,17 +2,19 @@ Starting: parse design source files (VERI-1482) Analyzing Verilog file C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v +WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(140,9-140,25) (VERI-1362) CmdRWMaskSet is already implicitly declared on line 131 +WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(141,9-141,22) (VERI-1362) CmdLEDSet is already implicitly declared on line 131 (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/REFB.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-OFF.v INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,8-1,13) (VERI-1018) compiling module RAM2E -INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-479,10) (VERI-9000) elaborating module 'RAM2E' +INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-476,10) (VERI-9000) elaborating module 'RAM2E' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v(1,1-335,10) (VERI-9000) elaborating module 'RAM2E_UFM_uniq_1' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-OFF.v(1,1-1,68) (VERI-9000) elaborating module 'DHGR_uniq_1' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC-NODHGR/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1' -Done: design load finished with (0) errors, and (0) warnings +Done: design load finished with (0) errors, and (2) warnings \ No newline at end of file diff --git a/CPLD/LCMXO2-1200HC-NODHGR/promote.xml b/CPLD/LCMXO2-1200HC-NODHGR/promote.xml index e3aedf9..3eb19e0 100644 --- a/CPLD/LCMXO2-1200HC-NODHGR/promote.xml +++ b/CPLD/LCMXO2-1200HC-NODHGR/promote.xml @@ -1,3 +1,3 @@ - + diff --git a/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC_tcl.html b/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC_tcl.html new file mode 100644 index 0000000..70c2ef5 --- /dev/null +++ b/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC_tcl.html @@ -0,0 +1,70 @@ + +Lattice TCL Log + + +
pn240608044451
+#Start recording tcl command: 6/7/2024 20:49:34
+#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC; Project name: RAM2E_LCMXO2_1200HC
+prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf"
+prj_run Export -impl impl1 -forceAll
+#Stop recording: 6/8/2024 04:44:51
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.alt b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.alt index afa5929..9408f5e 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.alt +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.alt @@ -1,6 +1,6 @@ NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * NOTE All Rights Reserved * -NOTE DATE CREATED: Fri Jun 07 20:50:35 2024 * +NOTE DATE CREATED: Fri Jul 12 16:09:06 2024 * NOTE DESIGN NAME: RAM2E * NOTE DEVICE NAME: LCMXO2-1200HC-4TQFP100 * NOTE PIN ASSIGNMENTS * diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr index 802bc8f..24c1868 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr @@ -1,7 +1,7 @@ ---------------------------------------------------------------------- Report for cell RAM2E.verilog -Register bits: 125 of 1280 (10%) +Register bits: 124 of 1280 (10%) PIC Latch: 0 I/O cells: 70 Cell usage: @@ -9,7 +9,7 @@ I/O cells: 70 BB 8 100.0 CCU2D 9 100.0 EFB 1 100.0 - FD1P3AX 58 100.0 + FD1P3AX 57 100.0 FD1P3IX 1 100.0 FD1S3AX 31 100.0 FD1S3AY 4 100.0 @@ -22,7 +22,8 @@ I/O cells: 70 OFS1P3BX 6 100.0 OFS1P3DX 12 100.0 OFS1P3IX 3 100.0 - ORCALUT4 275 100.0 + ORCALUT4 268 100.0 + PFUMX 10 100.0 PUR 1 100.0 VHI 3 100.0 VLO 3 100.0 @@ -30,23 +31,24 @@ SUB MODULES RAM2E_UFM 1 100.0 REFB 1 100.0 - TOTAL 494 + TOTAL 496 ---------------------------------------------------------------------- Report for cell RAM2E_UFM.netlist Instance path: ram2e_ufm Cell usage: cell count Res Usage(%) EFB 1 100.0 - FD1P3AX 29 50.0 + FD1P3AX 29 50.9 FD1P3IX 1 100.0 FD1S3IX 1 11.1 - ORCALUT4 268 97.5 + ORCALUT4 260 97.0 + PFUMX 10 100.0 VHI 2 66.7 VLO 2 66.7 SUB MODULES REFB 1 100.0 - TOTAL 305 + TOTAL 307 ---------------------------------------------------------------------- Report for cell REFB.netlist Instance path: ram2e_ufm.ufmefb diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn index bd23682..8e8c056 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn @@ -4,10 +4,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:31 2024 +Fri Jul 12 16:09:00 2024 -Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC -w -jedec -gui RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf +Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf Loading design for application Bitgen from file RAM2E_LCMXO2_1200HC_impl1.ncd. Design name: RAM2E @@ -81,6 +81,6 @@ UFM Utilization: General Purpose Flash Memory. Available General Purpose Flash Memory: 511 Pages (Page 0 to Page 510). Initialized UFM Pages: 321 Pages (Page 190 to Page 510). -Total CPU Time: 3 secs -Total REAL Time: 4 secs +Total CPU Time: 4 secs +Total REAL Time: 6 secs Peak Memory Usage: 275 MB diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.edi b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.edi index 4f776ec..0d64215 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.edi +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2024 6 7 20 50 11) + (timeStamp 2024 7 12 16 7 44) (author "Synopsys, Inc.") (program "Synplify Pro" (version "N-2018.03L-SP1-1, mapper maplat2018q2p1, Build 055R")) ) @@ -164,6 +164,16 @@ ) ) ) + (cell PFUMX (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port ALUT (direction INPUT)) + (port BLUT (direction INPUT)) + (port C0 (direction INPUT)) + (port Z (direction OUTPUT)) + ) + ) + ) (cell GSR (cellType GENERIC) (view PRIM (viewType NETLIST) (interface @@ -738,197 +748,127 @@ (cell RAM2E_UFM (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename ba_3 "BA_3[1:0]") 2) (direction OUTPUT)) + (port (array (rename ra_33 "RA_33[11:9]") 3) (direction OUTPUT)) (port (array (rename rwbank "RWBank[7:0]") 8) (direction INPUT)) - (port CmdTout_3_0 (direction OUTPUT)) - (port RDout_6 (direction OUTPUT)) - (port RDout_4 (direction OUTPUT)) + (port (array (rename ra "RA[11:0]") 12) (direction INPUT)) (port RDout_0 (direction OUTPUT)) - (port RDout_3 (direction OUTPUT)) - (port RDout_5 (direction OUTPUT)) + (port (array (rename cmdtout_3 "CmdTout_3[2:0]") 3) (direction OUTPUT)) + (port (array (rename ba_3 "BA_3[1:0]") 2) (direction OUTPUT)) (port (array (rename raout_c "RAout_c[7:0]") 8) (direction OUTPUT)) (port (array (rename rar "RAr[7:0]") 8) (direction INPUT)) - (port (array (rename ra "RA[11:0]") 12) (direction INPUT)) (port (array (rename fs "FS[15:0]") 16) (direction INPUT)) (port (array (rename rwbank_3 "RWBank_3[7:0]") 8) (direction OUTPUT)) (port (array (rename din_c "Din_c[7:0]") 8) (direction INPUT)) - (port un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 (direction OUTPUT)) (port (array (rename cmdtout "CmdTout[2:0]") 3) (direction INPUT)) (port (array (rename ain_c "Ain_c[7:0]") 8) (direction INPUT)) - (port (array (rename ra_33 "RA_33[11:9]") 3) (direction OUTPUT)) - (port S_0_0_0_0 (direction OUTPUT)) + (port S_0_0_0 (direction OUTPUT)) (port (array (rename cs "CS[2:0]") 3) (direction INPUT)) (port (array (rename s "S[3:0]") 4) (direction INPUT)) (port Vout3 (direction OUTPUT)) (port nDOE_c (direction OUTPUT)) (port DOEEN (direction INPUT)) + (port N_523_1 (direction OUTPUT)) (port RAT (direction INPUT)) - (port LED_c (direction OUTPUT)) - (port CmdSetRWBankFFLED (direction INPUT)) - (port CmdSetRWBankFFChip (direction INPUT)) - (port CmdLEDGet (direction INPUT)) (port PHI1r (direction INPUT)) (port PHI1_c (direction INPUT)) - (port N_392_i_1z (direction OUTPUT)) - (port N_391_i_1z (direction OUTPUT)) - (port N_390_i_1z (direction OUTPUT)) - (port DQMH_4_iv_0_0_i_0_i_a2_1z (direction OUTPUT)) - (port RWSel_2 (direction OUTPUT)) + (port N_492_i_1z (direction OUTPUT)) + (port N_491_i_1z (direction OUTPUT)) + (port N_359_i_1z (direction OUTPUT)) + (port N_490_i_1z (direction OUTPUT)) + (port N_489_i_1z (direction OUTPUT)) + (port N_358_i_1z (direction OUTPUT)) + (port N_488_i_1z (direction OUTPUT)) + (port CmdSetRWBankFF (direction INPUT)) + (port CmdLEDGet (direction INPUT)) + (port N_197 (direction OUTPUT)) + (port RWSel_2_1z (direction OUTPUT)) (port nWE_c (direction INPUT)) (port nC07X_c (direction INPUT)) - (port N_117_i_1z (direction OUTPUT)) - (port N_351_i_1z (direction OUTPUT)) - (port N_389_i_1z (direction OUTPUT)) - (port N_443_i_1z (direction OUTPUT)) - (port un1_CKE48_0_i_1z (direction OUTPUT)) - (port CmdSetRWBankFFChip_3_1z (direction OUTPUT)) + (port LED_c (direction OUTPUT)) + (port N_347_i_1z (direction OUTPUT)) + (port N_346_i_1z (direction OUTPUT)) + (port N_194_i_1z (direction OUTPUT)) + (port RA_33_2_173_0_1z (direction OUTPUT)) (port RDOE_2 (direction OUTPUT)) (port Ready (direction INPUT)) - (port N_350_i_1z (direction OUTPUT)) - (port CmdLEDGet_3 (direction OUTPUT)) - (port Ready3 (direction OUTPUT)) - (port N_349_i (direction OUTPUT)) - (port N_71_i (direction OUTPUT)) - (port nEN80_c (direction INPUT)) (port CmdRWMaskSet_3 (direction OUTPUT)) - (port CmdSetRWBankFFLED_3 (direction OUTPUT)) - (port CmdLEDSet_3 (direction OUTPUT)) - (port N_73_i (direction OUTPUT)) - (port N_313_i (direction OUTPUT)) - (port N_299_i (direction OUTPUT)) - (port N_285_i (direction OUTPUT)) - (port N_271_i (direction OUTPUT)) - (port N_257_i (direction OUTPUT)) - (port N_243_i_1z (direction OUTPUT)) - (port N_229_i_1z (direction OUTPUT)) - (port N_215_i_1z (direction OUTPUT)) - (port CKE_0_1z (direction OUTPUT)) + (port Ready3 (direction OUTPUT)) + (port N_458_i (direction OUTPUT)) + (port un1_CKE48_i_1z (direction OUTPUT)) + (port un9_VOE_0_a2_1z (direction OUTPUT)) (port CmdLEDSet (direction INPUT)) - (port CmdRWMaskSet (direction INPUT)) - (port N_421_i (direction OUTPUT)) - (port N_420_i (direction OUTPUT)) - (port N_424_i (direction OUTPUT)) - (port N_148 (direction OUTPUT)) - (port CS_0_sqmuxa_0_a2_RNID8OM_1z (direction OUTPUT)) - (port CS_0_sqmuxa_0_a2_RNID1MT_1z (direction OUTPUT)) + (port N_312_i_1z (direction OUTPUT)) + (port N_298_i_1z (direction OUTPUT)) + (port N_284_i_1z (direction OUTPUT)) + (port N_270_i_1z (direction OUTPUT)) + (port N_256_i_1z (direction OUTPUT)) + (port N_242_i_1z (direction OUTPUT)) + (port N_228_i_1z (direction OUTPUT)) + (port N_214_i_1z (direction OUTPUT)) + (port nEN80_c (direction INPUT)) + (port CmdLEDSet_3 (direction OUTPUT)) + (port CmdLEDGet_3 (direction OUTPUT)) + (port CmdSetRWBankFF_3 (direction OUTPUT)) + (port nCAS_0_1z (direction OUTPUT)) + (port CKE_0_1z (direction OUTPUT)) + (port N_50_i (direction OUTPUT)) + (port N_360_i (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNISFDK_1z (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNIR7BR_1z (direction OUTPUT)) + (port un1_CS_0_sqmuxa_i (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNIR0921_1z (direction OUTPUT)) (port C14M_c (direction INPUT)) - (port N_301_i (direction OUTPUT)) - (port N_194 (direction OUTPUT)) - (port N_430_i_1z (direction OUTPUT)) - (port CS6_RNIL59G2_1z (direction OUTPUT)) - (port N_530 (direction OUTPUT)) + (port N_460_i (direction OUTPUT)) + (port N_459_i (direction OUTPUT)) + (port CmdRWMaskSet (direction INPUT)) (port RWBank14 (direction OUTPUT)) (port RWSel (direction INPUT)) - (port un6_DOEEN_0_a2_0_a2_1z (direction OUTPUT)) - (port N_188 (direction OUTPUT)) - (port un9_VOE_0_a2_0_a2_1z (direction OUTPUT)) (port RefReq (direction INPUT)) + (port un6_DOEEN_0_a2_0_a2_1z (direction OUTPUT)) + (port wb_rst13 (direction OUTPUT)) ) (contents - (instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B A))+D (!C (B+A)+C (!B A)))")) - ) - (instance nRAS_0_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C !A+C (B !A)))")) - ) - (instance (rename wb_adr_7_0_4_RNO_0 "wb_adr_7_0_4_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) - ) - (instance (rename wb_adr_7_0_1_RNO_0 "wb_adr_7_0_1_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance nCAS_0_i_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance RA_33_2_173_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) - ) - (instance un9_VOE_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance (rename RA_33_1_1_RNO_10 "RA_33_1_1_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) - ) - (instance wb_req_1_0_127_a2_i_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) - ) - (instance (rename wb_dati_7_0_a3_5_1 "wb_dati_7_0_a3_5[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance nRAS_0_i_a3_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_4_0_RNO_7 "wb_dati_7_0_a2_4_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance nRWE_0_i_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wb_rst13_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance (rename RA_33_0_o2_9 "RA_33_0_o2[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) - ) - (instance nRWE_0_i_o3_RNIP8E61 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) - ) (instance un6_DOEEN_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance (rename RA_33_1_1_RNO_0_10 "RA_33_1_1_RNO_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance RAT_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_a3_0 "un1_CmdBitbangMXO212_1_i_a3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance CKE_7s2_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)+C !A)+D (B !A))")) ) - (instance (rename RA_33_1_RNO_10 "RA_33_1_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance (rename RA_33_0_o2_11 "RA_33_0_o2[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) ) - (instance nRWE_0_i_o3_RNIPAG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance S_1_RNI29JH1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D A)")) ) - (instance nRWE_0_i_o3_RNIO9G81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance CKE_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance nRWE_0_i_o3_RNIN8G81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance (rename un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0 "un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) - (instance nRWE_0_i_o3_RNIRCG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance (rename wb_adr_7_i_a5_1_0_RNO_0 "wb_adr_7_i_a5_1_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance nRWE_0_i_o3_RNIQBG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance Ready3_5_0_i_o5_RNISUVB (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (!B+!A))+D (!C A+C (!B A+B !A)))")) ) - (instance N_425_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)))")) + (instance (rename un1_RWMask_0_sqmuxa_1_i_0_RNO_0 "un1_RWMask_0_sqmuxa_1_i_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) (instance wb_req_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CmdBitbangMXO2_RNIB5O11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B !A))+D (C (B !A)))")) + (instance (rename S_0_i_x2_RNIES2D2_2 "S_0_i_x2_RNIES2D2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)))")) ) - (instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B !A))+D (C (B !A)))")) - ) - (instance (rename wb_dati_7_0_o2_RNO_2 "wb_dati_7_0_o2_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (B A))")) - ) - (instance nRWE_0_i_o3_RNIR47H1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance CS6_RNIL59G2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (!B A+B !A)))")) - ) - (instance N_430_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance (rename S_0_i_0_o3_RNICBFR_1 "S_0_i_0_o3_RNICBFR[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance (rename S_0_i_x2_RNIFT2D2_3 "S_0_i_x2_RNIFT2D2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)))")) ) (instance wb_we (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) @@ -994,324 +934,396 @@ (instance CmdBitbangMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CS_0_sqmuxa_0_a2_RNID1MT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_RNIR0921 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D C+D (!C (B A)+C (!B+!A)))")) ) - (instance CS_0_sqmuxa_0_a2_RNID8OM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_7_7 "wb_dati_7[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_2 "wb_dati_7[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_3 "wb_dati_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_4 "wb_dati_7[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_5 "wb_dati_7[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance CS12_RNI2SPL2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C !B))")) + ) + (instance CS_0_sqmuxa_0_RNIR7BR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (!B A+B !A))")) ) - (instance un1_CS_0_sqmuxa_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C+(B !A)))")) + (instance (rename wb_dati_7_6 "wb_dati_7[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename wb_adr_7_0_0 "wb_adr_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance (rename wb_dati_cnst_7_0__m49 "wb_dati_cnst_7_0_.m49") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance CS_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance (rename wb_dati_7_1 "wb_dati_7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename wb_dati_7_0_5 "wb_dati_7_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) + (instance (rename wb_dati_cnst_7_0__m31_am "wb_dati_cnst_7_0_.m31_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+!A)+C (B A))")) ) - (instance (rename wb_dati_7_0_2 "wb_dati_7_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) + (instance (rename wb_dati_cnst_7_0__m31_bm "wb_dati_cnst_7_0_.m31_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_7 "wb_dati_7_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m31 "wb_dati_cnst_7_0_.m31") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m35_am "wb_dati_cnst_7_0_.m35_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance (rename wb_dati_7_0_6 "wb_dati_7_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m35_bm "wb_dati_cnst_7_0_.m35_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_4 "wb_dati_7_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m35 "wb_dati_cnst_7_0_.m35") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_7_0 "wb_dati_7[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance CS6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance CS_0_sqmuxa_0_RNISFDK (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A+B !A)")) ) - (instance nCAS_0_i_0_RNISBOI3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C (!B !A))")) + (instance (rename wb_dati_cnst_7_0__m39 "wb_dati_cnst_7_0_.m39") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance nRAS_0_i_1_RNI8KIR2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+!A)))")) + (instance (rename wb_dati_cnst_7_0__m18_am "wb_dati_cnst_7_0_.m18_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A+B A)))")) ) - (instance nRWE_0_i_a2_1_1_RNI2DGK2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m18_bm "wb_dati_cnst_7_0_.m18_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C (B !A)))")) ) - (instance (rename wb_dati_7_0_0 "wb_dati_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + (instance (rename wb_dati_cnst_7_0__m18 "wb_dati_cnst_7_0_.m18") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m45_am "wb_dati_cnst_7_0_.m45_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance (rename wb_dati_cnst_7_0__m45_bm "wb_dati_cnst_7_0_.m45_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance (rename wb_dati_7_0_o2_2 "wb_dati_7_0_o2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) + (instance (rename wb_dati_cnst_7_0__m45 "wb_dati_cnst_7_0_.m45") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CS_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D A)")) ) - (instance (rename wb_dati_7_0_3 "wb_dati_7_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C (B+A)))")) + (instance (rename wb_adr_RNO_0 "wb_adr_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) ) - (instance (rename wb_dati_7_0_1 "wb_dati_7_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m11_am "wb_dati_cnst_7_0_.m11_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m11_bm "wb_dati_cnst_7_0_.m11_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A))+D (B+A))")) + (instance (rename wb_dati_cnst_7_0__m11 "wb_dati_cnst_7_0_.m11") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m26 "wb_dati_cnst_7_0_.m26") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance (rename wb_adr_7_0_5_0 "wb_adr_7_0_5[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B !A)))")) + (instance (rename wb_dati_cnst_7_0__m38_am "wb_dati_cnst_7_0_.m38_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) - ) - (instance (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D C)")) - ) - (instance (rename wb_adr_7_0_a2_6_0 "wb_adr_7_0_a2_6[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m38_bm "wb_dati_cnst_7_0_.m38_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance (rename wb_dati_7_0_a2_7 "wb_dati_7_0_a2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A+B A)))")) + (instance (rename wb_dati_cnst_7_0__m38 "wb_dati_cnst_7_0_.m38") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m17 "wb_dati_cnst_7_0_.m17") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_3_4 "wb_dati_7_0_a2_3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m48 "wb_dati_cnst_7_0_.m48") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)+C (!B A+B !A)))")) ) - (instance CS6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) + (instance nRAS_0_i_0_tz_RNIPQGV3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A))")) ) - (instance (rename wb_dati_7_0_1_3 "wb_dati_7_0_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B !A)))")) + (instance nRWE_0_i_0_RNIE9LE2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance (rename wb_dati_7_0_1_1 "wb_dati_7_0_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m10 "wb_dati_cnst_7_0_.m10") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C+(B A)))")) + (instance (rename wb_dati_cnst_7_0__m22_am "wb_dati_cnst_7_0_.m22_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B+!A)+C !A))")) ) - (instance (rename wb_adr_7_0_2_0 "wb_adr_7_0_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) - ) - (instance CKE_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C+(!B+!A)))")) - ) - (instance nCAS_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) - ) - (instance (rename wb_dati_7_0_a3_0_0 "wb_dati_7_0_a3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m22_bm "wb_dati_cnst_7_0_.m22_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_0_0 "un1_CmdBitbangMXO212_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A))+D (C+B))")) + (instance (rename wb_dati_cnst_7_0__m22 "wb_dati_cnst_7_0_.m22") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m25 "wb_dati_cnst_7_0_.m25") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A))+D (C+(B A)))")) ) - (instance (rename wb_dati_7_0_a2_1_7 "wb_dati_7_0_a2_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m29_am "wb_dati_cnst_7_0_.m29_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C+(!B+A)))")) ) - (instance (rename wb_dati_7_0_a2_1 "wb_dati_7_0_a2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance (rename wb_dati_cnst_7_0__m29_bm "wb_dati_cnst_7_0_.m29_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B A)+C A))")) ) - (instance (rename wb_dati_7_0_a2_6 "wb_dati_7_0_a2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A+B A)))")) + (instance (rename wb_dati_cnst_7_0__m29 "wb_dati_cnst_7_0_.m29") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m33_am "wb_dati_cnst_7_0_.m33_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A))+D (!C (B+A)+C A))")) ) - (instance CS6_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m33_bm "wb_dati_cnst_7_0_.m33_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance CS6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) - ) - (instance (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) - ) - (instance (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D C)")) - ) - (instance N_215_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance N_229_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance N_243_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance nRWE_0_i_o3_RNIRCQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNIUFQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI1JQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI4MQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI7PQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance S_1_RNIP23H1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (B !A))+D (!C (!B !A)+C !A))")) - ) - (instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) - ) - (instance CmdLEDSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance (rename RA_33_1_10 "RA_33_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C (B+A)))")) - ) - (instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) - ) - (instance CmdSetRWBankFFLED_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance (rename wb_adr_7_0_a2_4_0 "wb_adr_7_0_a2_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m33 "wb_dati_cnst_7_0_.m33") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CS_0_sqmuxa_0_tz_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_2_1 "wb_dati_7_0_a2_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B A)))")) ) - (instance CmdRWMaskSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance CKE_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C B)+D (!C+(B+!A)))")) ) - (instance CS6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C (B+A)))")) + (instance (rename wb_adr_7_i_2_0 "wb_adr_7_i_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_0_0_0 "un1_CmdBitbangMXO212_1_i_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) + (instance (rename wb_dati_cnst_7_0__m41 "wb_dati_cnst_7_0_.m41") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C (!B+!A)))")) ) - (instance nRWE_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A))+D !A)")) + (instance nCAS_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C A))")) ) - (instance nCAS_0_i_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) - ) - (instance (rename S_0_i_0_o2_RNIFP961_1 "S_0_i_0_o2_RNIFP961[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C (B !A)))")) - ) - (instance S_1_RNIP23H1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D !A)")) - ) - (instance CmdLEDSet_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance CS_0_sqmuxa_0_tz_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance wb_cyc_stb_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C !B))")) + (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) + ) + (instance CmdSetRWBankFF_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance CmdLEDGet_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance CmdLEDSet_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance (rename RA_33_1_10 "RA_33_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance CS_0_sqmuxa_0_a3_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance CS_0_sqmuxa_0_a3_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) + (instance nRAS_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + ) + (instance nRWE_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) + ) + (instance N_214_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance N_228_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance N_242_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance Ready3_5_0_i_o5_RNI0R091 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C A+C (!B+A)))")) + ) + (instance N_256_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_270_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_284_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_298_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_312_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance nRWE_0_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) + ) + (instance (rename wb_dati_cnst_7_0__m2 "wb_dati_cnst_7_0_.m2") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance (rename wb_dati_cnst_7_0__m7 "wb_dati_cnst_7_0_.m7") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) + ) + (instance (rename wb_dati_cnst_7_0__m20 "wb_dati_cnst_7_0_.m20") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance (rename wb_dati_cnst_7_0__m23 "wb_dati_cnst_7_0_.m23") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) + ) + (instance (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) + ) + (instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B !A)))")) + ) + (instance CmdBitbangMXO2_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance CmdExecMXO2_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance CKE_7s2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) + ) + (instance (rename wb_adr_7_i_m2_0 "wb_adr_7_i_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_7_i_a5_1_0_0 "wb_adr_7_i_a5_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!B A))")) + ) + (instance un1_CKE48_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (!B !A+B A))+D (!C (!B+!A)+C (B+!A)))")) + ) + (instance un11_S_0_a2_RNIT9FP2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)+C (!B !A))+D !A)")) + ) + (instance (rename S_0_0_0 "S_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D (!C+A))")) + ) + (instance (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0_0 "un1_wb_cyc_stb_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) + (instance (rename un1_wb_adr_0_sqmuxa_2_i_0_0 "un1_wb_adr_0_sqmuxa_2_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) + (instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) (instance (rename RA_33_0_11 "RA_33_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance Ready3_0_a4_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdLEDGet_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance Ready3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance CmdLEDGet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance CmdRWMaskSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_a2_0_0 "un1_CmdBitbangMXO212_1_i_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (C !B))")) - ) - (instance (rename S_0_0_0_0 "S_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) - ) - (instance (rename RA_33_0_9 "RA_33_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B A))")) - ) - (instance CmdBitbangMXO2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a3_0_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) ) - (instance un1_CS_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a3_3_7_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) - (instance CS6_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B !A)))")) ) - (instance CS6_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance (rename wb_adr_RNO_1_1 "wb_adr_RNO_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (C (!B+!A)))")) - ) - (instance (rename RA_33_1_1_10 "RA_33_1_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance RA_33_10_29_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) - ) - (instance RA_33_8_65_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) + (instance (rename un1_CmdBitbangMXO212_1_0_0_0_0 "un1_CmdBitbangMXO212_1_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!B)+D !A)")) ) (instance RA_33_9_47_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance N_350_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A)))")) + (instance RA_33_10_29_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance (rename wb_dati_7_0_o2_3 "wb_dati_7_0_o2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C (B A))+D (C (!B+!A)))")) + (instance RA_33_8_65_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance (rename wb_dati_7_0_o2_4 "wb_dati_7_0_o2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (B A)+C (!B+!A)))")) - ) - (instance CKE_7_m1_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)))")) + (instance RA_33_6_101_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance RDOE_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance nRAS_0_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance CKE_7s2_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CKE_7s2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B)+D (!C (!B A)))")) ) - (instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D (!C+(!B+!A)))")) + (instance RA_33_5_119_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_7_83_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_3_155_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance wb_adr_1_sqmuxa_0_a2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B+A))")) + ) + (instance un1_FS_20_0_a2_0_a5_RNIR1RL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance RA_33_4_137_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance nRAS_0_i_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C !B)")) + ) + (instance nRAS_0_i_208_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B A))")) + ) + (instance nCAS_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (!C (!B+!A)+C !B))")) + ) + (instance nRAS_0_i_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B+A))+D A)")) ) (instance wb_req_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A))+D (C+(!B+!A)))")) + ) + (instance RA_33_2_173_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance CmdSetRWBankFFChip_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance (rename RA_33_1_a2_10 "RA_33_1_a2[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) ) - (instance CmdExecMXO2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance CS_0_sqmuxa_0_a3_3_8_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance un1_CKE48_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C (!B !A+B A))+D (!C (!B+!A)+C (B+!A)))")) + (instance CS_0_sqmuxa_0_a3_2_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance (rename RA_33_0_0_9 "RA_33_0_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (C+(!B !A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_a5_1_2_0 "un1_CmdBitbangMXO212_1_0_a5_1_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B A))")) ) - (instance nRAS_0_i_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C !B)")) + (instance (rename RA_33_1_1_10 "RA_33_1_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance (rename un1_RWMask_0_sqmuxa_1_i_a2_0_0_0 "un1_RWMask_0_sqmuxa_1_i_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance nCAS_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (!C (!B+!A)+C !B))")) + (instance N_194_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_1_0 "un1_wb_adr_0_sqmuxa_2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+!A)+D (C+B))")) - ) - (instance N_443_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (B+!A)+C B))")) - ) - (instance N_389_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_346_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) ) - (instance N_351_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A))")) - ) - (instance N_117_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_347_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) ) + (instance RA_33_6_101_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) + (instance (rename RWBank_3_0_0 "RWBank_3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) + ) + (instance (rename CmdTout_3_2 "CmdTout_3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A)))")) + ) + (instance RA_33_7_83_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) + (instance nRWE_0_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance RA_33_3_155_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) (instance (rename RWBank_3_0_7 "RWBank_3_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) ) @@ -1321,86 +1333,65 @@ (instance (rename RWBank_3_0_5 "RWBank_3_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) + (instance (rename RWBank_3_0_4 "RWBank_3_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) + ) (instance (rename RWBank_3_0_3 "RWBank_3_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename wb_dati_7_0_o2_1 "wb_dati_7_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B A)))")) - ) - (instance RA_33_4_137_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) - ) - (instance RA_33_3_155_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) - ) - (instance (rename RWBank_3_0_0 "RWBank_3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) - (instance (rename RWBank_3_0_1 "RWBank_3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) (instance (rename RWBank_3_0_2 "RWBank_3_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename RWBank_3_0_4 "RWBank_3_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RWBank_3_0_1 "RWBank_3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename S_0_0_0_a2_0 "S_0_0_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C !A)+D !A)")) + (instance (rename S_0_i_x2_3 "S_0_i_x2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+!A))+D (C (B A)))")) ) - (instance RA_33_7_83_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) + (instance RA_33_4_137_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) ) - (instance RA_33_6_101_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) + (instance nCAS_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B+A))")) ) - (instance (rename RA_33_1_a2_3_10 "RA_33_1_a2_3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (C (B !A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_0_tz_0 "un1_CmdBitbangMXO212_1_0_0_tz[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B !A))")) ) - (instance (rename wb_adr_7_0_o2_1_0 "wb_adr_7_0_o2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+!A))+D (!C+(!B+!A)))")) + (instance LEDEN_RNI6G6M (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) - (instance RWSel_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance (rename un1_CmdBitbangMXO212_1_i_o3_0 "un1_CmdBitbangMXO212_1_i_o3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_FS_32_i_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CmdSetRWBankFFChip_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename wb_adr_7_i_a2_0_0 "wb_adr_7_i_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)))")) ) - (instance RA_33_5_119_i_0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A)))")) + (instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance un1_CS_4_7_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance un1_CS_7_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) - ) - (instance un1_CS_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RA_33_1_a2_3_10 "RA_33_1_a2_3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance CmdBitbangMXO2_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RA_33_5_119_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C B+C (B A)))")) + ) + (instance RWSel_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance CmdLEDGet_3_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance CS_0_sqmuxa_0_a3_3_7_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance nRAS_0_i_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)+C (B+A)))")) + (instance CmdRWMaskSet_3_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance nRWE_0_i_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance (rename wb_dati_7_0_a2_4_0_7 "wb_dati_7_0_a2_4_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance nRWE_0_i_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A)+C (B !A))")) ) - (instance (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance (rename RWMask_RNO_0 "RWMask_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B+!A))")) @@ -1423,13 +1414,16 @@ (instance (rename RWMask_RNO_6 "RWMask_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B+!A))")) ) + (instance un1_wb_we54_1_2_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (B A)))")) + ) (instance RA_33_9_47_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (!B+A)+C !B))")) ) (instance RA_33_10_29_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C+(B !A)))")) ) - (instance RA_33_8_65_i_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RA_33_8_65_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (!B+A)+C !B))")) ) (instance (rename wb_adr_RNO_2 "wb_adr_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -1438,166 +1432,163 @@ (instance (rename wb_adr_RNO_7 "wb_adr_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance CmdSetRWBankFFChip6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (instance un9_VOE_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) ) - (instance CmdSetRWBankFFChip_3_6_0_a2_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un11_S_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance RA_33_2_173_a2_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) + ) + (instance CS12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance DQMH_4_iv_0_0_i_0_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))")) + (instance DQML_4_iv_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C (B+!A)+C B))")) ) - (instance RA_33_2_173_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C A)")) + (instance (rename CmdTout_3_1 "CmdTout_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A))")) ) - (instance wb_adr_7_5_41_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(!B+!A))")) + (instance RWBank10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance (rename wb_adr_RNO_2_1 "wb_adr_RNO_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RAT_2_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance un1_FS_20_0_a2_0_a5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) + (instance CS_0_sqmuxa_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) + (instance (rename S_0_i_x2_2 "S_0_i_x2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (B A))")) ) - (instance nRWE_0_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A+B !A))")) + (instance RA_33_2_173_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) ) - (instance (rename wb_dati_7_0_a2_0_2_7 "wb_dati_7_0_a2_0_2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B+!A)))")) + (instance (rename RA_33_1_0_tz_10 "RA_33_1_0_tz[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B A))")) ) - (instance N_390_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_488_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance N_391_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_358_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance N_392_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_489_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance N_490_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_359_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_491_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_492_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance RA_33_7_83_i_o4_i_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_2_173_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance nCAS_0_sqmuxa_1_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance RWBank10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance un1_LED_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) - (instance nRAS_0_i_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (B A))")) - ) - (instance un1_CS_7_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance (rename un1_CmdBitbangMXO212_1_i_o3_2_0_0 "un1_CmdBitbangMXO212_1_i_o3_2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance (rename wb_adr_RNO_3_1 "wb_adr_RNO_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance un1_FS_33_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance wb_reqc_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance un1_CS_0_sqmuxa_i_a2_0_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance un1_FS_32_i_i_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CS6_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) - (instance CmdExecMXO2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) - (instance Ready3_0_a4_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Ready3_0_a4_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Ready3_0_a4_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance nRAS_0_i_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance (rename wb_adr_7_i_a5_1_1_0 "wb_adr_7_i_a5_1_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance (rename wb_dati_7_0_a2_0_0_6 "wb_dati_7_0_a2_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance (rename wb_adr_7_0_a2_0_1_0 "wb_adr_7_0_a2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (B A))")) - ) - (instance (rename wb_dati_7_0_a2_0_0_0 "wb_dati_7_0_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (C (!B A)))")) - ) - (instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !B+C A)")) ) - (instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C A)")) - ) - (instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C A)")) - ) - (instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_i_m2_2 "un6_RAout_i_m2_i_m2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_i_m2_5 "un6_RAout_i_m2_i_m2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_7 "un6_RAout_i_m2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_6 "un6_RAout_i_m2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance (rename un6_RAout_i_m2_4 "un6_RAout_i_m2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance (rename un6_RAout_i_m2_3 "un6_RAout_i_m2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance (rename un6_RAout_i_m2_1 "un6_RAout_i_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance (rename un6_RAout_i_m2_0 "un6_RAout_i_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance un1_CS_7_4_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename un6_RAout_i_m2_1 "un6_RAout_i_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_2 "un6_RAout_i_m2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_5 "un6_RAout_i_m2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_6 "un6_RAout_i_m2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_7 "un6_RAout_i_m2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance Ready3_5_0_i_o5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+!A)")) ) - (instance (rename RDout_6 "RDout[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename RDout_4 "RDout[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename RDout_0 "RDout[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance un1_CS_2_4_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance un1_CS_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance (rename wb_adr_7_0_o2_0 "wb_adr_7_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename wb_dati_7_0_o2_7 "wb_dati_7_0_o2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) - ) - (instance (rename wb_dati_7_0_a3_4 "wb_dati_7_0_a3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename BA_3_0 "BA_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance CKE_7_m0_0_a2_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename BA_3_1 "BA_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance VOE_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance (rename CmdTout_3_0 "CmdTout_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance wb_we_7_iv_0_0_i_i_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance (rename un1_CmdBitbangMXO212_1_0_o2_0_0 "un1_CmdBitbangMXO212_1_0_o2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) ) - (instance wb_rst6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_nDOE_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance un2_RDOE_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance (rename wb_adr_7_3 "wb_adr_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -1606,367 +1597,205 @@ (instance (rename RDout_3 "RDout[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename RDout_5 "RDout[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdBitbangMXO2_3_0_a5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename CmdTout_3_0_a2_0_a2_0 "CmdTout_3_0_a2_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdSetRWBankFF15_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance CmdLEDGet_3_4_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_a2_0_0 "un1_wb_adr_0_sqmuxa_2_0_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance (rename RA_33_1_a3_10 "RA_33_1_a3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance nRWE_0_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_0 "un1_wb_adr_0_sqmuxa_2_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename S_0_i_0_o3_1 "S_0_i_0_o3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_0_0 "un1_wb_adr_0_sqmuxa_2_0_o2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename S_0_i_0_o2_1 "S_0_i_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename BA_3_1 "BA_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance (rename BA_3_0 "BA_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance nCAS_0_i_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance wb_req_1_0_127_a2_i_o5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CKE_7s2_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C (!B !A))+D (C (!B !A)))")) + (instance un1_FS_32_i_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CKE_7s2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C A)+D (!C+(B+A)))")) + (instance CKE48_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CS6_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)+C (B A)))")) + (instance wb_rst6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance Ready3_0_a4_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_a5_1_0_0 "un1_CmdBitbangMXO212_1_0_a5_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance CS6_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A)+C (B A))")) + (instance (rename wb_dati_cnst_7_0__m27 "wb_dati_cnst_7_0_.m27") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CmdSetRWBankFF_3_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (B A))")) ) - (instance un1_CS_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance CS_0_sqmuxa_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C (!B !A))")) ) - (instance RDOE_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance CS_0_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (C B))")) + ) + (instance CS_0_sqmuxa_0_a3_3_8_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C B))")) + ) + (instance CS_0_sqmuxa_0_a3_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B+A)))")) + ) + (instance (rename RA_33_0_1_9 "RA_33_0_1[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance (rename RA_33_0_9 "RA_33_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C (!B !A)))")) + ) + (instance (rename wb_adr_7_i_0_0 "wb_adr_7_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance (rename wb_adr_7_i_0_1_1_0 "wb_adr_7_i_0_1_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C (B !A))+D (!C (B+A)))")) + ) + (instance (rename wb_adr_7_i_0_1_0 "wb_adr_7_i_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B+!A)+C !A))")) + ) + (instance nCAS_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A))+D !A)")) + ) + (instance nCAS_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C (B+A)+C A))")) + ) + (instance un1_FS_20_0_a2_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance RAT_2_0_a2_0_a2_RNI1J2I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) (instance un1_nDOE_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+!A))")) ) - (instance Vout3_0_a2_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RDOE_2_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) - (instance (rename wb_dati_7_0_a2_1_4 "wb_dati_7_0_a2_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance CmdLEDGet_3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance nRAS_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A))+D !C)")) - ) - (instance (rename wb_dati_7_0_a3_1_1 "wb_dati_7_0_a3_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) - ) - (instance (rename wb_dati_7_0_a3_3_1 "wb_dati_7_0_a3_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance (rename wb_dati_7_0_a3_2_1 "wb_dati_7_0_a3_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance (rename wb_dati_7_0_a2_4 "wb_dati_7_0_a2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) - ) - (instance (rename wb_dati_7_0_a2_3 "wb_dati_7_0_a2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) + (instance Vout3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) (instance ufmefb (viewRef netlist (cellRef REFB)) ) (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) ) (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) ) - (net N_562 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef A (instanceRef Ready3_0_a4_0_a2)) - (portRef A (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_12 "FS[12]") (joined - (portRef (member fs 3)) - (portRef B (instanceRef wb_dati_7_0_a2_3)) - (portRef B (instanceRef wb_dati_7_0_a2_4)) - (portRef A (instanceRef wb_dati_7_0_a3_2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_1_4)) - (portRef A (instanceRef wb_dati_7_0_o2_7)) - (portRef C (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef Ready3_0_a4_0_a2_5)) - (portRef B (instanceRef wb_adr_RNO_3_1)) - (portRef B (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef B (instanceRef wb_req_RNO)) - (portRef D (instanceRef wb_we_RNO_1)) - (portRef A (instanceRef wb_dati_7_0_a2_2_1)) - (portRef C (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_adr_7_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_a2_1)) - (portRef A (instanceRef wb_dati_7_0_a2_7)) - (portRef D (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef B (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef B (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_11 "FS[11]") (joined - (portRef (member fs 4)) - (portRef B (instanceRef Ready3_0_a4_0_a3_1)) - (portRef B (instanceRef wb_dati_7_0_a3_4)) - (portRef B (instanceRef wb_adr_7_0_o2_0)) - (portRef B (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef C (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef D (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef C (instanceRef wb_adr_7_5_41_0_o2)) - (portRef A (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef wb_adr_7_0_o2_1_0)) - (portRef C (instanceRef RA_33_6_101_i_0_a2)) - (portRef C (instanceRef wb_dati_7_0_o2_1)) - (portRef A (instanceRef wb_req_RNO)) - (portRef D (instanceRef wb_dati_7_0_o2_4)) - (portRef D (instanceRef wb_dati_7_0_o2_3)) - (portRef C (instanceRef wb_dati_7_0_a2_3_4)) - (portRef B (instanceRef wb_adr_7_0_a2_6_0)) - (portRef A (instanceRef wb_adr_7_0_5_0)) - (portRef C (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_a3_5_1)) - (portRef B (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef C (instanceRef wb_adr_7_0_4_RNO_0)) - (portRef C (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_13 "FS[13]") (joined - (portRef (member fs 2)) - (portRef D (instanceRef wb_dati_7_0_a2_3)) - (portRef D (instanceRef wb_dati_7_0_a2_4)) - (portRef C (instanceRef wb_dati_7_0_a3_2_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1_4)) - (portRef B (instanceRef wb_dati_7_0_o2_7)) - (portRef D (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef Ready3_0_a4_0_a2_5)) - (portRef C (instanceRef wb_adr_RNO_3_1)) - (portRef D (instanceRef wb_adr_7_0_o2_1_0)) - (portRef C (instanceRef RA_33_4_137_i_0_a2)) - (portRef D (instanceRef wb_dati_7_0_o2_1)) - (portRef C (instanceRef wb_req_RNO)) - (portRef B (instanceRef wb_dati_7_0_a2_2_1)) - (portRef A (instanceRef wb_we_RNO)) - (portRef B (instanceRef wb_dati_7_0_a2_7)) - (portRef B (instanceRef wb_adr_7_0_5_0)) - (portRef A (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef C (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef A (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef D (instanceRef wb_we_RNO_3)) - )) - (net wb_we_7_iv_0_0_111_i_i_1_1 (joined - (portRef Z (instanceRef wb_we_RNO_3)) - (portRef D (instanceRef wb_we_RNO_2)) + (net (rename S_2 "S[2]") (joined + (portRef (member s 1)) + (portRef A (instanceRef Vout3_0_a2)) + (portRef C (instanceRef RA_33_0_9)) + (portRef B (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef B (instanceRef wb_adr_7_3)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef A (instanceRef VOE_2_0_a2)) + (portRef C (instanceRef wb_adr_RNO_6)) + (portRef C (instanceRef wb_adr_RNO_5)) + (portRef C (instanceRef wb_adr_RNO_4)) + (portRef C (instanceRef wb_adr_RNO_0_1)) + (portRef C (instanceRef wb_reqc_2)) + (portRef C (instanceRef RA_33_2_173_a2_0)) + (portRef B (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef C (instanceRef RA_33_1_0_tz_10)) + (portRef C (instanceRef RA_33_2_173_0_a2)) + (portRef C (instanceRef S_0_i_x2_2)) + (portRef C (instanceRef RAT_2_0_a2_0_a2)) + (portRef C (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef C (instanceRef un11_S_0_a2)) + (portRef C (instanceRef un9_VOE_0_a2)) + (portRef B (instanceRef wb_adr_RNO_7)) + (portRef B (instanceRef wb_adr_RNO_2)) + (portRef D (instanceRef RA_33_1_a2_3_10)) + (portRef C (instanceRef S_0_i_x2_3)) + (portRef C (instanceRef N_347_i)) + (portRef C (instanceRef N_346_i)) + (portRef C (instanceRef wb_we_RNO_2)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef C (instanceRef CKE_7s2_0_o2)) + (portRef C (instanceRef un1_CKE48_i)) + (portRef C (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef wb_dati_7_0)) + (portRef A (instanceRef wb_dati_7_1)) + (portRef A (instanceRef wb_dati_7_6)) + (portRef A (instanceRef wb_dati_7_5)) + (portRef A (instanceRef wb_dati_7_4)) + (portRef A (instanceRef wb_dati_7_3)) + (portRef A (instanceRef wb_dati_7_2)) + (portRef A (instanceRef wb_dati_7_7)) + (portRef B (instanceRef wb_req_RNO_0)) + (portRef C (instanceRef CKE_0_RNO)) + (portRef B (instanceRef S_1_RNI29JH1)) + (portRef A (instanceRef RA_33_0_o2_11)) + (portRef C (instanceRef CKE_7s2_0_RNO)) + (portRef C (instanceRef un6_DOEEN_0_a2_0_a2)) + (portRef A (instanceRef wb_rst13_0_a2)) + (portRef A (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_1 "S[1]") (joined (portRef (member s 2)) - (portRef B (instanceRef Vout3_0_a2_1_a2)) - (portRef A (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef CKE_7s2_0_0_1)) - (portRef B (instanceRef S_0_i_0_o3_1)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef C (instanceRef wb_reqc_2)) - (portRef C (instanceRef nRWE_0_i_o3_0)) - (portRef B (instanceRef RA_33_2_173_1_a2)) - (portRef A (instanceRef nRAS_0_i_a2_0_2)) - (portRef B (instanceRef S_0_0_0_a2_0)) - (portRef B (instanceRef N_117_i)) - (portRef B (instanceRef N_389_i)) - (portRef B (instanceRef un1_CKE48_0_i)) - (portRef B (instanceRef CKE_7s2_0_0_o2)) - (portRef B (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef RA_33_9_47_i_0_0)) - (portRef D (instanceRef RA_33_8_65_i_0_0_0)) + (portRef B (instanceRef Vout3_0_a2)) + (portRef A (instanceRef RDOE_2_0_a5)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef B (instanceRef RA_33_1_0_tz_10)) + (portRef B (instanceRef RA_33_2_173_0_a2)) + (portRef B (instanceRef S_0_i_x2_2)) + (portRef B (instanceRef RAT_2_0_a2_0_a2)) + (portRef B (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef B (instanceRef un11_S_0_a2)) + (portRef B (instanceRef un9_VOE_0_a2)) + (portRef B (instanceRef S_0_i_x2_3)) + (portRef B (instanceRef N_347_i)) + (portRef B (instanceRef N_346_i)) + (portRef C (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef C (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef C (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef C (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef B (instanceRef CKE_7s2_0_o2)) + (portRef C (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef D (instanceRef RA_33_8_65_i_0_0)) (portRef D (instanceRef RA_33_10_29_i_0_0)) - (portRef D (instanceRef S_0_0_0_0)) - (portRef D (instanceRef nRWE_0_i_o3_RNI7PQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNI4MQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNI1JQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNIUFQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNIRCQK3)) - (portRef C (instanceRef N_243_i)) - (portRef C (instanceRef N_229_i)) - (portRef C (instanceRef N_215_i)) - (portRef A (instanceRef N_430_i)) - (portRef B (instanceRef wb_req_RNO_0)) - (portRef C (instanceRef N_425_i)) - (portRef A (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef A (instanceRef RAT_2_0_a2)) - (portRef C (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef A (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef B (instanceRef RA_33_0_o2_9)) - (portRef B (instanceRef RA_33_1_1_RNO_10)) - (portRef A (instanceRef un9_VOE_0_a2_0_a2)) - (portRef A (instanceRef nRAS_0_i_a3)) - )) - (net N_427 (joined - (portRef Z (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef D (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef D (instanceRef RA_33_6_101_i_0_a2)) - (portRef D (instanceRef RA_33_7_83_i_0_a2)) - (portRef D (instanceRef RA_33_3_155_i_0_a2)) - (portRef D (instanceRef RA_33_4_137_i_0_a2)) - (portRef B (instanceRef nRAS_0_i_1_RNO)) - (portRef B (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef RA_33_9_47_i_0_0)) - (portRef C (instanceRef RA_33_8_65_i_0_0_0)) - (portRef C (instanceRef RA_33_10_29_i_0_0)) - (portRef A (instanceRef N_243_i)) - (portRef A (instanceRef N_229_i)) - (portRef A (instanceRef N_215_i)) - (portRef B (instanceRef N_430_i)) + (portRef D (instanceRef RA_33_9_47_i_0_0)) + (portRef C (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef B (instanceRef un1_CKE48_i)) + (portRef D (instanceRef N_312_i)) + (portRef D (instanceRef N_298_i)) + (portRef D (instanceRef N_284_i)) + (portRef D (instanceRef N_270_i)) + (portRef D (instanceRef N_256_i)) + (portRef C (instanceRef N_242_i)) + (portRef C (instanceRef N_228_i)) + (portRef C (instanceRef N_214_i)) + (portRef CD (instanceRef wb_req)) (portRef C (instanceRef wb_req_RNO_0)) - (portRef D (instanceRef N_425_i)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef B (instanceRef nRAS_0_i_a3)) - )) - (net (rename FS_0 "FS[0]") (joined - (portRef (member fs 15)) - (portRef A (instanceRef Ready3_0_a4_0_a2_3)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef A (instanceRef wb_cyc_stb_RNO_0)) - (portRef B (instanceRef N_425_i)) - (portRef C (instanceRef nRAS_0_i_a3)) - )) - (net (rename FS_15 "FS[15]") (joined - (portRef (member fs 0)) - (portRef B (instanceRef wb_dati_7_0_a3_3_1)) - (portRef C (instanceRef wb_dati_7_0_a3_1_1)) - (portRef B (instanceRef wb_rst6)) - (portRef D (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef A (instanceRef N_443_i)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef B (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef A (instanceRef wb_req_RNO_0)) - (portRef A (instanceRef N_425_i)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef D (instanceRef nRAS_0_i_a3)) - )) - (net N_554 (joined - (portRef Z (instanceRef nRAS_0_i_a3)) - (portRef B (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) - (portRef A (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef A (instanceRef nCAS_0_i_0_RNISBOI3)) - )) - (net (rename FS_9 "FS[9]") (joined - (portRef (member fs 6)) - (portRef C (instanceRef Ready3_0_a4_0_a3_1)) - (portRef B (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef B (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef B (instanceRef wb_adr_RNO_2_1)) - (portRef B (instanceRef wb_adr_7_5_41_0_o2)) - (portRef D (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef B (instanceRef wb_adr_7_0_o2_1_0)) - (portRef A (instanceRef wb_dati_7_0_o2_1)) - (portRef B (instanceRef wb_we_RNO_1)) - (portRef B (instanceRef wb_dati_7_0_o2_4)) - (portRef B (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_dati_7_0_a2_1_7)) - (portRef A (instanceRef wb_dati_7_0_a3_0_0)) - (portRef B (instanceRef wb_dati_7_0_a2_3_4)) - (portRef A (instanceRef wb_adr_7_0_a2_6_0)) - (portRef A (instanceRef wb_dati_7_0_RNO_7)) - (portRef A (instanceRef wb_dati_7_0_a3_5_1)) - (portRef A (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename FS_8 "FS[8]") (joined - (portRef (member fs 7)) - (portRef A (instanceRef wb_dati_7_0_a3_3_1)) - (portRef D (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef A (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef A (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef A (instanceRef wb_adr_RNO_2_1)) - (portRef A (instanceRef wb_adr_7_5_41_0_o2)) - (portRef D (instanceRef RA_33_9_47_i_0_o2)) - (portRef A (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef A (instanceRef wb_adr_7_0_o2_1_0)) - (portRef A (instanceRef wb_we_RNO_1)) - (portRef A (instanceRef wb_dati_7_0_o2_4)) - (portRef A (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_dati_7_0_a2_3_4)) - (portRef B (instanceRef wb_dati_7_0_a3_5_1)) - (portRef A (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef B (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename FS_10 "FS[10]") (joined - (portRef (member fs 5)) - (portRef A (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef wb_dati_7_0_a3_4)) - (portRef A (instanceRef wb_adr_7_0_o2_0)) - (portRef A (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef A (instanceRef wb_adr_RNO_3_1)) - (portRef C (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef C (instanceRef wb_adr_RNO_2_1)) - (portRef C (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef wb_dati_7_0_o2_1)) - (portRef C (instanceRef wb_we_RNO_1)) - (portRef C (instanceRef wb_dati_7_0_o2_4)) - (portRef C (instanceRef wb_dati_7_0_o2_3)) - (portRef B (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_dati_7_0_1)) - (portRef A (instanceRef wb_dati_7_0_6)) - (portRef A (instanceRef wb_adr_7_0_0)) - (portRef D (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef D (instanceRef wb_dati_7_0_a3_5_1)) - (portRef C (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename wb_adr_7_0_a2_1_0_0 "wb_adr_7_0_a2_1_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_4_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename wb_adr_7_0_a2_2_0_0 "wb_adr_7_0_a2_2_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_1_0)) + (portRef D (instanceRef CKE_0_RNO)) + (portRef C (instanceRef S_1_RNI29JH1)) + (portRef B (instanceRef RA_33_0_o2_11)) + (portRef D (instanceRef CKE_7s2_0_RNO)) + (portRef D (instanceRef un6_DOEEN_0_a2_0_a2)) + (portRef B (instanceRef wb_rst13_0_a2)) + (portRef B (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_3 "S[3]") (joined (portRef (member s 0)) - (portRef C (instanceRef Vout3_0_a2_1_a2)) - (portRef C (instanceRef CKE_7s2_0_0)) - (portRef B (instanceRef S_0_i_0_o2_1)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef B (instanceRef nRWE_0_i_o3)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) + (portRef C (instanceRef Vout3_0_a2)) + (portRef D (instanceRef nCAS_0_RNO_1)) + (portRef B (instanceRef CKE48_0_o2)) + (portRef B (instanceRef VOE_2_0_a2)) (portRef B (instanceRef LEDEN_RNO)) (portRef B (instanceRef RWMask_RNO_7)) (portRef D (instanceRef wb_reqc_2)) - (portRef D (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) + (portRef D (instanceRef RA_33_2_173_a2_0)) + (portRef C (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef D (instanceRef RAT_2_0_a2_0_a2)) + (portRef D (instanceRef DQML_4_iv_0_17)) + (portRef D (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef D (instanceRef un11_S_0_a2)) + (portRef D (instanceRef un9_VOE_0_a2)) (portRef B (instanceRef RWMask_RNO_6)) (portRef B (instanceRef RWMask_RNO_5)) (portRef B (instanceRef RWMask_RNO_4)) @@ -1974,469 +1803,296 @@ (portRef B (instanceRef RWMask_RNO_2)) (portRef B (instanceRef RWMask_RNO_1)) (portRef B (instanceRef RWMask_RNO_0)) - (portRef B (instanceRef nRAS_0_i_a2_0_2)) - (portRef D (instanceRef RA_33_1_a2_3_10)) - (portRef D (instanceRef S_0_0_0_a2_0)) - (portRef D (instanceRef N_117_i)) - (portRef D (instanceRef N_389_i)) - (portRef D (instanceRef N_443_i)) - (portRef D (instanceRef un1_CKE48_0_i)) - (portRef D (instanceRef CKE_7s2_0_0_o2)) - (portRef D (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef S_1_RNIP23H1)) + (portRef D (instanceRef S_0_i_x2_3)) + (portRef D (instanceRef N_347_i)) + (portRef D (instanceRef N_346_i)) + (portRef D (instanceRef N_194_i)) + (portRef C (instanceRef RA_33_1_a2_10)) + (portRef D (instanceRef nRAS_0_i_0_tz)) + (portRef D (instanceRef CKE_7s2_0_o2)) (portRef B (instanceRef wb_cyc_stb_RNO)) - (portRef D (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef D (instanceRef wb_we_RNO_0)) - (portRef D (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef C (instanceRef RAT_2_0_a2)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef D (instanceRef un1_CKE48_i)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef A (instanceRef CKE_0_RNO)) + (portRef C (instanceRef RA_33_0_o2_11)) + (portRef A (instanceRef CKE_7s2_0_RNO)) (portRef A (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef C (instanceRef RA_33_0_o2_9)) - (portRef C (instanceRef nRWE_0_i_0_RNO)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef B (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef C (instanceRef un9_VOE_0_a2_0_a2)) - (portRef C (instanceRef RA_33_2_173_a2_2)) - (portRef A (instanceRef nCAS_0_i_a2_1_1)) + (portRef C (instanceRef wb_rst13_0_a2)) + (portRef C (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_0 "S[0]") (joined (portRef (member s 3)) - (portRef D (instanceRef Vout3_0_a2_1_a2)) - (portRef B (instanceRef CKE_7s2_0_0)) - (portRef A (instanceRef CKE_7s2_0_0_1)) - (portRef B (instanceRef BA_3_0)) + (portRef D (instanceRef Vout3_0_a2)) + (portRef B (instanceRef nCAS_0_RNO)) + (portRef A (instanceRef CKE48_0_o2)) (portRef B (instanceRef BA_3_1)) - (portRef A (instanceRef S_0_i_0_o3_1)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef A (instanceRef nRWE_0_i_o3)) - (portRef A (instanceRef nRAS_0_i_a2_0_1)) + (portRef B (instanceRef BA_3_0)) (portRef B (instanceRef wb_reqc_2)) - (portRef C (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef A (instanceRef S_0_0_0_a2_0)) - (portRef A (instanceRef N_117_i)) - (portRef A (instanceRef N_389_i)) - (portRef C (instanceRef N_443_i)) - (portRef A (instanceRef un1_CKE48_0_i)) - (portRef D (instanceRef nCAS_0_i_0)) - (portRef B (instanceRef RAT_2_0_a2)) - (portRef D (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef D (instanceRef RA_33_0_o2_9)) - (portRef D (instanceRef nRWE_0_i_0_RNO)) - (portRef C (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef C (instanceRef RA_33_1_1_RNO_10)) - (portRef B (instanceRef un9_VOE_0_a2_0_a2)) - (portRef A (instanceRef RA_33_2_173_a2_2)) - (portRef B (instanceRef nCAS_0_i_a2_1_1)) - )) - (net (rename S_2 "S[2]") (joined - (portRef (member s 1)) - (portRef A (instanceRef Vout3_0_a2_1_a2)) - (portRef C (instanceRef CKE_7s2_0_0_1)) - (portRef C (instanceRef wb_we_RNO_2)) - (portRef B (instanceRef nCAS_0_i_a2_1_0)) - (portRef A (instanceRef S_0_i_0_o2_1)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) - (portRef B (instanceRef wb_adr_7_3)) - (portRef B (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef C (instanceRef wb_adr_RNO_6)) - (portRef C (instanceRef wb_adr_RNO_5)) - (portRef C (instanceRef wb_adr_RNO_4)) - (portRef B (instanceRef nRAS_0_i_a2_0_1)) - (portRef C (instanceRef RA_33_2_173_1_a2)) - (portRef B (instanceRef wb_adr_RNO_7)) - (portRef B (instanceRef wb_adr_RNO_2)) - (portRef C (instanceRef RA_33_1_a2_3_10)) - (portRef C (instanceRef S_0_0_0_a2_0)) - (portRef C (instanceRef N_117_i)) - (portRef C (instanceRef N_389_i)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef C (instanceRef un1_CKE48_0_i)) - (portRef C (instanceRef CKE_7s2_0_0_o2)) - (portRef C (instanceRef CKE_7_m1_i_a2)) - (portRef C (instanceRef S_1_RNIP23H1)) - (portRef C (instanceRef wb_adr_RNO_1)) - (portRef C (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef wb_dati_7_0_0_7)) - (portRef C (instanceRef wb_adr_7_0_2_0)) - (portRef C (instanceRef wb_dati_7_0_0_4)) - (portRef C (instanceRef wb_dati_7_0_1_1)) - (portRef C (instanceRef wb_dati_7_0_1_6)) - (portRef B (instanceRef wb_dati_7_0_3)) - (portRef B (instanceRef wb_dati_7_0_0)) - (portRef B (instanceRef wb_dati_7_0_2)) - (portRef B (instanceRef wb_dati_7_0_5)) - (portRef CD (instanceRef wb_req)) - (portRef A (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef C (instanceRef wb_we_RNO_0)) - (portRef C (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef C (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef C (instanceRef RA_33_1_RNO_10)) - (portRef D (instanceRef RAT_2_0_a2)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef B (instanceRef RA_33_2_173_a2_0)) + (portRef A (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef A (instanceRef RA_33_1_0_tz_10)) + (portRef A (instanceRef S_0_i_x2_2)) + (portRef A (instanceRef RAT_2_0_a2_0_a2)) + (portRef C (instanceRef DQML_4_iv_0_17)) + (portRef A (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef A (instanceRef un11_S_0_a2)) + (portRef A (instanceRef un9_VOE_0_a2)) + (portRef A (instanceRef S_0_i_x2_3)) + (portRef A (instanceRef N_347_i)) + (portRef A (instanceRef N_346_i)) + (portRef C (instanceRef N_194_i)) + (portRef B (instanceRef RA_33_1_a2_10)) + (portRef B (instanceRef S_0_0_0)) + (portRef B (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef A (instanceRef un1_CKE48_i)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef D (instanceRef RA_33_0_o2_11)) + (portRef B (instanceRef CKE_7s2_0_RNO)) (portRef B (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef A (instanceRef RA_33_0_o2_9)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef A (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef A (instanceRef RA_33_1_1_RNO_10)) - (portRef D (instanceRef un9_VOE_0_a2_0_a2)) - (portRef D (instanceRef RA_33_2_173_a2_2)) - (portRef C (instanceRef nCAS_0_i_a2_1_1)) + (portRef D (instanceRef wb_rst13_0_a2)) + (portRef D (instanceRef nRAS_0_i_a3_1_0)) )) - (net RefReq (joined - (portRef RefReq) - (portRef A (instanceRef nCAS_0_i_a2_1_0)) - (portRef A (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef nCAS_0_i_a2_1_1)) + (net nRAS_0_i_a3_1_0 (joined + (portRef Z (instanceRef nRAS_0_i_a3_1_0)) + (portRef C (instanceRef nRAS_0_i_208_tz)) )) - (net nCAS_0_i_a2_1_1 (joined - (portRef Z (instanceRef nCAS_0_i_a2_1_1)) - (portRef C (instanceRef nCAS_0_i_0_RNISBOI3)) - )) - (net (rename RWBank_7 "RWBank[7]") (joined - (portRef (member rwbank 0)) - (portRef B (instanceRef RA_33_2_173_a2_2)) - )) - (net N_512 (joined - (portRef Z (instanceRef RA_33_2_173_a2_2)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - )) - (net (rename un9_VOE_0_a2_0_a2_1z "un9_VOE_0_a2_0_a2") (joined - (portRef Z (instanceRef un9_VOE_0_a2_0_a2)) - (portRef un9_VOE_0_a2_0_a2_1z) - )) - (net N_301 (joined - (portRef Z (instanceRef RA_33_1_1_RNO_10)) - (portRef A (instanceRef RA_33_1_1_10)) - )) - (net N_188 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef A (instanceRef RA_33_1_a2_3_10)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef B (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef C (instanceRef RA_33_1_1_RNO_0_10)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef N_188) - )) - (net N_550 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef C (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - (portRef B (instanceRef RA_33_0_11)) - )) - (net N_556 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_5_1)) - (portRef D (instanceRef wb_dati_7_0_a2_2_1)) - (portRef B (instanceRef wb_dati_7_0_0_4)) - (portRef A (instanceRef wb_dati_7_0_o2_RNO_2)) - )) - (net N_347_2 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_a2_4_0_7)) - )) - (net N_240 (joined - (portRef Z (instanceRef nRWE_0_i_o3_0)) - (portRef A (instanceRef nRAS_0_i_1_RNO)) - (portRef A (instanceRef nRWE_0_i_0_RNO)) - )) - (net (rename FS_2 "FS[2]") (joined - (portRef (member fs 13)) - (portRef B (instanceRef Ready3_0_a4_0_a2_4)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef B (instanceRef nRAS_0_i_1_RNO_0)) - (portRef B (instanceRef nCAS_0_i_o2)) - (portRef B (instanceRef nRWE_0_i_0_RNO)) - )) - (net nRWE_0_i_a2_1 (joined - (portRef Z (instanceRef nRWE_0_i_0_RNO)) - (portRef D (instanceRef nRWE_0_i_0)) - )) - (net N_230 (joined - (portRef Z (instanceRef RA_33_0_o2_9)) - (portRef A (instanceRef RA_33_0_9)) - (portRef A (instanceRef RA_33_0_11)) - )) - (net N_426 (joined - (portRef Z (instanceRef nRWE_0_i_o3)) - (portRef D (instanceRef nRWE_0_i_a2_1_1)) - (portRef D (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef D (instanceRef RA_33_1_RNO_10)) - (portRef C (instanceRef nRWE_0_i_o3_RNIP8E61)) - )) - (net nRWE_0_i_o3_RNIP8E61 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef C (instanceRef wb_dati_7_0_a3_3_1)) - (portRef B (instanceRef wb_dati_7_0_a3_1_1)) - (portRef B (instanceRef nCAS_0_i_0_RNO)) + (net wb_rst13 (joined + (portRef Z (instanceRef wb_rst13_0_a2)) + (portRef C (instanceRef nCAS_0_sqmuxa)) + (portRef C (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef D (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef D (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef C (instanceRef S_0_0_0)) + (portRef D (instanceRef nRWE_0_i_a3)) + (portRef D (instanceRef nRAS_0_i_1)) + (portRef D (instanceRef wb_cyc_stb_RNO_0)) + (portRef D (instanceRef nCAS_0)) (portRef B (instanceRef CKE_0)) - (portRef CD (instanceRef wb_rst)) - (portRef C (instanceRef nRWE_0_i_o3_RNIR47H1)) + (portRef D (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef D (instanceRef wb_rst)) + (portRef C (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef C (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef wb_rst13) )) (net (rename un6_DOEEN_0_a2_0_a2_1z "un6_DOEEN_0_a2_0_a2") (joined (portRef Z (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2)) - (portRef D (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef B (instanceRef CS6_RNIL59G2)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef D (instanceRef CS12_RNI2SPL2)) + (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) (portRef un6_DOEEN_0_a2_0_a2_1z) )) + (net CKE_7s2_0_0 (joined + (portRef Z (instanceRef CKE_7s2_0_RNO)) + (portRef C (instanceRef CKE_7s2_0)) + )) + (net N_62 (joined + (portRef Z (instanceRef RA_33_0_o2_11)) + (portRef A (instanceRef RA_33_0_1_9)) + (portRef A (instanceRef RA_33_0_11)) + )) + (net (rename SZ0Z_1 "S_1") (joined + (portRef Z (instanceRef S_1)) + (portRef A (instanceRef S_0_0_0)) + (portRef D (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef D (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef A (instanceRef S_1_RNI29JH1)) + )) + (net N_60_2 (joined + (portRef Z (instanceRef CKE48_0_o2)) + (portRef B (instanceRef RA_33_0_9)) + (portRef C (instanceRef RA_33_1_a2_3_10)) + (portRef D (instanceRef wb_req_RNO_0)) + (portRef D (instanceRef S_1_RNI29JH1)) + )) + (net N_469 (joined + (portRef Z (instanceRef S_1_RNI29JH1)) + (portRef A (instanceRef un11_S_0_a2_RNIT9FP2)) + )) + (net RefReq (joined + (portRef RefReq) + (portRef C (instanceRef nCAS_0_RNO_1)) + (portRef C (instanceRef nRAS_0_i_0_tz)) + (portRef B (instanceRef CKE_0_RNO)) + )) + (net N_101 (joined + (portRef Z (instanceRef CKE_0_RNO)) + (portRef C (instanceRef CKE_0)) + )) (net RWSel (joined (portRef RWSel) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef B (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef D (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef N_351_i)) - (portRef D (instanceRef N_350_i)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2)) - (portRef C (instanceRef CS6_RNIL59G2)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef B (instanceRef CmdTout_3_0)) + (portRef C (instanceRef CmdTout_3_1)) + (portRef D (instanceRef CmdTout_3_2)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef C (instanceRef CS12_RNI2SPL2)) + (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + )) + (net N_503 (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef B (instanceRef nCAS_0_RNO_1)) + (portRef A (instanceRef RA_33_1_a2_10)) + (portRef B (instanceRef nRAS_0_i_0_tz)) + (portRef D (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) )) (net RWBank14 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef B (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) - (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - (portRef B (instanceRef un1_CS_0_sqmuxa_i_a2_0)) + (portRef A (instanceRef CS_0_sqmuxa_0)) (portRef SP (instanceRef CmdBitbangMXO2)) (portRef SP (instanceRef CmdExecMXO2)) (portRef RWBank14) )) - (net (rename RWBankZ0Z_3 "RWBank[3]") (joined - (portRef (member rwbank 4)) - (portRef A (instanceRef RA_33_1_1_RNO_0_10)) + (net (rename FS_11 "FS[11]") (joined + (portRef (member fs 4)) + (portRef A (instanceRef wb_adr_7_i_0_1_0)) + (portRef D (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef D (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef B (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef A (instanceRef wb_we_RNO_3)) + (portRef C (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef RA_33_6_101_i_0_a3)) + (portRef B (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_dati_cnst_7_0__m23)) + (portRef A (instanceRef wb_dati_cnst_7_0__m20)) + (portRef A (instanceRef wb_dati_cnst_7_0__m7)) + (portRef A (instanceRef wb_dati_cnst_7_0__m2)) + (portRef B (instanceRef wb_dati_cnst_7_0__m41)) + (portRef B (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef B (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef A (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net N_530 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) - (portRef B (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef RA_33_1_1_RNO_0_10)) - (portRef N_530) + (net N_462 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5)) + (portRef C (instanceRef wb_adr_7_i_0_1_0)) + (portRef D (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef D (instanceRef Ready3_0_a3_7)) + (portRef D (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef B (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net N_487 (joined - (portRef Z (instanceRef RA_33_1_1_RNO_0_10)) - (portRef B (instanceRef RA_33_1_1_10)) + (net (rename FS_10 "FS[10]") (joined + (portRef (member fs 5)) + (portRef C (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef C (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef A (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef C (instanceRef RA_33_7_83_i_0_a3)) + (portRef A (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef C (instanceRef wb_dati_cnst_7_0__m10)) + (portRef C (instanceRef wb_dati_cnst_7_0__m48)) + (portRef C (instanceRef wb_dati_cnst_7_0__m17)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m38)) + (portRef A (instanceRef wb_dati_cnst_7_0__m26)) + (portRef C (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef C (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m45)) + (portRef C (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef C (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m35_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m31_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m31_am)) + (portRef C (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef C (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net RAT_2 (joined - (portRef Z (instanceRef RAT_2_0_a2)) - (portRef D (instanceRef nCAS_0_i_0_RNO)) - (portRef B (instanceRef nRWE_0_i_0)) - (portRef C (instanceRef RA_33_1_10)) + (net N_556 (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) + (portRef D (instanceRef wb_adr_7_i_a5_1_0_0)) )) - (net N_527 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net (rename FS_13 "FS[13]") (joined + (portRef (member fs 2)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef wb_adr_7_i_0_1_0)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m27)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef B (instanceRef Ready3_0_a3_7)) + (portRef C (instanceRef wb_we_RNO_3)) + (portRef D (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef RA_33_4_137_i_0_a3)) + (portRef C (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_we_RNO)) + (portRef B (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m11)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m18)) + (portRef A (instanceRef wb_dati_cnst_7_0__m39)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m35)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m31)) + (portRef A (instanceRef wb_dati_cnst_7_0__m49)) + (portRef D (instanceRef Ready3_5_0_i_o5_RNISUVB)) )) - (net (rename FS_4 "FS[4]") (joined - (portRef (member fs 11)) - (portRef B (instanceRef Ready3_0_a4_0_a2_5)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef C (instanceRef nRAS_0_i_1_RNO_0)) - (portRef B (instanceRef nRWE_0_i_o3_0)) - (portRef B (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef C (instanceRef RA_33_10_29_i_0_o2)) - (portRef B (instanceRef RA_33_9_47_i_0_o2)) - (portRef C (instanceRef nRWE_0_i_a2_1_1)) - (portRef B (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef B (instanceRef RA_33_6_101_i_0_a2)) - (portRef B (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef RA_33_4_137_i_0_a2)) - (portRef D (instanceRef nCAS_0_i_o2)) - (portRef A (instanceRef RA_33_0_0_9)) - (portRef A (instanceRef RA_33_1_RNO_10)) + (net N_496 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef C (instanceRef Ready3_5_0_i_o5_RNI0R091)) )) - (net (rename FS_1 "FS[1]") (joined - (portRef (member fs 14)) - (portRef A (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef A (instanceRef nRAS_0_i_1_RNO_0)) - (portRef A (instanceRef RA_33_10_29_i_0_o2)) - (portRef A (instanceRef nRWE_0_i_a2_1_1)) - (portRef A (instanceRef nCAS_0_i_o2)) - (portRef B (instanceRef RA_33_1_RNO_10)) + (net CmdRWMaskSet (joined + (portRef CmdRWMaskSet) + (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) )) - (net N_486 (joined - (portRef Z (instanceRef RA_33_1_RNO_10)) - (portRef A (instanceRef RA_33_1_10)) + (net N_448 (joined + (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) + (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) )) - (net (rename RA_5 "RA[5]") (joined - (portRef (member ra 6)) - (portRef B (instanceRef nRWE_0_i_o3_RNIPAG81)) - )) - (net N_416 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef B (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net (rename RA_4 "RA[4]") (joined - (portRef (member ra 7)) - (portRef B (instanceRef nRWE_0_i_o3_RNIO9G81)) - )) - (net N_459 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net (rename RA_3 "RA[3]") (joined - (portRef (member ra 8)) - (portRef B (instanceRef RWSel_2_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIN8G81)) - )) - (net N_458 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net (rename RA_7 "RA[7]") (joined - (portRef (member ra 4)) - (portRef B (instanceRef nRWE_0_i_o3_RNIRCG81)) - )) - (net N_452 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net (rename RA_6 "RA[6]") (joined - (portRef (member ra 5)) - (portRef B (instanceRef nRWE_0_i_o3_RNIQBG81)) - )) - (net N_455 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_425_i (joined - (portRef Z (instanceRef N_425_i)) - (portRef C (instanceRef nRAS_0_i_1)) - (portRef A (instanceRef nRAS_0_i_a2_1)) - (portRef A (instanceRef nRWE_0_i_0)) - (portRef A (instanceRef nCAS_0_i_0)) + (net (rename FS_15 "FS[15]") (joined + (portRef (member fs 0)) + (portRef B (instanceRef wb_rst6)) + (portRef A (instanceRef DQML_4_iv_0_17)) + (portRef C (instanceRef Ready3_0_a3_7)) + (portRef B (instanceRef nCAS_0_sqmuxa)) + (portRef A (instanceRef N_194_i)) + (portRef B (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef A (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef A (instanceRef wb_req_RNO_0)) )) (net wb_adr_0_sqmuxa_1_i (joined (portRef Z (instanceRef wb_req_RNO_0)) (portRef SP (instanceRef wb_req)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_1_0 "un1_wb_adr_0_sqmuxa_2_1[0]") (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef A (instanceRef wb_we_RNO_0)) - (portRef A (instanceRef CmdBitbangMXO2_RNIB5O11)) + (net un11_S (joined + (portRef Z (instanceRef un11_S_0_a2)) + (portRef D (instanceRef S_0_0_0)) + (portRef D (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef A (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef A (instanceRef S_0_i_x2_RNIES2D2_2)) )) - (net CmdBitbangMXO2 (joined - (portRef Q (instanceRef CmdBitbangMXO2)) - (portRef B (instanceRef CmdBitbangMXO2_RNIB5O11)) + (net N_76_i (joined + (portRef Z (instanceRef S_0_i_x2_2)) + (portRef B (instanceRef S_0_i_x2_RNIES2D2_2)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_i_0 "un1_wb_adr_0_sqmuxa_2_i[0]") (joined - (portRef Z (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef SP (instanceRef wb_adr_7)) - (portRef SP (instanceRef wb_adr_6)) - (portRef SP (instanceRef wb_adr_5)) - (portRef SP (instanceRef wb_adr_4)) - (portRef SP (instanceRef wb_adr_3)) - (portRef SP (instanceRef wb_adr_2)) - (portRef SP (instanceRef wb_adr_1)) - (portRef SP (instanceRef wb_adr_0)) - (portRef SP (instanceRef wb_dati_7)) - (portRef SP (instanceRef wb_dati_6)) - (portRef SP (instanceRef wb_dati_5)) - (portRef SP (instanceRef wb_dati_4)) - (portRef SP (instanceRef wb_dati_3)) - (portRef SP (instanceRef wb_dati_2)) - (portRef SP (instanceRef wb_dati_1)) - (portRef SP (instanceRef wb_dati_0)) + (net N_459_i (joined + (portRef Z (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef N_459_i) )) - (net CmdExecMXO2 (joined - (portRef Q (instanceRef CmdExecMXO2)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef C (instanceRef wb_cyc_stb_RNO)) - (portRef B (instanceRef wb_we_RNO_0)) + (net N_73_i (joined + (portRef Z (instanceRef S_0_i_x2_3)) + (portRef B (instanceRef S_0_i_x2_RNIFT2D2_3)) )) - (net (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0 "un1_wb_cyc_stb_0_sqmuxa_1_i[0]") (joined - (portRef Z (instanceRef wb_we_RNO_0)) - (portRef SP (instanceRef wb_we)) + (net N_460_i (joined + (portRef Z (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef N_460_i) )) - (net N_528 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_1_1)) - (portRef C (instanceRef wb_dati_7_0_a2_3)) - (portRef C (instanceRef wb_dati_7_0_a2_4)) - (portRef B (instanceRef wb_dati_7_0_a3_2_1)) - (portRef C (instanceRef wb_dati_7_0_a2_2_1)) - (portRef D (instanceRef wb_adr_7_0_a2_4_0)) - (portRef C (instanceRef wb_adr_7_0_1_0)) - (portRef A (instanceRef wb_dati_7_0_0_7)) - (portRef B (instanceRef wb_dati_7_0_o2_RNO_2)) - )) - (net N_547 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef C (instanceRef wb_dati_7_0_o2_2)) - )) - (net (rename FS_14 "FS[14]") (joined - (portRef (member fs 1)) - (portRef D (instanceRef wb_dati_7_0_a3_3_1)) - (portRef A (instanceRef wb_dati_7_0_a3_1_1)) - (portRef A (instanceRef wb_rst6)) - (portRef A (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef B (instanceRef wb_adr_RNO_6)) - (portRef B (instanceRef wb_adr_RNO_5)) - (portRef B (instanceRef wb_adr_RNO_4)) - (portRef C (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef wb_reqc_2)) - (portRef C (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef wb_cyc_stb_RNO_0)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) - (portRef D (instanceRef nRWE_0_i_o3_RNIR47H1)) - )) - (net N_529 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef C (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_0_4)) - (portRef D (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename CS_0 "CS[0]") (joined - (portRef (member cs 2)) - (portRef A (instanceRef un1_CS_4_5)) - (portRef A (instanceRef CmdSetRWBankFFChip6)) - (portRef A (instanceRef CS6_RNO_0)) - (portRef A (instanceRef CS6_0)) - (portRef A (instanceRef CS6_1_RNO)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) - (portRef A (instanceRef CS6_RNIL59G2)) - )) - (net CS6 (joined - (portRef Z (instanceRef CS6)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2)) - (portRef A (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef D (instanceRef CS6_RNIL59G2)) - )) - (net (rename CS6_RNIL59G2_1z "CS6_RNIL59G2") (joined - (portRef Z (instanceRef CS6_RNIL59G2)) - (portRef CS6_RNIL59G2_1z) - )) - (net (rename N_430_i_1z "N_430_i") (joined - (portRef Z (instanceRef N_430_i)) - (portRef N_430_i_1z) - )) - (net N_194 (joined - (portRef Z (instanceRef S_0_i_0_o3_1)) - (portRef B (instanceRef S_1_RNIP23H1)) - (portRef C (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef B (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef N_194) - )) - (net N_301_i (joined - (portRef Z (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef N_301_i) - )) - (net N_92 (joined + (net wb_we_RNO (joined (portRef Z (instanceRef wb_we_RNO)) (portRef D (instanceRef wb_we)) )) + (net N_63 (joined + (portRef Z (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef SP (instanceRef wb_we)) + )) (net C14M_c (joined (portRef C14M_c) (portRef C14M_c (instanceRef ufmefb)) @@ -2476,9 +2132,9 @@ (portRef Q (instanceRef wb_we)) (portRef wb_we (instanceRef ufmefb)) )) - (net wb_rst6 (joined + (net wb_rst6_i (joined (portRef Z (instanceRef wb_rst6)) - (portRef D (instanceRef wb_rst)) + (portRef CD (instanceRef wb_rst)) )) (net wb_rst (joined (portRef Q (instanceRef wb_rst)) @@ -2490,18 +2146,37 @@ )) (net wb_req (joined (portRef Q (instanceRef wb_req)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) + (portRef D (instanceRef un1_FS_33_3)) )) (net (rename wb_dati_7_0 "wb_dati_7[0]") (joined - (portRef Z (instanceRef wb_dati_7_0_0)) + (portRef Z (instanceRef wb_dati_7_0)) (portRef D (instanceRef wb_dati_0)) )) + (net (rename un1_wb_adr_0_sqmuxa_2_i_0_0 "un1_wb_adr_0_sqmuxa_2_i_0[0]") (joined + (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef SP (instanceRef wb_adr_7)) + (portRef SP (instanceRef wb_adr_6)) + (portRef SP (instanceRef wb_adr_5)) + (portRef SP (instanceRef wb_adr_4)) + (portRef SP (instanceRef wb_adr_3)) + (portRef SP (instanceRef wb_adr_2)) + (portRef SP (instanceRef wb_adr_1)) + (portRef SP (instanceRef wb_adr_0)) + (portRef SP (instanceRef wb_dati_7)) + (portRef SP (instanceRef wb_dati_6)) + (portRef SP (instanceRef wb_dati_5)) + (portRef SP (instanceRef wb_dati_4)) + (portRef SP (instanceRef wb_dati_3)) + (portRef SP (instanceRef wb_dati_2)) + (portRef SP (instanceRef wb_dati_1)) + (portRef SP (instanceRef wb_dati_0)) + )) (net (rename wb_dati_0 "wb_dati[0]") (joined (portRef Q (instanceRef wb_dati_0)) (portRef (member wb_dati 7) (instanceRef ufmefb)) )) (net (rename wb_dati_7_1 "wb_dati_7[1]") (joined - (portRef Z (instanceRef wb_dati_7_0_1)) + (portRef Z (instanceRef wb_dati_7_1)) (portRef D (instanceRef wb_dati_1)) )) (net (rename wb_dati_1 "wb_dati[1]") (joined @@ -2509,7 +2184,7 @@ (portRef (member wb_dati 6) (instanceRef ufmefb)) )) (net (rename wb_dati_7_2 "wb_dati_7[2]") (joined - (portRef Z (instanceRef wb_dati_7_0_2)) + (portRef Z (instanceRef wb_dati_7_2)) (portRef D (instanceRef wb_dati_2)) )) (net (rename wb_dati_2 "wb_dati[2]") (joined @@ -2517,7 +2192,7 @@ (portRef (member wb_dati 5) (instanceRef ufmefb)) )) (net (rename wb_dati_7_3 "wb_dati_7[3]") (joined - (portRef Z (instanceRef wb_dati_7_0_3)) + (portRef Z (instanceRef wb_dati_7_3)) (portRef D (instanceRef wb_dati_3)) )) (net (rename wb_dati_3 "wb_dati[3]") (joined @@ -2525,7 +2200,7 @@ (portRef (member wb_dati 4) (instanceRef ufmefb)) )) (net (rename wb_dati_7_4 "wb_dati_7[4]") (joined - (portRef Z (instanceRef wb_dati_7_0_4)) + (portRef Z (instanceRef wb_dati_7_4)) (portRef D (instanceRef wb_dati_4)) )) (net (rename wb_dati_4 "wb_dati[4]") (joined @@ -2533,7 +2208,7 @@ (portRef (member wb_dati 3) (instanceRef ufmefb)) )) (net (rename wb_dati_7_5 "wb_dati_7[5]") (joined - (portRef Z (instanceRef wb_dati_7_0_5)) + (portRef Z (instanceRef wb_dati_7_5)) (portRef D (instanceRef wb_dati_5)) )) (net (rename wb_dati_5 "wb_dati[5]") (joined @@ -2541,7 +2216,7 @@ (portRef (member wb_dati 2) (instanceRef ufmefb)) )) (net (rename wb_dati_7_6 "wb_dati_7[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_6)) + (portRef Z (instanceRef wb_dati_7_6)) (portRef D (instanceRef wb_dati_6)) )) (net (rename wb_dati_6 "wb_dati[6]") (joined @@ -2549,7 +2224,7 @@ (portRef (member wb_dati 1) (instanceRef ufmefb)) )) (net (rename wb_dati_7_7 "wb_dati_7[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_7)) + (portRef Z (instanceRef wb_dati_7_7)) (portRef D (instanceRef wb_dati_7)) )) (net (rename wb_dati_7 "wb_dati[7]") (joined @@ -2560,40 +2235,40 @@ (portRef Z (instanceRef wb_cyc_stb_RNO)) (portRef D (instanceRef wb_cyc_stb)) )) - (net N_111 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net (rename un1_CmdBitbangMXO212_1_i_0 "un1_CmdBitbangMXO212_1_i[0]") (joined + (portRef Z (instanceRef wb_cyc_stb_RNO_0)) (portRef SP (instanceRef wb_cyc_stb)) )) (net wb_cyc_stb (joined (portRef Q (instanceRef wb_cyc_stb)) (portRef wb_cyc_stb (instanceRef ufmefb)) )) - (net (rename wb_adr_7_0 "wb_adr_7[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_0)) + (net N_495_i (joined + (portRef Z (instanceRef wb_adr_RNO_0)) (portRef D (instanceRef wb_adr_0)) )) (net (rename wb_adr_0 "wb_adr[0]") (joined (portRef Q (instanceRef wb_adr_0)) (portRef (member wb_adr 7) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_0)) + (portRef B (instanceRef wb_dati_7_0)) )) - (net (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (joined + (net N_493_i (joined (portRef Z (instanceRef wb_adr_RNO_1)) (portRef D (instanceRef wb_adr_1)) )) (net (rename wb_adr_1 "wb_adr[1]") (joined (portRef Q (instanceRef wb_adr_1)) (portRef (member wb_adr 6) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_1_1)) + (portRef B (instanceRef wb_dati_7_1)) )) - (net N_394_i (joined + (net N_66_i (joined (portRef Z (instanceRef wb_adr_RNO_2)) (portRef D (instanceRef wb_adr_2)) )) (net (rename wb_adr_2 "wb_adr[2]") (joined (portRef Q (instanceRef wb_adr_2)) (portRef (member wb_adr 5) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_2)) + (portRef B (instanceRef wb_dati_7_2)) )) (net (rename wb_adr_7_3 "wb_adr_7[3]") (joined (portRef Z (instanceRef wb_adr_7_3)) @@ -2602,16 +2277,16 @@ (net (rename wb_adr_3 "wb_adr[3]") (joined (portRef Q (instanceRef wb_adr_3)) (portRef (member wb_adr 4) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_3)) + (portRef B (instanceRef wb_dati_7_3)) )) - (net N_110 (joined + (net (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (joined (portRef Z (instanceRef wb_adr_RNO_4)) (portRef D (instanceRef wb_adr_4)) )) (net (rename wb_adr_4 "wb_adr[4]") (joined (portRef Q (instanceRef wb_adr_4)) (portRef (member wb_adr 3) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_0_4)) + (portRef B (instanceRef wb_dati_7_4)) )) (net (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (joined (portRef Z (instanceRef wb_adr_RNO_5)) @@ -2620,7 +2295,7 @@ (net (rename wb_adr_5 "wb_adr[5]") (joined (portRef Q (instanceRef wb_adr_5)) (portRef (member wb_adr 2) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_5)) + (portRef B (instanceRef wb_dati_7_5)) )) (net (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (joined (portRef Z (instanceRef wb_adr_RNO_6)) @@ -2629,22 +2304,22 @@ (net (rename wb_adr_6 "wb_adr[6]") (joined (portRef Q (instanceRef wb_adr_6)) (portRef (member wb_adr 1) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_1_6)) + (portRef B (instanceRef wb_dati_7_6)) )) - (net N_393_i (joined + (net N_494_i (joined (portRef Z (instanceRef wb_adr_RNO_7)) (portRef D (instanceRef wb_adr_7)) )) (net (rename wb_adr_7 "wb_adr[7]") (joined (portRef Q (instanceRef wb_adr_7)) (portRef (member wb_adr 0) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_0_7)) + (portRef B (instanceRef wb_dati_7_7)) )) - (net N_193_i (joined + (net N_82_i (joined (portRef Z (instanceRef RWMask_RNO_0)) (portRef D (instanceRef RWMask_0)) )) - (net N_104 (joined + (net (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (joined (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) (portRef SP (instanceRef RWMask_7)) (portRef SP (instanceRef RWMask_6)) @@ -2659,7 +2334,7 @@ (portRef Q (instanceRef RWMask_0)) (portRef C (instanceRef RWBank_3_0_0)) )) - (net N_398_i (joined + (net N_81_i (joined (portRef Z (instanceRef RWMask_RNO_1)) (portRef D (instanceRef RWMask_1)) )) @@ -2667,7 +2342,7 @@ (portRef Q (instanceRef RWMask_1)) (portRef C (instanceRef RWBank_3_0_1)) )) - (net N_397_i (joined + (net N_364_i (joined (portRef Z (instanceRef RWMask_RNO_2)) (portRef D (instanceRef RWMask_2)) )) @@ -2683,7 +2358,7 @@ (portRef Q (instanceRef RWMask_3)) (portRef C (instanceRef RWBank_3_0_3)) )) - (net N_253_i (joined + (net N_80_i (joined (portRef Z (instanceRef RWMask_RNO_4)) (portRef D (instanceRef RWMask_4)) )) @@ -2691,7 +2366,7 @@ (portRef Q (instanceRef RWMask_4)) (portRef C (instanceRef RWBank_3_0_4)) )) - (net N_268_i (joined + (net N_79_i (joined (portRef Z (instanceRef RWMask_RNO_5)) (portRef D (instanceRef RWMask_5)) )) @@ -2699,7 +2374,7 @@ (portRef Q (instanceRef RWMask_5)) (portRef C (instanceRef RWBank_3_0_5)) )) - (net N_283_i (joined + (net N_363_i (joined (portRef Z (instanceRef RWMask_RNO_6)) (portRef D (instanceRef RWMask_6)) )) @@ -2707,7 +2382,7 @@ (portRef Q (instanceRef RWMask_6)) (portRef C (instanceRef RWBank_3_0_6)) )) - (net N_396 (joined + (net (rename RWMask_RNO_7 "RWMask_RNO[7]") (joined (portRef Z (instanceRef RWMask_RNO_7)) (portRef D (instanceRef RWMask_7)) )) @@ -2719,318 +2394,481 @@ (portRef Z (instanceRef LEDEN_RNO)) (portRef D (instanceRef LEDEN)) )) - (net N_98 (joined + (net (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (joined (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) (portRef SP (instanceRef LEDEN)) )) (net LEDEN (joined (portRef Q (instanceRef LEDEN)) - (portRef A (instanceRef un1_LED_i)) - (portRef D (instanceRef RWBank10)) + (portRef C (instanceRef RWBank10)) + (portRef A (instanceRef LEDEN_RNI6G6M)) )) (net CmdExecMXO2_3 (joined - (portRef Z (instanceRef CmdExecMXO2_3)) + (portRef Z (instanceRef CmdExecMXO2_3_0_a5)) (portRef D (instanceRef CmdExecMXO2)) )) + (net CmdExecMXO2 (joined + (portRef Q (instanceRef CmdExecMXO2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef C (instanceRef wb_cyc_stb_RNO)) + (portRef C (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + )) (net CmdBitbangMXO2_3 (joined - (portRef Z (instanceRef CmdBitbangMXO2_3)) + (portRef Z (instanceRef CmdBitbangMXO2_3_0_a5)) (portRef D (instanceRef CmdBitbangMXO2)) )) + (net CmdBitbangMXO2 (joined + (portRef Q (instanceRef CmdBitbangMXO2)) + (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + )) + (net (rename CS_0 "CS[0]") (joined + (portRef (member cs 2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef A (instanceRef CmdLEDGet_3_0_a2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNIR0921)) + )) (net (rename CS_1 "CS[1]") (joined (portRef (member cs 1)) - (portRef A (instanceRef CS6_RNO)) - (portRef B (instanceRef CmdSetRWBankFFChip6)) - (portRef B (instanceRef CS6_0)) - (portRef B (instanceRef CS6_1_RNO)) - (portRef A (instanceRef CS6_1)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_o2)) + (portRef A (instanceRef CmdSetRWBankFF15_0_a2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) (net (rename CS_2 "CS[2]") (joined (portRef (member cs 0)) - (portRef C (instanceRef CmdSetRWBankFFChip6)) - (portRef B (instanceRef CS6_RNO_0)) - (portRef C (instanceRef CS6_0)) - (portRef A (instanceRef CS6_2)) - (portRef C (instanceRef CS6_1_RNO)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef B (instanceRef CS_0_sqmuxa_0_o2)) + (portRef B (instanceRef CmdSetRWBankFF15_0_a2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef C (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) (net CS_0_sqmuxa (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef D (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef Z (instanceRef CS_0_sqmuxa_0)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef C (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef B (instanceRef CS12_RNI2SPL2)) + (portRef D (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) - (net (rename CS_0_sqmuxa_0_a2_RNID1MT_1z "CS_0_sqmuxa_0_a2_RNID1MT") (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) - (portRef CS_0_sqmuxa_0_a2_RNID1MT_1z) + (net (rename CS_0_sqmuxa_0_RNIR0921_1z "CS_0_sqmuxa_0_RNIR0921") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNIR0921)) + (portRef CS_0_sqmuxa_0_RNIR0921_1z) )) - (net (rename CS_0_sqmuxa_0_a2_RNID8OM_1z "CS_0_sqmuxa_0_a2_RNID8OM") (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef CS_0_sqmuxa_0_a2_RNID8OM_1z) + (net (rename wb_dati_cnst_7 "wb_dati_cnst[7]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m49)) + (portRef C (instanceRef wb_dati_7_7)) )) - (net un1_CS_0_sqmuxa_i_a2_0_a2_0_1 (joined - (portRef Z (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef un1_CS_0_sqmuxa_i_a2_0)) + (net (rename wb_dati_cnst_2 "wb_dati_cnst[2]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m27)) + (portRef C (instanceRef wb_dati_7_5)) + (portRef C (instanceRef wb_dati_7_2)) )) - (net N_148 (joined - (portRef Z (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef N_148) + (net (rename wb_dati_cnst_3 "wb_dati_cnst[3]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31)) + (portRef C (instanceRef wb_dati_7_3)) )) - (net N_537 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_3_4)) - (portRef C (instanceRef wb_adr_7_0_a2_6_0)) - (portRef C (instanceRef wb_dati_7_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_1)) - (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - (portRef C (instanceRef wb_dati_7_0_6)) - (portRef B (instanceRef wb_adr_7_0_0)) + (net (rename wb_dati_cnst_4 "wb_dati_cnst[4]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35)) + (portRef C (instanceRef wb_dati_7_4)) )) - (net (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_4_0)) - (portRef C (instanceRef wb_adr_7_0_0)) + (net CS12 (joined + (portRef Z (instanceRef CS12)) + (portRef A (instanceRef CS12_RNI2SPL2)) )) - (net (rename wb_adr_7_0_5_0 "wb_adr_7_0_5[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_5_0)) - (portRef D (instanceRef wb_adr_7_0_0)) + (net un1_CS_0_sqmuxa_i (joined + (portRef Z (instanceRef CS12_RNI2SPL2)) + (portRef un1_CS_0_sqmuxa_i) )) - (net N_241 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_2)) - (portRef A (instanceRef wb_dati_7_0_2)) - (portRef A (instanceRef wb_dati_7_0_5)) + (net (rename CS_0_sqmuxa_0_RNIR7BR_1z "CS_0_sqmuxa_0_RNIR7BR") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef CS_0_sqmuxa_0_RNIR7BR_1z) )) - (net N_341 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_7)) - (portRef A (instanceRef wb_dati_7_0_7)) + (net (rename wb_dati_cnst_6 "wb_dati_cnst[6]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m39)) + (portRef C (instanceRef wb_dati_7_6)) )) - (net N_344 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_7)) + (net N_46 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45)) + (portRef B (instanceRef wb_dati_cnst_7_0__m49)) )) - (net (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_7)) + (net i4_mux (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m48)) + (portRef C (instanceRef wb_dati_cnst_7_0__m49)) )) - (net (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_0_7)) - (portRef D (instanceRef wb_dati_7_0_7)) + (net (rename wb_dati_cnst_1 "wb_dati_cnst[1]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18)) + (portRef C (instanceRef wb_dati_7_1)) )) - (net N_197 (joined - (portRef Z (instanceRef wb_adr_7_5_41_0_o2)) - (portRef A (instanceRef wb_adr_RNO_1_1)) - (portRef B (instanceRef wb_dati_7_0_1_3)) - (portRef B (instanceRef wb_dati_7_0_1)) - (portRef B (instanceRef wb_dati_7_0_6)) + (net N_26 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m25)) + (portRef C (instanceRef wb_dati_cnst_7_0__m26)) + (portRef B (instanceRef wb_dati_cnst_7_0__m31_am)) )) - (net (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_6)) - (portRef D (instanceRef wb_dati_7_0_6)) + (net N_30 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29)) + (portRef C (instanceRef wb_dati_cnst_7_0__m31_am)) )) - (net N_336 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_3_4)) - (portRef A (instanceRef wb_dati_7_0_4)) + (net m31_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m31)) )) - (net N_435 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4)) - (portRef B (instanceRef wb_dati_7_0_o2_2)) - (portRef B (instanceRef wb_dati_7_0_4)) + (net (rename FS_8 "FS[8]") (joined + (portRef (member fs 7)) + (portRef D (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef A (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef A (instanceRef Ready3_5_0_i_o5)) + (portRef A (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef D (instanceRef RA_33_9_47_i_0_o2)) + (portRef A (instanceRef wb_adr_7_i_a2_0_0)) + (portRef A (instanceRef wb_dati_cnst_7_0__m41)) + (portRef A (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m25)) + (portRef A (instanceRef wb_dati_cnst_7_0__m22_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m10)) + (portRef A (instanceRef wb_dati_cnst_7_0__m48)) + (portRef A (instanceRef wb_dati_cnst_7_0__m17)) + (portRef A (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net N_437 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1_4)) - (portRef B (instanceRef wb_dati_7_0_1_6)) - (portRef C (instanceRef wb_dati_7_0_4)) + (net (rename FS_9 "FS[9]") (joined + (portRef (member fs 6)) + (portRef C (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef B (instanceRef Ready3_5_0_i_o5)) + (portRef B (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef D (instanceRef RA_33_8_65_i_0_o2)) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef B (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m33)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m29)) + (portRef B (instanceRef wb_dati_cnst_7_0__m25)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m22)) + (portRef B (instanceRef wb_dati_cnst_7_0__m10)) + (portRef B (instanceRef wb_dati_cnst_7_0__m48)) + (portRef B (instanceRef wb_dati_cnst_7_0__m17)) + (portRef B (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (joined - (portRef Z (instanceRef wb_dati_7_0_0_4)) - (portRef D (instanceRef wb_dati_7_0_4)) + (net N_8 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m7)) + (portRef D (instanceRef wb_dati_cnst_7_0__m10)) + (portRef D (instanceRef wb_dati_cnst_7_0__m48)) + (portRef D (instanceRef wb_dati_cnst_7_0__m17)) + (portRef C (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net N_352 (joined - (portRef Z (instanceRef CS6_RNO)) - (portRef A (instanceRef CS6)) + (net m31_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m31)) )) - (net CS6_1 (joined - (portRef Z (instanceRef CS6_1)) - (portRef B (instanceRef CS6)) + (net N_23 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22)) + (portRef B (instanceRef wb_dati_cnst_7_0__m26)) + (portRef B (instanceRef wb_dati_cnst_7_0__m35_am)) )) - (net CS6_2 (joined - (portRef Z (instanceRef CS6_2)) - (portRef C (instanceRef CS6)) + (net N_34 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33)) + (portRef C (instanceRef wb_dati_cnst_7_0__m35_am)) )) - (net CS6_182_0_a2_3 (joined - (portRef Z (instanceRef CS6_RNO_0)) - (portRef D (instanceRef CS6)) + (net m35_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m35)) )) - (net nCAS_0_i_0 (joined - (portRef Z (instanceRef nCAS_0_i_0)) - (portRef B (instanceRef nCAS_0_i_0_RNISBOI3)) + (net m35_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m35)) )) - (net N_424_i (joined - (portRef Z (instanceRef nCAS_0_i_0_RNISBOI3)) - (portRef N_424_i) + (net (rename wb_dati_cnst_0 "wb_dati_cnst[0]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11)) + (portRef C (instanceRef wb_dati_7_0)) )) - (net nCAS_0_i_a2_1_0 (joined - (portRef Z (instanceRef nCAS_0_i_a2_1_0)) - (portRef C (instanceRef nRAS_0_i_1_RNI8KIR2)) + (net (rename CS_0_sqmuxa_0_RNISFDK_1z "CS_0_sqmuxa_0_RNISFDK") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef CS_0_sqmuxa_0_RNISFDK_1z) + )) + (net N_11 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m10)) + (portRef B (instanceRef wb_dati_cnst_7_0__m39)) + )) + (net N_39 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38)) + (portRef C (instanceRef wb_dati_cnst_7_0__m39)) + )) + (net N_3_i (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m2)) + (portRef D (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef D (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef D (instanceRef wb_dati_cnst_7_0__m18_am)) + )) + (net m18_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m18)) + )) + (net m18_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m18)) + )) + (net N_42 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m45_am)) + )) + (net m45_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m45)) + )) + (net N_24 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m23)) + (portRef C (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m25)) + (portRef D (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m45_bm)) + )) + (net m45_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m45)) + )) + (net CS_0_sqmuxa_0_a3_2_5 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CS_0_sqmuxa_0)) + )) + (net CS_0_sqmuxa_0_a3_3 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef C (instanceRef CS_0_sqmuxa_0)) + )) + (net CS_0_sqmuxa_0_tz_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_tz_2)) + (portRef D (instanceRef CS_0_sqmuxa_0)) + )) + (net N_508 (joined + (portRef Z (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef wb_adr_RNO_0)) + )) + (net N_542 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef B (instanceRef wb_adr_7_i_0_0)) + (portRef D (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C (instanceRef wb_adr_RNO_1)) + (portRef B (instanceRef wb_adr_RNO_0)) + )) + (net (rename wb_adr_7_i_2_0 "wb_adr_7_i_2[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_2_0)) + (portRef C (instanceRef wb_adr_RNO_0)) + )) + (net (rename wb_adr_7_i_a5_1_0 "wb_adr_7_i_a5_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef D (instanceRef wb_adr_RNO_0)) + )) + (net m11_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m11)) + )) + (net m11_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m11)) + )) + (net N_27 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m26)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m27)) + )) + (net m38_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m38)) + )) + (net m38_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m38)) + )) + (net N_18 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m17)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m27)) + )) + (net nCAS_0_sqmuxa (joined + (portRef Z (instanceRef nCAS_0_sqmuxa)) + (portRef A (instanceRef nRWE_0_i_a3)) + (portRef A (instanceRef nRWE_0_i_0)) + (portRef A (instanceRef nRAS_0_i_1)) + (portRef A (instanceRef nCAS_0)) + (portRef A (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef A (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + )) + (net N_610_tz (joined + (portRef Z (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + )) + (net nRAS_0_i_0_tz (joined + (portRef Z (instanceRef nRAS_0_i_0_tz)) + (portRef C (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) )) (net nRAS_0_i_1 (joined (portRef Z (instanceRef nRAS_0_i_1)) - (portRef D (instanceRef nRAS_0_i_1_RNI8KIR2)) + (portRef D (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) )) - (net N_420_i (joined - (portRef Z (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef N_420_i) - )) - (net N_447 (joined - (portRef Z (instanceRef nRAS_0_i_a2_1)) - (portRef A (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (net N_360_i (joined + (portRef Z (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + (portRef N_360_i) )) (net nRWE_0_i_0 (joined (portRef Z (instanceRef nRWE_0_i_0)) - (portRef C (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (portRef B (instanceRef nRWE_0_i_0_RNIE9LE2)) )) - (net nRWE_0_i_a2_1_1 (joined - (portRef Z (instanceRef nRWE_0_i_a2_1_1)) - (portRef D (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (net nRWE_0_i_a3_0_0 (joined + (portRef Z (instanceRef nRWE_0_i_a3_0_0)) + (portRef C (instanceRef nRWE_0_i_0_RNIE9LE2)) )) - (net N_421_i (joined - (portRef Z (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) - (portRef N_421_i) - )) - (net N_552 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_0_0)) - (portRef A (instanceRef wb_dati_7_0_a2_1_4)) - (portRef D (instanceRef wb_dati_7_0_a2_7)) - (portRef D (instanceRef wb_adr_7_0_5_0)) - (portRef A (instanceRef wb_dati_7_0_0)) - )) - (net (rename wb_dati_7_0_a2_0_0 "wb_dati_7_0_a2_0[0]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef wb_dati_7_0_0)) - )) - (net CmdRWMaskSet (joined - (portRef CmdRWMaskSet) - (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - )) - (net (rename un1_RWMask_0_sqmuxa_1_i_a2_0_0_0 "un1_RWMask_0_sqmuxa_1_i_a2_0_0[0]") (joined - (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - )) - (net N_324 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1)) - (portRef A (instanceRef wb_dati_7_0_1_1)) - (portRef C (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_dati_7_0_o2_2)) - )) - (net N_329 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_3)) - (portRef A (instanceRef wb_dati_7_0_3)) - )) - (net (rename wb_dati_7_0_1_3 "wb_dati_7_0_1[3]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_3)) - (portRef D (instanceRef wb_dati_7_0_3)) - )) - (net (rename wb_dati_7_0_1_1 "wb_dati_7_0_1[1]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_1)) - (portRef D (instanceRef wb_dati_7_0_1)) - )) - (net N_338 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_1_6)) - )) - (net (rename wb_adr_7_0_2_0 "wb_adr_7_0_2[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_2_0)) - (portRef B (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename wb_adr_7_0_a2_0_0_0 "wb_adr_7_0_a2_0_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef wb_adr_7_0_4_0)) + (net N_50_i (joined + (portRef Z (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef N_50_i) )) (net N_501 (joined - (portRef Z (instanceRef wb_adr_7_0_a2_6_0)) - (portRef C (instanceRef wb_adr_7_0_5_0)) - )) - (net CmdLEDSet (joined - (portRef CmdLEDSet) - (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) - )) - (net N_542 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_3_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_a3_0_0)) + (portRef Z (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef B (instanceRef wb_adr_7_i_m2_0)) + (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + (portRef C (instanceRef wb_dati_cnst_7_0__m23)) + (portRef C (instanceRef wb_dati_cnst_7_0__m20)) + (portRef C (instanceRef wb_dati_cnst_7_0__m7)) + (portRef C (instanceRef wb_dati_cnst_7_0__m2)) + (portRef D (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m22_am)) )) - (net (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (joined - (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + (net m22_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m22)) )) - (net N_193 (joined - (portRef Z (instanceRef wb_adr_7_0_o2_0)) - (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_RNO_7)) + (net N_21 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m20)) + (portRef B (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m25)) + (portRef B (instanceRef wb_dati_cnst_7_0__m22_bm)) )) - (net (rename wb_dati_7_0_a2_0_1_7 "wb_dati_7_0_a2_0_1[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef D (instanceRef wb_dati_7_0_RNO_7)) + (net m22_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m22)) )) - (net N_544 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_4)) - (portRef B (instanceRef wb_dati_7_0_a2_1_4)) - (portRef C (instanceRef wb_dati_7_0_a2_7)) + (net (rename FS_12 "FS[12]") (joined + (portRef (member fs 3)) + (portRef A (instanceRef wb_adr_7_i_0_0)) + (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef C (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef wb_we_RNO_3)) + (portRef C (instanceRef wb_we_RNO_0)) + (portRef B (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_we_RNO_1)) + (portRef B (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef B (instanceRef wb_dati_cnst_7_0__m23)) + (portRef B (instanceRef wb_dati_cnst_7_0__m20)) + (portRef B (instanceRef wb_dati_cnst_7_0__m7)) + (portRef B (instanceRef wb_dati_cnst_7_0__m2)) + (portRef A (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m29_am)) )) - (net N_133 (joined - (portRef Z (instanceRef CS6_1_RNO)) - (portRef B (instanceRef CS6_1)) + (net m29_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m29)) )) - (net un1_CS_4_1 (joined - (portRef Z (instanceRef un1_CS_4_1)) - (portRef C (instanceRef CS6_1)) + (net m29_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m29)) )) - (net un1_CS_4_5 (joined - (portRef Z (instanceRef un1_CS_4_5)) - (portRef D (instanceRef CS6_2)) - (portRef D (instanceRef CS6_1)) + (net m33_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m33)) )) - (net N_327 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_2_1)) - (portRef B (instanceRef wb_dati_7_0_1_1)) + (net m33_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m33)) )) - (net (rename Din_c_0 "Din_c[0]") (joined - (portRef (member din_c 7)) - (portRef B (instanceRef un1_CS_6_3)) - (portRef A (instanceRef wb_we_RNO_2)) - (portRef A (instanceRef RDout_0)) - (portRef A (instanceRef LEDEN_RNO)) - (portRef A (instanceRef CS6_RNO_1)) - (portRef A (instanceRef un1_CS_7_1)) - (portRef A (instanceRef RWMask_RNO_0)) - (portRef A (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef A (instanceRef un1_CS_4_7_0_a2)) - (portRef B (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_0)) - (portRef B (instanceRef CmdBitbangMXO2_3)) - (portRef B (instanceRef CmdLEDSet_3_0_a2)) - (portRef A (instanceRef wb_adr_7_0_2_0)) + (net (rename Din_c_1 "Din_c[1]") (joined + (portRef (member din_c 6)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef wb_adr_RNO_0_1)) + (portRef A (instanceRef N_491_i)) + (portRef A (instanceRef RWMask_RNO_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) + (portRef A (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef B (instanceRef CmdExecMXO2_3_0_a5)) + (portRef B (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef B (instanceRef CmdLEDSet_3_0_a5)) + (portRef B (instanceRef CmdLEDGet_3_0_a5)) + (portRef A (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef A (instanceRef CS_0_sqmuxa_0_tz_2)) )) - (net N_499 (joined - (portRef Z (instanceRef wb_adr_7_0_a2_4_0)) - (portRef B (instanceRef wb_adr_7_0_2_0)) + (net N_390 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef B (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef B (instanceRef CS_0_sqmuxa_0_tz_2)) )) - (net (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_1_0)) - (portRef D (instanceRef wb_adr_7_0_2_0)) + (net CS_0_sqmuxa_0_a3_0_3_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) + (portRef C (instanceRef CS_0_sqmuxa_0_tz_2)) + )) + (net CS_0_sqmuxa_0_tz_0 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_tz_0)) + (portRef D (instanceRef CS_0_sqmuxa_0_tz_2)) + )) + (net N_83 (joined + (portRef Z (instanceRef wb_adr_RNO_0_1)) + (portRef A (instanceRef wb_adr_RNO_1)) + )) + (net N_487 (joined + (portRef Z (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef C (instanceRef wb_we_RNO_1)) + (portRef B (instanceRef wb_adr_RNO_1)) + )) + (net N_557 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef A (instanceRef wb_adr_7_i_2_0)) + (portRef D (instanceRef wb_adr_RNO_1)) )) (net CKE_7_sm0 (joined - (portRef Z (instanceRef CKE_7s2_0_0)) + (portRef Z (instanceRef CKE_7s2_0)) (portRef A (instanceRef CKE_0)) )) - (net N_521 (joined - (portRef Z (instanceRef CKE_7_m1_i_a2)) - (portRef C (instanceRef CKE_0)) - )) - (net N_522 (joined - (portRef Z (instanceRef RDOE_2_0_a2)) + (net N_523 (joined + (portRef Z (instanceRef RDOE_2_0_a5)) (portRef A (instanceRef RDOE_2_0)) (portRef D (instanceRef CKE_0)) )) @@ -3038,246 +2876,113 @@ (portRef Z (instanceRef CKE_0)) (portRef CKE_0_1z) )) - (net N_208 (joined - (portRef Z (instanceRef CKE_7s2_0_0_o2)) - (portRef A (instanceRef CKE_7s2_0_0)) - (portRef B (instanceRef nCAS_0_i_0)) + (net (rename wb_adr_7_i_0_0 "wb_adr_7_i_0[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_0)) + (portRef B (instanceRef wb_adr_7_i_2_0)) )) - (net N_585_tz (joined - (portRef Z (instanceRef nCAS_0_i_0_RNO)) - (portRef C (instanceRef nCAS_0_i_0)) + (net (rename wb_adr_7_i_a5_1_1_0 "wb_adr_7_i_a5_1_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef C (instanceRef wb_adr_7_i_2_0)) )) - (net (rename un1_CmdBitbangMXO212_1_i_0_0_0 "un1_CmdBitbangMXO212_1_i_0_0[0]") (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net nCAS_0_192_i_1_0 (joined + (portRef Z (instanceRef nCAS_0_RNO)) + (portRef B (instanceRef nCAS_0)) )) - (net wb_ack (joined - (portRef wb_ack (instanceRef ufmefb)) - (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef D (instanceRef wb_cyc_stb_RNO_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net nCAS_0_192_i_1_tz (joined + (portRef Z (instanceRef nCAS_0_RNO_0)) + (portRef C (instanceRef nCAS_0)) )) - (net N_432 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_7)) - (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_adr_RNO_1_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1_7)) + (net (rename nCAS_0_1z "nCAS_0") (joined + (portRef Z (instanceRef nCAS_0)) + (portRef nCAS_0_1z) )) - (net N_429 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_1)) - (portRef B (instanceRef wb_dati_7_0_a2_1)) - )) - (net (rename wb_dati_7_0_a2_0_6 "wb_dati_7_0_a2_0[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef D (instanceRef wb_dati_7_0_a2_6)) - )) - (net CS6_182_0_a2_0_1 (joined - (portRef Z (instanceRef CS6_1_RNO_0)) - (portRef D (instanceRef CS6_1_RNO)) - )) - (net CS6_0 (joined - (portRef Z (instanceRef CS6_0)) - (portRef B (instanceRef CS6_2)) - )) - (net un1_CS_6_3 (joined - (portRef Z (instanceRef un1_CS_6_3)) - (portRef C (instanceRef CS6_2)) - )) - (net (rename wb_dati_7_0_a2_4_0_7 "wb_dati_7_0_a2_4_0[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef D (instanceRef wb_dati_7_0_0_7)) - )) - (net N_300 (joined - (portRef Z (instanceRef wb_adr_7_0_o2_1_0)) - (portRef B (instanceRef wb_adr_7_0_1_0)) - )) - (net (rename RA_0 "RA[0]") (joined - (portRef (member ra 11)) - (portRef A (instanceRef RWSel_2_0_a2)) - (portRef B (instanceRef N_215_i)) - )) - (net RA_33_10_29_i_0_0 (joined - (portRef Z (instanceRef RA_33_10_29_i_0_0)) - (portRef D (instanceRef N_215_i)) - )) - (net (rename N_215_i_1z "N_215_i") (joined - (portRef Z (instanceRef N_215_i)) - (portRef N_215_i_1z) - )) - (net (rename RA_1 "RA[1]") (joined - (portRef (member ra 10)) - (portRef B (instanceRef N_229_i)) - )) - (net RA_33_9_47_i_0_0 (joined - (portRef Z (instanceRef RA_33_9_47_i_0_0)) - (portRef D (instanceRef N_229_i)) - )) - (net (rename N_229_i_1z "N_229_i") (joined - (portRef Z (instanceRef N_229_i)) - (portRef N_229_i_1z) - )) - (net (rename RA_2 "RA[2]") (joined - (portRef (member ra 9)) - (portRef B (instanceRef N_243_i)) - )) - (net RA_33_8_65_i_0_0_0 (joined - (portRef Z (instanceRef RA_33_8_65_i_0_0_0)) - (portRef D (instanceRef N_243_i)) - )) - (net (rename N_243_i_1z "N_243_i") (joined - (portRef Z (instanceRef N_243_i)) - (portRef N_243_i_1z) - )) - (net (rename Ain_c_3 "Ain_c[3]") (joined - (portRef (member ain_c 4)) - (portRef A (instanceRef un6_RAout_i_m2_3)) - (portRef A (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net N_457 (joined - (portRef Z (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net N_257_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIRCQK3)) - (portRef N_257_i) - )) - (net (rename Ain_c_4 "Ain_c[4]") (joined - (portRef (member ain_c 3)) - (portRef A (instanceRef un6_RAout_i_m2_4)) - (portRef A (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net N_400 (joined - (portRef Z (instanceRef RA_33_6_101_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net N_271_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIUFQK3)) - (portRef N_271_i) - )) - (net (rename Ain_c_5 "Ain_c[5]") (joined - (portRef (member ain_c 2)) - (portRef A (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef A (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net N_468 (joined - (portRef Z (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef C (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net N_285_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI1JQK3)) - (portRef N_285_i) - )) - (net (rename Ain_c_6 "Ain_c[6]") (joined - (portRef (member ain_c 1)) - (portRef A (instanceRef un6_RAout_i_m2_6)) - (portRef A (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_454 (joined - (portRef Z (instanceRef RA_33_4_137_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_299_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI4MQK3)) - (portRef N_299_i) - )) - (net (rename Ain_c_7 "Ain_c[7]") (joined - (portRef (member ain_c 0)) - (portRef A (instanceRef un6_RAout_i_m2_7)) - (portRef A (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net N_451 (joined - (portRef Z (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net N_313_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI7PQK3)) - (portRef N_313_i) - )) - (net (rename SZ0Z_1 "S_1") (joined - (portRef Z (instanceRef S_1)) - (portRef A (instanceRef S_0_0_0_0)) - (portRef A (instanceRef S_1_RNIP23H1)) - (portRef A (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef A (instanceRef S_1_RNIP23H1_0)) - )) - (net N_73_i (joined - (portRef Z (instanceRef S_1_RNIP23H1_0)) - (portRef N_73_i) - )) - (net N_506 (joined - (portRef Z (instanceRef wb_cyc_stb_RNO_0)) - (portRef A (instanceRef wb_cyc_stb_RNO)) - )) - (net (rename Din_c_1 "Din_c[1]") (joined - (portRef (member din_c 6)) - (portRef C (instanceRef CS6_RNO_2)) - (portRef B (instanceRef CS6_RNO)) - (portRef B (instanceRef un1_CS_4_5)) - (portRef B (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_392_i)) - (portRef A (instanceRef RWMask_RNO_1)) - (portRef B (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef A (instanceRef RWBank_3_0_1)) - (portRef B (instanceRef CmdExecMXO2_3)) - (portRef B (instanceRef CmdSetRWBankFFChip_3)) - (portRef A (instanceRef CS6_1_RNO_0)) - (portRef C (instanceRef CmdBitbangMXO2_3)) - (portRef A (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef A (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef A (instanceRef wb_adr_RNO_1)) - (portRef A (instanceRef CmdLEDSet_3_0_a3)) - )) - (net (rename Din_c_3 "Din_c[3]") (joined - (portRef (member din_c 4)) - (portRef B (instanceRef CS6_RNO_2)) - (portRef A (instanceRef RDout_3)) - (portRef A (instanceRef wb_adr_7_3)) - (portRef A (instanceRef un1_CS_7_4_i_o2)) - (portRef A (instanceRef RWMask_RNO_3)) - (portRef C (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef B (instanceRef un1_CS_6_2)) - (portRef D (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_3)) - (portRef A (instanceRef un1_CS_4_1)) - (portRef B (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef B (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef B (instanceRef CmdLEDSet_3_0_a3)) - )) - (net (rename Din_c_4 "Din_c[4]") (joined - (portRef (member din_c 3)) - (portRef A (instanceRef RDout_4)) - (portRef A (instanceRef wb_adr_RNO_4)) - (portRef A (instanceRef CmdExecMXO2_3_0)) - (portRef B (instanceRef CS6_RNO_1)) - (portRef A (instanceRef RWMask_RNO_4)) - (portRef D (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef B (instanceRef CmdBitbangMXO2_3_3)) - (portRef C (instanceRef un1_CS_6_2)) - (portRef A (instanceRef un1_CS_7_2)) - (portRef C (instanceRef un1_CS_4_7_0_a2)) - (portRef A (instanceRef RWBank_3_0_4)) - (portRef C (instanceRef CmdSetRWBankFFChip_3)) + (net N_392 (joined + (portRef Z (instanceRef CmdSetRWBankFF15_0_a2)) (portRef C (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef C (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef C (instanceRef CmdLEDSet_3_0_a3)) + (portRef C (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef A (instanceRef CS_0_sqmuxa_0_tz_0)) )) - (net N_95 (joined - (portRef Z (instanceRef CmdLEDSet_3_0_a2)) - (portRef D (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef D (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef D (instanceRef CmdLEDSet_3_0_a3)) + (net CS_0_sqmuxa_0_a3_3_7 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef B (instanceRef CS_0_sqmuxa_0_tz_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_0_0_0 "un1_CmdBitbangMXO212_1_0_0_0[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef A (instanceRef wb_cyc_stb_RNO_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_a5_1_0_0 "un1_CmdBitbangMXO212_1_0_a5_1_0[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef D (instanceRef wb_cyc_stb_RNO)) + (portRef B (instanceRef wb_cyc_stb_RNO_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_a5_1_2_0 "un1_CmdBitbangMXO212_1_0_a5_1_2[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) + (portRef C (instanceRef wb_cyc_stb_RNO_0)) + )) + (net CmdSetRWBankFF_3_0_a3_1 (joined + (portRef Z (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CmdSetRWBankFF_3_0_a3)) + )) + (net CmdSetRWBankFF_3 (joined + (portRef Z (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef CmdSetRWBankFF_3) + )) + (net (rename Din_c_0 "Din_c[0]") (joined + (portRef (member din_c 7)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef LEDEN_RNO)) + (portRef A (instanceRef N_492_i)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef RWMask_RNO_0)) + (portRef A (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef A (instanceRef RWBank_3_0_0)) + (portRef A (instanceRef wb_we_RNO_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) + (portRef A (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef CmdExecMXO2_3_0_a5)) + (portRef A (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef A (instanceRef CmdLEDSet_3_0_a5)) + (portRef A (instanceRef CmdLEDGet_3_0_a5)) + )) + (net N_552 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CmdExecMXO2_3_0_a5)) + (portRef C (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef C (instanceRef CmdLEDSet_3_0_a5)) + (portRef C (instanceRef CmdLEDGet_3_0_a5)) + )) + (net un1_CS_3_3 (joined + (portRef Z (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef D (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef D (instanceRef CmdLEDSet_3_0_a5)) + (portRef D (instanceRef CmdLEDGet_3_0_a5)) + )) + (net CmdLEDGet_3 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a5)) + (portRef CmdLEDGet_3) )) (net CmdLEDSet_3 (joined - (portRef Z (instanceRef CmdLEDSet_3_0_a3)) + (portRef Z (instanceRef CmdLEDSet_3_0_a5)) (portRef CmdLEDSet_3) )) - (net N_555 (joined - (portRef Z (instanceRef RA_33_1_a3_10)) - (portRef A (instanceRef CKE_7s2_0_0_o2)) + (net CKE20_m (joined + (portRef Z (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef nRAS_0_i_0_tz)) + (portRef A (instanceRef RA_33_1_10)) + )) + (net N_111 (joined + (portRef Z (instanceRef RA_33_1_a2_10)) + (portRef C (instanceRef nRWE_0_i_a3)) (portRef B (instanceRef RA_33_1_10)) )) + (net N_115 (joined + (portRef Z (instanceRef RA_33_1_a2_3_10)) + (portRef C (instanceRef RA_33_1_10)) + )) (net (rename RA_33_1_1_10 "RA_33_1_1[10]") (joined (portRef Z (instanceRef RA_33_1_1_10)) (portRef D (instanceRef RA_33_1_10)) @@ -3286,110 +2991,274 @@ (portRef Z (instanceRef RA_33_1_10)) (portRef (member ra_33 1)) )) - (net N_502 (joined - (portRef Z (instanceRef wb_adr_RNO_0_1)) - (portRef B (instanceRef wb_adr_RNO_1)) + (net CS_0_sqmuxa_0_a3_2_5_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_2_5)) )) - (net wb_adr_7_5_41_0_1 (joined - (portRef Z (instanceRef wb_adr_RNO_1_1)) - (portRef D (instanceRef wb_adr_RNO_1)) + (net (rename Din_c_6 "Din_c[6]") (joined + (portRef (member din_c 1)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef wb_adr_RNO_6)) + (portRef A (instanceRef N_358_i)) + (portRef A (instanceRef RWMask_RNO_6)) + (portRef A (instanceRef RWBank_3_0_6)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef B (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7)) )) - (net CmdSetRWBankFFLED_3 (joined - (portRef Z (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef CmdSetRWBankFFLED_3) + (net CS_0_sqmuxa_0_a3_3_7_5 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7)) )) - (net N_202 (joined - (portRef Z (instanceRef wb_we_RNO_1)) - (portRef B (instanceRef wb_we_RNO)) + (net N_120 (joined + (portRef Z (instanceRef nRWE_0_i_a3)) + (portRef B (instanceRef nRWE_0_i_0)) + (portRef B (instanceRef nRAS_0_i_1)) )) - (net N_540 (joined - (portRef Z (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef B (instanceRef wb_we_RNO_2)) - (portRef D (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef wb_adr_RNO_1_1)) - (portRef C (instanceRef wb_we_RNO)) + (net N_609_tz (joined + (portRef Z (instanceRef nRAS_0_i_1_RNO)) + (portRef C (instanceRef nRAS_0_i_1)) )) - (net wb_we_7_iv_0_0_111_i_i_1 (joined - (portRef Z (instanceRef wb_we_RNO_2)) - (portRef D (instanceRef wb_we_RNO)) - )) - (net CmdRWMaskSet_3 (joined - (portRef Z (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef CmdRWMaskSet_3) - )) - (net un1_CS_7_2 (joined - (portRef Z (instanceRef un1_CS_7_2)) - (portRef D (instanceRef CS6_0)) - )) - (net N_481 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) + (net RAT_2 (joined + (portRef Z (instanceRef RAT_2_0_a2_0_a2)) + (portRef A (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef nCAS_0_RNO)) + (portRef C (instanceRef nRWE_0_i_0)) )) (net nEN80_c (joined (portRef nEN80_c) + (portRef D (instanceRef RDOE_2_0_a5)) (portRef C (instanceRef un1_nDOE_i)) - (portRef D (instanceRef RDOE_2_0_a2)) - (portRef D (instanceRef CKE_7s2_0_0_1)) - (portRef A (instanceRef RA_33_1_a3_10)) - (portRef A (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef C (instanceRef nRAS_0_i_a2_0_1)) - (portRef C (instanceRef un1_LED_i)) - (portRef C (instanceRef nRWE_0_i_0)) + (portRef C (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef un2_RDOE_1)) + (portRef A (instanceRef un1_nDOE_0_a2_0)) + (portRef C (instanceRef LEDEN_RNI6G6M)) + (portRef B (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef CKE_7s2_0)) + (portRef D (instanceRef nRWE_0_i_0)) )) - (net N_309 (joined - (portRef Z (instanceRef nCAS_0_i_o2)) - (portRef A (instanceRef nCAS_0_i_0_RNO)) + (net N_513 (joined + (portRef Z (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef D (instanceRef RA_33_5_119_i_0_a3)) + (portRef D (instanceRef RA_33_4_137_i_0_a3)) + (portRef D (instanceRef RA_33_3_155_i_0_a3)) + (portRef D (instanceRef RA_33_7_83_i_0_a3)) + (portRef D (instanceRef RA_33_6_101_i_0_a3)) + (portRef A (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef A (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef A (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef A (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef A (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef C (instanceRef RA_33_8_65_i_0_0)) + (portRef C (instanceRef RA_33_10_29_i_0_0)) + (portRef C (instanceRef RA_33_9_47_i_0_0)) + (portRef A (instanceRef N_242_i)) + (portRef A (instanceRef N_228_i)) + (portRef A (instanceRef N_214_i)) )) - (net N_545 (joined - (portRef Z (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef B (instanceRef RA_33_1_a2_3_10)) - (portRef C (instanceRef nCAS_0_i_0_RNO)) + (net (rename RA_0 "RA[0]") (joined + (portRef (member ra 11)) + (portRef A (instanceRef RWSel_2)) + (portRef B (instanceRef N_214_i)) )) - (net N_248 (joined - (portRef Z (instanceRef S_0_i_0_o2_1)) - (portRef B (instanceRef S_0_0_0_0)) - (portRef D (instanceRef S_0_i_0_o2_RNIFP961_1)) + (net RA_33_10_29_i_0_0 (joined + (portRef Z (instanceRef RA_33_10_29_i_0_0)) + (portRef D (instanceRef N_214_i)) )) - (net N_71_i (joined - (portRef Z (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef N_71_i) + (net (rename N_214_i_1z "N_214_i") (joined + (portRef Z (instanceRef N_214_i)) + (portRef N_214_i_1z) )) - (net N_349_i (joined - (portRef Z (instanceRef S_1_RNIP23H1)) - (portRef N_349_i) + (net (rename RA_1 "RA[1]") (joined + (portRef (member ra 10)) + (portRef B (instanceRef N_228_i)) )) - (net CmdSetRWBankFFChip6 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip6)) - (portRef A (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef CmdBitbangMXO2_3)) - (portRef A (instanceRef CmdLEDGet_3_0_a3)) - (portRef A (instanceRef CmdLEDSet_3_0_a2)) + (net RA_33_9_47_i_0_0 (joined + (portRef Z (instanceRef RA_33_9_47_i_0_0)) + (portRef D (instanceRef N_228_i)) )) - (net (rename Din_c_2 "Din_c[2]") (joined - (portRef (member din_c 5)) - (portRef C (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_391_i)) - (portRef A (instanceRef wb_adr_RNO_2)) - (portRef A (instanceRef RWMask_RNO_2)) - (portRef A (instanceRef CmdBitbangMXO2_3_3)) - (portRef A (instanceRef un1_CS_6_2)) - (portRef B (instanceRef un1_CS_4_7_0_a2)) - (portRef C (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_2)) - (portRef C (instanceRef CS6_RNO_0)) - (portRef B (instanceRef CmdLEDGet_3_0_a3)) - (portRef C (instanceRef CmdLEDSet_3_0_a2)) + (net (rename N_228_i_1z "N_228_i") (joined + (portRef Z (instanceRef N_228_i)) + (portRef N_228_i_1z) )) - (net N_412 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef D (instanceRef CmdSetRWBankFFChip_3)) - (portRef C (instanceRef CmdLEDGet_3_0_a3)) - (portRef D (instanceRef CmdLEDSet_3_0_a2)) + (net (rename RA_2 "RA[2]") (joined + (portRef (member ra 9)) + (portRef B (instanceRef N_242_i)) )) - (net N_272 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef C (instanceRef wb_cyc_stb_RNO_0)) + (net RA_33_8_65_i_0_0 (joined + (portRef Z (instanceRef RA_33_8_65_i_0_0)) + (portRef D (instanceRef N_242_i)) + )) + (net (rename N_242_i_1z "N_242_i") (joined + (portRef Z (instanceRef N_242_i)) + (portRef N_242_i_1z) + )) + (net (rename Ain_c_3 "Ain_c[3]") (joined + (portRef (member ain_c 4)) + (portRef A (instanceRef un6_RAout_i_m2_3)) + (portRef A (instanceRef N_256_i)) + )) + (net N_431 (joined + (portRef Z (instanceRef RA_33_7_83_i_0_a3)) + (portRef B (instanceRef N_256_i)) + )) + (net N_433 (joined + (portRef Z (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef C (instanceRef N_256_i)) + )) + (net (rename N_256_i_1z "N_256_i") (joined + (portRef Z (instanceRef N_256_i)) + (portRef N_256_i_1z) + )) + (net (rename Ain_c_4 "Ain_c[4]") (joined + (portRef (member ain_c 3)) + (portRef A (instanceRef un6_RAout_i_m2_4)) + (portRef A (instanceRef N_270_i)) + )) + (net N_428 (joined + (portRef Z (instanceRef RA_33_6_101_i_0_a3)) + (portRef B (instanceRef N_270_i)) + )) + (net N_430 (joined + (portRef Z (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef C (instanceRef N_270_i)) + )) + (net (rename N_270_i_1z "N_270_i") (joined + (portRef Z (instanceRef N_270_i)) + (portRef N_270_i_1z) + )) + (net (rename Ain_c_5 "Ain_c[5]") (joined + (portRef (member ain_c 2)) + (portRef A (instanceRef un6_RAout_i_m2_5)) + (portRef A (instanceRef N_284_i)) + )) + (net N_437 (joined + (portRef Z (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef N_284_i)) + )) + (net N_439 (joined + (portRef Z (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef C (instanceRef N_284_i)) + )) + (net (rename N_284_i_1z "N_284_i") (joined + (portRef Z (instanceRef N_284_i)) + (portRef N_284_i_1z) + )) + (net (rename Ain_c_6 "Ain_c[6]") (joined + (portRef (member ain_c 1)) + (portRef A (instanceRef un6_RAout_i_m2_6)) + (portRef A (instanceRef N_298_i)) + )) + (net N_434 (joined + (portRef Z (instanceRef RA_33_4_137_i_0_a3)) + (portRef B (instanceRef N_298_i)) + )) + (net N_436 (joined + (portRef Z (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef C (instanceRef N_298_i)) + )) + (net (rename N_298_i_1z "N_298_i") (joined + (portRef Z (instanceRef N_298_i)) + (portRef N_298_i_1z) + )) + (net (rename Ain_c_7 "Ain_c[7]") (joined + (portRef (member ain_c 0)) + (portRef A (instanceRef un6_RAout_i_m2_7)) + (portRef A (instanceRef N_312_i)) + )) + (net N_440 (joined + (portRef Z (instanceRef RA_33_3_155_i_0_a3)) + (portRef B (instanceRef N_312_i)) + )) + (net N_441 (joined + (portRef Z (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef C (instanceRef N_312_i)) + )) + (net (rename N_312_i_1z "N_312_i") (joined + (portRef Z (instanceRef N_312_i)) + (portRef N_312_i_1z) + )) + (net N_73 (joined + (portRef Z (instanceRef nRWE_0_i_o2_2)) + (portRef B (instanceRef nRWE_0_i_a3)) + )) + (net CmdLEDSet (joined + (portRef CmdLEDSet) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + )) + (net (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_4[0]") (joined + (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + )) + (net un1_FS_20 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) + )) + (net wb_ack (joined + (portRef wb_ack (instanceRef ufmefb)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) + )) + (net N_65 (joined + (portRef Z (instanceRef wb_we_RNO_0)) + (portRef B (instanceRef wb_we_RNO)) + )) + (net N_468 (joined + (portRef Z (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef D (instanceRef wb_we_RNO_3)) + (portRef B (instanceRef wb_we_RNO_1)) + (portRef C (instanceRef wb_we_RNO)) + )) + (net wb_we_7_iv_0_0_0_1 (joined + (portRef Z (instanceRef wb_we_RNO_1)) + (portRef D (instanceRef wb_we_RNO)) + )) + (net CmdExecMXO2_3_1 (joined + (portRef Z (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef D (instanceRef CmdExecMXO2_3_0_a5)) + (portRef D (instanceRef CmdBitbangMXO2_3_0_a5)) + )) + (net N_57 (joined + (portRef Z (instanceRef CKE_7s2_0_o2)) + (portRef A (instanceRef nCAS_0_RNO_1)) + (portRef A (instanceRef CKE_7s2_0)) + )) + (net (rename un9_VOE_0_a2_1z "un9_VOE_0_a2") (joined + (portRef Z (instanceRef un9_VOE_0_a2)) + (portRef D (instanceRef CKE_7s2_0)) + (portRef un9_VOE_0_a2_1z) + )) + (net N_555 (joined + (portRef Z (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef wb_adr_7_i_a5_1_0_0)) + )) + (net (rename un1_CKE48_i_1z "un1_CKE48_i") (joined + (portRef Z (instanceRef un1_CKE48_i)) + (portRef un1_CKE48_i_1z) + )) + (net N_458_i (joined + (portRef Z (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef N_458_i) + )) + (net (rename S_0_0_0 "S_0_0[0]") (joined + (portRef Z (instanceRef S_0_0_0)) + (portRef S_0_0_0) + )) + (net N_369 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) + (portRef A (instanceRef wb_cyc_stb_RNO)) + )) + (net N_133 (joined + (portRef Z (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef B (instanceRef RA_33_0_1_9)) + (portRef A (instanceRef RA_33_1_1_10)) + (portRef C (instanceRef RA_33_2_173_0)) + (portRef A (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef RA_33_0_11)) )) (net (rename RA_11 "RA[11]") (joined (portRef (member ra 0)) @@ -3403,301 +3272,366 @@ (portRef Z (instanceRef RA_33_0_11)) (portRef (member ra_33 0)) )) - (net Ready3_0_a4_0_a2_3 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_3)) - (portRef B (instanceRef Ready3_0_a4_0_a2)) + (net CmdLEDGet_3_0_a2_0 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef D (instanceRef CmdLEDGet_3_0_a2)) )) - (net Ready3_0_a4_0_a2_4 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_4)) - (portRef C (instanceRef Ready3_0_a4_0_a2)) + (net nCAS_7_sqmuxa_3 (joined + (portRef Z (instanceRef Ready3_0_a3_6)) + (portRef B (instanceRef nRWE_0_i_o2_2)) + (portRef A (instanceRef Ready3_0_a3)) )) - (net Ready3_0_a4_0_a2_5 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_5)) - (portRef D (instanceRef Ready3_0_a4_0_a2)) + (net Ready3_0_a3_5 (joined + (portRef Z (instanceRef Ready3_0_a3_5)) + (portRef B (instanceRef Ready3_0_a3)) + )) + (net Ready3_0_a3_7 (joined + (portRef Z (instanceRef Ready3_0_a3_7)) + (portRef C (instanceRef Ready3_0_a3)) + )) + (net un1_FS_20_1 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef D (instanceRef Ready3_0_a3)) )) (net Ready3 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2)) + (portRef Z (instanceRef Ready3_0_a3)) (portRef Ready3) )) - (net CmdLEDGet_3_0_a3_0_0 (joined - (portRef Z (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef D (instanceRef CmdLEDGet_3_0_a3)) + (net CmdRWMaskSet_3_0_a3_2 (joined + (portRef Z (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef D (instanceRef CmdRWMaskSet_3_0_a3)) )) - (net CmdLEDGet_3 (joined - (portRef Z (instanceRef CmdLEDGet_3_0_a3)) - (portRef CmdLEDGet_3) + (net CmdRWMaskSet_3 (joined + (portRef Z (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef CmdRWMaskSet_3) )) - (net N_314 (joined - (portRef Z (instanceRef S_0_0_0_a2_0)) - (portRef C (instanceRef S_0_0_0_0)) - )) - (net (rename S_0_0_0_0 "S_0_0_0[0]") (joined - (portRef Z (instanceRef S_0_0_0_0)) - (portRef S_0_0_0_0) - )) - (net (rename RA_9 "RA[9]") (joined - (portRef (member ra 2)) - (portRef B (instanceRef RA_33_0_9)) - )) - (net (rename RA_33_0_0_9 "RA_33_0_0[9]") (joined - (portRef Z (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef RA_33_0_9)) - )) - (net (rename RA_33_9 "RA_33[9]") (joined - (portRef Z (instanceRef RA_33_0_9)) - (portRef (member ra_33 2)) - )) - (net CmdBitbangMXO2_3_3 (joined - (portRef Z (instanceRef CmdBitbangMXO2_3_3)) - (portRef D (instanceRef CmdBitbangMXO2_3)) + (net (rename Din_c_3 "Din_c[3]") (joined + (portRef (member din_c 4)) + (portRef B (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef B (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef A (instanceRef RDout_3)) + (portRef A (instanceRef wb_adr_7_3)) + (portRef C (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef RWMask_RNO_3)) + (portRef A (instanceRef RWBank_3_0_3)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) (net (rename Din_c_5 "Din_c[5]") (joined (portRef (member din_c 2)) - (portRef A (instanceRef CS6_RNO_2)) - (portRef A (instanceRef RDout_5)) - (portRef B (instanceRef un1_CS_7_4_i_o2)) + (portRef C (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_4)) (portRef A (instanceRef wb_adr_RNO_5)) - (portRef B (instanceRef CmdExecMXO2_3_0)) - (portRef A (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) + (portRef A (instanceRef N_489_i)) (portRef A (instanceRef RWMask_RNO_5)) - (portRef D (instanceRef un1_CS_6_2)) (portRef A (instanceRef RWBank_3_0_5)) - (portRef B (instanceRef un1_CS_4_1)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) - (net (rename Din_c_7 "Din_c[7]") (joined - (portRef (member din_c 0)) - (portRef C (instanceRef un1_CS_6_3)) - (portRef C (instanceRef CS6_RNO)) - (portRef B (instanceRef un1_CS_2_4_0_i)) - (portRef A (instanceRef RWMask_RNO_7)) - (portRef D (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_390_i)) - (portRef C (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef A (instanceRef wb_adr_RNO_7)) - (portRef A (instanceRef RWBank_3_0_7)) - (portRef C (instanceRef CmdExecMXO2_3)) - (portRef B (instanceRef CS6_1_RNO_0)) - (portRef C (instanceRef un1_CS_4_1)) + (net N_74 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_o2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) - (net un1_CS_4_7 (joined - (portRef Z (instanceRef un1_CS_4_7_0_a2)) - (portRef D (instanceRef CS6_1_RNO_0)) - (portRef D (instanceRef un1_CS_4_1)) + (net CS_0_sqmuxa_0_a3_3_7_3 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) )) - (net N_99 (joined - (portRef Z (instanceRef un1_CS_7_4_i_o2)) - (portRef C (instanceRef CmdBitbangMXO2_3_3)) - (portRef C (instanceRef un1_CS_7_2)) - (portRef C (instanceRef CS6_1_RNO_0)) + (net wb_we_7_iv_0_0_0_0 (joined + (portRef Z (instanceRef wb_we_RNO_2)) + (portRef D (instanceRef wb_we_RNO_1)) )) - (net CS6_182_0_a2_0 (joined - (portRef Z (instanceRef CS6_RNO_1)) - (portRef D (instanceRef CS6_RNO_0)) - )) - (net wb_adr_7_5_41_a2_2_0 (joined - (portRef Z (instanceRef wb_adr_RNO_3_1)) - (portRef D (instanceRef wb_adr_RNO_1_1)) - )) - (net N_557 (joined - (portRef Z (instanceRef RA_33_1_a2_3_10)) - (portRef D (instanceRef nRAS_0_i_1)) - (portRef B (instanceRef nRAS_0_i_a2_1)) - (portRef C (instanceRef RA_33_1_1_10)) - )) - (net (rename RA_10 "RA[10]") (joined - (portRef (member ra 1)) - (portRef D (instanceRef RA_33_1_1_10)) - )) - (net (rename Ain_c_0 "Ain_c[0]") (joined - (portRef (member ain_c 7)) - (portRef A (instanceRef un6_RAout_i_m2_0)) - (portRef A (instanceRef RA_33_10_29_i_0_0)) - )) - (net N_279 (joined - (portRef Z (instanceRef RA_33_10_29_i_0_o2)) - (portRef B (instanceRef RA_33_10_29_i_0_0)) - )) - (net (rename Ain_c_2 "Ain_c[2]") (joined - (portRef (member ain_c 5)) - (portRef A (instanceRef un6_RAout_i_m2_i_m2_2)) - (portRef A (instanceRef RA_33_8_65_i_0_0_0)) - )) - (net N_280 (joined - (portRef Z (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef RA_33_8_65_i_0_0_0)) + (net (rename un1_CmdBitbangMXO212_1_0_0_tz_0 "un1_CmdBitbangMXO212_1_0_0_tz[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) )) (net (rename Ain_c_1 "Ain_c[1]") (joined (portRef (member ain_c 6)) (portRef A (instanceRef un6_RAout_i_m2_1)) (portRef A (instanceRef RA_33_9_47_i_0_0)) )) - (net N_278 (joined + (net N_416 (joined (portRef Z (instanceRef RA_33_9_47_i_0_o2)) (portRef B (instanceRef RA_33_9_47_i_0_0)) )) - (net (rename CmdTout_0 "CmdTout[0]") (joined - (portRef (member cmdtout 2)) - (portRef A (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef A (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef A (instanceRef N_351_i)) - (portRef A (instanceRef N_350_i)) + (net (rename Ain_c_0 "Ain_c[0]") (joined + (portRef (member ain_c 7)) + (portRef A (instanceRef un6_RAout_i_m2_0)) + (portRef A (instanceRef RA_33_10_29_i_0_0)) )) - (net (rename CmdTout_1 "CmdTout[1]") (joined - (portRef (member cmdtout 1)) - (portRef B (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef B (instanceRef N_351_i)) - (portRef B (instanceRef N_350_i)) + (net N_103 (joined + (portRef Z (instanceRef RA_33_10_29_i_0_o2)) + (portRef B (instanceRef RA_33_10_29_i_0_0)) )) - (net (rename CmdTout_2 "CmdTout[2]") (joined - (portRef (member cmdtout 0)) - (portRef C (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef N_350_i)) + (net (rename Ain_c_2 "Ain_c[2]") (joined + (portRef (member ain_c 5)) + (portRef A (instanceRef un6_RAout_i_m2_2)) + (portRef A (instanceRef RA_33_8_65_i_0_0)) )) - (net (rename N_350_i_1z "N_350_i") (joined - (portRef Z (instanceRef N_350_i)) - (portRef N_350_i_1z) + (net N_102 (joined + (portRef Z (instanceRef RA_33_8_65_i_0_o2)) + (portRef B (instanceRef RA_33_8_65_i_0_0)) )) - (net N_310 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_dati_7_0_a2_3)) - )) - (net N_190 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_4)) - (portRef A (instanceRef wb_dati_7_0_a2_4)) + (net (rename RA_4 "RA[4]") (joined + (portRef (member ra 7)) + (portRef B (instanceRef RA_33_6_101_i_0_a3_1)) )) (net Ready (joined (portRef Ready) - (portRef B (instanceRef RDout_5)) (portRef B (instanceRef RDout_3)) - (portRef B (instanceRef RDout_0)) - (portRef B (instanceRef RDout_4)) - (portRef B (instanceRef RDout_6)) - (portRef B (instanceRef un1_LED_i)) (portRef C (instanceRef S_1)) - (portRef B (instanceRef N_392_i)) - (portRef B (instanceRef N_391_i)) - (portRef B (instanceRef N_390_i)) + (portRef B (instanceRef N_492_i)) + (portRef B (instanceRef N_491_i)) + (portRef B (instanceRef N_359_i)) + (portRef B (instanceRef N_490_i)) + (portRef B (instanceRef N_489_i)) + (portRef B (instanceRef N_358_i)) + (portRef B (instanceRef N_488_i)) + (portRef B (instanceRef LEDEN_RNI6G6M)) (portRef B (instanceRef RDOE_2_0)) )) (net RDOE_2 (joined (portRef Z (instanceRef RDOE_2_0)) (portRef RDOE_2) )) + (net N_138 (joined + (portRef Z (instanceRef un1_nDOE_0_a2_0)) + (portRef A (instanceRef CKE_7s2_0_o2)) + )) + (net (rename RA_5 "RA[5]") (joined + (portRef (member ra 6)) + (portRef B (instanceRef RA_33_5_119_i_0_a3_1)) + )) + (net (rename RA_3 "RA[3]") (joined + (portRef (member ra 8)) + (portRef B (instanceRef RWSel_2)) + (portRef B (instanceRef RA_33_7_83_i_0_a3_1)) + )) + (net (rename RA_7 "RA[7]") (joined + (portRef (member ra 4)) + (portRef B (instanceRef RA_33_3_155_i_0_a3_1)) + )) + (net (rename FS_14 "FS[14]") (joined + (portRef (member fs 1)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef A (instanceRef wb_rst6)) + (portRef A (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef B (instanceRef wb_adr_RNO_6)) + (portRef B (instanceRef wb_adr_RNO_5)) + (portRef B (instanceRef wb_adr_RNO_4)) + (portRef B (instanceRef wb_adr_RNO_0_1)) + (portRef D (instanceRef Ready3_0_a3_5)) + (portRef A (instanceRef wb_reqc_2)) + (portRef C (instanceRef RA_33_3_155_i_0_a3)) + (portRef A (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + )) + (net (rename RA_6 "RA[6]") (joined + (portRef (member ra 5)) + (portRef B (instanceRef RA_33_4_137_i_0_a3_1)) + )) + (net (rename FS_1 "FS[1]") (joined + (portRef (member fs 14)) + (portRef A (instanceRef Ready3_0_a3_6)) + (portRef A (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef RA_33_10_29_i_0_o2)) + (portRef A (instanceRef RA_33_1_a2_3_10)) + (portRef A (instanceRef un1_FS_32_i_i_o2)) + (portRef A (instanceRef nCAS_0_RNO_0)) + (portRef A (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_3 "FS[3]") (joined + (portRef (member fs 12)) + (portRef A (instanceRef un1_FS_32_i_i_o2_0)) + (portRef C (instanceRef Ready3_0_a3_6)) + (portRef C (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef RA_33_8_65_i_0_o2)) + (portRef B (instanceRef RA_33_10_29_i_0_o2)) + (portRef A (instanceRef RA_33_9_47_i_0_o2)) + (portRef B (instanceRef nRWE_0_i_a3_0_0)) + (portRef A (instanceRef RA_33_5_119_i_0_a3)) + (portRef A (instanceRef RA_33_4_137_i_0_a3)) + (portRef A (instanceRef RA_33_3_155_i_0_a3)) + (portRef A (instanceRef RA_33_7_83_i_0_a3)) + (portRef A (instanceRef RA_33_6_101_i_0_a3)) + (portRef C (instanceRef nCAS_0_RNO_0)) + (portRef B (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_4 "FS[4]") (joined + (portRef (member fs 11)) + (portRef A (instanceRef RA_33_0_9)) + (portRef B (instanceRef un1_FS_32_i_i_o2_0)) + (portRef D (instanceRef Ready3_0_a3_6)) + (portRef D (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef B (instanceRef RA_33_8_65_i_0_o2)) + (portRef C (instanceRef RA_33_10_29_i_0_o2)) + (portRef B (instanceRef RA_33_9_47_i_0_o2)) + (portRef C (instanceRef nRWE_0_i_a3_0_0)) + (portRef B (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef RA_33_1_a2_3_10)) + (portRef B (instanceRef RA_33_4_137_i_0_a3)) + (portRef B (instanceRef RA_33_3_155_i_0_a3)) + (portRef B (instanceRef RA_33_7_83_i_0_a3)) + (portRef B (instanceRef RA_33_6_101_i_0_a3)) + (portRef D (instanceRef nCAS_0_RNO_0)) + (portRef C (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_2 "FS[2]") (joined + (portRef (member fs 13)) + (portRef B (instanceRef Ready3_0_a3_6)) + (portRef B (instanceRef un1_FS_32_i_i_o2_3)) + (portRef B (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef nRWE_0_i_a3_0_0)) + (portRef B (instanceRef nCAS_0_RNO_0)) + )) (net wb_reqc_2 (joined (portRef Z (instanceRef wb_reqc_2)) (portRef D (instanceRef wb_req_RNO)) )) - (net N_511 (joined - (portRef Z (instanceRef RA_33_2_173_1_a2)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) + (net N_98 (joined + (portRef Z (instanceRef RA_33_2_173_0_a2)) + (portRef A (instanceRef RA_33_2_173_0)) + )) + (net N_99 (joined + (portRef Z (instanceRef RA_33_2_173_a2_0)) + (portRef B (instanceRef RA_33_2_173_0)) )) (net (rename RWBank_1 "RWBank[1]") (joined (portRef (member rwbank 6)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) + (portRef D (instanceRef RA_33_2_173_0)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - (portRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0) + (net (rename RA_33_2_173_0_1z "RA_33_2_173_0") (joined + (portRef Z (instanceRef RA_33_2_173_0)) + (portRef RA_33_2_173_0_1z) )) - (net CmdSetRWBankFFChip_3_5 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef CmdExecMXO2_3)) - (portRef A (instanceRef CmdSetRWBankFFChip_3)) + (net un2_RDOE_1 (joined + (portRef Z (instanceRef un2_RDOE_1)) + (portRef D (instanceRef nCAS_0_RNO)) + (portRef D (instanceRef RA_33_1_a2_10)) )) - (net (rename CmdSetRWBankFFChip_3_1z "CmdSetRWBankFFChip_3") (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3)) - (portRef CmdSetRWBankFFChip_3_1z) + (net CS_0_sqmuxa_0_a3_3_8_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8)) )) - (net CmdExecMXO2_3_0 (joined - (portRef Z (instanceRef CmdExecMXO2_3_0)) - (portRef D (instanceRef CmdExecMXO2_3)) + (net (rename Din_c_4 "Din_c[4]") (joined + (portRef (member din_c 3)) + (portRef A (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef B (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef A (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef A (instanceRef wb_adr_RNO_4)) + (portRef A (instanceRef N_490_i)) + (portRef A (instanceRef RWMask_RNO_4)) + (portRef B (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_4)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net (rename un1_CKE48_0_i_1z "un1_CKE48_0_i") (joined - (portRef Z (instanceRef un1_CKE48_0_i)) - (portRef un1_CKE48_0_i_1z) + (net N_391 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_4)) + (portRef B (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef C (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net (rename RWBank_2 "RWBank[2]") (joined - (portRef (member rwbank 5)) - (portRef D (instanceRef RA_33_0_0_9)) + (net N_396 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef C (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net nRAS_0_i_210_tz_tz_0 (joined - (portRef Z (instanceRef nRAS_0_i_1_RNO_0)) - (portRef C (instanceRef nRAS_0_i_1_RNO)) + (net nCAS_0_sqmuxa_1_3 (joined + (portRef Z (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef nRWE_0_i_o2_2)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) )) - (net nRAS_0_i_210_0 (joined - (portRef Z (instanceRef nRAS_0_i_1_RNO)) - (portRef B (instanceRef nRAS_0_i_1)) + (net un1_FS_33_3 (joined + (portRef Z (instanceRef un1_FS_33_3)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) )) - (net (rename FS_3 "FS[3]") (joined - (portRef (member fs 12)) - (portRef A (instanceRef Ready3_0_a4_0_a2_5)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef A (instanceRef nRWE_0_i_o3_0)) - (portRef A (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef RA_33_10_29_i_0_o2)) - (portRef A (instanceRef RA_33_9_47_i_0_o2)) - (portRef B (instanceRef nRWE_0_i_a2_1_1)) - (portRef A (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef A (instanceRef RA_33_6_101_i_0_a2)) - (portRef A (instanceRef RA_33_7_83_i_0_a2)) - (portRef A (instanceRef RA_33_3_155_i_0_a2)) - (portRef A (instanceRef RA_33_4_137_i_0_a2)) - (portRef C (instanceRef nCAS_0_i_o2)) + (net (rename RA_10 "RA[10]") (joined + (portRef (member ra 1)) + (portRef B (instanceRef RA_33_1_1_10)) )) - (net N_200 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) + (net (rename RWBankZ0Z_3 "RWBank[3]") (joined + (portRef (member rwbank 4)) + (portRef C (instanceRef RA_33_1_1_10)) + )) + (net (rename RA_33_1_0_tz_10 "RA_33_1_0_tz[10]") (joined + (portRef Z (instanceRef RA_33_1_0_tz_10)) + (portRef D (instanceRef RA_33_1_1_10)) + )) + (net N_481 (joined + (portRef Z (instanceRef wb_we_RNO_3)) + (portRef B (instanceRef wb_we_RNO_2)) )) (net (rename RWBank_0 "RWBank[0]") (joined (portRef (member rwbank 7)) - (portRef B (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef B (instanceRef N_443_i)) + (portRef B (instanceRef DQML_4_iv_0_17)) + (portRef B (instanceRef N_194_i)) )) - (net (rename N_443_i_1z "N_443_i") (joined - (portRef Z (instanceRef N_443_i)) - (portRef N_443_i_1z) + (net (rename N_194_i_1z "N_194_i") (joined + (portRef Z (instanceRef N_194_i)) + (portRef N_194_i_1z) )) - (net (rename N_389_i_1z "N_389_i") (joined - (portRef Z (instanceRef N_389_i)) - (portRef N_389_i_1z) + (net (rename N_346_i_1z "N_346_i") (joined + (portRef Z (instanceRef N_346_i)) + (portRef N_346_i_1z) )) - (net (rename N_351_i_1z "N_351_i") (joined - (portRef Z (instanceRef N_351_i)) - (portRef N_351_i_1z) - )) - (net (rename N_117_i_1z "N_117_i") (joined - (portRef Z (instanceRef N_117_i)) - (portRef N_117_i_1z) + (net (rename N_347_i_1z "N_347_i") (joined + (portRef Z (instanceRef N_347_i)) + (portRef N_347_i_1z) )) (net RWBank10 (joined (portRef Z (instanceRef RWBank10)) - (portRef B (instanceRef RWBank_3_0_4)) - (portRef B (instanceRef RWBank_3_0_2)) (portRef B (instanceRef RWBank_3_0_1)) - (portRef B (instanceRef RWBank_3_0_0)) + (portRef B (instanceRef RWBank_3_0_2)) (portRef B (instanceRef RWBank_3_0_3)) + (portRef B (instanceRef RWBank_3_0_4)) (portRef B (instanceRef RWBank_3_0_5)) (portRef B (instanceRef RWBank_3_0_6)) (portRef B (instanceRef RWBank_3_0_7)) + (portRef B (instanceRef RWBank_3_0_0)) + )) + (net (rename RWBank_3_0 "RWBank_3[0]") (joined + (portRef Z (instanceRef RWBank_3_0_0)) + (portRef (member rwbank_3 7)) + )) + (net (rename CmdTout_0 "CmdTout[0]") (joined + (portRef (member cmdtout 2)) + (portRef A (instanceRef CmdTout_3_0)) + (portRef A (instanceRef CmdTout_3_1)) + (portRef A (instanceRef CS12)) + (portRef A (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_1 "CmdTout[1]") (joined + (portRef (member cmdtout 1)) + (portRef B (instanceRef CmdTout_3_1)) + (portRef B (instanceRef CS12)) + (portRef B (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_2 "CmdTout[2]") (joined + (portRef (member cmdtout 0)) + (portRef C (instanceRef CS12)) + (portRef C (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_3_2 "CmdTout_3[2]") (joined + (portRef Z (instanceRef CmdTout_3_2)) + (portRef (member cmdtout_3 0)) + )) + (net (rename Din_c_7 "Din_c[7]") (joined + (portRef (member din_c 0)) + (portRef B (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef C (instanceRef CS_0_sqmuxa_0_o2)) + (portRef C (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_4)) + (portRef A (instanceRef RWMask_RNO_7)) + (portRef A (instanceRef N_488_i)) + (portRef A (instanceRef wb_adr_RNO_7)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_7)) )) (net (rename RWBank_3_7 "RWBank_3[7]") (joined (portRef Z (instanceRef RWBank_3_0_7)) (portRef (member rwbank_3 0)) )) - (net (rename Din_c_6 "Din_c[6]") (joined - (portRef (member din_c 1)) - (portRef D (instanceRef un1_CS_6_3)) - (portRef A (instanceRef un1_CS_2_4_0_i)) - (portRef A (instanceRef RDout_6)) - (portRef A (instanceRef wb_adr_RNO_6)) - (portRef C (instanceRef CmdExecMXO2_3_0)) - (portRef C (instanceRef CS6_RNO_1)) - (portRef B (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef A (instanceRef RWMask_RNO_6)) - (portRef B (instanceRef un1_CS_7_2)) - (portRef D (instanceRef un1_CS_4_7_0_a2)) - (portRef A (instanceRef RWBank_3_0_6)) - )) (net (rename RWBank_3_6 "RWBank_3[6]") (joined (portRef Z (instanceRef RWBank_3_0_6)) (portRef (member rwbank_3 1)) @@ -3706,88 +3640,80 @@ (portRef Z (instanceRef RWBank_3_0_5)) (portRef (member rwbank_3 2)) )) + (net (rename RWBank_3_4 "RWBank_3[4]") (joined + (portRef Z (instanceRef RWBank_3_0_4)) + (portRef (member rwbank_3 3)) + )) (net (rename RWBank_3_3 "RWBank_3[3]") (joined (portRef Z (instanceRef RWBank_3_0_3)) (portRef (member rwbank_3 4)) )) - (net (rename RWBank_3_0 "RWBank_3[0]") (joined - (portRef Z (instanceRef RWBank_3_0_0)) - (portRef (member rwbank_3 7)) - )) - (net (rename RWBank_3_1 "RWBank_3[1]") (joined - (portRef Z (instanceRef RWBank_3_0_1)) - (portRef (member rwbank_3 6)) + (net (rename Din_c_2 "Din_c[2]") (joined + (portRef (member din_c 5)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef A (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef A (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef A (instanceRef N_359_i)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef wb_adr_RNO_2)) + (portRef A (instanceRef RWMask_RNO_2)) + (portRef A (instanceRef RWBank_3_0_2)) )) (net (rename RWBank_3_2 "RWBank_3[2]") (joined (portRef Z (instanceRef RWBank_3_0_2)) (portRef (member rwbank_3 5)) )) - (net (rename RWBank_3_4 "RWBank_3[4]") (joined - (portRef Z (instanceRef RWBank_3_0_4)) - (portRef (member rwbank_3 3)) + (net (rename RWBank_3_1 "RWBank_3[1]") (joined + (portRef Z (instanceRef RWBank_3_0_1)) + (portRef (member rwbank_3 6)) )) - (net nC07X_c (joined - (portRef nC07X_c) - (portRef C (instanceRef RWSel_2_0_a2)) + (net (rename FS_0 "FS[0]") (joined + (portRef (member fs 15)) + (portRef A (instanceRef un1_FS_32_i_i_o2_3)) + (portRef A (instanceRef Ready3_0_a3_7)) + (portRef A (instanceRef nCAS_0_sqmuxa)) )) - (net nWE_c (joined - (portRef nWE_c) - (portRef B (instanceRef un1_nDOE_i)) - (portRef C (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef RA_33_1_a3_10)) - (portRef B (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef C (instanceRef nRAS_0_i_a2_0_2)) - (portRef D (instanceRef RWSel_2_0_a2)) - )) - (net RWSel_2 (joined - (portRef Z (instanceRef RWSel_2_0_a2)) - (portRef RWSel_2) - )) - (net (rename FS_5 "FS[5]") (joined - (portRef (member fs 10)) - (portRef B (instanceRef Ready3_0_a4_0_a2_3)) - (portRef C (instanceRef RA_33_9_47_i_0_o2)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) - )) - (net (rename FS_6 "FS[6]") (joined - (portRef (member fs 9)) - (portRef C (instanceRef Ready3_0_a4_0_a2_3)) - (portRef C (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (net LED_c (joined + (portRef Z (instanceRef LEDEN_RNI6G6M)) + (portRef LED_c) )) (net (rename FS_7 "FS[7]") (joined (portRef (member fs 8)) - (portRef D (instanceRef Ready3_0_a4_0_a2_3)) + (portRef C (instanceRef Ready3_0_a3_5)) + (portRef C (instanceRef un1_FS_33_3)) (portRef D (instanceRef RA_33_10_29_i_0_o2)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (portRef B (instanceRef un1_FS_32_i_i_o2)) )) - (net (rename un1_CmdBitbangMXO212_1_i_o3_2_0 "un1_CmdBitbangMXO212_1_i_o3_2[0]") (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (net N_362 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2_0)) + (portRef C (instanceRef un1_FS_32_i_i_o2)) )) - (net N_228_i (joined - (portRef Z (instanceRef wb_adr_RNO_2_1)) - (portRef C (instanceRef wb_adr_RNO_0_1)) + (net un1_FS_32_i_i_o2_3 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2_3)) + (portRef D (instanceRef un1_FS_32_i_i_o2)) )) - (net un1_CS_7_1 (joined - (portRef Z (instanceRef un1_CS_7_1)) - (portRef D (instanceRef un1_CS_7_2)) + (net nC07X_c (joined + (portRef nC07X_c) + (portRef C (instanceRef RWSel_2)) )) - (net un1_CS_6_2 (joined - (portRef Z (instanceRef un1_CS_6_2)) - (portRef A (instanceRef un1_CS_6_3)) + (net nWE_c (joined + (portRef nWE_c) + (portRef C (instanceRef RDOE_2_0_a5)) + (portRef B (instanceRef un1_nDOE_i)) + (portRef B (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef B (instanceRef un2_RDOE_1)) + (portRef B (instanceRef un1_nDOE_0_a2_0)) + (portRef D (instanceRef RWSel_2)) )) - (net N_388 (joined - (portRef Z (instanceRef un1_CS_2_4_0_i)) - (portRef D (instanceRef CmdBitbangMXO2_3_3)) + (net (rename RWSel_2_1z "RWSel_2") (joined + (portRef Z (instanceRef RWSel_2)) + (portRef RWSel_2_1z) )) - (net nRAS_0_i_a2_0_1 (joined - (portRef Z (instanceRef nRAS_0_i_a2_0_1)) - (portRef D (instanceRef nRAS_0_i_a2_0_2)) - )) - (net nRAS_0_i_a2_0_2 (joined - (portRef Z (instanceRef nRAS_0_i_a2_0_2)) - (portRef A (instanceRef nRAS_0_i_1)) + (net (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_3[0]") (joined + (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) )) (net (rename wb_dato_0 "wb_dato[0]") (joined (portRef (member wb_dato 7) (instanceRef ufmefb)) @@ -3818,25 +3744,71 @@ (portRef (member wb_dato 1) (instanceRef ufmefb)) (portRef C (instanceRef RWMask_RNO_6)) )) - (net (rename DQMH_4_iv_0_0_i_0_i_a2_1z "DQMH_4_iv_0_0_i_0_i_a2") (joined - (portRef Z (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef DQMH_4_iv_0_0_i_0_i_a2_1z) + (net (rename FS_5 "FS[5]") (joined + (portRef (member fs 10)) + (portRef A (instanceRef Ready3_0_a3_5)) + (portRef C (instanceRef un1_FS_32_i_i_o2_3)) + (portRef A (instanceRef un1_FS_33_3)) + (portRef C (instanceRef RA_33_9_47_i_0_o2)) + )) + (net (rename FS_6 "FS[6]") (joined + (portRef (member fs 9)) + (portRef B (instanceRef Ready3_0_a3_5)) + (portRef D (instanceRef un1_FS_32_i_i_o2_3)) + (portRef B (instanceRef un1_FS_33_3)) + (portRef C (instanceRef RA_33_8_65_i_0_o2)) + )) + (net N_197 (joined + (portRef Z (instanceRef DQML_4_iv_0_17)) + (portRef N_197) + )) + (net (rename CmdTout_3_1 "CmdTout_3[1]") (joined + (portRef Z (instanceRef CmdTout_3_1)) + (portRef (member cmdtout_3 1)) + )) + (net CmdLEDGet (joined + (portRef CmdLEDGet) + (portRef A (instanceRef RWBank10)) + )) + (net CmdSetRWBankFF (joined + (portRef CmdSetRWBankFF) + (portRef B (instanceRef RWBank10)) )) (net (rename RA_8 "RA[8]") (joined (portRef (member ra 3)) - (portRef A (instanceRef RA_33_2_173_1_a2)) + (portRef A (instanceRef RA_33_2_173_0_a2)) )) - (net (rename N_390_i_1z "N_390_i") (joined - (portRef Z (instanceRef N_390_i)) - (portRef N_390_i_1z) + (net (rename N_488_i_1z "N_488_i") (joined + (portRef Z (instanceRef N_488_i)) + (portRef N_488_i_1z) )) - (net (rename N_391_i_1z "N_391_i") (joined - (portRef Z (instanceRef N_391_i)) - (portRef N_391_i_1z) + (net (rename N_358_i_1z "N_358_i") (joined + (portRef Z (instanceRef N_358_i)) + (portRef N_358_i_1z) )) - (net (rename N_392_i_1z "N_392_i") (joined - (portRef Z (instanceRef N_392_i)) - (portRef N_392_i_1z) + (net (rename N_489_i_1z "N_489_i") (joined + (portRef Z (instanceRef N_489_i)) + (portRef N_489_i_1z) + )) + (net (rename N_490_i_1z "N_490_i") (joined + (portRef Z (instanceRef N_490_i)) + (portRef N_490_i_1z) + )) + (net (rename N_359_i_1z "N_359_i") (joined + (portRef Z (instanceRef N_359_i)) + (portRef N_359_i_1z) + )) + (net (rename N_491_i_1z "N_491_i") (joined + (portRef Z (instanceRef N_491_i)) + (portRef N_491_i_1z) + )) + (net (rename N_492_i_1z "N_492_i") (joined + (portRef Z (instanceRef N_492_i)) + (portRef N_492_i_1z) + )) + (net (rename RWBank_7 "RWBank[7]") (joined + (portRef (member rwbank 0)) + (portRef A (instanceRef RA_33_2_173_a2_0)) )) (net PHI1_c (joined (portRef PHI1_c) @@ -3846,68 +3818,16 @@ (portRef PHI1r) (portRef B (instanceRef S_1)) )) - (net CmdLEDGet (joined - (portRef CmdLEDGet) - (portRef A (instanceRef RWBank10)) - )) - (net CmdSetRWBankFFChip (joined - (portRef CmdSetRWBankFFChip) - (portRef B (instanceRef RWBank10)) - )) - (net CmdSetRWBankFFLED (joined - (portRef CmdSetRWBankFFLED) - (portRef C (instanceRef RWBank10)) - )) - (net LED_c (joined - (portRef Z (instanceRef un1_LED_i)) - (portRef LED_c) - )) - (net (rename wb_dato_7 "wb_dato[7]") (joined - (portRef (member wb_dato 0) (instanceRef ufmefb)) - (portRef C (instanceRef RWMask_RNO_7)) - )) (net RAT (joined (portRef RAT) - (portRef B (instanceRef un6_RAout_i_m2_0)) + (portRef B (instanceRef un6_RAout_i_m2_7)) + (portRef B (instanceRef un6_RAout_i_m2_6)) + (portRef B (instanceRef un6_RAout_i_m2_5)) + (portRef B (instanceRef un6_RAout_i_m2_2)) (portRef B (instanceRef un6_RAout_i_m2_1)) + (portRef B (instanceRef un6_RAout_i_m2_0)) (portRef B (instanceRef un6_RAout_i_m2_3)) (portRef B (instanceRef un6_RAout_i_m2_4)) - (portRef B (instanceRef un6_RAout_i_m2_6)) - (portRef B (instanceRef un6_RAout_i_m2_7)) - (portRef B (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef B (instanceRef un6_RAout_i_m2_i_m2_2)) - )) - (net (rename RAr_2 "RAr[2]") (joined - (portRef (member rar 5)) - (portRef C (instanceRef un6_RAout_i_m2_i_m2_2)) - )) - (net (rename RAout_c_2 "RAout_c[2]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_i_m2_2)) - (portRef (member raout_c 5)) - )) - (net (rename RAr_5 "RAr[5]") (joined - (portRef (member rar 2)) - (portRef C (instanceRef un6_RAout_i_m2_i_m2_5)) - )) - (net (rename RAout_c_5 "RAout_c[5]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef (member raout_c 2)) - )) - (net (rename RAr_7 "RAr[7]") (joined - (portRef (member rar 0)) - (portRef C (instanceRef un6_RAout_i_m2_7)) - )) - (net (rename RAout_c_7 "RAout_c[7]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_7)) - (portRef (member raout_c 0)) - )) - (net (rename RAr_6 "RAr[6]") (joined - (portRef (member rar 1)) - (portRef C (instanceRef un6_RAout_i_m2_6)) - )) - (net (rename RAout_c_6 "RAout_c[6]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_6)) - (portRef (member raout_c 1)) )) (net (rename RAr_4 "RAr[4]") (joined (portRef (member rar 3)) @@ -3925,13 +3845,9 @@ (portRef Z (instanceRef un6_RAout_i_m2_3)) (portRef (member raout_c 4)) )) - (net (rename RAr_1 "RAr[1]") (joined - (portRef (member rar 6)) - (portRef C (instanceRef un6_RAout_i_m2_1)) - )) - (net (rename RAout_c_1 "RAout_c[1]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_1)) - (portRef (member raout_c 6)) + (net (rename wb_dato_7 "wb_dato[7]") (joined + (portRef (member wb_dato 0) (instanceRef ufmefb)) + (portRef C (instanceRef RWMask_RNO_7)) )) (net (rename RAr_0 "RAr[0]") (joined (portRef (member rar 7)) @@ -3941,37 +3857,45 @@ (portRef Z (instanceRef un6_RAout_i_m2_0)) (portRef (member raout_c 7)) )) - (net (rename RDout_6 "RDout[6]") (joined - (portRef Z (instanceRef RDout_6)) - (portRef RDout_6) + (net (rename RAr_1 "RAr[1]") (joined + (portRef (member rar 6)) + (portRef C (instanceRef un6_RAout_i_m2_1)) )) - (net (rename RDout_4 "RDout[4]") (joined - (portRef Z (instanceRef RDout_4)) - (portRef RDout_4) + (net (rename RAout_c_1 "RAout_c[1]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_1)) + (portRef (member raout_c 6)) )) - (net (rename RDout_0 "RDout[0]") (joined - (portRef Z (instanceRef RDout_0)) - (portRef RDout_0) + (net (rename RAr_2 "RAr[2]") (joined + (portRef (member rar 5)) + (portRef C (instanceRef un6_RAout_i_m2_2)) )) - (net (rename RDout_3 "RDout[3]") (joined - (portRef Z (instanceRef RDout_3)) - (portRef RDout_3) + (net (rename RAout_c_2 "RAout_c[2]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_2)) + (portRef (member raout_c 5)) )) - (net (rename RDout_5 "RDout[5]") (joined - (portRef Z (instanceRef RDout_5)) - (portRef RDout_5) + (net (rename RAr_5 "RAr[5]") (joined + (portRef (member rar 2)) + (portRef C (instanceRef un6_RAout_i_m2_5)) )) - (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined - (portRef Z (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef CmdTout_3_0) + (net (rename RAout_c_5 "RAout_c[5]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_5)) + (portRef (member raout_c 2)) )) - (net (rename RWBank_6 "RWBank[6]") (joined - (portRef (member rwbank 1)) - (portRef A (instanceRef BA_3_1)) + (net (rename RAr_6 "RAr[6]") (joined + (portRef (member rar 1)) + (portRef C (instanceRef un6_RAout_i_m2_6)) )) - (net (rename BA_3_1 "BA_3[1]") (joined - (portRef Z (instanceRef BA_3_1)) - (portRef (member ba_3 0)) + (net (rename RAout_c_6 "RAout_c[6]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_6)) + (portRef (member raout_c 1)) + )) + (net (rename RAr_7 "RAr[7]") (joined + (portRef (member rar 0)) + (portRef C (instanceRef un6_RAout_i_m2_7)) + )) + (net (rename RAout_c_7 "RAout_c[7]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_7)) + (portRef (member raout_c 0)) )) (net (rename RWBank_5 "RWBank[5]") (joined (portRef (member rwbank 2)) @@ -3981,13 +3905,62 @@ (portRef Z (instanceRef BA_3_0)) (portRef (member ba_3 1)) )) - (net CKE_7s2_0_0_1 (joined - (portRef Z (instanceRef CKE_7s2_0_0_1)) - (portRef D (instanceRef CKE_7s2_0_0)) + (net (rename RWBank_6 "RWBank[6]") (joined + (portRef (member rwbank 1)) + (portRef A (instanceRef BA_3_1)) )) - (net CS6_182_0_o2_1 (joined - (portRef Z (instanceRef CS6_RNO_2)) - (portRef D (instanceRef CS6_RNO)) + (net (rename BA_3_1 "BA_3[1]") (joined + (portRef Z (instanceRef BA_3_1)) + (portRef (member ba_3 0)) + )) + (net N_523_1 (joined + (portRef Z (instanceRef VOE_2_0_a2)) + (portRef B (instanceRef RDOE_2_0_a5)) + (portRef N_523_1) + )) + (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined + (portRef Z (instanceRef CmdTout_3_0)) + (portRef (member cmdtout_3 2)) + )) + (net (rename RDout_0 "RDout[3]") (joined + (portRef Z (instanceRef RDout_3)) + (portRef RDout_0) + )) + (net CS_0_sqmuxa_0_o2_1 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef D (instanceRef CS_0_sqmuxa_0_o2)) + )) + (net CS_0_sqmuxa_0_a3_3_8_1 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8)) + )) + (net (rename RA_9 "RA[9]") (joined + (portRef (member ra 2)) + (portRef C (instanceRef RA_33_0_1_9)) + )) + (net (rename RWBank_2 "RWBank[2]") (joined + (portRef (member rwbank 5)) + (portRef D (instanceRef RA_33_0_1_9)) + )) + (net (rename RA_33_0_1_9 "RA_33_0_1[9]") (joined + (portRef Z (instanceRef RA_33_0_1_9)) + (portRef D (instanceRef RA_33_0_9)) + )) + (net (rename RA_33_9 "RA_33[9]") (joined + (portRef Z (instanceRef RA_33_0_9)) + (portRef (member ra_33 2)) + )) + (net (rename wb_adr_7_i_0_1_0 "wb_adr_7_i_0_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_1_0)) + (portRef C (instanceRef wb_adr_7_i_0_0)) + )) + (net (rename wb_adr_7_i_0_1_1_0 "wb_adr_7_i_0_1_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef D (instanceRef wb_adr_7_i_0_1_0)) + )) + (net nCAS_0_192_i_1_0_1 (joined + (portRef Z (instanceRef nCAS_0_RNO_1)) + (portRef C (instanceRef nCAS_0_RNO)) )) (net DOEEN (joined (portRef DOEEN) @@ -3998,7 +3971,7 @@ (portRef nDOE_c) )) (net Vout3 (joined - (portRef Z (instanceRef Vout3_0_a2_1_a2)) + (portRef Z (instanceRef Vout3_0_a2)) (portRef Vout3) )) ) @@ -4040,6 +4013,9 @@ ) (instance (rename RC_RNO_0 "RC_RNO[0]") (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance RDOE_RNIAM8C (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance DOEEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (!B !A))")) + ) (instance Ready_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) @@ -4179,18 +4155,18 @@ ) (instance (rename RC_2 "RC[2]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance (rename RAr_0 "RAr[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_0 "RA[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance (rename RAr_0 "RAr[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + ) (instance (rename RAr_1 "RAr[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RA_1 "RA[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance (rename RAr_2 "RAr[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_2 "RA[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance (rename RAr_2 "RAr[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + ) (instance (rename RAr_3 "RAr[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RA_3 "RA[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) @@ -4199,14 +4175,14 @@ ) (instance (rename RAr_4 "RAr[4]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance (rename RAr_5 "RAr[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_5 "RA[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance (rename RA_6 "RA[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance (rename RAr_5 "RAr[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RAr_6 "RAr[6]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance (rename RA_6 "RA[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) (instance (rename RA_7 "RA[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance (rename RAr_7 "RAr[7]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -4264,11 +4240,9 @@ (instance (rename CmdTout_2 "CmdTout[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CmdSetRWBankFFLED (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance CmdSetRWBankFF (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CmdSetRWBankFFChip (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) (instance CmdRWMaskSet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) @@ -4362,13 +4336,13 @@ ) (instance C14M_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) ) (instance VOE_i_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D A)")) + (property lut_function (string "(!D (!C+(!B+!A))+D (B+A))")) ) (instance (rename RC_RNO_2 "RC_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+!A))")) ) (instance RATc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (property lut_function (string "(!C+(B+A))")) ) (instance RefReq_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) @@ -4441,25 +4415,30 @@ (portRef SP (instanceRef CmdTout_1)) (portRef SP (instanceRef CmdTout_0)) )) - (net un9_VOE_0_a2_0_a2 (joined - (portRef un9_VOE_0_a2_0_a2_1z (instanceRef ram2e_ufm)) + (net un9_VOE_0_a2 (joined + (portRef un9_VOE_0_a2_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef RWSel)) )) (net (rename S_0 "S[0]") (joined (portRef Q (instanceRef S_0)) (portRef (member s 3) (instanceRef ram2e_ufm)) (portRef A (instanceRef RATc)) + (portRef A (instanceRef VOE_i_0io_RNO)) + (portRef C (instanceRef DOEEN_RNO)) )) (net (rename S_1 "S[1]") (joined (portRef Q (instanceRef S_1)) (portRef (member s 2) (instanceRef ram2e_ufm)) - (portRef B (instanceRef RATc)) + (portRef B (instanceRef VOE_i_0io_RNO)) + (portRef D (instanceRef RAT)) + (portRef B (instanceRef DOEEN_RNO)) )) (net (rename S_2 "S[2]") (joined (portRef Q (instanceRef S_2)) (portRef (member s 1) (instanceRef ram2e_ufm)) + (portRef B (instanceRef RATc)) (portRef C (instanceRef VOE_i_0io_RNO)) - (portRef CD (instanceRef RAT)) + (portRef A (instanceRef DOEEN_RNO)) )) (net (rename S_3 "S[3]") (joined (portRef Q (instanceRef S_3)) @@ -4483,6 +4462,11 @@ (portRef (member fs 13) (instanceRef ram2e_ufm)) (portRef A1 (instanceRef FS_cry_0_1)) )) + (net (rename FS_3 "FS[3]") (joined + (portRef Q (instanceRef FS_3)) + (portRef (member fs 12) (instanceRef ram2e_ufm)) + (portRef A0 (instanceRef FS_cry_0_3)) + )) (net (rename FS_4 "FS[4]") (joined (portRef Q (instanceRef FS_4)) (portRef (member fs 11) (instanceRef ram2e_ufm)) @@ -4686,42 +4670,18 @@ (portRef SP (instanceRef Vout_0io_1)) (portRef SP (instanceRef Vout_0io_0)) )) - (net RWSel_2 (joined - (portRef RWSel_2 (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWSel)) - )) (net (rename RA_0 "RA[0]") (joined (portRef Q (instanceRef RA_0)) (portRef (member ra 11) (instanceRef ram2e_ufm)) (portRef D (instanceRef RAr_0)) )) - (net (rename RDout_0 "RDout[0]") (joined - (portRef RDout_0 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_0)) - )) (net (rename RDout_3 "RDout[3]") (joined - (portRef RDout_3 (instanceRef ram2e_ufm)) + (portRef RDout_0 (instanceRef ram2e_ufm)) (portRef I (instanceRef RD_pad_3)) )) - (net (rename RDout_4 "RDout[4]") (joined - (portRef RDout_4 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_4)) - )) - (net (rename RDout_5 "RDout[5]") (joined - (portRef RDout_5 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_5)) - )) - (net (rename RDout_6 "RDout[6]") (joined - (portRef RDout_6 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_6)) - )) - (net CmdSetRWBankFFLED (joined - (portRef Q (instanceRef CmdSetRWBankFFLED)) - (portRef CmdSetRWBankFFLED (instanceRef ram2e_ufm)) - )) - (net CmdSetRWBankFFChip (joined - (portRef Q (instanceRef CmdSetRWBankFFChip)) - (portRef CmdSetRWBankFFChip (instanceRef ram2e_ufm)) + (net CmdSetRWBankFF (joined + (portRef Q (instanceRef CmdSetRWBankFF)) + (portRef CmdSetRWBankFF (instanceRef ram2e_ufm)) )) (net (rename BA_3_0 "BA_3[0]") (joined (portRef (member ba_3 1) (instanceRef ram2e_ufm)) @@ -4748,26 +4708,6 @@ (portRef Ready3 (instanceRef ram2e_ufm)) (portRef A (instanceRef Ready_0)) )) - (net RWBank14 (joined - (portRef RWBank14 (instanceRef ram2e_ufm)) - (portRef SP (instanceRef CmdLEDGet)) - (portRef SP (instanceRef CmdLEDSet)) - (portRef SP (instanceRef CmdRWMaskSet)) - (portRef SP (instanceRef CmdSetRWBankFFChip)) - (portRef SP (instanceRef CmdSetRWBankFFLED)) - (portRef SP (instanceRef RWBank_7)) - (portRef SP (instanceRef RWBank_6)) - (portRef SP (instanceRef RWBank_5)) - (portRef SP (instanceRef RWBank_4)) - (portRef SP (instanceRef RWBank_3)) - (portRef SP (instanceRef RWBank_2)) - (portRef SP (instanceRef RWBank_1)) - (portRef SP (instanceRef RWBank_0)) - )) - (net (rename RWBank_3_0 "RWBank_3[0]") (joined - (portRef (member rwbank_3 7) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_0)) - )) (net (rename RWBank_3_1 "RWBank_3[1]") (joined (portRef (member rwbank_3 6) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_1)) @@ -4776,10 +4716,26 @@ (portRef (member rwbank_3 5) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_2)) )) + (net (rename RWBank_3_3 "RWBank_3[3]") (joined + (portRef (member rwbank_3 4) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_3)) + )) (net (rename RWBank_3_4 "RWBank_3[4]") (joined (portRef (member rwbank_3 3) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_4)) )) + (net (rename RWBank_3_5 "RWBank_3[5]") (joined + (portRef (member rwbank_3 2) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_5)) + )) + (net (rename RWBank_3_6 "RWBank_3[6]") (joined + (portRef (member rwbank_3 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_6)) + )) + (net (rename RWBank_3_7 "RWBank_3[7]") (joined + (portRef (member rwbank_3 0) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_7)) + )) (net RDOE (joined (portRef Q (instanceRef RDOE)) (portRef A (instanceRef RDOE_RNIAM8C)) @@ -4792,17 +4748,9 @@ (portRef CmdLEDGet_3 (instanceRef ram2e_ufm)) (portRef D (instanceRef CmdLEDGet)) )) - (net CmdSetRWBankFFChip_3 (joined - (portRef CmdSetRWBankFFChip_3_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdSetRWBankFFChip)) - )) - (net CmdSetRWBankFFLED_3 (joined - (portRef CmdSetRWBankFFLED_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdSetRWBankFFLED)) - )) - (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined - (portRef CmdTout_3_0 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_0)) + (net CmdLEDSet_3 (joined + (portRef CmdLEDSet_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdLEDSet)) )) (net (rename RWBank_1 "RWBank[1]") (joined (portRef Q (instanceRef RWBank_1)) @@ -4824,6 +4772,10 @@ (portRef Q (instanceRef RWBank_7)) (portRef (member rwbank 0) (instanceRef ram2e_ufm)) )) + (net CmdSetRWBankFF_3 (joined + (portRef CmdSetRWBankFF_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdSetRWBankFF)) + )) (net (rename RA_1 "RA[1]") (joined (portRef Q (instanceRef RA_1)) (portRef (member ra 10) (instanceRef ram2e_ufm)) @@ -4885,20 +4837,24 @@ (portRef Q (instanceRef nRAS)) (portRef D (instanceRef nRASout_0io)) )) - (net (rename S_0_0_0_0 "S_0_0_0[0]") (joined - (portRef S_0_0_0_0 (instanceRef ram2e_ufm)) + (net nCAS_0 (joined + (portRef nCAS_0_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef nCAS)) + )) + (net (rename S_0_0_0 "S_0_0[0]") (joined + (portRef S_0_0_0 (instanceRef ram2e_ufm)) (portRef D (instanceRef S_0)) )) - (net CS6_RNIL59G2 (joined - (portRef CS6_RNIL59G2_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNISFDK (joined + (portRef CS_0_sqmuxa_0_RNISFDK_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_0)) )) - (net CS_0_sqmuxa_0_a2_RNID8OM (joined - (portRef CS_0_sqmuxa_0_a2_RNID8OM_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNIR7BR (joined + (portRef CS_0_sqmuxa_0_RNIR7BR_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_1)) )) - (net CS_0_sqmuxa_0_a2_RNID1MT (joined - (portRef CS_0_sqmuxa_0_a2_RNID1MT_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNIR0921 (joined + (portRef CS_0_sqmuxa_0_RNIR0921_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_2)) )) (net (rename RC_RNO_1 "RC_RNO[1]") (joined @@ -4913,31 +4869,129 @@ (portRef (member ra_33 2) (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_9)) )) - (net (rename RA_33_10 "RA_33[10]") (joined - (portRef (member ra_33 1) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RA_10)) - )) (net (rename RA_33_11 "RA_33[11]") (joined (portRef (member ra_33 0) (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_11)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (joined - (portRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 (instanceRef ram2e_ufm)) + (net RA_33_2_173_0 (joined + (portRef RA_33_2_173_0_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_8)) )) - (net N_148 (joined - (portRef N_148 (instanceRef ram2e_ufm)) - (portRef CD (instanceRef CS_2)) - (portRef CD (instanceRef CS_1)) - (portRef CD (instanceRef CS_0)) + (net RWBank14 (joined + (portRef RWBank14 (instanceRef ram2e_ufm)) + (portRef SP (instanceRef CmdLEDGet)) + (portRef SP (instanceRef CmdLEDSet)) + (portRef SP (instanceRef CmdRWMaskSet)) + (portRef SP (instanceRef CmdSetRWBankFF)) + (portRef SP (instanceRef RWBank_7)) + (portRef SP (instanceRef RWBank_6)) + (portRef SP (instanceRef RWBank_5)) + (portRef SP (instanceRef RWBank_4)) + (portRef SP (instanceRef RWBank_3)) + (portRef SP (instanceRef RWBank_2)) + (portRef SP (instanceRef RWBank_1)) + (portRef SP (instanceRef RWBank_0)) )) - (net (rename FS_3 "FS[3]") (joined - (portRef Q (instanceRef FS_3)) - (portRef (member fs 12) (instanceRef ram2e_ufm)) - (portRef A0 (instanceRef FS_cry_0_3)) + (net (rename ram2e_ufm_wb_rst13 "ram2e_ufm.wb_rst13") (joined + (portRef wb_rst13 (instanceRef ram2e_ufm)) + (portRef CD (instanceRef BA_0io_1)) + (portRef CD (instanceRef BA_0io_0)) )) - (net un1_CKE48_0_i (joined - (portRef un1_CKE48_0_i_1z (instanceRef ram2e_ufm)) + (net CKE_0 (joined + (portRef CKE_0_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef CKE)) + )) + (net (rename RA_33_10 "RA_33[10]") (joined + (portRef (member ra_33 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RA_10)) + )) + (net CmdRWMaskSet_3 (joined + (portRef CmdRWMaskSet_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdRWMaskSet)) + )) + (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined + (portRef (member cmdtout_3 2) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_0)) + )) + (net (rename CmdTout_3_1 "CmdTout_3[1]") (joined + (portRef (member cmdtout_3 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_1)) + )) + (net (rename CmdTout_3_2 "CmdTout_3[2]") (joined + (portRef (member cmdtout_3 0) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_2)) + )) + (net N_197 (joined + (portRef N_197 (instanceRef ram2e_ufm)) + (portRef D (instanceRef DQML_0io)) + )) + (net (rename RWBank_3_0 "RWBank_3[0]") (joined + (portRef (member rwbank_3 7) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_0)) + )) + (net RWSel_2 (joined + (portRef RWSel_2_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWSel)) + )) + (net N_523_1 (joined + (portRef N_523_1 (instanceRef ram2e_ufm)) + (portRef CD (instanceRef VOE_i_0io)) + )) + (net N_488_i (joined + (portRef N_488_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_7)) + )) + (net N_358_i (joined + (portRef N_358_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_6)) + )) + (net N_489_i (joined + (portRef N_489_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_5)) + )) + (net N_490_i (joined + (portRef N_490_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_4)) + )) + (net N_359_i (joined + (portRef N_359_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_2)) + )) + (net N_491_i (joined + (portRef N_491_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_1)) + )) + (net N_492_i (joined + (portRef N_492_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_0)) + )) + (net N_50_i (joined + (portRef N_50_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef nRWE)) + )) + (net N_460_i (joined + (portRef N_460_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_3)) + )) + (net N_459_i (joined + (portRef N_459_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_2)) + )) + (net N_458_i (joined + (portRef N_458_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_1)) + )) + (net N_360_i (joined + (portRef N_360_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef nRAS)) + )) + (net N_347_i (joined + (portRef N_347_i_1z (instanceRef ram2e_ufm)) + (portRef SP (instanceRef BA_0io_1)) + (portRef SP (instanceRef BA_0io_0)) + )) + (net un1_CKE48_i (joined + (portRef un1_CKE48_i_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef RA_11)) (portRef SP (instanceRef RA_10)) (portRef SP (instanceRef RA_9)) @@ -4951,140 +5005,53 @@ (portRef SP (instanceRef RA_1)) (portRef SP (instanceRef RA_0)) )) - (net DQMH_4_iv_0_0_i_0_i_a2 (joined - (portRef DQMH_4_iv_0_0_i_0_i_a2_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef DQMH_0io)) - )) - (net CKE_0 (joined - (portRef CKE_0_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CKE)) - )) - (net CmdLEDSet_3 (joined - (portRef CmdLEDSet_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdLEDSet)) - )) - (net CmdRWMaskSet_3 (joined - (portRef CmdRWMaskSet_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdRWMaskSet)) - )) - (net (rename RWBank_3_3 "RWBank_3[3]") (joined - (portRef (member rwbank_3 4) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_3)) - )) - (net (rename RWBank_3_5 "RWBank_3[5]") (joined - (portRef (member rwbank_3 2) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_5)) - )) - (net (rename RWBank_3_6 "RWBank_3[6]") (joined - (portRef (member rwbank_3 1) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_6)) - )) - (net (rename RWBank_3_7 "RWBank_3[7]") (joined - (portRef (member rwbank_3 0) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_7)) - )) - (net N_530 (joined - (portRef N_530 (instanceRef ram2e_ufm)) - (portRef CD (instanceRef VOE_i_0io)) - )) - (net N_188 (joined - (portRef N_188 (instanceRef ram2e_ufm)) - (portRef A (instanceRef VOE_i_0io_RNO)) - )) - (net N_194 (joined - (portRef N_194 (instanceRef ram2e_ufm)) - (portRef B (instanceRef VOE_i_0io_RNO)) - )) - (net N_390_i (joined - (portRef N_390_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_7)) - )) - (net N_391_i (joined - (portRef N_391_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_2)) - )) - (net N_392_i (joined - (portRef N_392_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_1)) - )) - (net N_424_i (joined - (portRef N_424_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nCAS)) - )) - (net N_421_i (joined - (portRef N_421_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nRWE)) - )) - (net N_349_i (joined - (portRef N_349_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_3)) - )) - (net N_73_i (joined - (portRef N_73_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_2)) - )) - (net N_71_i (joined - (portRef N_71_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_1)) - )) - (net N_420_i (joined - (portRef N_420_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nRAS)) - )) - (net N_117_i (joined - (portRef N_117_i_1z (instanceRef ram2e_ufm)) - (portRef SP (instanceRef BA_0io_1)) - (portRef SP (instanceRef BA_0io_0)) - )) - (net N_350_i (joined - (portRef N_350_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_2)) - )) - (net N_351_i (joined - (portRef N_351_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_1)) - )) - (net N_313_i (joined - (portRef N_313_i (instanceRef ram2e_ufm)) + (net N_312_i (joined + (portRef N_312_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_7)) )) - (net N_299_i (joined - (portRef N_299_i (instanceRef ram2e_ufm)) + (net N_298_i (joined + (portRef N_298_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_6)) )) - (net N_285_i (joined - (portRef N_285_i (instanceRef ram2e_ufm)) + (net N_284_i (joined + (portRef N_284_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_5)) )) - (net N_271_i (joined - (portRef N_271_i (instanceRef ram2e_ufm)) + (net N_270_i (joined + (portRef N_270_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_4)) )) - (net N_257_i (joined - (portRef N_257_i (instanceRef ram2e_ufm)) + (net N_256_i (joined + (portRef N_256_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_3)) )) - (net N_243_i (joined - (portRef N_243_i_1z (instanceRef ram2e_ufm)) + (net N_242_i (joined + (portRef N_242_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_2)) )) - (net N_229_i (joined - (portRef N_229_i_1z (instanceRef ram2e_ufm)) + (net N_228_i (joined + (portRef N_228_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_1)) )) - (net N_215_i (joined - (portRef N_215_i_1z (instanceRef ram2e_ufm)) + (net N_214_i (joined + (portRef N_214_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_0)) )) - (net N_443_i (joined - (portRef N_443_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef DQML_0io)) + (net un1_CS_0_sqmuxa_i (joined + (portRef un1_CS_0_sqmuxa_i (instanceRef ram2e_ufm)) + (portRef CD (instanceRef CS_2)) + (portRef CD (instanceRef CS_1)) + (portRef CD (instanceRef CS_0)) )) - (net N_389_i (joined - (portRef N_389_i_1z (instanceRef ram2e_ufm)) + (net N_346_i (joined + (portRef N_346_i_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef DQMH_0io)) (portRef SP (instanceRef DQML_0io)) )) + (net N_194_i (joined + (portRef N_194_i_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef DQMH_0io)) + )) (net (rename FS_cry_0 "FS_cry[0]") (joined (portRef COUT (instanceRef FS_cry_0_0)) (portRef CIN (instanceRef FS_cry_0_1)) @@ -5181,10 +5148,6 @@ (portRef S0 (instanceRef FS_s_0_15)) (portRef D (instanceRef FS_15)) )) - (net RATc (joined - (portRef Z (instanceRef RATc)) - (portRef D (instanceRef RAT)) - )) (net VOE_ic_i (joined (portRef Z (instanceRef VOE_i_0io_RNO)) (portRef D (instanceRef VOE_i_0io)) @@ -5338,8 +5301,7 @@ (portRef CK (instanceRef CmdLEDGet)) (portRef CK (instanceRef CmdLEDSet)) (portRef CK (instanceRef CmdRWMaskSet)) - (portRef CK (instanceRef CmdSetRWBankFFChip)) - (portRef CK (instanceRef CmdSetRWBankFFLED)) + (portRef CK (instanceRef CmdSetRWBankFF)) (portRef CK (instanceRef CmdTout_2)) (portRef CK (instanceRef CmdTout_1)) (portRef CK (instanceRef CmdTout_0)) @@ -5926,18 +5888,17 @@ (portRef B (instanceRef RD_pad_7)) (portRef (member rd 0)) )) - (net N_623_0 (joined + (net N_727_0 (joined + (portRef Z (instanceRef DOEEN_RNO)) + (portRef CD (instanceRef DOEEN)) + )) + (net N_659_0 (joined (portRef Z (instanceRef Ready_0)) (portRef D (instanceRef Ready)) )) - (net N_301_i (joined - (portRef N_301_i (instanceRef ram2e_ufm)) - (portRef CD (instanceRef DOEEN)) - )) - (net N_430_i (joined - (portRef N_430_i_1z (instanceRef ram2e_ufm)) - (portRef CD (instanceRef BA_0io_1)) - (portRef CD (instanceRef BA_0io_0)) + (net RATc_i (joined + (portRef Z (instanceRef RATc)) + (portRef CD (instanceRef RAT)) )) (net RDOE_i (joined (portRef Z (instanceRef RDOE_RNIAM8C)) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.jed b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.jed index 858c60e..02b0440 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.jed +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.jed @@ -2,7 +2,7 @@ NOTE Diamond (64-bit) 3.11.3.469 JEDEC Compatible Fuse File.* NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation.* NOTE All Rights Reserved.* -NOTE DATE CREATED: Fri Jun 07 20:50:32 2024* +NOTE DATE CREATED: Fri Jul 12 16:09:01 2024* NOTE DESIGN NAME: RAM2E_LCMXO2_1200HC_impl1.ncd* NOTE DEVICE NAME: LCMXO2-1200HC-4TQFP100* NOTE JEDEC FILE STATUS: Final Version 1.95* @@ -82,598 +82,598 @@ QF343936* G0* F0* L000000 -11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000000100100000101 -00000011000100010101000000110000010010001111111101000110000000000000000000000000101110001110000000000001010011010000000000000000 +11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000001000100000011 +00101000001100000000010100001001010010001111111101000110000000000000000000000000101110001110000000000001010011010000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -01001100000000000000000000000000000010010010001000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000010010000000000010000100000000000000000000000010010000101110100111100110100110010011000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000001000110000000001001110100100001011010000000000000010110100000010 -01000010001100100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100000000000 -00000000000000000000000100110000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000100110000000000000001000100000000000000000110100000110011100001000110000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000010000110000010010000000000000000000100100000000000000000000010000010010001001100010 -00001000011001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100000010001 -10000000001000000000010011100000001000010001001000100000001001011000110001001110000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000001001111001110000000000000000000000000000000100111001001010100001000000001001000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100000000000000000000000010011 -00000100100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 -01000010000100100101000000000000000000000010011010001001000010000011001001011001100000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000010010000000100010000000000001000110000000000010000100000110001001000010010110011001001 -11000000010010100000000000000000000000000000000000000000000000000000000000000000000000000000000001011100000000010011000000010011 -10000110010100000010011100000000000000100111000001001110010001110010000100011000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000001001110000000100010001000101001110000000000010010101000111001110001010010110 -00001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000 -00001000101010010000000000000000000001001011001100001100010100000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000100101001000011000000010011101000000000000000001000110100110000101100000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000101011001011100010010000100000001010110000000000 -00000001000100001001010010010101001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000001001110000000000100110000000000001001101001100000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000100001100110000000000000010001000001000100000001101011000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111 +00000000001000100000000000000001010110100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000001000010100100000000000000000000000000001001010100110001100010100000000000000000000000000000000000000000 +00000000000000000000000000000000000001001010000000000000000100001110010100100000000010001010000010001100000000001001110101011000 +00101110000000000100011000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000 +00000001001110100111000000000000001000101001110000011010010011001100100101010010010100100000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000010011100000010011100000000000000000000000000000000000000010011100000100111000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101000011001000000000000001 +00001000000000000100000100001010011000100000111000010100000000000000000000000000000000000000000000000000000000000000000000000001 +00101000010000110010100000000010001000000001001010000000001000100000000000000001001110000000010010000011100001001001011000110000 +10010100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010011101010010000 +00000000000001000101001110010010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000100010000100111000000000000000000000000111000001000000100101100000100001100110111001000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000001000010000000000000010010100000000000000000100001000000000010010010001001 +10000011000010000101011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100 +00010010010011101000100000000000000001001110000000000010011100010010100000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000100111000000010010000000000000101001000000000000000001000101001110010100111100010010000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000001001110000000000010 +01010000001000100100011010011100010010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000110000011000000100010000000101001000000000000100000100010110100000100000100011000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000001000100000000001000000100101000000000000000100011010111000010000 +00010011101001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010000100111 +01010110000001001110100011000000000000000000000011011000000010011000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000100111000000000010000000000000000000001110000001010011010011000 +10000110011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100110000000100010 +00000000000000000000000000010000010000100000010010100000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000001001001001000001001110000000000000000000000000000000000000000000000000000 +00000000000000000000000000000010001000000000000100010010011100010001000000000000001001110000000000000100101000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000001000000000000001000010000000011100001000000000000000000010001000 +00000000000000010100110100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000001001 +11000100111000000100000000000100111000000000001000011001110000000000001000011100001010100110010000100000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000100001100101000000000000000010000100000000000000000000000000000000010011 +10010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000 +01000100101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000001001000100100000100001100110100001000000110101000000000000000100011000000000000000000000000000000000000000 +00100110000000000000000000000000000000000000000000000000000001011010000000000000010011011000100101010110001010101000100000000000 +00000000000000000000000000000000000000000001000101001100000000000000000000000000000000000000000000000000000000000000000000000000 +00000010111110001011110000000001000100010011100000000000000000100101000000000000000000000000000000000001110010000000000000000000 +00000000000000000000000000000000000000000000000000000000010000001000010001000010000100010000000000000000000000000000000000000000 +00000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000000101101000010000000010001101 +00000000110000110000000100110010001010011000000000000000000000000000000000000000000000000000000011000011000000000000010001010011 +10000000000000000000000000000000000000000000000000000001000010001100001010000001000000000110010000100011100100000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100010001110001110000001 +10101000011110000000100011110010000110010111100001011001101101010000010010100000000000000000000000000000000000000000000000000000 +00000000010110010010000000000000000000000000000000000000000000000000000001000000010001000001000010100010100110000110010010010001 +01010110110001001110011010001110001100000000000000000000001001100000000000000000000000000000000000000000010110000000000000000000 +01011010000000000000000000000000000000000100001000000000100100100111111000001000100000100010111000100000001011010010011000000000 +00000000000000000000000000000000000000000000001100001100000000000000000000000000000000000000000000000000000000000000000111000001 +01001101100010110010010110001100110001001010000011011100001101010000100011100110000100100000000000000000000010001100000000000000 +00000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000000110110000010001011110000001110100 +00001010110100100000100001100111100110000000000000000000100110000010000100000000000000000000000000000001100001100000000000000000 +00000000000000000000000000000000000000000000000000000000010000110010010001100010010111110000101110000001001001000100001000000101 +11000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001 +00100110011010000101000111110000010000100000100111010001100000000000000000100100010011000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000100001100001100110010010101000110100101101100010011001001001000 +11100110000000000000000000010010000010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000011000001101000000000010011010010001000111000001001100000000001000110000000000000000000000000000000000000000000 +00011000011000000000000000000000000000000000000000000000000000000000000000000000000111001000000011010000011110000010010011101010 +11010001010001100000000100101000000001000100000000000000000000000000000000000000000000000000000000000000000010110100000000000000 +00000000000000000000000000000001001111000010100111001101011000110001101000100101000000000000000000001000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000010000111000010101110010000010011001010111000011 +00111100110001000011110000010100111000000000000000000000000000000000000000000000000000000011000011000000000000000000000000000000 +00000000000000000000000000000000000000010010101000100110101000010011001001001010011000110100111001100010100000000001001000000000 +00001001100000100001000000000000000000000000000000000000000000011010100000000000000000000000000000000000000000000000010110000000 +00000100111010000010010100010011010000011100000011110010000001001000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000110110000101001111100010010010110101010101100100010000110001100 +00000000000000000000010010000000000000000000000000000000000000000000000111001000000000000000000000000000000000000000000000000000 +00000000001000111001011000110100110011001001011001011001110010011000000000010011000000000000000000100111000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000010100001100110011111011111101111011111101000011110011111 +01000001110100011100001110000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000110000111110010010111110100010001111110111111111001110100100111011101111111011111000110111010001 +11011001111001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000001110001100011111011111010000111111011111110111010111110111011111111011000110101011101100111100110000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001100010100 +01110111111010100011111110110110100010010100010011001111110101100001111001100100000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000001001110010000000100111001001000100011111100010000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101001110010 +01000010010101000110000001001100001100100100100011000000000000000010001101000010000000000000000000000000000000000001101001100100 +11100000000000000000000000000000000000000000000000000000000000100101000110100011010011010011100000000011001100101101001001100100 +00010000110011000000000001101000010100110000011010000101001100100011100011000001000110000000000000000000000000000000000000100110 +00000000000000000000000000000000000000000000000000000001101000100100110111000100000001001100000100100100110100100000100110110100 +00010000000000100011000001001101001101000000100101010000100000000000000000000000000000000100110100110000000000000000000000000000 +00000000000000000000000000000010011001011100100000000011001110001000001000000000101111100010111100000000010101100000000000000000 +10101000100101000100101001001010000000000000000000000000000100100100100000000000000000000000000000000000000000000000000000000101 +01101001001001000000001000000010000100001000111100101001000100101000000000000000001000110000000000000000000000000000000000000000 +00000000010110000000000000000000000000000000000000100011000000000000000011010100000001000011001100001000101000011101000011000011 +00110010100110000011000100101001100000000000110100001010011000001101000010110100001010010100000000000000000000000000000000000000 +00000000010110000000000000000001000100000000000000000000000000000000000001101000100111100000000000010001010011111010001001000001 +01011001001001001101000010100111001001100000000000100011000001001101001101000100000000000000000000000000000000000000011000011110 +00000000000000000010000000000000000000000010011000000000000000000000000000100000100001000010111110000001000010011000101010000000 +00000000001010101000001001011001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +00011010000100100111100001011000110001101000010110001010001100010010111000001011000101101110000100110100010000100000100011100100 +11010001101111001000100010100010100100110100001010010110011101000101001110000000000010010111100001000000000000000000000000000000 +00000000000000000101100100100000000000000000000000000000000000000000000000001000001001010010001100110101000110110001000100100111 +01001111001001000000111011000000110100001011010001101001011011100100111110001100101101100000000000101100001001001100000110000010 +00001000010100000000010001000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000000001000 +01010011001001000010110110001110101110011100101001001011011001010001001111000011001000100111001001110000010001010101000001000011 +11000010110001100000000000000000000000000000000000001100001111000000000000000000000000000000000000000000000000000001001010100001 +10010100100101011000001101011111001110100111010101110011010010100100001100001100000111010000010011110000101110000100110011001011 +10000110001000100001011000000000010001101001100000001001110000000000000000000000000000000000000001011000000000000000000000000000 +00000000000000000000000000100000100101111001100110001111000100101001111111001000100001001101000010101001100000100001100010111100 +00001000101100001010001010111101010000100000010001011010001000111010000001000100001001100100001100111001001100100001111000100011 +10000100000000000100101000000000000000000000000000011000011110000000000000000000000000000000000000000000000000101110000000010010 +11000011001011001111101000100000010010000100111111001100001110010000100101011000001101101011000010011001001001000001010011001000 +00000000110000011000100101101100110010000110000110011100100001000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000100010010011100100100100100001000111010100001001101011011000111110000001010110100010001011101010001 +00000110100001010100101000000001001000010001110101001001000010000111100001010001000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000011001000100000010001010010001001101000000100001110011100101000110100111110010101010 +00011001101010011100010010010000110001110001100000000010010100010010110110100110000101010011101011010000010001100000000000000000 +00000000000000000000000000000000000000000000000000000000000000000001000100110010100110011001000010100010111000100000000100010111 +00010000001000111001101100010010010011010000110000001101100000000100010000100000000100010000100001100111110000011010011100100101 +00000000000000000000000000000000001100001111000000001011010000000000000000000000000000000000000000000000010110000000000010000110 +01000110100000111100000011110011000111000001010010110010110101010001010010111100010101011010110001001001001010010110000010010110 +01010100011100001010000110010100010010000000100010000000000000000000000000000000000000000000000000000000000010110100000000000000 +00000000000000000000000100010100100000111000010000011010000101000110001001101000011001011001110100000000010000000010010000100101 +00010001001101000101010010101010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010 +00110100011010010100100101100000110100010000011010000100110001101010101101001101100010010011001001101101000010100110100011100110 +10001010001001001110000000000000100001101101010011010011100100011000100111000000000000000000000000000000110000111100000000000001 +00111000000000000000000000000000000000000000000000100000110000111011011000000100101010001000001010011101000101111100000111000101 +00111010100100101010011000001111101010000001000100010011100000100101010000110011110000101100101001100000010001111110000001000110 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100001001110100110000010011010001000 +11101000000010001010011101001010011010001001001111001001001010100100110010001000000001001000001001000010010101100110001100001100 +11100000000000000000000000000000000000000000000000000001110010000000000000000000000000000000000000000000000100001000111000001010 +01011000011001100100011100101100101001010011000010111000010010011111100000010100100010001110000001000101110101000010000110100100 +00010011000000010001000110000110010001010011100000000000000000000000000000000000000000000000000100100000000000000000000000000000 +00000000000100010000011010100000100001010011001001010110001001010000010011101000111001111100100100101011100101011010100001011101 +10001010111010000011110001000111100000000100001100010000101110000100100000101011011010100000010101110000000000010000110011100000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000110101010111110110000011111110010101000010000 +11111001111101000011010111111111101010010100011111111011001101010001101110111010100010100001111010001111110111010000111000011111 +11111110010011000000011111110100100001111001111100110011010111110011111000011111111001111111100110011000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000001100001111111101100000101010110000111011001111110100100111111 +11001010001100001100111010000011101010101001001110111111111111110010110111000011111101110111100100111111101111101111111100001100 +11110100011111101110100100110011001111111111001000110000010001011000010100100100111001111101000101100110101111100000010110010101 +01010101000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101010111111001000 +01110010000110000011010100001001001010001010001100001100111010101010000010010111111111011111111001110000110011101111111111101111 +10110011011111111111011111110000110011110011111010001011001111001100110110110010001100000100010110011101001001001100111111010001 +01010111100001010101100101110111001110010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000110011001111111001000011100111110110001111101000011111001100111001101010011011010101011111111110010100010100011010100 +00101000101000100001101000101000100110101110111110111110000111000011111101101100100110000000110011101001000011100111111001111110 +10111111110101000011111110011111001110010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000001000000010011100101110101100010001000110001001000100110001100011011100100100011111100010000110001001110010010001111110 +00100001001000000010111010010001000100010111010010010001111110001000010010000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000101101100011000001000000000000000000000000010001010101100000010010100001010101000010000000 +10010000000000000000000000000001001100000000000000000000000000000000000000000000000000000000000000000100000100101100110001100100 +01010011001000010011001010101101000001000000100101000010001000000100001000000000000001000110000000000000000000000000000000100001 +10011000000000000010011000000000000000000000000000000000000000000000000101011000100111101001001000111010011000100001000111101000 +10011100100000000011100001001001110000000010010110011000010011100100011010101100010000001001010000000000000000000000000000000000 +01001100000000000000000000000000000000000000000000000000000001000110000110010100110000101001110010111100000000000001001110001000 +00100000001000010000000010101000000000100101000000000000000000000000100001000010010000000000000000000000000000000000000000000000 +00000000000001100000110100000101011000010110100000100100000010000011010100000100101000010000000001011010001000000000000100011000 +00000000000000000000000000000000000000000110011110000000000000000000000000000000000000100011000000000000011010100001110000010100 +11010010100011001000101001100000000000000000000100001000100000000001011101110001000000010000110011100000000000001001100000000000 +00000000000000100001000001100111100000000000000000000000000000000000000000000000000011010001000100110010011100100011100011100100 +00000000000100101000010100100000010101101000100011100000101110001000001001110100000000000000000001001000000000000000000000000001 +01110000000000000000000000000000000000000000010011000000000000001001001000001000101111000110010110000100001100110000001001100000 +10001110011001000110110001100110000000000100011000100111010000000100001110101000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000100001010001011101000001000010100010110100010111010100011000110100010100001 +10010010011111100010000100011100001111000101011000110000010001111000110011100100001100111100111010100111000110001000011000111011 +01110010000110010010101111000100101000110001000001000001000111001110000000000000000000000000000000000001001000000110011110010010 +00000000000000000000000000000000000000000000000010000001110000011011100000011001010100101001000101000011001101001000111000100010 +00011011001010011101010000011100010001011011110000001101010100100010011110001011001100100001101000010100010111000100000100101100 +10010011110011110010100110001100001101100000000000000000000000000000100000000000000001100000110100001000011001111000000000000000 +01000011001110000000000000000000000000000000000010000010100101001000010010001001000100110110100100111010010011110010001100010100 +11100100101011010000100011001001000101101100110100111100000010001110100110011000011001010011001101000111101010000100000100010110 +01001011101011001000000000000000000000000000000000000001101010011000000000000000000000000000000000000000000000000000000000010000 +10010101010011101100100001011000101101000001001110110110000010001010011010011111100001001001011110001000110100010011001001101000 +01010011010011101100101010100000100001010001001000011101000001010110011100001000001001101001001101001100100000010011011100001101 +00111000000000000000000000000000000000000000000001100111100000000000000000000000000000000000000000000000000011100100000110001100 +01000100010000110001011110001001010110010000110011101000001010100010101010011011100000101001101001100010010011010000101100010010 +11110000001001000110010000110001010010001000101000001011111000000100110100101011001010011001101000111001110000000000000000000000 +00000000000000110010001100000000000000000000000000000000000000000000000000001001110101111001101010001010000110011110011000100111 +00110100001000100101101011010010010010011101101001111000000101010000100111011100010001100100010100110010010001000100100011001001 +11010000011011000000000000000000000000000000000000000001100000111000000000010011100000000000000000000000000000000000000000000000 +10010101001100001101001001010111111000001101101100000010001100101100111000100010100100110100001011100001010011000010101000111011 +11100110111000000110010010011101010111101010000001100100010100001010011010011010010010011000100110100111000000000000000000000000 +00000000000010000000000000010010000000000000000000000000000000000000000000000000110010001001000111000000010001101000111001011000 +11000100001110000011000010001010001110010011100100001101010000100100010001010010010001001001011000101001101000001010010001100100 +11011010011010010001001101000110100100100010000000000000000000000000000000000000000000000010011100000000000001000000000000000000 +00000000000000000000010001001000110010011110010111000101001111001010111000001010001010011100110010010101110010000110001001010110 +10110010101001001111000010001000011101100101100011100000010000011100001100111100100010010110010011000100101001001000111001010011 +01000001100000111000001000000000000000000000000000000010001100000010000000000000000000000000000000000000000000000000000000000100 +10010101000100111100110100110100100000001100001101001000001000110100110100100111010100001001001100011001100111010010100111000100 +00110110000010001100100011111000000110011101000010100011100111100101000000000000000000000000000000100110000000000000100100000000 +00000000010110100000000000000000000000000000010001001011011000101000011000011001001011001001111100100010000010001101001101000000 +10010110000010000111010000101001100100101110000011011010110100100110100010100001110110000001110010000100001100011100101110001010 +01101010000100101100100001101000010110001100011010100001110001000000000000000000000000000000000000000000000010010100000000000100 +00100000000000000000000000000000000000001000000001000101010101000101110010000000100110110010000110001110010110011001101000100101 +00110010010001110011001001000010010000110000011010011010001011010000101001101001111000011000011001110100011010001011001000100100 +11000000000000000000000000000000000000010000000000000001001100000000000000000000000000000000000000000000001000111100110010100110 +01001010101010101011111010000010100100110010010010000111100100001001110010100110010111100010001001111001011001010110010010010011 +01001110100001100011100001100011100111100101010000011000110001001100001101000001010100110011100000000000000000000000001000010000 +00000000000001011010100011000000000000000000000000000000000000000100111000001000011000101001000111000100001111100000111100000010 +01010100001010000110010100010001010000111001000011000011001011001111001001100001010101011000100000101111100100111000001001001110 +11000110001000001011000010011111000110001100100100000000000000000000000000000100000000000001001100000000000001010010100100000000 +00000000000000000000000000000000000001000100100110110010001010001010011111000101101101001010100011110000011011010001001001111000 +10010001110010010011000100000101010010011010010001001011101000100110010001010010000100010100001110110000010001101001010100010010 +01110001010111000001000010000000000000000000000000000000000010010000000000100100000010011100000000000000000000000000000000000000 +10001000010000011010000100110100010101110010000100000010011110000100001011001101000001111001000111000011000001001011100011001100 +10000100011110100000101110010100001000001101000100101011110100001011100000010010011011001000010010010000100101000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000011001100011010000111001100110101001111001110110111111 +11000011111001101110111011101111111010110111011110000111111111001101101111100110111011111111010001000111100000010000101111110111 +11000010100110000111111110011000111111111010100001010001110011111100110101000110101111101111110000101000010100011111011110101000 +10100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001101110000110000111101 +10000110010100011011111111001001111001100101000100011101000101000100100111111110111111011111000111100111111100001100100111111000 +01101000110100011101000111111101010010011000011011111101100100011101000111111111010010011111111101110111111100011111011111110100 +01001001100100010110111111110001111111100111111111101100100111110101111111111101000110000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000110000011010100010100011011000001010101111110111100010111100110011110100001001 +00111100110010100010001110100010100010010011111011111111110111100011110101010111100110000101000110000110101101000101111100101010 +01011110111011100101010100011101100110101110111011111110001111001100111000100100001110011111101101110111111111101101011111111001 +00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010111010000111111100110 +10000111100110111110111011000011111001101111110111110011011111110101100111111100001111110111011011101111001101111010101101110011 +11011111111101110101111001111111100101001010001010000111110110110100001100110011111011101110011011101010101111111110001010000110 +01111111110111111011110111011111001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00100001100101110000110011110000000010111010010001110000100001011110011100001000010111100100111001000000100011111100000000100000 +00100111001011110010011100100000001001110000000000000000000000000000000000000000000000000000000000010001000000000000010001100000 +00000000000000010000110010000000100100000000100000010000101000011000101110000001000010011010010000101101010010110000111010000100 +00000000000000000000000000000000000000000001000000000000001001100100001100110000000010011000000100000000000000000100101000000000 +00000000010011110001101001100000000001001000010000110011001100100010110100010000000010010011010100000010000111010000100000000001 +00011001000110100011000010011000000000000000000000000000110100101010011000000000001101100000001001100000000000000000000000000000 +00000000000000001000101001110110100010010011101000110010001100000100110001001000100100110100010001000010000010010100010000010001 +11001111110010000000000100111000000000100100000000000000000000000000001010011001100010000010011100100111010000000000000100011000 +00000000000000000000000000000000010001001011110100100010011000100001100110000101111000001101101001010011100000000000100001001111 +01000000001000011001100010011001001010000000000000000000000000000000100000100011010110001001100000000000000000000000000000000000 +00000000000000000000011000010101001000001000101000011001000000000001000000101001000001001010000000000010110100001000111000110000 +00000000000000000000000000000000000000000110011100000000000000000000000000010001100000000000000000000010000110011100100001100110 +00000000001100110010100110000000001101010000000000001000011101011000000010000000000010011100000000000010011000000000000000000000 +11010010101001100001100001111000011001111001001110010011000000000000000000000100101000000000000000000000010110100010000101110101 +00000011010001001001110100110010010010011001001100000000000100101000001001100000100011000100111000000000000000100100000000000000 +00000000101001100110000110100111100000000101110100111010000000000000000000000000000000000000000000000000000010000111010000100001 +01111000100100010111100100100000100110000000000001000000000011000010100010000100100000000000000000000000000000000000000010000010 +10110001101110000000000001000010000000000000000000000000000000000000000000000000100001100111100001100110100101000101101100101100 +01100010110101000111001111001110110100100101101000101100101010000101110001010100110100101010010111000010101000001010010111001000 +01000101000000100001010000000011000110000000000000000000000000000001001110000000000000000110011100011000110010000000000000000000 +00000000000000000000000000001000001001000010000010011100100111110010001010101011101000001001011001100100000100111100111001010110 +11000010100110001001111000010101001101101000101000001101100000110001100010001010100100010011110010100010001110101011100001000000 +00000000000000000000110100000101000010000000001100000110110000111100000001100111100100111000000000000000000000000000000000000000 +00000000000100100100000100101000111000010010010101001000100010100111001000110010111110000011000110000011100000011100001010100111 +10001110010000101010011101000011001000001001101001110010011000100110000000000000000000000000000000000000011010011110000000000000 +00000000000000000000000000000000000000000001001110101010111001100010011101000011000101000011010101001100100011011010001011001111 +00010100101100100010001111110010001101000010111101000010001011000100111001101011111110100000001000110111100000101001011110010000 +01110000010101010010011110001010010010111111010010100100111000000000000000000000001000110000000000000000011001111000000000000000 +00000000000000000000000000100111000000000111000100001010110100101010001010010010011011001000010111010000010001000110000011010010 +00010001110010010000000100101101110100000100010100110100011100001011000100101001001110100000011010100000000000110010010110010011 +10000010000000000000000000000000000000010011101001110001100001111000000000110011100000000000000000000000000000000000000001000100 +00001000100000000110100111010011100100101110000011010001010100100100110111001100110011001000111000101110000010110001101010010011 +10011000100011001100100100110000011010001110010110011001000111101000001100011000100100011011000000000100000100011000001000011001 +11000000000000000000000001101010000010001000011000001110001001010000000000000000000000000000000000000000000000001001011000111101 +10001001000110100001100010100110111000101011000001111001000111000100000100111100101110000011011001000010110110000010011101010011 +00000010011011010100001000110100111110001010010000110011000010001001110010000000100011110011000110011010011100000000000000000000 +00000000001001000000100000000000001001110000000000000000000000000000000000000000000010011100010010110010110001111010000011001110 +01100101001101010010100100100010110001100000011010100000101011101011010010101110000010110010100111010000010100110100011010000010 +10010001011010000001101000010100101100011000000000000000000000000000000000000000010001011110010000000000000000000010010000000000 +00000000000000000000010001101000011010101110001000100110001100100010100010100011100111100100001000000011100010011110000100100011 +10010110001001110100000110010010001000010010010001000101101001001011010001001001111001100110010001000000000000000000000000000000 +00000000000000010001011110000000000100101000000000000000000000000000000000000000000000010010110010100101010100100010011010000010 +00001101100000010010100100011100111111011000010010110010110010110011110111111010001001001000100110001001100010011101101000101100 +01011001000101000010100011100110110110000000010101010101011100101000000000000000000000000000000000000001001100000000000000100001 +10011100001000011001110010010100000000000000000000000000000001010101011001001111001010010001011011000011000001101100000001000101 +00111110100011011100000101010010100010010000111011000000000010010001000101000001000101000010111001000000101011011100100001001110 +00001111000000000000000000000000000000000000000001001000010001001001110000000000000000000000000000000000000000000000100001100011 +11101000001010101000001001100100110101111100011010001110100100110110101001011100110101000001000100110000110011110100001110010000 +10001110000011011010011000011111000000001001011001001001010100100010011010011010000100011001110101111001010010010000000000000000 +00000000000000000000000000111110000000001001010000000000000000000000000000000000000000000100010011000100101000101110010000000111 +10000001101000010110010001010000010110101000001000010110101100001001000000100101100001101010100110110110000010010000110100001010 +00011000110001000001000001001100001000111001001101000010000000000000000000000000000000000001001101000001111000000000000000100111 +00001001110000000000000000000000000000000000000000000001000101101000001001001101110010010101011100110110110000010001100011100001 +00100101001000011000011101100100100110100111001001001001000001000011000111001101001110100100001001100000110010001011010000011001 +00000000000000000000000000000000000110001100001001000100110000000000010100101001110000000000000000000000000000000000000000001000 +01100100011010000011100100100100010010010010011010011010101010011000100010100111101001001001011100100001111000000101100011110100 +00010000010000011100001000100101100111010000010000011100010000101010001000001000010000110100010010010010011010011100000000000000 +00000000000100110000000001000110000000000000000100100000000000000000000000000000000000000000000010010001000101000011110100000100 +11110010010100111000010101000111000001001101000000100001100000100110110100000101000010100000100110100111100100100001110001001011 +00100001111000001010010111000100101011011100100100110000101011010100001001011100010010001101001100111011000000001000011001000000 +00000000000000000000001001000000001101000100010011111000001100000000000000000000000000000000000000000000000000000001001001011100 +11000011111111011101100110101000001100111111110010001001101010101111010101001100111111111001100010011010111011111100001000011100 +00011110011101011101010000111100000011111110010111101000010100001111111101111111111001010001111011101001110011111010100011101111 +11010100010100000000000000000000000000000000000000001001100000000000000000000000000000000000000000000000000000000011000001101010 +00110101011110010010101011110100001000111111011111110111111110110011001100111100000110110000110011010001001100001010101100110011 +11111110011000100011101110111100000010010011000001110010111011101111111001000111100100010001111110110001111101111100100011111100 +00100011110101010110100000111001000010001111101111111111101011100100111111101111101110111000110000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000001100000110111111101010011101100011001010001110100010011110010101100110 +00110001011010001001110101010100101111011101110101010001110011010100010010011100010011101100111100011110111010011110010000111110 +11001111001000011101010101100111111100100110011001111001010100111110111011110111010011111000000111011111111111001000110000011010 +11000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111101111111110000011001111 +11100111100110111111111011100010000100000111111100101101010101111000100001110111011111001100110011010100011111111001000011110001 +00111011001101001101101110100111100100001111111110111111100101110001111110011111110000111001100011100011001011000011111010000011 +11101111010100011111001000111001111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000001011101011000100111001011110100011111100000000101110100100100011111100000000101111001110000100001000000010011100100000 +00111000010000100000001001110010000000100111000000000000000000000000000000000000001011101111000000000000000000000000000000000000 +00000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000010010000 +00000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000010001100000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000001010110000000000100001100001000000000000000000000 +00000000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000000000000000000000000000000000000000 +00000000000000000010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000001001110000000010010100000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +00000000000000000000000000000001001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001 +00001000010010100100000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000001101010000001000100000000000010001010001100000001001010001000110000000000100000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000100111000010111000000011110000000000000100011000100110000000000000 +00000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000100000100111000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000001001001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000001000000 -00000100000000000000000100111000000000010011000000100000001001001000101110100000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000010011100000001000010000000011100001000000100000000000100010000000000000000000000010010 -01010011111001000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000001001110100111000 -00100001000000000001110000010000001000010000010001100000100010010000110000110011010101110000100000000000000000000000000000000000 -00000000000000000000000000000000000000000001001010000000000000000110000011000000110000011011100000010000100001000001000101000000 -00001000000000000000000011100001000010110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000001000100000100000000010000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 -00000000000000000000000000000000000000000000001001110110010001010011010000100011001000101001100000000000000000000000110100101011 -01000001010001100000000000000000000000000000000001000010000000000010011000000000000000000000000000000000000000000000000000000000 -00000100100000001100010100110100010001000110000000000000000000010100111010001001110010000000000000000000000000000000000000000100 -01100000000000000000000000000000000000000000000000000000000000000000000000000010110000100110000000000000000000001000000010010100 -00000000000000000000000000000000010011000000000000000000000000000000000000000000000000000000000000000000000000000000000100010101 -01000000010010100000100101000000100110000000000000000000000000000000000000000000000000000101010000000000000000000000000000000000 -00000000000000000000000000000000000110010001011010000010000110100011010011001001000011010000101001100100100101011000000010001100 -00000000000000000000000000000000000000000101010000000000000000000000000000000000000000000000000110010100000000110010100000000000 -00010001101100010100100110000001001101000100000000000000100100010011010011000000000000000000000000000000000000000011000011000000 -00000000000000000000000000000000000000000000000000000000000000000000100101010110001010110001100011001001001110010001000000000000 -10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000001001010011 -11000000101100110000101010000110000110011110100101000110100010000001011110001000010000010001111000101101001110000000000000000000 -00000000000000000000000001010101001000000000000000000000000000000000000000000000000000000000100010000000000001100100001011000110 -00100101101101010111001000000000000001000000000000010011110000000001000100000000000000000000000000000000000000000101010000000000 -00000000000000000000000000000000000000000000000000000010001001000010000010101110100100010001000000100001000100010000000110001100 -11001111101100100100111000000000000000000000000000000000000110000110000000000000000000000000000000000000000000000000000000000000 -00000000000010001111100000100110010010011001000010001001100100001000001001000001000000000010011000100011000000100111000000000000 -00000000000000000000000001010100000000000000000000000000000000000000000000000000000000000010001010011101010110110000011000100001 -00110000011010010010011011001000011001011000000111001000000010101000000000100110001000010000000000000000000000000000000000000001 -10000110000000000000000000000000000000000000000000000000000000000000100011000000000000000000100001100110001000100100110000001000 -11000000000010000101101000010100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000010000100001111001000110100001010000101001101001101000110010001100000000100100100000100000111000000111100110 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100000000000000000000011 -10000010100011100001101100100010010001110011000000000000010000110001001000001001110000000011001010000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000001001111000010100001001100100100010011100000000000010010 -00000000010000111100001101000110000000000000010000000000000000000000000001100001100000000000000000000000000000000000000000000000 -00000000000000000000000010000100010011100100000110010000110010100101101010010111011000000000000000000100101001001111001110000010 -00100000000000000000000000000000000000000000000000000011001010000000011001010000000000000000000000000000000000000000000000000100 -00100010001110001010101100000010100100000000000010111001000011001001100100100100111010011000000100011000001000010000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000110000111000100101011010000101110010010000010000101010 -01000000100110000000100111001000011001110010010000010011101001010000000000000000000000000000110000110000000000010010000000000000 -00000000000000000000000000000000000000000000010001010011000001010111100100100010100110010101100010100000001000011001111011001011 -10101001000001001101000001001101010010000000000000000000000000000000000000000000000000010101100000000000000000000000000000000000 -00000001001110000000000000010001000100000000111000001010001110010010011010010101100000110000010011100000000010010110010000000000 -00000000000000000000000000000000000000000000000100111000000000000000000000000000000000000000000000000000000000100110000100100010 -00110001001000010001100010000101100010010000000010010011010001000000000001000100000010001000000000000000000000000000000000000000 -00000000000000000000000000000000000000000100010000000000000000111000100000000110100001011000110011001110001001111000000000000000 -00000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -01000011110011111001111110101111010101010000111111110011111110011100110110101111111110011110000110111001000000000000011111110101 -00001101111111111110010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -01001000010001001100001111101010011110000001100001100110101111110110110010100100010110111001000000000000001010101000001111111100 -10001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100001000100 -11001100111010100110010001011000011001101011100101100100101001000101101110010000000000000010101011000110011111111100100011000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011111011101001110101111111 -01010101000011111011101111110111010011011010111001111011101000011011100100000000000001001111000011100011001111111110010011000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100010110110010010001111110 -00100001100010011100100100011111100000000101101100100000000000000100011111100010000100100000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000001001010100010100111000000000001000010001000110000010000000000010 -01010000000100100000000000000000000000000000010010110100100000000000000000000000000010001100000000000000000000000000000000000000 -10111111010110000001100000110100110100011000000000000000010111100000010010100001011110100011100011000010001100000000000000000000 -00000000010010000000000000100110000000000000000000000000000000000000000011001010000000000000010100110100100010011110001000001110 -00010000000000000001001110000000000000001000111001010000010010100000000000000000000000000000100011100110000000000000000000000000 -00001001010000000000000000000000000000000000000000100000000010000000000000000000001000000000010001110010100000001001010000010010 -10000000000000000000000000000100110100100000000000000000000000000001000100000000000000000000000000000000100011010001010000100010 -01010010000000010001011001000011000000000000000000000001001010001001100001001000000000010000100000000000000000000000000000000000 -01010100000000000000000010000000000100011000000000001000110000000000000100110010110100001100000110110100000101000010011001000101 -10100000110000110000100100011000000001000110000000000000010000110011010011100000000000000000000000000000000000000000000001010100 -00000000000000000000001001010000000000000000000000010000000010011001111001000101001010001011010001001110010000000110001010010011 -00010001100100110100100000000000010001100000100011100101000100011011001001000000000000000000000000000000000000000011000011110000 -00000100010100111000000000000000000000000000000100110000000000001000010101011100000100001000011001000010001010100110010000101001 -00010011001011000100101000000100110000100001001000110010000100000001001110000010000000000000000000000000000000000000000000001001 -10000000000000000000000000000000000000000000010011011100010000001100100101111100000000110000110001110000110100100100110000100010 -01100011000010001010000011001010101001010001000101001110100110100110010000111000001101000111000111001010100010100100111000001000 -00011000100100000000000000000000000000000000000000000000010101010010001000110000000000000000000000000000000000000000001000000011 -00110001100101000100101011110000001000101001001110000001001001100100000110100010010011110101010010111011100000100111101001101101 -01010101101000100111000000101001001110010000100000011001000101110100000011100100101001101011110111000001110011001010101101000101 -10011110001010000000001000100000000000000000000000000000000000000101010000000000000000000110010100000000000000000000000000000100 -00101001010001001011000011001110001100101001101011100100010000010000111101100001001111001100001000010000010001111000011101001010 -01000101101100001110100101001001000100000100110110010111010001110010000110000011010110011011000000010001000000000000000000000000 -00000000000110000111100000000000000000000000000000000000000000000000000010010110011101001010110000011010001100101100101101010000 -01110010000011000101000110000011010000000101111110000110011010001000111000100000101110110100001001101100000101011010000010001101 -00111010001010010010111101010010001001001001110100111010000000010011100000000000000000000000000000000000010101000000100010000000 -00000000000000000000000000000000000001001001010011100011000100001100010100111110100000101100100101110010001010101010011010010010 -00001100100001100000100111100011100111010000011100000100010000000111001000010011000010001011000110001110000001010001011000100101 -10100100111011010101001011001111100100100100011101010010100100000000000000000000000000000000000001100001111000000000000100000000 -00000000000000000000000000000000000000001110100000000001001001001100100101100110010011101000101101000100100101100110100100100100 -10011101001011000111110010000100100110001010110000010000010001101010101101000001111000000110001101011001000001001100100110010011 -00101111110010011010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011010001 -10010001111000100101001111000110110011100000011001010101010111010011001011000100111000110010011110011001001011000011110010010110 -00100101001101000111000101000001001101000010100101110010010001000111101000010011001000100100011101001100110001000011001110000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000100100010001110111100001100011001101111100111 -00100010110100101000010010010011010000110000100100001011000110000000111000000110100110000010100100100000110000101010000010010001 -00011110100010110000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000 -00100011100011001000100100100110000101010010110011101000011100111001100111110110000010010100011000010100100111001001011110010001 -11001000010010001010100100100101110010100001001100011101000000100011101110110000101011101000001001110000000000000000000000000000 -00000000110000111100000000001100101000000000000000000000000000000000000000000000000000000000111000011001001110010000001000010100 -10010011010111010000001110100000000111000001010011111010001000100101000010010001000101100011000010011001001000110110000011100010 -00110010001000000000000000000000000000000000000000000000000000000000000010000110011100000000000000000000000000000000011100100000 -10011010000000100010100001101100100101110010001000100010100010101111100101100011100100001001101100010010101001010001010111010010 -01101000010001000001000111110000100010011001000001000101110000100100100100100100000010111011010000101001110000000000000000000000 -00000000000000000000000000000000000000000000000000000000000001001100000000101001000001000111100110001100110101011010000010000110 -10011001111001010001011001001110000100001101100100001001100100001100001111001000011000100101100010100100111100000100001111001010 -01000011001001001100000000000000000000000000000000000000000110000111100000000001110001000000000000000000000000000000000000100111 -00000000000100110110010010010010100010010010010110010100011010001010100011110100001000001001001010011001011000101110000001101100 -01110001000100011001001111000111000001100100001011011010010101001000100010100100100101100111000000000000000000000000000000000000 -00000000000010001100000000000000000000000000000000000100010000000111000001010011001001110011000001101000001001110001100000110110 -00010101001110001001101100101001111010010000011001110101001011101000001001001001000101001011000011001010010000101001111000110010 -01111101100100010010100100100111001000110011000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000011100000101000011000000001001111001100100010111001000010101010010111010010100010111101001101001000010111001101010110100 -00101001011001101000101101100000010000100111000010010001110011001000011000000010010000010000110001100000000000000000000000000000 -00000000000000000000100110001110010000000000000000000000000000000000000000010010011100001000010010001010110001001001001000010111 -11000001001100100101001001011000000100110001001010111000001010011010111011010010101110000010010101001011011100100101100000100111 -01001000100010100000001100010010011010001100111000010000101010000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000001110011100011001100111010000110011001111111101100111110111011010111110111111000111101100110101100110101 -01011000011101110110110000011000111010000001101100110110010001001110111111110001111100110010001001010001100001100010110110100001 -01000111100111100111101111101000001010000111111101111111100000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000001110011100100011110101010110100011011100001100001111111001000011000011001111101111111101111110101010100 -01010001100001100110011001111110101011010101010001100100010100011011101011111010101101101110110000011010001111101111111100001111 -10010011110001101100100010100011111111001111000011001001001011011100011111100011111111001110100010010011110000001010001100001100 -01111111110100100101000101000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000 -00001100001100101000110110000011100010111100001100100010111110111111110111111001011010001010001000101100110011111000111110101011 -01100110110001001100111100111110010111011001101100010011010100000111111100111100110000110000011111111101110101000111100110001100 -11001111111101100110011001010011110001011010101010011011110111111101101000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000011110011110110011111110100001110001011111111110001111101110110101111101111110001111011001101011 -01110000111110000111011011101110011111001111000000011111001101110011111011011111111110100000011001100011111111100011011101111010 -00011111101111111101111011000100111010000110011001110011101101010001111100100001100111101101000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000001000000110000110011110000000010110110010010001111110000000010110110010 -01000111111000100000010001111110000000010000000100111001000000010011100100000001001110010110110010001001110000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010101000101001110000010001100001100110 -01000100000001001000100101000100001000110010100010001111001010001000010000110010001010000100000010010000000000000000000000000010 -00100000000000000000001001010000000000000000000100011000000000000000000000000010000110100100001000011001100000000100110000100000 -10010000100001110010101010011001100100010111001000000100001000010000110011010001100001000010010001100000000000000000000000000000 -00111000001010011000000000100110000100111000000000000000000000000000000000000000000000000000100011100011010011000010001100000110 -10001001110000001000010011001001110000010011100000111000000100001110001001010010100000000000000000000000000000000000100110000000 -00000000000000000000000000100101000000000000000000000000000000001000000100100000100001000010000000000110001010101101000010100011 -00001001110000100001110010001000001000011000111000000000001001010000000000000000000000000000010010000000000000000000000000000000 -00000000000000000000000000100000100011100111010010100001000011010100000011001010000000010101010010100000000010001110011000000110 -01010000001100011000100000100011000001000010000000000000000000000000000000000000011001111000000000000000000000000010001100000000 -00000000000000001000101100101010100001100110000010001110001010010000000000001000101001000001000000100011000001001000001100100010 -10011010001100010000111010000101110001000000000000000010010100000000000000000000000010000100000001100111100000000000000000000000 -00100101000000000000000000000000010011001001101000110000110100010010011110001010011000000000100110100111000010011110011100000000 -00100011010011100010000010011110011100001000000000000100100000000000000000000000000101101000000000000000000000000000000000000000 -00000000000000000010001010011110000000101010001100100001100000001110010000000000010000001001111000110000000001000000000100010100 -00011001000100000100011100001000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000010100 -10000010100110010010110001000110101001001001100100000100100100100100001100101111000100001101010010111000100111100100101101001101 -10100101100001010101110110100001010101010001100110010001010111110000110010110000111100010001001001000001000011001110100011110100 -10011001101101011000000000000000000000000000000000010000100000100100000001100111100100100000000000000000000000000000000000000000 -00000000100000010011110001000100101100110011100101001000011001000010010110001001110000100101001100101000010000011110110001000000 -01101000100100101100100100110100011000011001001001010011001011000010010011101101000101010111100000000000000000000000100000000000 -00000110000011010000100001100111100000000000000000000000000000000000000000000000000010001101001001100010010000100001100101110010 -11001001010010001010010011001011001100100010100110100100100010111001000010011111000011000011100001001100011000101110100110100110 -10001110001111001010101101000010100111100100010000000001001111001101101000001100001101110100110000000000000000000000000000000100 -00100000110101001100000000010011100000000000000000000000000000000000000000000000001000110001001010110000011010000111100000110100 -01010000011000010101000001110000001000110100011001001111001111000111101000001110100001011100001000111001000010011110011101010111 -00100111011000001100101000110000101011000011101110010000100111110010001010101110001010010010011000110010100000000000000000000000 -00000000000000000110011110000000000000000000000000000000000000000000000000001011110110001001011001100011000000100010001101000001 -10011110011110010010011110000111001001101001011000111001111000101110000001111000010010011110010110011110010111100110101100011000 -11010000101110010000010001110010010010011100000100100011100011101111110100101010010110011010000001000111110001001100111001000000 -00000000000000000000000000000000011001000110000000000000100111000000000000000000000000000000000000000000111000100001000101000101 -00101000100010000111000100001110000100100101111000010011001010000011100001001000000010001010000101100100010100011101010001000111 -00001100011100110110100001010010100110100010000011001100011000111000110000000000000000000000000000000000001100000111000000000000 -00000000000000000000000000000000000000000000111100100010110010010111000001101001111000111110001000100000100011110001001010011100 -10110010001010001111110000000100010011100001000100100110000101001000001001101101010011011100100001001101000101011001000001001000 -01100110001110100100110011010011001000111001111100100010100110001000010000000000000000000000000000000001000000000000000100010000 -00000000000000000000000000000000000000001000010100011100000100111001110100000100100101111110101001000110011010011000110001000001 -00110010010101100110110100001110111000001001011110001000010000001001010101001100101010100101110010100110010100010001001001001110 -01100010000111001000101100000110010001100000000000000000000000000000000000000000001001110000000000000000000000000000000000000000 -00010100100010000011001001001001001100001010110010001010010100101001101111100001100010111000000111001001001001001000001110000010 -10010110011110000000110001001001001110100100111000100110000111000110000010000110111010000010011010011001000101101001010101111000 -01100100110100011000000010010000000000000000000000000100011000000100000000001000110000000000000000000000000000000000000000000001 -00101010010101100001100100111001001111001111101100000100110010001110010111100010001000101110100000101001100101010111101010011000 -11011001000100111000010010011110011011001000100010011011001001001000011001000010100101001100001000001000110000000000000000000000 -00000000100110000000000000000000000000001000011001110000000000000000000000000000000001000100101100100101100101000101001110000110 -01001011000010111000110010010010001110000111110100101101010100100010111010000010010010010000011011001001101000010011100100000100 -00011110000000111001000011000110000100000001000111001001001110100101100111000000000000000000000000000000000000000000000000001001 -10000000000000000000000000000000000000000000000100011001100011000100000100110010010010000111010000011000001010010101011100101110 -10001101101000001110100001000010000111011000011110000100100101001000011001001110000010100001100100100001110100010011000110010111 -01000000011001010101101000001100111010011001011101110010010111000001010011100000100101000000000000000000000000000000100000000000 -00000110100010001010110000000000000000000000000000000000000000001001101001011000011000111011001000101111000000010010010001011100 -10001111000100010011111000011001001111011001111010000101100100101001000001100110010110100001010000010011010001010011011100011001 -10110000010011110010110001011001010101100001010100111100110001100001010110011000110011111101010000010001101001111001000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000100111100011000001001011100010010010001000011 -10000100100000010001111101000000100011010010100100000101111110010001001000010100110011011000001000100010001010010110010110010010 -00011001001001101000011101000010100101000000000000000000000000000000000001001100000000000000010011000000000000000000000000000000 -00000000000000001000101100100001100100010001011100100000100010100011111000010011010010101000010010010001000011010111110010000010 -01011001011001000001100011001100001100001001000001100100001100000011100100000100000110100010110001011100000100000110000110010011 -10000000000000000000000000000000000010010000000000100100001000100111010000000000000000000000000000000000000000000000010001110000 -10101001000010000010110010000101001111010111000101100001010010011000011001001101011111001111001110101110001000011001110100011100 -01010010011100110000000100001100100001000111101100110110100001010001110011000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000101000110101010110000111100011010010111011111011101000011110111011111101110101111111101111101 -11110111111000111100010000111111001010100001111111011111000111110011010100011110010000100000111011111101100110101101000010100011 -10011111011000001111100011110011111101001101100110011001100011111111110010100010100000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000011101111111101011111110111010000010000001010101100001011111100110010001111110 -11101101000100100111111000011100010001000111000011101001111101010101000111100111110001111110011101111000000001100111111100011110 -01111111111011101100100111011111110100011000011000110001111010010010011010100011000011001100110011111111111010010011110110111111 -11110110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101111110011101000 -11011100001111111100001011101001111010101000001010000011001010100111000001110100001110001000010010111110011101110011111100011101 -00011110000001001001111110100111001100010001111000001111111001100011110000001111011101100010100000111111011110010001111011111100 -01011000001111001110111111111011011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00010100011101001111000011111111011001101010101111010000010000110110111100011110101101110011111101101100000110111111101110001000 -11101100000111111111011010011111001101111110101111100100010000111111100001110011000100110110111011110100001111110010111101111101 -01101101000100001111111101111110110010110111011111110000000111011111110100000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000010000110010111000011001111000111001000000100011111100010000100000001001110010000001000 -11111100000000101100001001110010110000100111001011011001000111000010000101101100100010011100000000000000000000000000000000000000 -00000000000001000000000000000000000000000000010001100000000000000010000010000000001000000000011010000010000010000011001010000010 -01011000010000000000001000000100110000000000000000000000000000000000000000000001000000000000000000100110010000110011000000001001 -10000000000000000000100101000000000000010000111010010111000011000010010000110011011001000100001000011101000001110000101000000001 -00110010000110011000000100001000010000110011010000100001011110000001010110000001001101001010000000000000000000000000110100111010 -01100000001101100000001101000100000000000000000000000000000000000000000001001100000001001110000010011100000001001000100011100010 -00000000000000100100000100100000000000000100100100100000000000000000000000000010011010011000100000100111001001110100000000000001 -00011000000000000000000000000000000001000001000000000000000010001110010000000100010000000000001001110000000000010011000000000000 -00000000000000000000000000001000001000110001001100000000000000000000000000000000000000000000000000000000000000110100000100001100 -00011010101010000000000000010111001100101001000001001010100001001111001000000010000110011000000000000000000000000000000000000000 -00000000011001110000000000000000000000000000000000000000000000010000010011000000100000000100010000000000000010000100000001001110 -01000000000001000100000000000000000000000000000000000000000110100111010011000011000011110110011110010011100100110000000000000000 -00000000000000000000000000000000010010100001010010000001011100000000000001010011010010011110010000010011100100010000000000000000 -00000000000000000000000001001101001100001101001111000000010110110011101000000000000000000000000000000000000000000000000000001000 -11100010001010111101000001100000101010100010000000000010011110001000001000101000110011100000011100001010010000100001110010000000 -00000000000000000000000000000000000010000010111000011011100000000100001000000000000000000000000000000000000000000001000101101001 -01011001100011001100000110010010011101000000010001011100000101000101101100000010000001100100001100001101011100101110010010001000 -00001010110001111000010101011101001010000110000010000000010010110111010000101010110001000010000000000000000000000000000000001000 -11000000011001110001100011001000000000000000000000000000000000000000000001001101110010000010000000110100011010000000111001000001 -10010100010000110001101011101001101000101110010000010010011010000011011101001001101011001010000001001101101100101100100100010000 -10100111100001001001010110001001001001101001011110010100000000000000000000000000000000110100000101000010000000001100000110110000 -11110000011001111001001110000000000000000000000000000000000000000000010001100000100011100010000001011000001000111000101001100010 -01100100010011011000000001000110100100001000001011111000001001110100011000010011100010010001001100000000000000000000000000100000 -00000000101110011010011110000000000000000000000000000000000000000000000000000001110000010100000100101000110100001011010000100000 -11001010101111000000100111010001001100010010101100111000100001001010100001110100000101010010100100100000101110110100000100010010 -01110010000001001111000111100010110111000111010101110100101100101000010111001001000000000000000000000000010001100000001001100000 -00000000011001111000000000000000000000000000000000000000000000100011000001000100101111100001100011000011100010100001000010100001 -10001100100001101110110000101010101101100100011100001011100000101110100000100101100001111010000010000110101110011101001111000101 -00101110100001001001100100101000000000000000000000000000000000010011101001110001100001111000000000110011100000000000000000000000 -00000000000000000000000100010110100010010001000001001111010111010010100101010000000100101100100100000111100001001110001000100011 -10000110000011011000001001100000110010010110001011001001000001010011000010001000001100100110100110101001100100001100011000000000 -01000011001110000000000000000000000010101101000100001100000111000010010100000000000000000000000000000000000000000000100101000011 -10000100100110000001000011001110111001000010010110001000100110000100000100111100100011010000101000001000011110001010110100000110 -01000010000001001001010010010001001001100001100100100000000000000000000000000000000000100100000010000000001001110000000000000000 -00000000000000000000000000100111011100010001000000000110001010111010001101001110100011110010100011001001001011101000010001011111 -10110010001001101000100100011101100100110010001001100101000000010001110100110010100100101010000111000110011100001010100111010001 -10000000000000000000000000000000000000000000000001000101111001000000000000000000000000000000000000000000000100101000000100010100 -00010011010110001000111001011011101000011110010000110100001000001110001000000111000010110011010010010000010010110001001100001010 -00001101000010100111100100000101111100010100110000000000000000000000000000000000000000000100010111100000000000000001001010000000 -00000000000000000000000000000000000011001110001001000000010110011100000100010011111100100001001100100011001000111100110010100010 -00111000001011110000001100011010010010100111010011001101100100000110001100010000010011110011000011001000101001010001010010000000 -00000000010001000000000000000000000010011000000000000000000000000000000000000000000000000000010011001000110001001111000001001001 -00110010000110000110010001000101001101001010011011010011000110000110010010000100100000110110001011100100010010001000010010001001 -10110010000101001110001000100000000000000000000000000000000000000010010000000000010001001001110000000000000000000000000000000000 -00000000001000000010011001011101011001101100000010101110001011010001001100000110100011100011100110001000001000000100100100010100 -00111001000011001110011001101001110101010100010000100000100011100010101001001001000111000101010010100010010000000000000000000100 -11100000000000000000000000000000001111100000000010100100000000000000000000000000000000000010000010011001000010010011010010101000 -00000010000011000101011001011110001010010011101011101101000010100011110100100111101010001001110100110100001010010110001010000011 -10000010100101100110001011111000001001000001000001100010010001000100000000000000000000000000000000000000001001101000001111000000 -00100111001001100100011000000000000000000000000000000000000000110010100010101010101110010000110110010011011100001001100010011001 -00101100011000100101011010001001000110100111100001101111001001111111000001110110010011001001001110001000001001111101100000100011 -01000110010001011010001001001110000101110000000000000000000000000000000000011000110000100100010011000000000001000100000000000000 -00000000000000000000000000001001001001101000110001000001000010001000111010011001001000000000010100110011010001001000001010110000 -10111010001101001001100100100010111111110000001001101001101000000100110100101100100000010111100000000000000000000000000000000000 -01000110000000000000000100111000000000000000000000000000000000000000101110100000100111011100100001001111000110100011001000101000 -01100000100111010011001000011100101110010011110011000100000011100000011010111000110100000111000001011100000010001011110010010001 -10001011010000111100100000100100000010101100000000000000000000000000000000110100010001001111100000110000000000000000000000000000 -00000000000000000000011111111000010100010100001111110011111000111101111110111101000010100000111101011111110010000101110110000111 -10110111111111111111010100100100010011100111111111111001010100010100001000001001110111111110110100001010001111111001011111101111 -11111101101100010011111001100101010000000000000000000000000000000000000000000010011000000000000000000000000000000000000000000000 -00000101000010010011001100111111111011100011111111001111000011111001001111110000110111001110001111111100001010111001001010001111 -11100110001110101111110011001001001010001010001000111100010101100010100100111001111111111110011000111111111101111111111010010000 -10001110100011111111101001001110111111111111100110001111001100111010001001001011001011011000111100111011000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000011001101110100100101000110110111110001111101010101100111111 -10010010100011100111110111111001110100011011100001111010001111110111101010001110001111011110111011110100011011110111001001111001 -00110001011111111111100100000110101010101010000011000100111010001010100111111110101111101111100111011111011101110011010010100000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111111001001111101100110011101111111101 -11111101111110100111001111101111110001110000111100101000011111111101110001111011110111011001110111111111011110000001000011100010 -11111111111100110101010111010101110111111110110011101101011111110000111110000111001100111010000111110111111100010000111110111111 -11111101011110111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100010001011 -00001110000100001000000011010001000010000000100111001000000011100001000010000000100111001000000011010001000010000000100111001000 -00000000000000000000000000000000000000000001011011111000000000000000000000000000000000000000000000000000000000000000000000000000 +00100110000001011000000010011000100000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000010010000100010001001100000000010000110001101001010000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111010010000000100100000100110000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000010010100000000000000000000000000000000000010001100000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011101 +00110000000000000000000000100111000010001000000000000100010000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000001000010000000000000000010001000000100001000000100011000000000010000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000100111000000000010000010011000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000010001000000010011100100101000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111000000011001 -01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001 -11000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000001000000000001001000000000000000000000000000000000000000000000000000100101000000000000000000000000000000 -00000000000000000000001001000000000000010001100000000100000001010100010000110000100000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000100101000001001100000011001010000000000010000100000010011100000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001100000100101000 -00101101001011010000010000100000010010100000000000000001011010000000000000000000000000000000010010000000000000000000000000000000 -00000000000000000000001001010000001000001001110000000000001000100000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000001000110000010011101001100000010011000010010101001100000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000001001110000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -00001000000100110010101000000100011000001000110001000110100011000000001000011001110000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000100100000000000001001000001001110000000100110000001001100000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100100010000000 -00100101001011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000001001110000000000010101000000001000110000010001100000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000101001000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000010010000000000000000000100110000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000010011000000000000110010010000000000000000001011110 -00000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000100011000 -00000000100000000001011010000000000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000100010000001 +00010000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000010000000000100000000000000000010101000000000000000000000000000000000000000000000000000000000000000111100000000000 +00000000000000000000000000000000000000000000010001100000000000000000000100000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100 -00011000000000000000000000000100010000000000000000000000001100000110000000000000000000000100001100010110000011110011100000000000 -00000000000100001000000000000000000000001100000110000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000 -00000000000000000001000001000010010001001001001001000000101010101110000000000001010010000000000000000100001000000000000000000000 -00000000000000000000000000000000000010000000000000000001000110000000000000000000000000000000110100001011010001000001000011110000 -01011010010010000110010001010011000001000011001100000100001100110000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000110010100000000101001000001010011001110100110100110100111000001000111000000010010010011000100 -11000100110011100001000001001100010001100000000000000000000000000000000000000000000000000000000000000000000000000010010100000000 -00000000000000000001000100001011101000010100101000010011010000100100000000100100010000001000010100111010000000010011000000000010 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000001000001011 -00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -10011000000000000000100001111000001010011010000100011001100101001100000100001100110000010000110011000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000001100101000000000000100001000000000010011010011010011100010010 -01101001100000001001101000100000100110010011111100010000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000010010000000000000000010111110001100001011001000010000100000001001110010000001000011000011001100000000000 -00000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000110100010000100011010001010010010011 -11000010110100001010111001000011010110100001101001100000111000001010011000100101001000101110101000010000000000000010010000000000 -00000000000000000000000000000000000000000000000000001000110000000000000000000000000000000000000000000001101000001010011011000001 -10000100100100110010001000010000110011001100010011100011100001010100110111101011010000101101000000000000000000000000000000000000 -00000000000000100011000000000000000000000000000000000000000000000000000000000001010010000101111100100010010100100011010010100001 -00111110001100000001000111011000000001000101001110000000000000000000000000000000000000000000000000100101000000000000000000000000 -00000000000000000000000000000000000001100001010100110100110100111100000100010111000001010110011101000000100000100001111000101011 -01000001101011100110100010100011100110000010000100010101000001000011110100000000000000000000000000000000000000000000000000000000 -00000000000000001001100000000000000000000000000000001000011100110001111000100000001000101000010100111011011010001100000110001000 -10010000011010000101100010100100111010010001001000100111010000000010010000000000000000000000000000000000000000000000000000000000 -00000000100111000000010001100000000000000000000000000000000000001000000011100100001010100000100100010011110001001001010100101101 -00110000111010110000000010001000000000000000000000000010011100000000000000000000000000000000000000000000000000000000000000000000 -00000000000000010000011001100101010010000100010100000000100010100010001001100100001101001000000000000000101001000100101000000000 -00000001000010000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000100001001001000010000 -00011011000011000101100100100100000100110100011001101001010110100100111010001000010000100011101000000000010010000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000100101010000010000110011000001011000000010000101010 -01010010010001011000010101011010010010010001010001010011000010001001001110100000010001001001110000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000001010111000110010011100000011000110000001011110110100000110011010 -00010001101000001111000000101000110010011001100001100000100110010001100000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000001100010010000000000100101100011011011000011101001010110001010010010111001000011101 -00010010001011101000001000101010011000110100110100001100010010001101001100000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000100000111100000001000011101000101111010000001001000000101011001101000100100100000010 -11001101010001000100111100011100000111011000001000010100100010010110011110010101001000100101000000000000000000000000000000000000 -00000000000000000000100110000000000000000000000000000000000000000000100101000001000110100110001011001011101110000010100100110010 -00011001011010111000111100010010110000011110000000101010001001110010001001001110001000100100111000000000000000000000000000000000 -00000000000000000000000000001000110000000000000000000000000000000000000000001000110000010011010010110010100110110000010011010010 -00010111101001000111000100001001011001101000111000011000111000110100111100110010001100010011000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000010011101000100000010000000000100011100111010010011100100001 -00010111010000011001001001000000000100010110100011011101000000010001101001100101110101001100011000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000010011001001100000011000101000110110000000110000110011000100 -10110001001010011100011000001101000001110100000001000111000101100010010001000110100100010010100010010001001010000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000011011111110111110011000000110000011011111100000110 -11111110100001111111100111001000100110101111111010111110101000101000011110111111010000101000111111111010111111101111111111111101 -11100100101101111111111111111011010010011110111111111111110111100100101101111111111111111010100100000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000001010001001001010000000100100111100111001000111010000100100 -11111101101100100010110000110011101000001101011111011111110011111111100100011101000111110111010010011101111111100111110110000110 -11110111100110011110111101100001101111100110011111011000011011110111100110011110111101100001101111100110011111010001100000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000010100000000110011001011111111100110 -00011010100100101000111110011111000011001001111010001010101101011001101000100010111011111111111011111010101111111011110111111111 -00001101111011110011001111011110110000110111110011001111101100001101111011110011001111011110110000110111110011001111111000110000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111101011011011100000011111101 -10101000011110111010100001111111000011111101101001101101111111111101111110000111100110011111111011010100010100010000110100011111 -11100110100000111101111111111111101111000001011011111111111111110110100000111101111111111111101111000001011011111111010000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000000001000000010001000110 -00100100100011111100000000101101100100100011111100010001000001111010011100100100011111100010011011010001000001111010011100100100 -01111110001001101101000100000111101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000010010000000000010010010010010010000000000011000001100010011100000000000000000000000000000000000000000000000000000001001 -00000000010011100001001010000000000000000000100011000000000000000000000001010110000000000000000000000010101100000000000100110000 -00000001000110000000000000000000000000000000011000010101001100000000000000000010011100000000000000000000000000000000000000000000 -00000010000100100111000000000000100001000010000000000001001000000000000000000000000000000000000000000000110010100010011000100000 -10011100000000000000000000000000001001010000000000000000000000100101000000001101000001110000011001001010000000000000000100001000 -00000000000000010010100000000000000000000000000000000100000001010100100110000000000100001000000000000000000000000000000000000000 -00000000000010010000010010010010101001001000110011001010000000100001010010000000000000000000000000000000000000000000000000000000 -00010010000000000110000110000110000101010011000000000000000100011000001000110000000000000000000000000001000011001110010000100010 -01011001100000001100110010100110000000000000000000000000010011000000000000000000000000000000000110000101010011000011000011110000 -01100001100001110100000100110000000000000000000001001010000000000000000000000000000001000010000100110100011010011000100111010010 -01101001101011110000000000000000000000000000010010000000000000000000000000000000000110010100011010010010001101001111000000001000 -00000000000000000100101000000000000000000000000000000000010010100110100000110010010010001000111001011000000010000100101100010000 -10000000000000000000000000000000000000000000000000000000001000000000110111000000000000000000000000000000000000000000000000000000 -00000000000000011001001001001001101000001101011111001010011110000000101001100000010000000000000000000000000000000000000000000000 -00000000000000000000000001100001100100000000000000000000000000000000000000000000000000000000000010111000001001011001011000111011 -10000011010001001001111110110010101101000000000000000000000000000000000001001100000000000011010000010000000000011000001101100001 -11100000011000011000000000000000000000000000000000000000000000000000000000000000101001011010001000000001010110000000100011000000 -00000000000000000000000000000000000000000000000011010011110000000000000000000000000000000000000000000000000000000000000000000001 -01100100111100001110010000111100010001000110101110110001011010000110011000000010011001011010000000000000000000000000001000110000 -00000001000110000000000000000000011000011000010010000000000000000000000000000000000000000000000000000001000011001111001110001001 -00101111011001000011110100000010010110001111011001001100010010100110000000000000000000000000000000000000000000000100111000000000 -00000011000011110000100101000100111000000000000000000000000000000000000000000000000010001010000000100101100110100111110110100110 -10101001010100110100101111000001010011010001100000000000000000000000000000000000000000000100001000000000000000110000011100000000 -00000000001000011001110000000000000000000000000000000000000000000000010011010010100100011000001001101000101000100100110000000000 -00000000000000000000000000000000000000000000000000010000000000000000000100010000000000000000000000000000000000000000000000000000 -11000001101001110100011111001000011010000101011111011001001110100011110010100010000111010010011001010000000000000000000000000000 -00000000000000000000000000010100100000000011111010000000100010000000000000100100000000000000000000000000000000000000001010011001 -11000011001000011110000110100110001001001000000000000000000000000000000000000000000000000000000000000010011100001000001000101111 -00000000000000010000000000000000000000000000000000000000000000000000000010000101000111010111000010001000110001001101000011001111 -00111000000000000000000000000000000000000000000000001001100000000110010100000100001100110000000000000000000000000000100101000000 -00000000000000000000000000000000100110100101100110001010010100010000100101100000011000110100000000000000000000000000000000000000 -00000000000100100000000000001001000000000100000000000000000000000000000000000000000000000000000000000000110000011000010010001100 -00011011010000101101100000010010010011110001111110011101001100000000000000000000000000000000000000000000000000000010001000000000 -00111100000000101001000000000000000000000000000000000000000000000000000010001010000011001000101001110001001011000111000111000010 -01100001010100000000000000000000000000000000000000000000000000000000000000010001101001011000001111000000001001110000000000000000 -00000000000000000000000000000000000000010011101000111000110001100100110110110100110100100001010010000000000000000000000000000000 -00000000000000000000000000010010001001100100110000100101001000100000000000000000000000000000000000000000000000000000000100110000 -10000000010001001100100001100001000000000000000000000000000000000000000000000000000000000011001010000000001100011000001001010000 -00000000000000000000000000000000000000000000000100011010011110111110010100010001010000010011101101000010011001001001010010000000 -00000000000000000000000000000000000000000000000000000111001000011000001100000000001001110000000000000000000000000000000000000000 -00000000000000101000111010111100111001100110011011011111101111101111011001111111111101111011011101111111111101010100011111111100 -00000000000000000000000000000000000000000000000000000000000010011000000001001100000000000000000000000000000000000000000000000000 -00000011010101011110101111001101100110100101110111111010100011011100001100111011101000100011110111011110100010010011101111111111 -11111010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000100010101000101110100101010100010001111011111110110110000001110011000100010111110111110100010010110100011011111110000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110111011111 -11010000100110111111101111111000000111011111111100110010101000111110111101111111110110111111100000000000000000000000000000000000 -00000000000000000000000000000000001111110000000000000000000000000000000000000000000000000000000001011011010101000101001100010000 -00110000110011110000000010110110010010001111110000000000000000000000000000000000000000000000000000000000000000000010110111110000 -00000000011110000000000000000000000000000000000000000000000000000000000000000100010000000000011000001100000000000000000000000000 -00000000000000000000000000000000000000000100111000010010100000000000000000000000000000000000000000000000000000010011100100001110 -10001001010110001100100010100110000000000000000000000000000000000000000000000000000000000000000000110111000010000111101000001001 -10100111000000000000000000000000000000000000000000000000000000000000100110001001000010011100000000000000000000000000000000000000 -00000000000000000000000100111000001001110101111000000000000000000000000000000000000000000000000000000000000010011110010000001000 -01000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000000000000000000000000000 -00000000000000010010000000100101000000000000000000000000000000000000000000000000000000000000000001100111100001000011001100000000 -00000000000000000000000000000000000000000000000001001110011000011011001100001100100010100110000000000000000000000000000000000000 -00000000000000000000000000010110100000000110011110010011100100110000000000000000000000000000000000000000000000000000000010000100 -00011001010000010010001001011001110000000000000000000000000000000000000000000000000000000000000101101000010000110011101000000000 -00000000000000000000000000000000000000000000000000000000100000101011000001000010000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000100110000000000000000000000000000000000010010010001010010000001000000100001100111000 -00000000000000000000000000000000000000000010011100000000000000000110011110010110010000100000000000000000000000010001100000000000 -00000010001100000000000000001001110110000011010000011011000000001101001010100111100011101101000000000000000000000000000000000000 -00000001000010000000000000001000011011010110011110000000000000000000000000000000000000000000000000000000000000000010010011010110 -00100010000100011100110010011100000000000000000000000000000000000000000000000000000000000010110100010000100000000000000000000000 -00000000000000000001001100000000000000000010101011100010101101000001110110000010011101000101011001100001110111000101000000010101 -10000000000000000000000000000000000000000000000000000000011001111000000000000000000000000000000000000000000000000000000000000000 -10011101000110000100000100101011010010101001110000000000000000000000000000000000000000000000000000000000001011010000000000000000 -00000000000000000000000000000000000000000000000001101100000010011100010001110000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000001001000001110010000000100010010000110010100000000 -00000000000000000000000000000000000000000000000000000000001001110000000000000000000000000000000000000000000000000000000000000011 -00001010100110100100001000101001101100001110100000100100000000000000000000000000000000000000000000000000000000000000000000111100 -10000000000000000000010010000000001001100000000000000000010100100000000000000010000010011110000110111110010100000110000011011010 -00001100100000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000010001100000000000000000 -00000000000001001110001001011001110110010001000001111000000100101100011000000000000000000000000000000000000000000000000000000001 -00010000000000000000000000000000000000000000000000000000000000000000000000000100010001000001101000001110000011000010001010000101 -00001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100101000000000000000000000000000000 -00000001000010001001111010110110001010010010011100100110001000101011111110100000100110000000000000000000000000000000000000000000 -00000000000000000000000000111110000000110110100000000000000000000000000000100111000000000000000000000000000000100011010000011000 -01010100110100001010010010001000000000000000000000000000000000000000000000000000000000000001001010000000001001110000101011000000 -00100011000100101000000000010000100000000000000000000000000000001000000011011000001100110100000100100100100000000000000000000000 -00000000001001110000000000000000000000000100110001110001000000000010010100000000000001001010000000000000000000000000000000000000 -00000000000100000100000010011101001010000000000000000000000000000000010000100000000000000000000000000000000000000011000110000010 -00100101011000000000000100011000000000000000000000000000000000000000000000100100100111010011001010011000000000000000000000000000 -00000000000000000000000000000000000100100001001110000000001110010000000000000000000000000000000000000000000000000000000000000000 -10100010110001110111011101000011001111111010000111111011110110100000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000011011111111011001001001110111011111101111110001111001111111111001 -10010010010100010110110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000101000111110111110010011100010001010001000101010001110101010100100111111011111111111000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110111010001 -00001111000100011101111110111111110110100010000110100011111111000000000000000000000000000000000000000000000000000000000000000000 -00111111000000000000000000000000000000000000000000000000000000000000000100000001110000100001011011001000111000010000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000 -00000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000010001000000000000000000001001110000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000010000000001001000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000001001010000000000000010000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000 -00000000000000000000000000000000000000000110000110000100001100110000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000011000011000000011000011000001001100000000000000000000000000000 -00000000000000000000000000000000001001010000000000000000000000000000000000000000000000000000000000000000001100001100000000001000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000001100001100100000000000010110100000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000110000110000000110000110000000000000010001100100111000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000011000000000000000000001001100110 -10001000000000000000000000000000000000000000000000000000000000000000010110100000000000000000000000000000000000000000000000000000 -00000000011000011000000000000000010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000110000110000000000000000000100011000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000011000001100000000000000000000000010001000000000000000000000000110000011000000000000000000000 +01000011000101100000110000000000000000000000001000010000000000000000000000011000001100000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000010011110100100000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110010000000000000000000000000000000 -10001000000000000000000000000000000000100101000000000000000000000000000000000000000000000000000000000000000100110000001001101001 -10000000001001000010011100000000000000000000000000100111000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000100100000001001000000000000001000010000000000000000000000000000000000000000000000000000000000000000101111 +00000000000000000000000000000000000000000000000000000000011000001100000100101001001010000000010011110011000000000000000000000000 +00000000000000000000000000000000000000000000000000010001100000010001100000000000000000000000000010011000010000111010010011001010 +00001000110001000011101001001110101000010010000100001100110000010000010101000000000000000000000000000000000000000000000000000000 +00000000000000000001001010000000000000000000000000000000000001010010000100110000000101001010001100000000001000101000010001001100 +00000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000000100100000001001011001 +00000100010111010000000001000101001110000000000100110001001000000000000000000000001011100000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000010000100000110000101010000000000000001000111001011001010001000011001000000100110000 +00010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011 +10000010100110100101100100000100001100111001000100100000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000001100001100000001000010000000000001101100000101001000100010100011101011001000011000100000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000001000011000100000 +00001000010100001000001000001001100001001110000000100000000000000000000000000000000000000000000000000000000010011000000000000000 +00000000000000000000000000000001000110100001100000011010010100010110010101110000100100001101111101011010010101001011001011101000 +00110010101110100000100010010101110000100110100001001000001000011001000000000000000000000000000000000000000000000000000000001001 +00000000000000000000000000000000000000000000000111100000100010011100100001100101100110100011010110001000010101111100111001001001 +11100010010010111010100001011110010011010110101000111001000010011010001010001100000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000001000000100110100011010001001011011001101110001000001110101000110110000011000 +01010100101010011010010110101010010010000100010001100100110000100100000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000100010000100001010011110011101000111000011101001001010110001100100010111000010010011110 +01100011000011000100000001000000110001001011100010010010000001000011101000110100111111100000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000100010100011100011100100000010001110000101001001001110100111101 +11010100111100100101000110010000010000010011110011111110000001000011001111000001110000001111010001000000110001100000000000000000 +00000000000000000000000000000000000000000000000010011100000000000000000000000000000000000000000111100000001001010010001000101111 +10001010000110010100110011000111010000100101001001000011000101100100010100011000100011100011001001010110100000101001110000000000 +00000000100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100100100110110011 +00101001101001100001001111001100001000001000101101000010110101000000100101100111000001001100000000000000000001000010000000000000 +00000000000000000000000000000001000100000000000000000000000000000000000000000000100111010000110011011010100001001111001111000001 +10001011001000111001001001011000011010100001010011000011110110100101111100101100100001100100101011100100001001111001010110000101 +01001101001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010001001 +01100001100101001110000100100110011101000000101011111000100011010100000000100100100110010010101010101110000010100100010001000100 +00111000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000010010 +00000100111000110000011011000100101001000100111001000010000110010100110000010001101001111001100100111111001000000100110100100010 +01111000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110001 +00010110110000011001000101001110001001011110000001100100000011100000101001000100100010000110001100100111100011000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000100000100101111001000010000110 +01101000001010100100100010011001000011001001001110001100101010111000100010011011010100010100011110011010001001110110101000001000 +10100100100111100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010 +10010011010010100101001100011110001001010000010000010010110000110100110011100011000100101000011100000110110100000100011000110001 +00001011010000100010001001101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000100111100011100000010011100010000010001000001000011000011100100100010011010000011100110001001000000100110111000100011 +10010000100011010011011110000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000001000010100101000100100110010001011000010100010000010011000001100100100100001110110000111000010101000010011000010101 +00001011010100001001001100001100100011110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000011000100101001100100101100110000110100011010011110011100010001010010010011010011110011001100011000011 +10001000010011000100011000010010010000111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000001101010101001111110111011000001111111011101011111111011101101111010100011110011110111111111011110111 +11011101110111011100100000111111011101010001101110111010100011000011110111010000010100010000111100110011010000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010001111011101110100100011011011111 +00011110111111111100011111001001010001111011111100011110011001111100010111001000101000100011110111111111111101011001001110111111 +10100010001111101000001111111100100010110110011011111100001011010000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000101000001010000101000101000100100101000110011111101011101110001100011110011001111001 +10010001010100011001101111001001100011001111111011000111110111110010001110010000011011100011111000011101100101000101000100011000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111011001101100111011111 +10111111101111101100001110110111111101011101111001100010111110100001111111110110011001110000111001111111111110000010000010100010 +00011100110011111001000001011111111110000100001011001111111001100000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000010011100100000001110000100001000000010011100100001001110000100001000000011100001000 +01000000100010100110001001000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000 +00000000000010010100001011010000001000010000000000001010010000000000000000000000000000000000000000000000000000000000000001001110 +00000000000000010000000000010001110001100000000000100011000000000001101010000000000100001111000001010011000001000011101000001000 +01000011001100011010100000100001100110000010000110011000100110000010010100000000000000000000000000000000000000110100101010011000 +00000000000000000000000000000000010010100000000000000000000000000000101001000100110010100110011000100011001001110010011001001100 +00100110011100001000001001100100011100100000010010000000000000000000000000000000000000000101001100110001000001001110000000000000 +00000000000000000010010100000000000100101000000000000000010001100100000000100100001100001010000010000000100100001000000100001010 +01110100000001001100000000000000000000000000000000000000000101110000100000000010011000000001000010000000000000010001000000000000 +00000000000000000000000010101110010000001110110000010010100010010100001000111111001010000001000000000000000000000000000000000000 +00000000000000000000000000000000011000011000011000010101001100000000000001000000100011000000000000000000000010001110001100000000 +00000000000010101110010000000000010000110011010000100010000110011000000000000001001100000000000000000000000000000000011010010101 +00110000110000111100000001100001100001001011001100000000000000010010100000000000000000000000000001000100001010011001001001101101 +00010100011001000010000110100010000100111001000001001001110000100100111001001101000101001100001001100100010100110000000000010010 +00000000000000000000000000000000010100111010010010001101001111000001000001000001000101001110000000000000000000000000000000000001 +00110100110000010000000100000100001100110010010000100011110100001001000110100000100001010000100010001110010100010000000111001000 +00010000000011100100000000000000000000000000000000000000000000000100000000011011100000000000000000000000000000000000000000000000 +00000001001110000010001110000100110001001001000111111000000100111011000100100101010110000101010010000001100000110000000000000000 +00000000000000000000000000000000000000000000000001100001100100000000001001110000000000000000000000000000000000000100010000100000 +00100101100010000100010110010000101001000001110010000100110001000110110110010000100000000000000010001000000000000000000000000010 +01100000000000011010000010100010000000000110000011011000011110000110000110000000000000000000000000000000000000000000000000000001 +00011000100000100000010001101010100100101100101000100111100011001001110000010100100000000000000001000100000000000000000000000000 +00000000000011010011110000000001000100000000000001011000000000000000000000000000000000011001001001000000011100000010110010010001 +00111011100000011000101100000110101111100111101100000010000100000000000010011100000000001000000000000000001000110000000000010001 +10010011100000000000000001100001100000000000000000000000000000000000000000000000000100110100011111000010011010001011000011001100 +10000110011110001101001100111000001011101001001100101001100000110101100001000010101010100010000000000000000000000000000000000000 +00000000001001110000000000000001100001111000000000001000110010000110011100000000000000000000000000000000000000000011100010100011 +00100100100010000100101001001010001010010001011001001011101000010100111100011000000000000000000000000000000000000000000010000100 +00000000000001100000111000000000000000000000000000000000000000000000000000010001101010011010011101110000001000110001110010000100 +01111000101001110000001100001010100101001111000111000011110001100100101000000000001010010000000000000000000000000000000000000000 +00000001000000000000100110000000000000000000000000000000000000000000000100011001110000010100000010011101001011100100100011000010 +10010010110011111110001001010011001110010111010010110001110010110011100000000000000000000000000000000000000000000000000000010100 +10000000001111101000000000000000000000000000000000000000000000000000001100100100011100010000111010000001110010001100001100110000 +10101010000110011100100100110100010110000110011000010001001001110001000100100111000000000000000000000000000000000000000000100111 +00001000001000101111000000000000000000000000000000000000000000000000000000000010111000000011100000011111001010000100001100010101 +10110001101001010100101101100100011000100111111100010000111010001001001100100011001001111001100110000110000000000000000000000000 +00000000000001001100000000101101001000011001100000000000101101000000000000000000000000000000000000000000001000111000000010011010 +00111001001100010010000110000110011100000011001101001101101001010110001010010010110100100010001001000110100110001000101110001000 +01001100000000000000000000000000000000000001001000000000000010010000000001000000000000000000000000000000000000000000000000000000 +01000101101000010100011111001000001001101001011100001010100111111000100001001111001011100100100101011100101001110000010100000101 +11110010100010010001001010100011010010001001011001110000000000000000000000000000000000000000100010000000000000000011110000000100 +01110001101000100000000000000000000000000000000000000000001000110101011110100010000001000100100011111000100010011000101010100001 +10010000010001110001000001000100100111010000001000100100111000000000000000000000000000000000000000000000100011010010110000011110 +00000000001001110010110001000000000000000000000000000000000000000000000001001100001010110000100000110110001000001001111000110000 +10010110000110001110000011001000100100110010001100010011000000000000000000000000000000000000000000000000100100010011001001100000 +00100101010000111100001000000000000000000000000000000000000000000000000010100110001100000100000110110000000011011001000001001111 +00110010101110011001101010100010001101101000100100111100010100010111000100000101001000000000000000000000000000000000000000000001 +01101000000000000011000110000100110000000000000000000000000000000000000000000000001000101001111000010100000100110011100000101001 +00010001101000101000011101101001100111010011010001110110101000011001110010010001001010001001000100101000000000000000000000000000 +00000000000000000000111001000011000001100000000000100111000000000000000000000000000000000000000000000000111110111011101111110101 +00000111010101000111101110111100100000101000111011001110000111111101101110010000001010000110010001111111111101010101110111111111 +11111011110010010110111111111111111101101001001111011111111111111011110010010110111111111111111101010010000000000000000000000000 +00000000000000000000000100110000010011000000000000000000000000000000000000000000000000001100001110101000100100111111110100111110 +11101101000100011110111011100100011100011110111111111001110111011001111110111111001000010001111110110001111011111100100110011001 +11100111110110000110111101111001100111101111011000011011111001100111110110000110111101111001100111101111011000011011111001100111 +11010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110100111 +01100000101000001101100110101000100100111101110111100110011000111101101100111000110010110011111101110011110111011111011101100100 +11110011101101111111110000110111101111001100111101111011000011011111001100111110110000110111101111001100111101111011000011011111 +00110011111110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010 +00100100111011011101100011101010101110101010100001111101110110000001111001100110000110010000111111101101101111111011111011101111 +01110101111001100111111110011010000011110111111111111110111100000101101111111111111111011010000011110111111111111110111100000101 +10111111110100000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000000000000000000000000000 +00010000001000111111000000001000000011100000100010111101000111111000100001000000011100001000100000111101001110010010001111110001 +00110110100010000011110100111001001000111111000100110110100010000011110100000000000000000000000000000000000000000000000000010111 +01111000000000000111100000001000010100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000001001110000100101000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000110111000001110100000110100010000000000000000000000000 +00000000000000000000000000000000000000101101000100110000000000000000000000000000000000000000000000000000000000000000100111000000 +00100111010101001001100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +00000000000000000000000000000000001001010000000000000000000000000000000000000000000000000000000000000000010010000000000000000000 +00000000000000000000000000000000000000000000000000000000011001111000010000110011000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000001011100110011110010011100100110000000000000000 +00000000000000000000000000000000000000000000001011010000000000000000000000000000000000000000000000000000000000000000000101110000 +01000011001110100000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000010011000000000000000000000000000000000000010010100000100010010101100 +00000000000000000000000000000000000000000001001110000000000000000000000001100111100101111000000000000010010000000000000100011000 +00000000000000100011000000000000000001011101000110000001010111110100000100000000000000000000000000000000000000000000000010000100 +00000000000001000011011100000000011001111000000000000000000000000000000000000000000000000000000000000000001000100000001000111001 +00000000000000000000000000000000000000000000000000000000000000010111000000000000000000001001100000000000000000000000000000010011 +00000000000000000001001100000010011010000110011011010100000000000000000000000000000000000000000000000000000000000000000001100111 +10000000000000000000000000000000000000000000000000000000000000000100010000001001000001001110000000000000000000000000000000000000 +00000000000000000000000101110000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000010010 +10010000100000000000000000000000000000000000000000000000000000000000000000100111000000000000000000000000000000000000000000000000 +00000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000111100100000000000000000000000 +00000000100010000000000000000000000000000000100000100101000000100111101101000011001001000000010001100000000000000000000000000000 +00000000000000000000000000100100000000000000000000000000000001001110000000000000100011000100111000000000000000100000001000110010 +10110000000000000000000000000000000000000000000000000000000001000100000000000000000000000000100110000000000000000000000000000010 +00010000000000000000001000011001000010001100010000000000000000000000000000000000000000000000000000000000100000000000000000000000 +00000000000010010000000000000000000000000001001100000000000000000000100100000011000110001000010001001000000010011000000000000000 +00000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000001000110000010110 +11011111100100010010001100000000000000000000000000000000000000000000000000000000000100101000000000100111001100100100011010100000 +00000001001000000000000000000000000000000000000000000000000000001011100000111000100000000000000000000000000000000010011100000000 +00000000000000000100110001110001000000000010010100100110010001100000000000000000000000000000000000000000000000000000000000000100 +10100000000000000000000000000000000100001000000000000000000000000000000000000000001100011000001000100100111000000001001100000000 +00000000000000000000000000000000000000010000000000110100010010000110001110010110011100000000000000000000000000000000000000000000 +00000000000010010000100111000000001110010000000000000000000000000000000000000000000000000000000000000000000111011101100101000001 +11011101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000010010011101110110011000011110100100111011101110111110001100000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000011001111110011000011110011100110010100011000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111111001010000010 +10001100110011100110000000000000000000000000000000000000000000000000000000000000000000000011111100000000000000000000000000000000 +00000000000000000000000000000000000001000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000100100000000000000000000000000000000000000000000000000000000000000000000000000000011111000000010001000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100000000000100111 -00100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000100011000000010010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000011001001000000000011000110000011010001000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000001000010000111001000000000000000000000000000000000000000000000000 +00000010010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000 +00000000000000000000000000000000000000000000000000000000011000011000010000110011000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000001100001100000001100001100000100110000000000000 +00000000000000000000000000000000000000000000000000100101000000000000000000000000000000000000000000000000000000000000000000110000 +11000000000010000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000110000110010000010000100000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000011000011000000011000011000100010000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000110000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001 +10000000000000000000000000000000000000000000000000000000000000000000000100011000000000000000000000000000000000000000000000000000 +00000000000001100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100000000000000000000 +00000000000000000000000000000000000000000000000000100111000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000011110010000000000000000000000000000000100010000000000000000000 +00000000100101000000000001000110000000001001000000000000000000000000000000000000000000000000010011000000100110100110000000100100 +00000000000000000000000000000100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00100100000001001000000000000000000000000001010110000000000000000000000000000000000000000000000000010010100010011100000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000010010000000000000 +00010000100000010010100000000000000000000000000000000000000000000000000000000000001111100000001101101000000000000000001000110000 +00000001001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100000000000 +00100111000000000000000001001100000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000010001100000000001001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000001100100100000000001100011000001000100000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000001000010000000011100100000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000010100100000000000000000101001000000000000000000000010010110100100000000000000000 -00000000000100000000000000000000000000001000010000100010000000000000000000000000000000000000000000000000000000000000000000000000 -00001001100000100100000000000001001100000000000000000011010000100000001001100000000001011010000000000010000000000000000000000000 -00000000000000001001110000000000000000010011100000000000000000100100000100010010011100000000001001000000000000000000010010000000 -01001000000000000000000000000000000000000000000000000000000000010000100000000000000000100001101010000010101000000000000000000111 -00000100000000000000000000000000000000000000000000000101101000000100000000000000000000000000000010010000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001010100110 -00000000000000000000000000000000000000000000000000000000000001001100000000000000000000000000000000000000000000000000000000000000 -00000000000000001100101000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010001100000000000000 -00000000000000000000000000000000000000000000000000000000000001000100010011000000000000000000000000000000000000000000000000000001 -00010000101011000000000000000000000000000000000000000000000000000000000000000000000000000000001001111000011000110000000001000000 -00010100100000000000000000101001000000000000000000000110001010000000000000000000000000000010000100000000001001100000000000000000 -00000000000000000000000000000000000000000000000100111000000000000000001001110000000000000000000001001010000000000000000000000000 -00100011000000000000000000000000000000000000000000000000000000010000100000000000000010010100000000000000000100101000000000000001 -01010000010000001000110111000100000000000000000000000000000000000000010001100000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000010011100000010000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011001110100010000010 -01100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00010010000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000100111000100011000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000100000100101000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000010110100000000000000000000100010100011010000100000100111000000000000 -00000000000000000000000000000000000000000000000000000000000000000100011000000000000000000000000000000000000000000000000000000010 -00110000000000010010100000000000000010001101001100000000001000110000000000000000000000000000000000000000010011000000000000100010 -01110011000000000000000000001001100000000000000000000100101010001101110100000100111000000000010011000000000000000010010000000000 -00110010100010010000001000100000000000000000000000000000000000000100010000000000001001010100111000000000000000001001010000000000 -00000000000100100010000100000000000000000000000000000100100000000000110010100000001000000000000000000000000000000000000000000000 -01001100000000000000000000000000000000110110000000000000000000000001001110110001100001001100000000000000000000000000001010010000 -00000000000000000000000011001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000001111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000001010010000000000000000010100100000000000000000000101011010010110 +10010000000000000000000000000000000000000000000000000000100001000010001000000000000000000000000000000000000000000000000000000000 +00000000000000000000100110000010010000000000000100110000000000000000001001100000001001100000000001011100000000000010000000000000 +00000000000000000000000000000000100111000000000000000001001110000000000000000010010000010001001001110000000000100100000000000000 +00000100100000000100100000000000000000000000000000000000000000000000000000000001000010000000000000000010000100000000000000000000 +00011100000100000000000000000000000000000000000000000000000101110000000100000000000000000000000000000000110001100000000000000000 +00000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +10000110011000000000000000000000000000000000000000000000000000000000000001001100000000000000000000000000000000000000000000000000 +00000000000000000000000010010110011000000000000000000000000000000000000000000010001001001110000000000000000000000000000000000101 +10100000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000010010100001000001000 +01000000000000000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000010000100011000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000001000000000101001000000000000000001010010000000000000000000100001010011001110100000000000000000000000000000010000100000 +00000100110000000000000000000000000000000000000000000000000000000000000100111000000000000000001001110000000000000000000100010000 +10010000000000000000000000000001000110000000000000000000000000000000000000000000000000000000000000000000000000010010100000000000 +00000010010100000000000000000100000000100010010011000000000000000000000000000000000000010001100000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000001000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100 +11101000100000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000001001000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000101110000000000000000000000000000000000100111000100011000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010011110111 -10000000000001001011000010000000000000000100101100001000000000000000000000010010110000100000000001001010000000000000000010010100 -00000000000000000000000000000000000000000000000100011110110000000000000000100110000000000000000000000000000000000000000100000010 -01100000000000000000000000000000010011000000000000100110000000000000000000000000000000000000000101100111000001010000000000000000 -10000100000000000000000100001000000000000000000000010011110000100000000000000000000000000001001110000000000010011100000000000000 -00000000000000000000000000000010000001001110000000000000010010100000000000000000100101000000000000000000010000100010010100000000 -00000000000000000000000000000000000000000000000000000000000000000000000001011001101000001100110000000011000010100011101010001110 -10000000000000000001100001010001110101000111010000000000000000000000001100011110010000011111110011111100000000000011000010100100 -01110011110011100000000000001100001010011000110011111000001111100000000000000010110011010000011001100000000000000000000000000000 -00000000010110011010000011001100000000110000101001011001111101001111110000000000000000001100001010001110101000111010000000000000 -00000000000110001111001000001111111001111110000000000000010001111101000001110100000000000000000000110001100111111000011111100000 -00000000010110011010000011001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011 -01100011000110001100111100000011001110000000100001100011100011100111100111010000110001110001110011110011100000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000100010100100101100100000001100000110110001100011000110011 -11000000110011100000000000001100000110110001100011000110011110000001100111000000010000110001110001110011110011101000011000111000 -11100111100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -00011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000001000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000001000011000110000000000000000000000000000000010010100000000000000011100010100000000 -00000000000000000000000000000100111000000000000000001001110000000000000000010011100000000000000000000000100111000000000000000001 -00111000000000000000001001110000000000000000010011100000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000011001100110000101111111111111111111111111111111111111111 -11111111111111111111111111000010100000000000000000000000000000000000000000000000000000000010101010100111001000100000000000000000 -00000000010000000000000000000000000000001111111111111111111111111111111111111111111111111111111101011110000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000001001101000100000000000000000000000000000000000000000000000000000010010101101001010000000000000000000000000000100 +01101001100000000001000110000000000000000000000000000000000000001000111001100000000000011001001000100111000000000000000001110001 +00000000000000000000001001010100011010001100000000000100110000000000000000100100000000000010110110010000001000100000000000000000 +00000000000000000000000001100100100000000000000010010000000000000000000111001000000000000000000000000000000000000000000000000000 +01000011001000001001000000000001011010000100000000000000000000000000000000000000000000000000000110101000000000000000000000000000 +00000000000000100111011000101000111000000100000000000100101000000000000000101001000000000000000000000000000010110100000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000001000000100111101010000000000000100101100001000000000000000010010110000100000001001 +11000000000000001001011000010000000000100101000000000000000001001010000000000000000000000000000000000000000000000000000100011110 +11000000000000000010011000000000000000000000000000001001110000000000100000010011000000000000000000000000000000100110000000000001 +00110000000000000000000000000000000000000000000101111111000001010000000000000000100001000000000000000001000010000000001001110000 +00000000100111100001000000000000000000000000000010011100000000000100111000000000000000000000000000000000000000100000010011100000 +00000000010010100000000000000000100101000000000000000000010000100010010100000000000000000000000000000000000000000000000000000000 +00000000000000000000000001011111101000001100110000000011000010100011101010001110100000000000000000011000010100011101010001110100 +00000000000000000000001100011110010000011111110011111100000000000011000010100100011100111100111000000000000011000010100110001100 +11111000001111100000000000000010111111010000011001100000000000000000000000000000000000000101111110100000110011000000001100001010 +01011111111101001111110000000000000000001100001010001110101000111010000000000000000000000001100011110010000011111110011111100000 +00000000010001111101000001110100000000000000000000110001100111111000011111100000000000000101111110100000110011000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000110000011011000110001100011001111000000110011100000001000 +01100011100011100111100111010000110001110001110011110011100000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000100010100100101111100000001100000110110001100011000110011110000001100111000000000000011000001101100011000 +11000110011110000001100111000000010000110001110001110011110011101000011000111000111001111001110000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010001100000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +00011000110000000000000000000000000000000010010100000000000000011100010100000000000000000000000000000000000001001110000000000000 +00001001110000000000000000010011100000000000000000000000100111000000000000000001001110000000000000000010011100000000000000000100 +11100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000011010100000101001111111111111111111111111111111111111111111111111111111111111111110000101000000000000000 +00000000000000000000000000000000000000000010101010100111001000100000000000000000000000000100000000000000000000000000000011111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101011110000000000000000000000000 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 * NOTE END CONFIG DATA* -L75392 +L75136 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 @@ -2776,10 +2776,10 @@ L302720 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 * -CD28B* +CBE41* NOTE FEATURE_ROW* E0000000000000000000000000000000000000000000000000000000000000000 0000010001100000* NOTE User Electronic Signature Data* UH00000000* -D139 +D104 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.mrp b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.mrp index 81c677d..e973c22 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.mrp +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.mrp @@ -9,25 +9,26 @@ Command line: map -a MachXO2 -p LCMXO2-1200HC -t TQFP100 -s 4 -oc Commercial RAM2E_LCMXO2_1200HC_impl1.ngd -o RAM2E_LCMXO2_1200HC_impl1_map.ncd -pr RAM2E_LCMXO2_1200HC_impl1.prf -mp RAM2E_LCMXO2_1200HC_impl1.mrp -lpf //Mac/ iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synpl - ify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui + ify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui + -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml Target Vendor: LATTICE Target Device: LCMXO2-1200HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469 -Mapped on: 06/07/24 20:50:13 +Mapped on: 07/12/24 16:08:07 Design Summary -------------- - Number of registers: 125 out of 1520 (8%) - PFU registers: 103 out of 1280 (8%) + Number of registers: 124 out of 1520 (8%) + PFU registers: 102 out of 1280 (8%) PIO registers: 22 out of 240 (9%) - Number of SLICEs: 148 out of 640 (23%) - SLICEs as Logic/ROM: 148 out of 640 (23%) + Number of SLICEs: 145 out of 640 (23%) + SLICEs as Logic/ROM: 145 out of 640 (23%) SLICEs as RAM: 0 out of 480 (0%) SLICEs as Carry: 9 out of 640 (1%) - Number of LUT4s: 295 out of 1280 (23%) - Number used as logic LUTs: 277 + Number of LUT4s: 288 out of 1280 (23%) + Number used as logic LUTs: 270 Number used as distributed RAM: 0 Number used as ripple logic: 18 Number used as shift registers: 0 @@ -57,53 +58,54 @@ Design Summary 2. Number of logic LUT4s does not include count of distributed RAM and ripple logic. Number of clocks: 2 - Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M ) + Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M ) Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 ) - Number of Clock Enables: 13 Page 1 -Design: RAM2E Date: 06/07/24 20:50:13 +Design: RAM2E Date: 07/12/24 16:08:07 Design Summary (cont) --------------------- - Net N_117_i: 2 loads, 0 LSLICEs - Net RWBank14: 11 loads, 11 LSLICEs + Number of Clock Enables: 13 + Net N_347_i: 2 loads, 0 LSLICEs + Net RWBank14: 10 loads, 10 LSLICEs Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs - Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs - Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs - Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs - Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs - Net un1_CKE48_0_i: 6 loads, 6 LSLICEs - Net N_389_i: 2 loads, 0 LSLICEs + Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs + Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs + Net un9_VOE_0_a2: 1 loads, 1 LSLICEs + Net un1_CKE48_i: 6 loads, 6 LSLICEs + Net N_346_i: 2 loads, 0 LSLICEs Net Vout3: 8 loads, 0 LSLICEs - Number of LSRs: 8 - Net N_148: 2 loads, 2 LSLICEs - Net N_430_i: 2 loads, 0 LSLICEs + Number of LSRs: 9 + Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs + Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs Net RC7: 2 loads, 2 LSLICEs - Net S[2]: 2 loads, 2 LSLICEs + Net S[1]: 1 loads, 1 LSLICEs Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs - Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs - Net N_530: 1 loads, 0 LSLICEs - Net N_301_i: 1 loads, 1 LSLICEs + Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs + Net N_523_1: 1 loads, 0 LSLICEs + Net N_727_0: 1 loads, 1 LSLICEs + Net RATc_i: 1 loads, 1 LSLICEs Number of nets driven by tri-state buffers: 0 Top 10 highest fanout non-clock nets: - Net S[2]: 60 loads - Net S[3]: 48 loads - Net S[1]: 46 loads - Net S[0]: 31 loads - Net FS[11]: 24 loads - Net FS[10]: 23 loads - Net FS[12]: 23 loads - Net FS[9]: 23 loads - Net FS[13]: 21 loads - Net FS[8]: 18 loads + Net S[2]: 51 loads + Net S[1]: 44 loads + Net S[3]: 40 loads + Net S[0]: 32 loads + Net FS[8]: 29 loads + Net FS[9]: 26 loads + Net FS[10]: 25 loads + Net FS[11]: 23 loads + Net FS[13]: 20 loads + Net ram2e_ufm.wb_rst13: 17 loads @@ -124,16 +126,18 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will Functionality is restored after the Flash Memory (UFM/Configuration) Interface is disabled using Disable Configuration Interface command 0x26 followed by Bypass command 0xFF. -WARNING - map: IO buffer missing for top level port nWE80...logic will be - discarded. Page 2 -Design: RAM2E Date: 06/07/24 20:50:13 +Design: RAM2E Date: 07/12/24 16:08:07 +Design Errors/Warnings (cont) +----------------------------- +WARNING - map: IO buffer missing for top level port nWE80...logic will be + discarded. IO (PIO) Attributes ------------------- @@ -187,10 +191,6 @@ IO (PIO) Attributes | RAout[2] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | RAout[1] | OUTPUT | LVCMOS33 | | -+---------------------+-----------+-----------+------------+ -| RAout[0] | OUTPUT | LVCMOS33 | | -+---------------------+-----------+-----------+------------+ -| BA[1] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ Page 3 @@ -198,10 +198,14 @@ IO (PIO) Attributes -Design: RAM2E Date: 06/07/24 20:50:13 +Design: RAM2E Date: 07/12/24 16:08:07 IO (PIO) Attributes (cont) -------------------------- +| RAout[0] | OUTPUT | LVCMOS33 | | ++---------------------+-----------+-----------+------------+ +| BA[1] | OUTPUT | LVCMOS33 | OUT | ++---------------------+-----------+-----------+------------+ | BA[0] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ | nRWEout | OUTPUT | LVCMOS33 | OUT | @@ -253,10 +257,6 @@ IO (PIO) Attributes (cont) | Din[7] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[6] | INPUT | LVCMOS33 | | -+---------------------+-----------+-----------+------------+ -| Din[5] | INPUT | LVCMOS33 | | -+---------------------+-----------+-----------+------------+ -| Din[4] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ Page 4 @@ -264,10 +264,14 @@ IO (PIO) Attributes (cont) -Design: RAM2E Date: 06/07/24 20:50:13 +Design: RAM2E Date: 07/12/24 16:08:07 IO (PIO) Attributes (cont) -------------------------- +| Din[5] | INPUT | LVCMOS33 | | ++---------------------+-----------+-----------+------------+ +| Din[4] | INPUT | LVCMOS33 | | ++---------------------+-----------+-----------+------------+ | Din[3] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[2] | INPUT | LVCMOS33 | | @@ -320,20 +324,20 @@ Signal ram2e_ufm/ufmefb/CFGSTDBY undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/CFGWAKE undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/wbc_ufm_irq undriven or does not drive anything - clipped. -Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped. -Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped. -Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped. -Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped. Page 5 -Design: RAM2E Date: 06/07/24 20:50:13 +Design: RAM2E Date: 07/12/24 16:08:07 Removed logic (cont) -------------------- +Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped. +Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped. +Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped. +Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped. @@ -386,20 +390,20 @@ Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped. Signal N_1 undriven or does not drive anything - clipped. Block RefReq.CN was optimized away. Block RDOE_RNIAM8C was optimized away. -Block nCASout.CN was optimized away. -Block ram2e_ufm/ufmefb/VCC was optimized away. -Block ram2e_ufm/ufmefb/GND was optimized away. - Page 6 -Design: RAM2E Date: 06/07/24 20:50:13 +Design: RAM2E Date: 07/12/24 16:08:07 Removed logic (cont) -------------------- +Block nCASout.CN was optimized away. +Block ram2e_ufm/ufmefb/VCC was optimized away. +Block ram2e_ufm/ufmefb/GND was optimized away. + Embedded Functional Block Connection Summary @@ -449,15 +453,77 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0 Run Time and Memory Usage ------------------------- - Total CPU Time: 0 secs - Total REAL Time: 2 secs + Total CPU Time: 1 secs + Total REAL Time: 12 secs Peak Memory Usage: 64 MB + + Page 7 + + + + +Design: RAM2E Date: 07/12/24 16:08:07 + +Run Time and Memory Usage (cont) +-------------------------------- - Page 7 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Page 8 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.pad b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.pad index 94d0fcd..a3bc9c2 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.pad +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.pad @@ -6,7 +6,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.42 -Fri Jun 07 20:50:24 2024 +Fri Jul 12 16:08:36 2024 Pinout by Port Name: +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+ @@ -311,5 +311,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:28 2024 +Fri Jul 12 16:08:42 2024 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.prf b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.prf index abd4591..2dd508d 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.prf +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.prf @@ -1,5 +1,5 @@ SCHEMATIC START ; -# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jun 07 20:50:14 2024 +# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jul 12 16:08:08 2024 SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ; LOCATE COMP "RD[0]" SITE "36" ; diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.srr b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.srr index ee46e9a..f1cbd1d 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.srr +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.srr @@ -3,7 +3,7 @@ #OS: Windows 8 6.2 #Hostname: ZANEMACWIN11 -# Fri Jun 7 20:50:04 2024 +# Fri Jul 12 16:07:08 2024 #Implementation: impl1 @@ -52,6 +52,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0 @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\REFB.v" (library work) @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-ON.v" (library work) Verilog syntax check successful! +File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling Selecting top level module RAM2E @N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work. Running optimization stage 1 on VHI ....... @@ -77,12 +78,12 @@ Running optimization stage 2 on EFB ....... Running optimization stage 2 on VLO ....... Running optimization stage 2 on VHI ....... -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) +At c_ver Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:03s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:05 2024 +# Fri Jul 12 16:07:14 2024 ###########################################################] @@ -102,13 +103,14 @@ Implementation : impl1 Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\layer0.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:05 2024 +# Fri Jul 12 16:07:14 2024 ###########################################################] @@ -118,12 +120,12 @@ For a summary of runtime and memory usage for all design units, please see file: @END -At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) +At c_hdl Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:04s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:05 2024 +# Fri Jul 12 16:07:14 2024 ###########################################################] @@ -143,18 +145,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\|impl Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_comp.srs changed - recompiling -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB) +At syn_nfilter Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:07 2024 +# Fri Jul 12 16:07:18 2024 ###########################################################] -Premap Report - -# Fri Jun 7 20:50:07 2024 +# Fri Jul 12 16:07:19 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -183,26 +184,26 @@ Printing clock summary report in "\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\i @N: MF248 |Running in 64-bit mode. @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) +Design Input Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) +Mapper Initialization Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB) +Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 115MB) +Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 115MB) @N: MF284 |Setting synthesis effort to medium for the design @W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. @A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP. @N: MH105 |UMR3 is only supported for HAPS-80. @N: MH105 |UMR3 is only supported for HAPS-80. -@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. +@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. syn_allowed_resources : blockrams=7 set on top level netlist RAM2E -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) +Finished netlist restructuring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) @@ -212,7 +213,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -227,7 +228,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - @@ -247,14 +248,14 @@ Number of ICG latches not removed: 0 #### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[ -2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s) +2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s) 0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) 0 instances converted, 0 sequential instances remain driven by gated/generated clocks =========================== Non-Gated/Non-Generated Clocks ============================ Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------- -@KP:ckid0_0 C14M port 121 PHI1r +@KP:ckid0_0 C14M port 120 PHI1r @KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0] ======================================================================================= @@ -264,27 +265,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I @N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized. Finished Pre Mapping Phase. -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) +Starting constraint checker (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker preprocessing (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) None None -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) Pre-mapping successful! -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) +At Mapper Exit (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Jun 7 20:50:08 2024 +Process took 0h:00m:08s realtime, 0h:00m:01s cputime +# Fri Jul 12 16:07:28 2024 ###########################################################] -Map & Optimize Report - -# Fri Jun 7 20:50:08 2024 +# Fri Jul 12 16:07:30 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -310,21 +309,21 @@ Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:0 @N: MF248 |Running in 64-bit mode. @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) +Design Input Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) +Mapper Initialization Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) +Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) +Finished loading timing files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) @N: MF284 |Setting synthesis effort to medium for the design -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) +Starting Optimization and Mapping (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) Available hyper_sources - for debug and ip models @@ -333,61 +332,61 @@ Available hyper_sources - for debug and ip models @N: FX493 |Applying initial value "00000000" on instance RWBank[7:0]. @N: FX493 |Applying initial value "0000" on instance S[3:0]. -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) +Finished RTL optimizations (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) @N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0] -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) +Starting factoring (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) +Finished factoring (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Starting Early Timing Optimization (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished Early Timing Optimization (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished preparing to map (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB) -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology mapping (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------ - 1 0h:00m:01s 6.90ns 281 / 125 + 1 0h:00m:01s 6.90ns 280 / 124 -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished restoring hierarchy (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) +Start Writing Netlists (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_m.srm -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:12s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB) Writing EDIF Netlist and constraint files @N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\RAM2E_LCMXO2_1200HC_impl1.edi N-2018.03L-SP1-1 @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB) +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:14s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Start final timing analysis (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB) @W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-1200hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @N: MT615 |Found clock C14M with period 69.84ns @@ -395,7 +394,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0 ##### START OF TIMING REPORT #####[ -# Timing Report written on Fri Jun 7 20:50:12 2024 +# Timing Report written on Fri Jul 12 16:07:46 2024 # @@ -420,9 +419,9 @@ Worst slack in design: 6.897 Requested Estimated Requested Estimated Clock Clock Starting Clock Frequency Frequency Period Period Slack Type Group ---------------------------------------------------------------------------------------------------------------------- -C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup +C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0 -System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup +System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup ====================================================================================================================== Estimated period and frequency reported as NA means no slack depends directly on the clock waveform @@ -437,9 +436,9 @@ Clocks | rise to rise | fall to fall | rise ---------------------------------------------------------------------------------------------------------------- Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------------- -System C14M | 69.841 67.088 | No paths - | No paths - | No paths - +System C14M | 69.841 66.719 | No paths - | No paths - | No paths - C14M System | 69.841 68.797 | No paths - | No paths - | No paths - -C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths - +C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths - RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp - RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths - ================================================================================================================ @@ -468,10 +467,10 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------- -S[2] C14M FD1S3AX Q S[2] 1.366 31.599 -S[3] C14M FD1S3AX Q S[3] 1.345 31.619 -S[1] C14M FD1S3AX Q S[1] 1.344 31.800 -S[0] C14M FD1S3AX Q S[0] 1.305 31.838 +S[2] C14M FD1S3AX Q S[2] 1.353 31.675 +S[3] C14M FD1S3AX Q S[3] 1.337 31.691 +S[0] C14M FD1S3AX Q S[0] 1.319 32.182 +S[1] C14M FD1S3AX Q S[1] 1.344 32.656 RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707 RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707 RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771 @@ -484,21 +483,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771 Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599 -VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800 -Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634 -=================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +---------------------------------------------------------------------------------- +VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675 +RAT C14M FD1S3IX CD RATc_i 34.118 32.147 +Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647 +================================================================================== @@ -512,9 +511,9 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 34.118 - - Propagation time: 2.519 + - Propagation time: 2.442 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 31.599 + = Slack (non-critical) : 31.675 Number of logic level(s): 1 Starting point: S[2] / Q @@ -522,16 +521,16 @@ Path information for path number 1: The start point is clocked by C14M [rising] on pin CK The end point is clocked by C14M [falling] on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------- -S[2] FD1S3AX Q Out 1.366 1.366 - -S[2] Net - - - - 58 -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 - -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 - -N_530 Net - - - - 3 -VOE_i_0io OFS1P3IX CD In 0.000 2.519 - -============================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------- +S[2] FD1S3AX Q Out 1.353 1.353 - +S[2] Net - - - - 50 +ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 - +ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 - +N_523_1 Net - - - - 2 +VOE_i_0io OFS1P3IX CD In 0.000 2.442 - +======================================================================================= @@ -620,7 +619,7 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313 @@ -635,21 +634,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7] Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------- -ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736 -ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736 -======================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719 +ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736 +ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +=============================================================================================================== @@ -663,30 +662,33 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 69.369 - - Propagation time: 2.282 + - Propagation time: 2.650 - Clock delay at starting point: 0.000 (ideal) - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 67.088 + = Slack (non-critical) : 66.719 - Number of logic level(s): 2 + Number of logic level(s): 3 Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO - Ending point: ram2e_ufm.RWMask[0] / SP + Ending point: ram2e_ufm.wb_cyc_stb / SP The start point is clocked by System [rising] The end point is clocked by C14M [rising] on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ----------------------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - -wb_ack Net - - - - 5 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 - -un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 - -N_104 Net - - - - 8 -ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 - -================================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - +wb_ack Net - - - - 4 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 - +un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 - +un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 - +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 - +un1_CmdBitbangMXO212_1_i[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 - +=============================================================================================================== @@ -695,16 +697,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In Timing exceptions that could not be applied None -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Finished final timing analysis (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Finished timing report (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) --------------------------------------- Resource Usage Report Part: lcmxo2_1200hc-4 -Register bits: 125 of 1280 (10%) +Register bits: 124 of 1280 (10%) PIC Latch: 0 I/O cells: 70 @@ -713,7 +715,7 @@ Details: BB: 8 CCU2D: 9 EFB: 1 -FD1P3AX: 58 +FD1P3AX: 57 FD1P3IX: 1 FD1S3AX: 31 FD1S3AY: 4 @@ -726,15 +728,16 @@ OB: 41 OFS1P3BX: 6 OFS1P3DX: 12 OFS1P3IX: 3 -ORCALUT4: 275 +ORCALUT4: 268 +PFUMX: 10 PUR: 1 VHI: 3 VLO: 3 Mapper successful! -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 33MB peak: 164MB) +At Mapper Exit (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 163MB) -Process took 0h:00m:03s realtime, 0h:00m:02s cputime -# Fri Jun 7 20:50:12 2024 +Process took 0h:00m:16s realtime, 0h:00m:03s cputime +# Fri Jul 12 16:07:48 2024 ###########################################################] diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html new file mode 100644 index 0000000..15fed65 --- /dev/null +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html @@ -0,0 +1,152 @@ + +Bitgen Report + + +
BITGEN: Bitstream Generator Diamond (64-bit) 3.11.3.469
+Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
+Copyright (c) 1995 AT&T Corp.   All rights reserved.
+Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
+Copyright (c) 2001 Agere Systems   All rights reserved.
+Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
+Fri Jun 07 20:50:31 2024
+
+
+Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC -w -jedec -gui RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf 
+
+Loading design for application Bitgen from file RAM2E_LCMXO2_1200HC_impl1.ncd.
+Design name: RAM2E
+NCD version: 3.3
+Vendor:      LATTICE
+Device:      LCMXO2-1200HC
+Package:     TQFP100
+Performance: 4
+Loading device for application Bitgen from file 'xo2c1200.nph' in environment: C:/lscc/diamond/3.11_x64/ispfpga.
+Package Status:                     Final          Version 1.42.
+Performance Hardware Data Status:   Final          Version 34.4.
+
+Running DRC.
+DRC detected 0 errors and 0 warnings.
+Reading Preference File from RAM2E_LCMXO2_1200HC_impl1.prf.
+
+
+Preference Summary:
+
++---------------------------------+---------------------------------+
+|  Preference                     |  Current Setting                |
++---------------------------------+---------------------------------+
+|                         RamCfg  |                        Reset**  |
++---------------------------------+---------------------------------+
+|                     MCCLK_FREQ  |                         2.08**  |
++---------------------------------+---------------------------------+
+|                  CONFIG_SECURE  |                          OFF**  |
++---------------------------------+---------------------------------+
+|                          INBUF  |                           ON**  |
++---------------------------------+---------------------------------+
+|                      JTAG_PORT  |                       ENABLE**  |
++---------------------------------+---------------------------------+
+|                       SDM_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                 SLAVE_SPI_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                MASTER_SPI_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                       I2C_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|        MUX_CONFIGURATION_PORTS  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                  CONFIGURATION  |                          CFG**  |
++---------------------------------+---------------------------------+
+|                COMPRESS_CONFIG  |                           ON**  |
++---------------------------------+---------------------------------+
+|                        MY_ASSP  |                          OFF**  |
++---------------------------------+---------------------------------+
+|               ONE_TIME_PROGRAM  |                          OFF**  |
++---------------------------------+---------------------------------+
+|                 ENABLE_TRANSFR  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                  SHAREDEBRINIT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|            BACKGROUND_RECONFIG  |                          OFF**  |
++---------------------------------+---------------------------------+
+ *  Default setting.
+ ** The specified setting matches the default setting.
+
+
+Creating bit map...
+ 
+Bitstream Status: Final           Version 1.95.
+ 
+Saving bit stream in "RAM2E_LCMXO2_1200HC_impl1.jed".
+ 
+===========
+UFM Summary.
+===========
+UFM Size:        511 Pages (128*511 Bits).
+UFM Utilization: General Purpose Flash Memory.
+ 
+Available General Purpose Flash Memory:  511 Pages (Page 0 to Page 510).
+Initialized UFM Pages:                   321 Pages (Page 190 to Page 510).
+ 
+Total CPU Time: 3 secs 
+Total REAL Time: 4 secs 
+Peak Memory Usage: 275 MB
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_cck.rpt b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_cck.rpt index f671f70..c3f33d8 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_cck.rpt +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_cck.rpt @@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11 Implementation : impl1 -# Written on Fri Jun 7 20:50:08 2024 +# Written on Fri Jul 12 16:07:27 2024 ##### DESIGN INFO ####################################################### diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html index fffe309..9d1bd0f 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html @@ -17,24 +17,25 @@ Command line: map -a MachXO2 -p LCMXO2-1200HC -t TQFP100 -s 4 -oc Commercial RAM2E_LCMXO2_1200HC_impl1.ngd -o RAM2E_LCMXO2_1200HC_impl1_map.ncd -pr RAM2E_LCMXO2_1200HC_impl1.prf -mp RAM2E_LCMXO2_1200HC_impl1.mrp -lpf //Mac/ iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synpl - ify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui + ify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui + -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml Target Vendor: LATTICE Target Device: LCMXO2-1200HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469 -Mapped on: 06/07/24 20:50:13 +Mapped on: 07/12/24 16:08:07 Design Summary - Number of registers: 125 out of 1520 (8%) - PFU registers: 103 out of 1280 (8%) + Number of registers: 124 out of 1520 (8%) + PFU registers: 102 out of 1280 (8%) PIO registers: 22 out of 240 (9%) - Number of SLICEs: 148 out of 640 (23%) - SLICEs as Logic/ROM: 148 out of 640 (23%) + Number of SLICEs: 145 out of 640 (23%) + SLICEs as Logic/ROM: 145 out of 640 (23%) SLICEs as RAM: 0 out of 480 (0%) SLICEs as Carry: 9 out of 640 (1%) - Number of LUT4s: 295 out of 1280 (23%) - Number used as logic LUTs: 277 + Number of LUT4s: 288 out of 1280 (23%) + Number used as logic LUTs: 270 Number used as distributed RAM: 0 Number used as ripple logic: 18 Number used as shift registers: 0 @@ -64,44 +65,45 @@ Mapped on: 06/07/24 20:50:13 2. Number of logic LUT4s does not include count of distributed RAM and ripple logic. Number of clocks: 2 - Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M ) + Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M ) Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 ) - Number of Clock Enables: 13 - Net N_117_i: 2 loads, 0 LSLICEs - Net RWBank14: 11 loads, 11 LSLICEs + Number of Clock Enables: 13 + Net N_347_i: 2 loads, 0 LSLICEs + Net RWBank14: 10 loads, 10 LSLICEs Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs - Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs - Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs - Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs - Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs - Net un1_CKE48_0_i: 6 loads, 6 LSLICEs - Net N_389_i: 2 loads, 0 LSLICEs + Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs + Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs + Net un9_VOE_0_a2: 1 loads, 1 LSLICEs + Net un1_CKE48_i: 6 loads, 6 LSLICEs + Net N_346_i: 2 loads, 0 LSLICEs Net Vout3: 8 loads, 0 LSLICEs - Number of LSRs: 8 - Net N_148: 2 loads, 2 LSLICEs - Net N_430_i: 2 loads, 0 LSLICEs + Number of LSRs: 9 + Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs + Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs Net RC7: 2 loads, 2 LSLICEs - Net S[2]: 2 loads, 2 LSLICEs + Net S[1]: 1 loads, 1 LSLICEs Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs - Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs - Net N_530: 1 loads, 0 LSLICEs - Net N_301_i: 1 loads, 1 LSLICEs + Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs + Net N_523_1: 1 loads, 0 LSLICEs + Net N_727_0: 1 loads, 1 LSLICEs + Net RATc_i: 1 loads, 1 LSLICEs Number of nets driven by tri-state buffers: 0 Top 10 highest fanout non-clock nets: - Net S[2]: 60 loads - Net S[3]: 48 loads - Net S[1]: 46 loads - Net S[0]: 31 loads - Net FS[11]: 24 loads - Net FS[10]: 23 loads - Net FS[12]: 23 loads - Net FS[9]: 23 loads - Net FS[13]: 21 loads - Net FS[8]: 18 loads + Net S[2]: 51 loads + Net S[1]: 44 loads + Net S[3]: 40 loads + Net S[0]: 32 loads + Net FS[8]: 29 loads + Net FS[9]: 26 loads + Net FS[10]: 25 loads + Net FS[11]: 23 loads + Net FS[13]: 20 loads + Net ram2e_ufm.wb_rst13: 17 loads @@ -124,12 +126,12 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will Functionality is restored after the Flash Memory (UFM/Configuration) Interface is disabled using Disable Configuration Interface command 0x26 followed by Bypass command 0xFF. + WARNING - map: IO buffer missing for top level port nWE80...logic will be discarded. - IO (PIO) Attributes +---------------------+-----------+-----------+------------+ @@ -182,11 +184,11 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be +---------------------+-----------+-----------+------------+ | RAout[1] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ + | RAout[0] | OUTPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | BA[1] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ - | BA[0] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ | nRWEout | OUTPUT | LVCMOS33 | OUT | @@ -239,11 +241,11 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be +---------------------+-----------+-----------+------------+ | Din[6] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ + | Din[5] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[4] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ - | Din[3] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[2] | INPUT | LVCMOS33 | | @@ -297,11 +299,11 @@ Signal ram2e_ufm/ufmefb/CFGSTDBY undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/CFGWAKE undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/wbc_ufm_irq undriven or does not drive anything - clipped. + Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped. - Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped. @@ -354,11 +356,11 @@ Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped. Signal N_1 undriven or does not drive anything - clipped. Block RefReq.CN was optimized away. Block RDOE_RNIAM8C was optimized away. + Block nCASout.CN was optimized away. Block ram2e_ufm/ufmefb/VCC was optimized away. Block ram2e_ufm/ufmefb/GND was optimized away. - @@ -413,9 +415,10 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0 Run Time and Memory Usage ------------------------- - Total CPU Time: 0 secs - Total REAL Time: 2 secs + Total CPU Time: 1 secs + Total REAL Time: 12 secs Peak Memory Usage: 64 MB + @@ -423,6 +426,58 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html index c194650..ae920f8 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html @@ -14,7 +14,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.42 -Fri Jun 07 20:50:24 2024 +Fri Jul 12 16:08:36 2024 Pinout by Port Name: +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+ @@ -320,7 +320,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:28 2024 +Fri Jul 12 16:08:42 2024 diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html index 8e38885..e8f0d9b 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html @@ -12,11 +12,12 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:15 2024 +Fri Jul 12 16:08:22 2024 C:/lscc/diamond/3.11_x64/ispfpga\bin\nt64\par -f RAM2E_LCMXO2_1200HC_impl1.p2t RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir -RAM2E_LCMXO2_1200HC_impl1.prf -gui +RAM2E_LCMXO2_1200HC_impl1.prf -gui -msgset +//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml Preference file: RAM2E_LCMXO2_1200HC_impl1.prf. @@ -25,22 +26,22 @@ Preference file: RAM2E_LCMXO2_1200HC_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 54.468 0 0.379 0 16 Completed +5_1 * 0 55.594 0 0.379 0 34 Completed * : Design saved. -Total (real) run time for 1-seed: 16 secs +Total (real) run time for 1-seed: 36 secs par done! Note: user must run 'Trace' for timing closure signoff. Lattice Place and Route Report for Design "RAM2E_LCMXO2_1200HC_impl1_map.ncd" -Fri Jun 07 20:50:15 2024 +Fri Jul 12 16:08:23 2024 Best Par Run PAR: Place And Route Diamond (64-bit) 3.11.3.469. -Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_1200HC_impl1.prf +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_1200HC_impl1.prf Preference file: RAM2E_LCMXO2_1200HC_impl1.prf. Placement level-cost: 5-1. Routing Iterations: 6 @@ -66,39 +67,39 @@ Ignore Preference Error(s): True 70+4(JTAG)/80 93% bonded IOLOGIC 22/108 20% used - SLICE 148/640 23% used + SLICE 145/640 22% used EFB 1/1 100% used -Number of Signals: 464 -Number of Connections: 1330 +Number of Signals: 446 +Number of Connections: 1292 Pin Constraint Summary: 70 out of 70 pins locked (100% locked). The following 1 signal is selected to use the primary clock routing resources: - C14M_c (driver: C14M, clk load #: 85) + C14M_c (driver: C14M, clk load #: 84) WARNING - par: Signal "C14M_c" is selected to use Primary clock resources. However, its driver comp "C14M" is located at "62", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew. The following 1 signal is selected to use the secondary clock routing resources: - RWBank14 (driver: ram2e_ufm/SLICE_82, clk load #: 0, sr load #: 0, ce load #: 11) + RWBank14 (driver: ram2e_ufm/SLICE_89, clk load #: 0, sr load #: 0, ce load #: 10) No signal is selected as Global Set/Reset. Starting Placer Phase 0. ........ -Finished Placer Phase 0. REAL time: 2 secs +Finished Placer Phase 0. REAL time: 3 secs Starting Placer Phase 1. .................... -Placer score = 86293. -Finished Placer Phase 1. REAL time: 9 secs +Placer score = 83662. +Finished Placer Phase 1. REAL time: 12 secs Starting Placer Phase 2. . -Placer score = 85792 -Finished Placer Phase 2. REAL time: 9 secs +Placer score = 83395 +Finished Placer Phase 2. REAL time: 12 secs @@ -112,8 +113,8 @@ Global Clock Resources: DCC : 0 out of 8 (0%) Global Clocks: - PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 85 - SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_82" on site "R7C14A", clk load = 0, ce load = 11, sr load = 0 + PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 84 + SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_89" on site "R7C14C", clk load = 0, ce load = 10, sr load = 0 PRIMARY : 1 out of 8 (12%) SECONDARY: 1 out of 8 (12%) @@ -144,16 +145,16 @@ Total placer CPU time: 7 secs Dumping design to file RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd. -0 connections routed; 1330 unrouted. +0 connections routed; 1292 unrouted. Starting router resource preassignment WARNING - par: The driver of primary clock net C14M_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew. WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=PHI1_c loads=5 clock_loads=3 -Completed router resource preassignment. Real time: 14 secs +Completed router resource preassignment. Real time: 20 secs -Start NBR router at 20:50:29 06/07/24 +Start NBR router at 16:08:43 07/12/24 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -168,35 +169,35 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 20:50:29 06/07/24 +Start NBR special constraint process at 16:08:43 07/12/24 -Start NBR section for initial routing at 20:50:29 06/07/24 +Start NBR section for initial routing at 16:08:43 07/12/24 Level 4, iteration 1 -25(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 54.468ns/0.000ns; real time: 15 secs +22(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 55.594ns/0.000ns; real time: 29 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 20:50:30 06/07/24 +Start NBR section for normal routing at 16:08:52 07/12/24 Level 4, iteration 1 -5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 54.468ns/0.000ns; real time: 15 secs +8(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 55.594ns/0.000ns; real time: 31 secs Level 4, iteration 2 -5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 54.468ns/0.000ns; real time: 15 secs +2(0.00%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 55.594ns/0.000ns; real time: 32 secs Level 4, iteration 3 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 54.468ns/0.000ns; real time: 15 secs +Estimated worst slack/total negative slack<setup>: 55.594ns/0.000ns; real time: 32 secs -Start NBR section for setup/hold timing optimization with effort level 3 at 20:50:30 06/07/24 +Start NBR section for setup/hold timing optimization with effort level 3 at 16:08:55 07/12/24 -Start NBR section for re-routing at 20:50:30 06/07/24 +Start NBR section for re-routing at 16:08:55 07/12/24 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 54.468ns/0.000ns; real time: 15 secs +Estimated worst slack/total negative slack<setup>: 55.594ns/0.000ns; real time: 32 secs -Start NBR section for post-routing at 20:50:30 06/07/24 +Start NBR section for post-routing at 16:08:55 07/12/24 End NBR router with 0 unrouted connection @@ -204,7 +205,7 @@ NBR Summary ----------- Number of unrouted connections : 0 (0.00%) Number of connections with timing violations : 0 (0.00%) - Estimated worst slack<setup> : 54.468ns + Estimated worst slack<setup> : 55.594ns Timing score<setup> : 0 ----------- Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. @@ -215,9 +216,9 @@ WARNING - par: The following clock signals will be routed by using generic routi Signal=PHI1_c loads=5 clock_loads=3 Total CPU time 13 secs -Total REAL time: 16 secs +Total REAL time: 34 secs Completely routed. -End of route. 1330 routed (100.00%); 0 unrouted. +End of route. 1292 routed (100.00%); 0 unrouted. Hold time timing score: 0, hold timing errors: 0 @@ -231,14 +232,14 @@ All signals are completely routed. PAR_SUMMARY::Run status = Completed PAR_SUMMARY::Number of unrouted conns = 0 -PAR_SUMMARY::Worst slack<setup/<ns>> = 54.468 +PAR_SUMMARY::Worst slack<setup/<ns>> = 55.594 PAR_SUMMARY::Timing score<setup/<ns>> = 0.000 PAR_SUMMARY::Worst slack<hold /<ns>> = 0.379 PAR_SUMMARY::Timing score<hold /<ns>> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 13 secs -Total REAL time to completion: 16 secs +Total CPU time to completion: 14 secs +Total REAL time to completion: 35 secs par done! diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt index 77c3088..c5bcbcf 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt @@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11 Implementation : impl1 -# Written on Fri Jun 7 20:50:07 2024 +# Written on Fri Jul 12 16:07:21 2024 ##### FILES SYNTAX CHECKED ############################################## Constraint File(s): "\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc" @@ -33,7 +33,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -47,7 +47,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html index 07e98be..63ee944 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html @@ -62,7 +62,7 @@ Updated: -2024/06/07 20:50:35 +2024/07/12 16:09:06 Implementation Location: diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html index b635301..122bb51 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html @@ -12,7 +12,7 @@ #OS: Windows 8 6.2 #Hostname: ZANEMACWIN11 -# Fri Jun 7 20:50:04 2024 +# Fri Jul 12 16:07:08 2024 #Implementation: impl1 @@ -61,6 +61,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0 @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\REFB.v" (library work) @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-ON.v" (library work) Verilog syntax check successful! +File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling Selecting top level module RAM2E @N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work. Running optimization stage 1 on VHI ....... @@ -86,12 +87,12 @@ Running optimization stage 2 on EFB ....... Running optimization stage 2 on VLO ....... Running optimization stage 2 on VHI ....... -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) +At c_ver Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:03s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:05 2024 +# Fri Jul 12 16:07:14 2024 ###########################################################] @@ -111,13 +112,14 @@ Implementation : impl1 Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\layer0.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:05 2024 +# Fri Jul 12 16:07:14 2024 ###########################################################] @@ -127,12 +129,12 @@ For a summary of runtime and memory usage for all design units, please see file: @END -At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) +At c_hdl Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:04s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:05 2024 +# Fri Jul 12 16:07:14 2024 ###########################################################] @@ -152,18 +154,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\|impl Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_comp.srs changed - recompiling -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB) +At syn_nfilter Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:07 2024 +# Fri Jul 12 16:07:18 2024 ###########################################################] -Premap Report - -# Fri Jun 7 20:50:07 2024 +# Fri Jul 12 16:07:19 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -192,26 +193,26 @@ Printing clock summary report in "\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\i @N: MF248 |Running in 64-bit mode. @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) +Design Input Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) +Mapper Initialization Complete (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB) +Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 115MB) +Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 115MB) @N: MF284 |Setting synthesis effort to medium for the design @W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. @A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP. @N: MH105 |UMR3 is only supported for HAPS-80. @N: MH105 |UMR3 is only supported for HAPS-80. -@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. +@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. syn_allowed_resources : blockrams=7 set on top level netlist RAM2E -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) +Finished netlist restructuring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) @@ -221,7 +222,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -236,7 +237,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - @@ -256,14 +257,14 @@ Number of ICG latches not removed: 0 #### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[ -2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s) +2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s) 0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) 0 instances converted, 0 sequential instances remain driven by gated/generated clocks =========================== Non-Gated/Non-Generated Clocks ============================ Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------- -@KP:ckid0_0 C14M port 121 PHI1r +@KP:ckid0_0 C14M port 120 PHI1r @KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0] ======================================================================================= @@ -273,27 +274,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I @N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized. Finished Pre Mapping Phase. -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) +Starting constraint checker (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker preprocessing (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) None None -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) Pre-mapping successful! -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) +At Mapper Exit (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Jun 7 20:50:08 2024 +Process took 0h:00m:08s realtime, 0h:00m:01s cputime +# Fri Jul 12 16:07:28 2024 ###########################################################] -Map & Optimize Report - -# Fri Jun 7 20:50:08 2024 +# Fri Jul 12 16:07:30 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -319,21 +318,21 @@ Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:0 @N: MF248 |Running in 64-bit mode. @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) +Design Input Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) +Mapper Initialization Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 100MB) -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) +Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) +Finished loading timing files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) @N: MF284 |Setting synthesis effort to medium for the design -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) +Starting Optimization and Mapping (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) Available hyper_sources - for debug and ip models @@ -342,61 +341,61 @@ Available hyper_sources - for debug and ip models @N: FX493 |Applying initial value "00000000" on instance RWBank[7:0]. @N: FX493 |Applying initial value "0000" on instance S[3:0]. -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) +Finished RTL optimizations (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) @N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0] -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) +Starting factoring (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) +Finished factoring (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Starting Early Timing Optimization (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished Early Timing Optimization (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished preparing to map (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB) -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology mapping (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------ - 1 0h:00m:01s 6.90ns 281 / 125 + 1 0h:00m:01s 6.90ns 280 / 124 -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished restoring hierarchy (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) +Start Writing Netlists (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_m.srm -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:12s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB) Writing EDIF Netlist and constraint files @N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\RAM2E_LCMXO2_1200HC_impl1.edi N-2018.03L-SP1-1 @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB) +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:14s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Start final timing analysis (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB) @W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-1200hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @N: MT615 |Found clock C14M with period 69.84ns @@ -404,7 +403,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0 ##### START OF TIMING REPORT #####[ -# Timing Report written on Fri Jun 7 20:50:12 2024 +# Timing Report written on Fri Jul 12 16:07:46 2024 # @@ -429,9 +428,9 @@ Worst slack in design: 6.897 Requested Estimated Requested Estimated Clock Clock Starting Clock Frequency Frequency Period Period Slack Type Group ---------------------------------------------------------------------------------------------------------------------- -C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup +C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0 -System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup +System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup ====================================================================================================================== Estimated period and frequency reported as NA means no slack depends directly on the clock waveform @@ -446,9 +445,9 @@ Clocks | rise to rise | fall to fall | rise ---------------------------------------------------------------------------------------------------------------- Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------------- -System C14M | 69.841 67.088 | No paths - | No paths - | No paths - +System C14M | 69.841 66.719 | No paths - | No paths - | No paths - C14M System | 69.841 68.797 | No paths - | No paths - | No paths - -C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths - +C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths - RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp - RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths - ================================================================================================================ @@ -477,10 +476,10 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------- -S[2] C14M FD1S3AX Q S[2] 1.366 31.599 -S[3] C14M FD1S3AX Q S[3] 1.345 31.619 -S[1] C14M FD1S3AX Q S[1] 1.344 31.800 -S[0] C14M FD1S3AX Q S[0] 1.305 31.838 +S[2] C14M FD1S3AX Q S[2] 1.353 31.675 +S[3] C14M FD1S3AX Q S[3] 1.337 31.691 +S[0] C14M FD1S3AX Q S[0] 1.319 32.182 +S[1] C14M FD1S3AX Q S[1] 1.344 32.656 RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707 RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707 RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771 @@ -493,21 +492,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771 Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599 -VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800 -Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634 -=================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +---------------------------------------------------------------------------------- +VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675 +RAT C14M FD1S3IX CD RATc_i 34.118 32.147 +Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647 +================================================================================== @@ -521,9 +520,9 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 34.118 - - Propagation time: 2.519 + - Propagation time: 2.442 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 31.599 + = Slack (non-critical) : 31.675 Number of logic level(s): 1 Starting point: S[2] / Q @@ -531,16 +530,16 @@ Path information for path number 1: The start point is clocked by C14M [rising] on pin CK The end point is clocked by C14M [falling] on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------- -S[2] FD1S3AX Q Out 1.366 1.366 - -S[2] Net - - - - 58 -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 - -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 - -N_530 Net - - - - 3 -VOE_i_0io OFS1P3IX CD In 0.000 2.519 - -============================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------- +S[2] FD1S3AX Q Out 1.353 1.353 - +S[2] Net - - - - 50 +ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 - +ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 - +N_523_1 Net - - - - 2 +VOE_i_0io OFS1P3IX CD In 0.000 2.442 - +======================================================================================= @@ -629,7 +628,7 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313 @@ -644,21 +643,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7] Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------- -ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736 -ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736 -======================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719 +ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736 +ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +=============================================================================================================== @@ -672,30 +671,33 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 69.369 - - Propagation time: 2.282 + - Propagation time: 2.650 - Clock delay at starting point: 0.000 (ideal) - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 67.088 + = Slack (non-critical) : 66.719 - Number of logic level(s): 2 + Number of logic level(s): 3 Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO - Ending point: ram2e_ufm.RWMask[0] / SP + Ending point: ram2e_ufm.wb_cyc_stb / SP The start point is clocked by System [rising] The end point is clocked by C14M [rising] on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ----------------------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - -wb_ack Net - - - - 5 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 - -un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 - -N_104 Net - - - - 8 -ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 - -================================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - +wb_ack Net - - - - 4 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 - +un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 - +un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 - +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 - +un1_CmdBitbangMXO212_1_i[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 - +=============================================================================================================== @@ -704,16 +706,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In Timing exceptions that could not be applied None -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Finished final timing analysis (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Finished timing report (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) --------------------------------------- Resource Usage Report Part: lcmxo2_1200hc-4 -Register bits: 125 of 1280 (10%) +Register bits: 124 of 1280 (10%) PIC Latch: 0 I/O cells: 70 @@ -722,7 +724,7 @@ Details: BB: 8 CCU2D: 9 EFB: 1 -FD1P3AX: 58 +FD1P3AX: 57 FD1P3IX: 1 FD1S3AX: 31 FD1S3AY: 4 @@ -735,16 +737,17 @@ OB: 41 OFS1P3BX: 6 OFS1P3DX: 12 OFS1P3IX: 3 -ORCALUT4: 275 +ORCALUT4: 268 +PFUMX: 10 PUR: 1 VHI: 3 VLO: 3 Mapper successful! -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 33MB peak: 164MB) +At Mapper Exit (Real Time elapsed 0h:00m:16s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 163MB) -Process took 0h:00m:03s realtime, 0h:00m:02s cputime -# Fri Jun 7 20:50:12 2024 +Process took 0h:00m:16s realtime, 0h:00m:03s cputime +# Fri Jul 12 16:07:48 2024 ###########################################################] diff --git a/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html index b6c2236..19f5728 100644 --- a/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html +++ b/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html @@ -2,17 +2,19 @@ Starting: parse design source files (VERI-1482) Analyzing Verilog file C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v +WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(140,9-140,25) (VERI-1362) CmdRWMaskSet is already implicitly declared on line 131 +WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(141,9-141,22) (VERI-1362) CmdLEDSet is already implicitly declared on line 131 (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/REFB.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-ON.v INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,8-1,13) (VERI-1018) compiling module RAM2E -INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-479,10) (VERI-9000) elaborating module 'RAM2E' +INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-476,10) (VERI-9000) elaborating module 'RAM2E' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v(1,1-335,10) (VERI-9000) elaborating module 'RAM2E_UFM_uniq_1' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-ON.v(1,1-1,68) (VERI-9000) elaborating module 'DHGR_uniq_1' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1' -Done: design load finished with (0) errors, and (0) warnings +Done: design load finished with (0) errors, and (2) warnings \ No newline at end of file diff --git a/CPLD/LCMXO2-1200HC/promote.xml b/CPLD/LCMXO2-1200HC/promote.xml index 3a5cdff..8f0d160 100644 --- a/CPLD/LCMXO2-1200HC/promote.xml +++ b/CPLD/LCMXO2-1200HC/promote.xml @@ -1,3 +1,3 @@ - + diff --git a/CPLD/LCMXO2-640HC-NODHGR/RAM2E_LCMXO2_640HC_tcl.html b/CPLD/LCMXO2-640HC-NODHGR/RAM2E_LCMXO2_640HC_tcl.html new file mode 100644 index 0000000..d322526 --- /dev/null +++ b/CPLD/LCMXO2-640HC-NODHGR/RAM2E_LCMXO2_640HC_tcl.html @@ -0,0 +1,70 @@ + +Lattice TCL Log + + +
pn240608044453
+#Start recording tcl command: 6/7/2024 20:49:46
+#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR; Project name: RAM2E_LCMXO2_640HC
+prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/RAM2E_LCMXO2_640HC.ldf"
+prj_run Export -impl impl1 -forceAll
+#Stop recording: 6/8/2024 04:44:53
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.alt b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.alt index 3ab7470..27693a0 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.alt +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.alt @@ -1,6 +1,6 @@ NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * NOTE All Rights Reserved * -NOTE DATE CREATED: Fri Jun 07 20:50:20 2024 * +NOTE DATE CREATED: Fri Jul 12 16:08:40 2024 * NOTE DESIGN NAME: RAM2E * NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100 * NOTE PIN ASSIGNMENTS * diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr index 95aa319..4de3bf5 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr @@ -1,7 +1,7 @@ ---------------------------------------------------------------------- Report for cell RAM2E.verilog -Register bits: 125 of 640 (20%) +Register bits: 124 of 640 (19%) PIC Latch: 0 I/O cells: 70 Cell usage: @@ -9,7 +9,7 @@ I/O cells: 70 BB 8 100.0 CCU2D 9 100.0 EFB 1 100.0 - FD1P3AX 58 100.0 + FD1P3AX 57 100.0 FD1P3IX 1 100.0 FD1S3AX 31 100.0 FD1S3AY 4 100.0 @@ -22,7 +22,8 @@ I/O cells: 70 OFS1P3BX 6 100.0 OFS1P3DX 12 100.0 OFS1P3IX 3 100.0 - ORCALUT4 275 100.0 + ORCALUT4 268 100.0 + PFUMX 10 100.0 PUR 1 100.0 VHI 3 100.0 VLO 3 100.0 @@ -30,23 +31,24 @@ SUB MODULES RAM2E_UFM 1 100.0 REFB 1 100.0 - TOTAL 494 + TOTAL 496 ---------------------------------------------------------------------- Report for cell RAM2E_UFM.netlist Instance path: ram2e_ufm Cell usage: cell count Res Usage(%) EFB 1 100.0 - FD1P3AX 29 50.0 + FD1P3AX 29 50.9 FD1P3IX 1 100.0 FD1S3IX 1 11.1 - ORCALUT4 268 97.5 + ORCALUT4 260 97.0 + PFUMX 10 100.0 VHI 2 66.7 VLO 2 66.7 SUB MODULES REFB 1 100.0 - TOTAL 305 + TOTAL 307 ---------------------------------------------------------------------- Report for cell REFB.netlist Instance path: ram2e_ufm.ufmefb diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.bgn b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.bgn index bd7716f..d4787bd 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.bgn +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.bgn @@ -4,10 +4,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:16 2024 +Fri Jul 12 16:08:36 2024 -Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR -w -jedec -gui RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf +Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf Loading design for application Bitgen from file RAM2E_LCMXO2_640HC_impl1.ncd. Design name: RAM2E diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.edi b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.edi index 05a218b..61fbe7f 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.edi +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2024 6 7 20 49 56) + (timeStamp 2024 7 12 16 7 31) (author "Synopsys, Inc.") (program "Synplify Pro" (version "N-2018.03L-SP1-1, mapper maplat2018q2p1, Build 055R")) ) @@ -164,6 +164,16 @@ ) ) ) + (cell PFUMX (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port ALUT (direction INPUT)) + (port BLUT (direction INPUT)) + (port C0 (direction INPUT)) + (port Z (direction OUTPUT)) + ) + ) + ) (cell GSR (cellType GENERIC) (view PRIM (viewType NETLIST) (interface @@ -738,197 +748,127 @@ (cell RAM2E_UFM (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename ba_3 "BA_3[1:0]") 2) (direction OUTPUT)) + (port (array (rename ra_33 "RA_33[11:9]") 3) (direction OUTPUT)) (port (array (rename rwbank "RWBank[7:0]") 8) (direction INPUT)) - (port CmdTout_3_0 (direction OUTPUT)) - (port RDout_6 (direction OUTPUT)) - (port RDout_4 (direction OUTPUT)) + (port (array (rename ra "RA[11:0]") 12) (direction INPUT)) (port RDout_0 (direction OUTPUT)) - (port RDout_3 (direction OUTPUT)) - (port RDout_5 (direction OUTPUT)) + (port (array (rename cmdtout_3 "CmdTout_3[2:0]") 3) (direction OUTPUT)) + (port (array (rename ba_3 "BA_3[1:0]") 2) (direction OUTPUT)) (port (array (rename raout_c "RAout_c[7:0]") 8) (direction OUTPUT)) (port (array (rename rar "RAr[7:0]") 8) (direction INPUT)) - (port (array (rename ra "RA[11:0]") 12) (direction INPUT)) (port (array (rename fs "FS[15:0]") 16) (direction INPUT)) (port (array (rename rwbank_3 "RWBank_3[7:0]") 8) (direction OUTPUT)) (port (array (rename din_c "Din_c[7:0]") 8) (direction INPUT)) - (port un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 (direction OUTPUT)) (port (array (rename cmdtout "CmdTout[2:0]") 3) (direction INPUT)) (port (array (rename ain_c "Ain_c[7:0]") 8) (direction INPUT)) - (port (array (rename ra_33 "RA_33[11:9]") 3) (direction OUTPUT)) - (port S_0_0_0_0 (direction OUTPUT)) + (port S_0_0_0 (direction OUTPUT)) (port (array (rename cs "CS[2:0]") 3) (direction INPUT)) (port (array (rename s "S[3:0]") 4) (direction INPUT)) (port Vout3 (direction OUTPUT)) (port nDOE_c (direction OUTPUT)) (port DOEEN (direction INPUT)) + (port N_523_1 (direction OUTPUT)) (port RAT (direction INPUT)) - (port LED_c (direction OUTPUT)) - (port CmdSetRWBankFFLED (direction INPUT)) - (port CmdSetRWBankFFChip (direction INPUT)) - (port CmdLEDGet (direction INPUT)) (port PHI1r (direction INPUT)) (port PHI1_c (direction INPUT)) - (port N_392_i_1z (direction OUTPUT)) - (port N_391_i_1z (direction OUTPUT)) - (port N_390_i_1z (direction OUTPUT)) - (port DQMH_4_iv_0_0_i_0_i_a2_1z (direction OUTPUT)) - (port RWSel_2 (direction OUTPUT)) + (port N_492_i_1z (direction OUTPUT)) + (port N_491_i_1z (direction OUTPUT)) + (port N_359_i_1z (direction OUTPUT)) + (port N_490_i_1z (direction OUTPUT)) + (port N_489_i_1z (direction OUTPUT)) + (port N_358_i_1z (direction OUTPUT)) + (port N_488_i_1z (direction OUTPUT)) + (port CmdSetRWBankFF (direction INPUT)) + (port CmdLEDGet (direction INPUT)) + (port N_197 (direction OUTPUT)) + (port RWSel_2_1z (direction OUTPUT)) (port nWE_c (direction INPUT)) (port nC07X_c (direction INPUT)) - (port N_117_i_1z (direction OUTPUT)) - (port N_351_i_1z (direction OUTPUT)) - (port N_389_i_1z (direction OUTPUT)) - (port N_443_i_1z (direction OUTPUT)) - (port un1_CKE48_0_i_1z (direction OUTPUT)) - (port CmdSetRWBankFFChip_3_1z (direction OUTPUT)) + (port LED_c (direction OUTPUT)) + (port N_347_i_1z (direction OUTPUT)) + (port N_346_i_1z (direction OUTPUT)) + (port N_194_i_1z (direction OUTPUT)) + (port RA_33_2_173_0_1z (direction OUTPUT)) (port RDOE_2 (direction OUTPUT)) (port Ready (direction INPUT)) - (port N_350_i_1z (direction OUTPUT)) - (port CmdLEDGet_3 (direction OUTPUT)) - (port Ready3 (direction OUTPUT)) - (port N_349_i (direction OUTPUT)) - (port N_71_i (direction OUTPUT)) - (port nEN80_c (direction INPUT)) (port CmdRWMaskSet_3 (direction OUTPUT)) - (port CmdSetRWBankFFLED_3 (direction OUTPUT)) - (port CmdLEDSet_3 (direction OUTPUT)) - (port N_73_i (direction OUTPUT)) - (port N_313_i (direction OUTPUT)) - (port N_299_i (direction OUTPUT)) - (port N_285_i (direction OUTPUT)) - (port N_271_i (direction OUTPUT)) - (port N_257_i (direction OUTPUT)) - (port N_243_i_1z (direction OUTPUT)) - (port N_229_i_1z (direction OUTPUT)) - (port N_215_i_1z (direction OUTPUT)) - (port CKE_0_1z (direction OUTPUT)) + (port Ready3 (direction OUTPUT)) + (port N_458_i (direction OUTPUT)) + (port un1_CKE48_i_1z (direction OUTPUT)) + (port un9_VOE_0_a2_1z (direction OUTPUT)) (port CmdLEDSet (direction INPUT)) - (port CmdRWMaskSet (direction INPUT)) - (port N_421_i (direction OUTPUT)) - (port N_420_i (direction OUTPUT)) - (port N_424_i (direction OUTPUT)) - (port N_148 (direction OUTPUT)) - (port CS_0_sqmuxa_0_a2_RNID8OM_1z (direction OUTPUT)) - (port CS_0_sqmuxa_0_a2_RNID1MT_1z (direction OUTPUT)) + (port N_312_i_1z (direction OUTPUT)) + (port N_298_i_1z (direction OUTPUT)) + (port N_284_i_1z (direction OUTPUT)) + (port N_270_i_1z (direction OUTPUT)) + (port N_256_i_1z (direction OUTPUT)) + (port N_242_i_1z (direction OUTPUT)) + (port N_228_i_1z (direction OUTPUT)) + (port N_214_i_1z (direction OUTPUT)) + (port nEN80_c (direction INPUT)) + (port CmdLEDSet_3 (direction OUTPUT)) + (port CmdLEDGet_3 (direction OUTPUT)) + (port CmdSetRWBankFF_3 (direction OUTPUT)) + (port nCAS_0_1z (direction OUTPUT)) + (port CKE_0_1z (direction OUTPUT)) + (port N_50_i (direction OUTPUT)) + (port N_360_i (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNISFDK_1z (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNIR7BR_1z (direction OUTPUT)) + (port un1_CS_0_sqmuxa_i (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNIR0921_1z (direction OUTPUT)) (port C14M_c (direction INPUT)) - (port N_301_i (direction OUTPUT)) - (port N_194 (direction OUTPUT)) - (port N_430_i_1z (direction OUTPUT)) - (port CS6_RNIL59G2_1z (direction OUTPUT)) - (port N_530 (direction OUTPUT)) + (port N_460_i (direction OUTPUT)) + (port N_459_i (direction OUTPUT)) + (port CmdRWMaskSet (direction INPUT)) (port RWBank14 (direction OUTPUT)) (port RWSel (direction INPUT)) - (port un6_DOEEN_0_a2_0_a2_1z (direction OUTPUT)) - (port N_188 (direction OUTPUT)) - (port un9_VOE_0_a2_0_a2_1z (direction OUTPUT)) (port RefReq (direction INPUT)) + (port un6_DOEEN_0_a2_0_a2_1z (direction OUTPUT)) + (port wb_rst13 (direction OUTPUT)) ) (contents - (instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B A))+D (!C (B+A)+C (!B A)))")) - ) - (instance nRAS_0_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C !A+C (B !A)))")) - ) - (instance (rename wb_adr_7_0_4_RNO_0 "wb_adr_7_0_4_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) - ) - (instance (rename wb_adr_7_0_1_RNO_0 "wb_adr_7_0_1_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance nCAS_0_i_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance RA_33_2_173_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) - ) - (instance un9_VOE_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance (rename RA_33_1_1_RNO_10 "RA_33_1_1_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) - ) - (instance wb_req_1_0_127_a2_i_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) - ) - (instance (rename wb_dati_7_0_a3_5_1 "wb_dati_7_0_a3_5[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance nRAS_0_i_a3_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_4_0_RNO_7 "wb_dati_7_0_a2_4_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance nRWE_0_i_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wb_rst13_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance (rename RA_33_0_o2_9 "RA_33_0_o2[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) - ) - (instance nRWE_0_i_o3_RNIP8E61 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) - ) (instance un6_DOEEN_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance (rename RA_33_1_1_RNO_0_10 "RA_33_1_1_RNO_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance RAT_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_a3_0 "un1_CmdBitbangMXO212_1_i_a3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance CKE_7s2_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)+C !A)+D (B !A))")) ) - (instance (rename RA_33_1_RNO_10 "RA_33_1_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance (rename RA_33_0_o2_11 "RA_33_0_o2[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) ) - (instance nRWE_0_i_o3_RNIPAG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance S_1_RNI29JH1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D A)")) ) - (instance nRWE_0_i_o3_RNIO9G81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance CKE_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance nRWE_0_i_o3_RNIN8G81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance (rename un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0 "un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) - (instance nRWE_0_i_o3_RNIRCG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance (rename wb_adr_7_i_a5_1_0_RNO_0 "wb_adr_7_i_a5_1_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance nRWE_0_i_o3_RNIQBG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance Ready3_5_0_i_o5_RNISUVB (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (!B+!A))+D (!C A+C (!B A+B !A)))")) ) - (instance N_425_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)))")) + (instance (rename un1_RWMask_0_sqmuxa_1_i_0_RNO_0 "un1_RWMask_0_sqmuxa_1_i_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) (instance wb_req_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CmdBitbangMXO2_RNIB5O11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B !A))+D (C (B !A)))")) + (instance (rename S_0_i_x2_RNIES2D2_2 "S_0_i_x2_RNIES2D2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)))")) ) - (instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B !A))+D (C (B !A)))")) - ) - (instance (rename wb_dati_7_0_o2_RNO_2 "wb_dati_7_0_o2_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (B A))")) - ) - (instance nRWE_0_i_o3_RNIR47H1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance CS6_RNIL59G2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (!B A+B !A)))")) - ) - (instance N_430_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance (rename S_0_i_0_o3_RNICBFR_1 "S_0_i_0_o3_RNICBFR[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance (rename S_0_i_x2_RNIFT2D2_3 "S_0_i_x2_RNIFT2D2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)))")) ) (instance wb_we (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) @@ -994,324 +934,396 @@ (instance CmdBitbangMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CS_0_sqmuxa_0_a2_RNID1MT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_RNIR0921 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D C+D (!C (B A)+C (!B+!A)))")) ) - (instance CS_0_sqmuxa_0_a2_RNID8OM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_7_7 "wb_dati_7[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_2 "wb_dati_7[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_3 "wb_dati_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_4 "wb_dati_7[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_5 "wb_dati_7[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance CS12_RNI2SPL2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C !B))")) + ) + (instance CS_0_sqmuxa_0_RNIR7BR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (!B A+B !A))")) ) - (instance un1_CS_0_sqmuxa_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C+(B !A)))")) + (instance (rename wb_dati_7_6 "wb_dati_7[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename wb_adr_7_0_0 "wb_adr_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance (rename wb_dati_cnst_7_0__m49 "wb_dati_cnst_7_0_.m49") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance CS_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance (rename wb_dati_7_1 "wb_dati_7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename wb_dati_7_0_5 "wb_dati_7_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) + (instance (rename wb_dati_cnst_7_0__m31_am "wb_dati_cnst_7_0_.m31_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+!A)+C (B A))")) ) - (instance (rename wb_dati_7_0_2 "wb_dati_7_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) + (instance (rename wb_dati_cnst_7_0__m31_bm "wb_dati_cnst_7_0_.m31_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_7 "wb_dati_7_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m31 "wb_dati_cnst_7_0_.m31") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m35_am "wb_dati_cnst_7_0_.m35_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance (rename wb_dati_7_0_6 "wb_dati_7_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m35_bm "wb_dati_cnst_7_0_.m35_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_4 "wb_dati_7_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m35 "wb_dati_cnst_7_0_.m35") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_7_0 "wb_dati_7[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance CS6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance CS_0_sqmuxa_0_RNISFDK (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A+B !A)")) ) - (instance nCAS_0_i_0_RNISBOI3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C (!B !A))")) + (instance (rename wb_dati_cnst_7_0__m39 "wb_dati_cnst_7_0_.m39") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance nRAS_0_i_1_RNI8KIR2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+!A)))")) + (instance (rename wb_dati_cnst_7_0__m18_am "wb_dati_cnst_7_0_.m18_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A+B A)))")) ) - (instance nRWE_0_i_a2_1_1_RNI2DGK2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m18_bm "wb_dati_cnst_7_0_.m18_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C (B !A)))")) ) - (instance (rename wb_dati_7_0_0 "wb_dati_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + (instance (rename wb_dati_cnst_7_0__m18 "wb_dati_cnst_7_0_.m18") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m45_am "wb_dati_cnst_7_0_.m45_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance (rename wb_dati_cnst_7_0__m45_bm "wb_dati_cnst_7_0_.m45_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance (rename wb_dati_7_0_o2_2 "wb_dati_7_0_o2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) + (instance (rename wb_dati_cnst_7_0__m45 "wb_dati_cnst_7_0_.m45") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CS_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D A)")) ) - (instance (rename wb_dati_7_0_3 "wb_dati_7_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C (B+A)))")) + (instance (rename wb_adr_RNO_0 "wb_adr_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) ) - (instance (rename wb_dati_7_0_1 "wb_dati_7_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m11_am "wb_dati_cnst_7_0_.m11_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m11_bm "wb_dati_cnst_7_0_.m11_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A))+D (B+A))")) + (instance (rename wb_dati_cnst_7_0__m11 "wb_dati_cnst_7_0_.m11") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m26 "wb_dati_cnst_7_0_.m26") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance (rename wb_adr_7_0_5_0 "wb_adr_7_0_5[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B !A)))")) + (instance (rename wb_dati_cnst_7_0__m38_am "wb_dati_cnst_7_0_.m38_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) - ) - (instance (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D C)")) - ) - (instance (rename wb_adr_7_0_a2_6_0 "wb_adr_7_0_a2_6[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m38_bm "wb_dati_cnst_7_0_.m38_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance (rename wb_dati_7_0_a2_7 "wb_dati_7_0_a2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A+B A)))")) + (instance (rename wb_dati_cnst_7_0__m38 "wb_dati_cnst_7_0_.m38") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m17 "wb_dati_cnst_7_0_.m17") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_3_4 "wb_dati_7_0_a2_3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m48 "wb_dati_cnst_7_0_.m48") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)+C (!B A+B !A)))")) ) - (instance CS6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) + (instance nRAS_0_i_0_tz_RNIPQGV3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A))")) ) - (instance (rename wb_dati_7_0_1_3 "wb_dati_7_0_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B !A)))")) + (instance nRWE_0_i_0_RNIE9LE2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance (rename wb_dati_7_0_1_1 "wb_dati_7_0_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m10 "wb_dati_cnst_7_0_.m10") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C+(B A)))")) + (instance (rename wb_dati_cnst_7_0__m22_am "wb_dati_cnst_7_0_.m22_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B+!A)+C !A))")) ) - (instance (rename wb_adr_7_0_2_0 "wb_adr_7_0_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) - ) - (instance CKE_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C+(!B+!A)))")) - ) - (instance nCAS_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) - ) - (instance (rename wb_dati_7_0_a3_0_0 "wb_dati_7_0_a3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m22_bm "wb_dati_cnst_7_0_.m22_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_0_0 "un1_CmdBitbangMXO212_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A))+D (C+B))")) + (instance (rename wb_dati_cnst_7_0__m22 "wb_dati_cnst_7_0_.m22") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m25 "wb_dati_cnst_7_0_.m25") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A))+D (C+(B A)))")) ) - (instance (rename wb_dati_7_0_a2_1_7 "wb_dati_7_0_a2_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m29_am "wb_dati_cnst_7_0_.m29_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C+(!B+A)))")) ) - (instance (rename wb_dati_7_0_a2_1 "wb_dati_7_0_a2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance (rename wb_dati_cnst_7_0__m29_bm "wb_dati_cnst_7_0_.m29_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B A)+C A))")) ) - (instance (rename wb_dati_7_0_a2_6 "wb_dati_7_0_a2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A+B A)))")) + (instance (rename wb_dati_cnst_7_0__m29 "wb_dati_cnst_7_0_.m29") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m33_am "wb_dati_cnst_7_0_.m33_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A))+D (!C (B+A)+C A))")) ) - (instance CS6_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m33_bm "wb_dati_cnst_7_0_.m33_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance CS6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) - ) - (instance (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) - ) - (instance (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D C)")) - ) - (instance N_215_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance N_229_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance N_243_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance nRWE_0_i_o3_RNIRCQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNIUFQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI1JQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI4MQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI7PQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance S_1_RNIP23H1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (B !A))+D (!C (!B !A)+C !A))")) - ) - (instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) - ) - (instance CmdLEDSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance (rename RA_33_1_10 "RA_33_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C (B+A)))")) - ) - (instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) - ) - (instance CmdSetRWBankFFLED_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance (rename wb_adr_7_0_a2_4_0 "wb_adr_7_0_a2_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m33 "wb_dati_cnst_7_0_.m33") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CS_0_sqmuxa_0_tz_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_2_1 "wb_dati_7_0_a2_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B A)))")) ) - (instance CmdRWMaskSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance CKE_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C B)+D (!C+(B+!A)))")) ) - (instance CS6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C (B+A)))")) + (instance (rename wb_adr_7_i_2_0 "wb_adr_7_i_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_0_0_0 "un1_CmdBitbangMXO212_1_i_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) + (instance (rename wb_dati_cnst_7_0__m41 "wb_dati_cnst_7_0_.m41") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C (!B+!A)))")) ) - (instance nRWE_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A))+D !A)")) + (instance nCAS_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C A))")) ) - (instance nCAS_0_i_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) - ) - (instance (rename S_0_i_0_o2_RNIFP961_1 "S_0_i_0_o2_RNIFP961[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C (B !A)))")) - ) - (instance S_1_RNIP23H1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D !A)")) - ) - (instance CmdLEDSet_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance CS_0_sqmuxa_0_tz_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance wb_cyc_stb_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C !B))")) + (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) + ) + (instance CmdSetRWBankFF_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance CmdLEDGet_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance CmdLEDSet_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance (rename RA_33_1_10 "RA_33_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance CS_0_sqmuxa_0_a3_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance CS_0_sqmuxa_0_a3_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) + (instance nRAS_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + ) + (instance nRWE_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) + ) + (instance N_214_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance N_228_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance N_242_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance Ready3_5_0_i_o5_RNI0R091 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C A+C (!B+A)))")) + ) + (instance N_256_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_270_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_284_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_298_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_312_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance nRWE_0_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) + ) + (instance (rename wb_dati_cnst_7_0__m2 "wb_dati_cnst_7_0_.m2") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance (rename wb_dati_cnst_7_0__m7 "wb_dati_cnst_7_0_.m7") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) + ) + (instance (rename wb_dati_cnst_7_0__m20 "wb_dati_cnst_7_0_.m20") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance (rename wb_dati_cnst_7_0__m23 "wb_dati_cnst_7_0_.m23") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) + ) + (instance (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) + ) + (instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B !A)))")) + ) + (instance CmdBitbangMXO2_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance CmdExecMXO2_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance CKE_7s2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) + ) + (instance (rename wb_adr_7_i_m2_0 "wb_adr_7_i_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_7_i_a5_1_0_0 "wb_adr_7_i_a5_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!B A))")) + ) + (instance un1_CKE48_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (!B !A+B A))+D (!C (!B+!A)+C (B+!A)))")) + ) + (instance un11_S_0_a2_RNIT9FP2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)+C (!B !A))+D !A)")) + ) + (instance (rename S_0_0_0 "S_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D (!C+A))")) + ) + (instance (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0_0 "un1_wb_cyc_stb_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) + (instance (rename un1_wb_adr_0_sqmuxa_2_i_0_0 "un1_wb_adr_0_sqmuxa_2_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) + (instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) (instance (rename RA_33_0_11 "RA_33_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance Ready3_0_a4_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdLEDGet_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance Ready3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance CmdLEDGet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance CmdRWMaskSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_a2_0_0 "un1_CmdBitbangMXO212_1_i_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (C !B))")) - ) - (instance (rename S_0_0_0_0 "S_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) - ) - (instance (rename RA_33_0_9 "RA_33_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B A))")) - ) - (instance CmdBitbangMXO2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a3_0_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) ) - (instance un1_CS_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a3_3_7_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) - (instance CS6_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B !A)))")) ) - (instance CS6_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance (rename wb_adr_RNO_1_1 "wb_adr_RNO_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (C (!B+!A)))")) - ) - (instance (rename RA_33_1_1_10 "RA_33_1_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance RA_33_10_29_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) - ) - (instance RA_33_8_65_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) + (instance (rename un1_CmdBitbangMXO212_1_0_0_0_0 "un1_CmdBitbangMXO212_1_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!B)+D !A)")) ) (instance RA_33_9_47_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance N_350_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A)))")) + (instance RA_33_10_29_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance (rename wb_dati_7_0_o2_3 "wb_dati_7_0_o2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C (B A))+D (C (!B+!A)))")) + (instance RA_33_8_65_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance (rename wb_dati_7_0_o2_4 "wb_dati_7_0_o2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (B A)+C (!B+!A)))")) - ) - (instance CKE_7_m1_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)))")) + (instance RA_33_6_101_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance RDOE_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance nRAS_0_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance CKE_7s2_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CKE_7s2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B)+D (!C (!B A)))")) ) - (instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D (!C+(!B+!A)))")) + (instance RA_33_5_119_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_7_83_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_3_155_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance wb_adr_1_sqmuxa_0_a2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B+A))")) + ) + (instance un1_FS_20_0_a2_0_a5_RNIR1RL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance RA_33_4_137_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance nRAS_0_i_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C !B)")) + ) + (instance nRAS_0_i_208_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B A))")) + ) + (instance nCAS_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (!C (!B+!A)+C !B))")) + ) + (instance nRAS_0_i_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B+A))+D A)")) ) (instance wb_req_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A))+D (C+(!B+!A)))")) + ) + (instance RA_33_2_173_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance CmdSetRWBankFFChip_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance (rename RA_33_1_a2_10 "RA_33_1_a2[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) ) - (instance CmdExecMXO2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance CS_0_sqmuxa_0_a3_3_8_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance un1_CKE48_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C (!B !A+B A))+D (!C (!B+!A)+C (B+!A)))")) + (instance CS_0_sqmuxa_0_a3_2_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance (rename RA_33_0_0_9 "RA_33_0_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (C+(!B !A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_a5_1_2_0 "un1_CmdBitbangMXO212_1_0_a5_1_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B A))")) ) - (instance nRAS_0_i_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C !B)")) + (instance (rename RA_33_1_1_10 "RA_33_1_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance (rename un1_RWMask_0_sqmuxa_1_i_a2_0_0_0 "un1_RWMask_0_sqmuxa_1_i_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance nCAS_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (!C (!B+!A)+C !B))")) + (instance N_194_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_1_0 "un1_wb_adr_0_sqmuxa_2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+!A)+D (C+B))")) - ) - (instance N_443_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (B+!A)+C B))")) - ) - (instance N_389_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_346_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) ) - (instance N_351_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A))")) - ) - (instance N_117_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_347_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) ) + (instance RA_33_6_101_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) + (instance (rename RWBank_3_0_0 "RWBank_3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) + ) + (instance (rename CmdTout_3_2 "CmdTout_3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A)))")) + ) + (instance RA_33_7_83_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) + (instance nRWE_0_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance RA_33_3_155_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) (instance (rename RWBank_3_0_7 "RWBank_3_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) ) @@ -1321,86 +1333,65 @@ (instance (rename RWBank_3_0_5 "RWBank_3_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) + (instance (rename RWBank_3_0_4 "RWBank_3_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) + ) (instance (rename RWBank_3_0_3 "RWBank_3_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename wb_dati_7_0_o2_1 "wb_dati_7_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B A)))")) - ) - (instance RA_33_4_137_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) - ) - (instance RA_33_3_155_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) - ) - (instance (rename RWBank_3_0_0 "RWBank_3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) - (instance (rename RWBank_3_0_1 "RWBank_3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) (instance (rename RWBank_3_0_2 "RWBank_3_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename RWBank_3_0_4 "RWBank_3_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RWBank_3_0_1 "RWBank_3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename S_0_0_0_a2_0 "S_0_0_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C !A)+D !A)")) + (instance (rename S_0_i_x2_3 "S_0_i_x2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+!A))+D (C (B A)))")) ) - (instance RA_33_7_83_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) + (instance RA_33_4_137_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) ) - (instance RA_33_6_101_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) + (instance nCAS_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B+A))")) ) - (instance (rename RA_33_1_a2_3_10 "RA_33_1_a2_3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (C (B !A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_0_tz_0 "un1_CmdBitbangMXO212_1_0_0_tz[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B !A))")) ) - (instance (rename wb_adr_7_0_o2_1_0 "wb_adr_7_0_o2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+!A))+D (!C+(!B+!A)))")) + (instance LEDEN_RNI6G6M (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) - (instance RWSel_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance (rename un1_CmdBitbangMXO212_1_i_o3_0 "un1_CmdBitbangMXO212_1_i_o3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_FS_32_i_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CmdSetRWBankFFChip_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename wb_adr_7_i_a2_0_0 "wb_adr_7_i_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)))")) ) - (instance RA_33_5_119_i_0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A)))")) + (instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance un1_CS_4_7_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance un1_CS_7_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) - ) - (instance un1_CS_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RA_33_1_a2_3_10 "RA_33_1_a2_3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance CmdBitbangMXO2_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RA_33_5_119_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C B+C (B A)))")) + ) + (instance RWSel_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance CmdLEDGet_3_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance CS_0_sqmuxa_0_a3_3_7_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance nRAS_0_i_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)+C (B+A)))")) + (instance CmdRWMaskSet_3_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance nRWE_0_i_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance (rename wb_dati_7_0_a2_4_0_7 "wb_dati_7_0_a2_4_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance nRWE_0_i_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A)+C (B !A))")) ) - (instance (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance (rename RWMask_RNO_0 "RWMask_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B+!A))")) @@ -1423,13 +1414,16 @@ (instance (rename RWMask_RNO_6 "RWMask_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B+!A))")) ) + (instance un1_wb_we54_1_2_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (B A)))")) + ) (instance RA_33_9_47_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (!B+A)+C !B))")) ) (instance RA_33_10_29_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C+(B !A)))")) ) - (instance RA_33_8_65_i_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RA_33_8_65_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (!B+A)+C !B))")) ) (instance (rename wb_adr_RNO_2 "wb_adr_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -1438,166 +1432,163 @@ (instance (rename wb_adr_RNO_7 "wb_adr_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance CmdSetRWBankFFChip6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (instance un9_VOE_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) ) - (instance CmdSetRWBankFFChip_3_6_0_a2_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un11_S_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance RA_33_2_173_a2_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) + ) + (instance CS12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance DQMH_4_iv_0_0_i_0_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))")) + (instance DQML_4_iv_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C (B+!A)+C B))")) ) - (instance RA_33_2_173_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C A)")) + (instance (rename CmdTout_3_1 "CmdTout_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A))")) ) - (instance wb_adr_7_5_41_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(!B+!A))")) + (instance RWBank10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance (rename wb_adr_RNO_2_1 "wb_adr_RNO_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RAT_2_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance un1_FS_20_0_a2_0_a5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) + (instance CS_0_sqmuxa_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) + (instance (rename S_0_i_x2_2 "S_0_i_x2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (B A))")) ) - (instance nRWE_0_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A+B !A))")) + (instance RA_33_2_173_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) ) - (instance (rename wb_dati_7_0_a2_0_2_7 "wb_dati_7_0_a2_0_2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B+!A)))")) + (instance (rename RA_33_1_0_tz_10 "RA_33_1_0_tz[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B A))")) ) - (instance N_390_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_488_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance N_391_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_358_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance N_392_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_489_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance N_490_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_359_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_491_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_492_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance RA_33_7_83_i_o4_i_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_2_173_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance nCAS_0_sqmuxa_1_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance RWBank10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance un1_LED_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) - (instance nRAS_0_i_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (B A))")) - ) - (instance un1_CS_7_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance (rename un1_CmdBitbangMXO212_1_i_o3_2_0_0 "un1_CmdBitbangMXO212_1_i_o3_2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance (rename wb_adr_RNO_3_1 "wb_adr_RNO_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance un1_FS_33_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance wb_reqc_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance un1_CS_0_sqmuxa_i_a2_0_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance un1_FS_32_i_i_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CS6_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) - (instance CmdExecMXO2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) - (instance Ready3_0_a4_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Ready3_0_a4_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Ready3_0_a4_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance nRAS_0_i_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance (rename wb_adr_7_i_a5_1_1_0 "wb_adr_7_i_a5_1_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance (rename wb_dati_7_0_a2_0_0_6 "wb_dati_7_0_a2_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance (rename wb_adr_7_0_a2_0_1_0 "wb_adr_7_0_a2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (B A))")) - ) - (instance (rename wb_dati_7_0_a2_0_0_0 "wb_dati_7_0_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (C (!B A)))")) - ) - (instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !B+C A)")) ) - (instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C A)")) - ) - (instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C A)")) - ) - (instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_i_m2_2 "un6_RAout_i_m2_i_m2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_i_m2_5 "un6_RAout_i_m2_i_m2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_7 "un6_RAout_i_m2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_6 "un6_RAout_i_m2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance (rename un6_RAout_i_m2_4 "un6_RAout_i_m2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance (rename un6_RAout_i_m2_3 "un6_RAout_i_m2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance (rename un6_RAout_i_m2_1 "un6_RAout_i_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance (rename un6_RAout_i_m2_0 "un6_RAout_i_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance un1_CS_7_4_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename un6_RAout_i_m2_1 "un6_RAout_i_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_2 "un6_RAout_i_m2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_5 "un6_RAout_i_m2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_6 "un6_RAout_i_m2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_7 "un6_RAout_i_m2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance Ready3_5_0_i_o5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+!A)")) ) - (instance (rename RDout_6 "RDout[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename RDout_4 "RDout[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename RDout_0 "RDout[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance un1_CS_2_4_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance un1_CS_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance (rename wb_adr_7_0_o2_0 "wb_adr_7_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename wb_dati_7_0_o2_7 "wb_dati_7_0_o2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) - ) - (instance (rename wb_dati_7_0_a3_4 "wb_dati_7_0_a3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename BA_3_0 "BA_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance CKE_7_m0_0_a2_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename BA_3_1 "BA_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance VOE_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance (rename CmdTout_3_0 "CmdTout_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance wb_we_7_iv_0_0_i_i_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance (rename un1_CmdBitbangMXO212_1_0_o2_0_0 "un1_CmdBitbangMXO212_1_0_o2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) ) - (instance wb_rst6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_nDOE_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance un2_RDOE_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance (rename wb_adr_7_3 "wb_adr_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -1606,367 +1597,205 @@ (instance (rename RDout_3 "RDout[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename RDout_5 "RDout[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdBitbangMXO2_3_0_a5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename CmdTout_3_0_a2_0_a2_0 "CmdTout_3_0_a2_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdSetRWBankFF15_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance CmdLEDGet_3_4_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_a2_0_0 "un1_wb_adr_0_sqmuxa_2_0_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance (rename RA_33_1_a3_10 "RA_33_1_a3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance nRWE_0_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_0 "un1_wb_adr_0_sqmuxa_2_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename S_0_i_0_o3_1 "S_0_i_0_o3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_0_0 "un1_wb_adr_0_sqmuxa_2_0_o2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename S_0_i_0_o2_1 "S_0_i_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename BA_3_1 "BA_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance (rename BA_3_0 "BA_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance nCAS_0_i_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance wb_req_1_0_127_a2_i_o5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CKE_7s2_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C (!B !A))+D (C (!B !A)))")) + (instance un1_FS_32_i_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CKE_7s2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C A)+D (!C+(B+A)))")) + (instance CKE48_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CS6_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)+C (B A)))")) + (instance wb_rst6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance Ready3_0_a4_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_a5_1_0_0 "un1_CmdBitbangMXO212_1_0_a5_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance CS6_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A)+C (B A))")) + (instance (rename wb_dati_cnst_7_0__m27 "wb_dati_cnst_7_0_.m27") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CmdSetRWBankFF_3_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (B A))")) ) - (instance un1_CS_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance CS_0_sqmuxa_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C (!B !A))")) ) - (instance RDOE_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance CS_0_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (C B))")) + ) + (instance CS_0_sqmuxa_0_a3_3_8_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C B))")) + ) + (instance CS_0_sqmuxa_0_a3_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B+A)))")) + ) + (instance (rename RA_33_0_1_9 "RA_33_0_1[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance (rename RA_33_0_9 "RA_33_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C (!B !A)))")) + ) + (instance (rename wb_adr_7_i_0_0 "wb_adr_7_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance (rename wb_adr_7_i_0_1_1_0 "wb_adr_7_i_0_1_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C (B !A))+D (!C (B+A)))")) + ) + (instance (rename wb_adr_7_i_0_1_0 "wb_adr_7_i_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B+!A)+C !A))")) + ) + (instance nCAS_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A))+D !A)")) + ) + (instance nCAS_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C (B+A)+C A))")) + ) + (instance un1_FS_20_0_a2_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance RAT_2_0_a2_0_a2_RNI1J2I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) (instance un1_nDOE_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+!A))")) ) - (instance Vout3_0_a2_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RDOE_2_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) - (instance (rename wb_dati_7_0_a2_1_4 "wb_dati_7_0_a2_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance CmdLEDGet_3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance nRAS_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A))+D !C)")) - ) - (instance (rename wb_dati_7_0_a3_1_1 "wb_dati_7_0_a3_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) - ) - (instance (rename wb_dati_7_0_a3_3_1 "wb_dati_7_0_a3_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance (rename wb_dati_7_0_a3_2_1 "wb_dati_7_0_a3_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance (rename wb_dati_7_0_a2_4 "wb_dati_7_0_a2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) - ) - (instance (rename wb_dati_7_0_a2_3 "wb_dati_7_0_a2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) + (instance Vout3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) (instance ufmefb (viewRef netlist (cellRef REFB)) ) (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) ) (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) ) - (net N_562 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef A (instanceRef Ready3_0_a4_0_a2)) - (portRef A (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_12 "FS[12]") (joined - (portRef (member fs 3)) - (portRef B (instanceRef wb_dati_7_0_a2_3)) - (portRef B (instanceRef wb_dati_7_0_a2_4)) - (portRef A (instanceRef wb_dati_7_0_a3_2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_1_4)) - (portRef A (instanceRef wb_dati_7_0_o2_7)) - (portRef C (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef Ready3_0_a4_0_a2_5)) - (portRef B (instanceRef wb_adr_RNO_3_1)) - (portRef B (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef B (instanceRef wb_req_RNO)) - (portRef D (instanceRef wb_we_RNO_1)) - (portRef A (instanceRef wb_dati_7_0_a2_2_1)) - (portRef C (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_adr_7_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_a2_1)) - (portRef A (instanceRef wb_dati_7_0_a2_7)) - (portRef D (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef B (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef B (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_11 "FS[11]") (joined - (portRef (member fs 4)) - (portRef B (instanceRef Ready3_0_a4_0_a3_1)) - (portRef B (instanceRef wb_dati_7_0_a3_4)) - (portRef B (instanceRef wb_adr_7_0_o2_0)) - (portRef B (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef C (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef D (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef C (instanceRef wb_adr_7_5_41_0_o2)) - (portRef A (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef wb_adr_7_0_o2_1_0)) - (portRef C (instanceRef RA_33_6_101_i_0_a2)) - (portRef C (instanceRef wb_dati_7_0_o2_1)) - (portRef A (instanceRef wb_req_RNO)) - (portRef D (instanceRef wb_dati_7_0_o2_4)) - (portRef D (instanceRef wb_dati_7_0_o2_3)) - (portRef C (instanceRef wb_dati_7_0_a2_3_4)) - (portRef B (instanceRef wb_adr_7_0_a2_6_0)) - (portRef A (instanceRef wb_adr_7_0_5_0)) - (portRef C (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_a3_5_1)) - (portRef B (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef C (instanceRef wb_adr_7_0_4_RNO_0)) - (portRef C (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_13 "FS[13]") (joined - (portRef (member fs 2)) - (portRef D (instanceRef wb_dati_7_0_a2_3)) - (portRef D (instanceRef wb_dati_7_0_a2_4)) - (portRef C (instanceRef wb_dati_7_0_a3_2_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1_4)) - (portRef B (instanceRef wb_dati_7_0_o2_7)) - (portRef D (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef Ready3_0_a4_0_a2_5)) - (portRef C (instanceRef wb_adr_RNO_3_1)) - (portRef D (instanceRef wb_adr_7_0_o2_1_0)) - (portRef C (instanceRef RA_33_4_137_i_0_a2)) - (portRef D (instanceRef wb_dati_7_0_o2_1)) - (portRef C (instanceRef wb_req_RNO)) - (portRef B (instanceRef wb_dati_7_0_a2_2_1)) - (portRef A (instanceRef wb_we_RNO)) - (portRef B (instanceRef wb_dati_7_0_a2_7)) - (portRef B (instanceRef wb_adr_7_0_5_0)) - (portRef A (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef C (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef A (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef D (instanceRef wb_we_RNO_3)) - )) - (net wb_we_7_iv_0_0_111_i_i_1_1 (joined - (portRef Z (instanceRef wb_we_RNO_3)) - (portRef D (instanceRef wb_we_RNO_2)) + (net (rename S_2 "S[2]") (joined + (portRef (member s 1)) + (portRef A (instanceRef Vout3_0_a2)) + (portRef C (instanceRef RA_33_0_9)) + (portRef B (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef B (instanceRef wb_adr_7_3)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef A (instanceRef VOE_2_0_a2)) + (portRef C (instanceRef wb_adr_RNO_6)) + (portRef C (instanceRef wb_adr_RNO_5)) + (portRef C (instanceRef wb_adr_RNO_4)) + (portRef C (instanceRef wb_adr_RNO_0_1)) + (portRef C (instanceRef wb_reqc_2)) + (portRef C (instanceRef RA_33_2_173_a2_0)) + (portRef B (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef C (instanceRef RA_33_1_0_tz_10)) + (portRef C (instanceRef RA_33_2_173_0_a2)) + (portRef C (instanceRef S_0_i_x2_2)) + (portRef C (instanceRef RAT_2_0_a2_0_a2)) + (portRef C (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef C (instanceRef un11_S_0_a2)) + (portRef C (instanceRef un9_VOE_0_a2)) + (portRef B (instanceRef wb_adr_RNO_7)) + (portRef B (instanceRef wb_adr_RNO_2)) + (portRef D (instanceRef RA_33_1_a2_3_10)) + (portRef C (instanceRef S_0_i_x2_3)) + (portRef C (instanceRef N_347_i)) + (portRef C (instanceRef N_346_i)) + (portRef C (instanceRef wb_we_RNO_2)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef C (instanceRef CKE_7s2_0_o2)) + (portRef C (instanceRef un1_CKE48_i)) + (portRef C (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef wb_dati_7_0)) + (portRef A (instanceRef wb_dati_7_1)) + (portRef A (instanceRef wb_dati_7_6)) + (portRef A (instanceRef wb_dati_7_5)) + (portRef A (instanceRef wb_dati_7_4)) + (portRef A (instanceRef wb_dati_7_3)) + (portRef A (instanceRef wb_dati_7_2)) + (portRef A (instanceRef wb_dati_7_7)) + (portRef B (instanceRef wb_req_RNO_0)) + (portRef C (instanceRef CKE_0_RNO)) + (portRef B (instanceRef S_1_RNI29JH1)) + (portRef A (instanceRef RA_33_0_o2_11)) + (portRef C (instanceRef CKE_7s2_0_RNO)) + (portRef C (instanceRef un6_DOEEN_0_a2_0_a2)) + (portRef A (instanceRef wb_rst13_0_a2)) + (portRef A (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_1 "S[1]") (joined (portRef (member s 2)) - (portRef B (instanceRef Vout3_0_a2_1_a2)) - (portRef A (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef CKE_7s2_0_0_1)) - (portRef B (instanceRef S_0_i_0_o3_1)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef C (instanceRef wb_reqc_2)) - (portRef C (instanceRef nRWE_0_i_o3_0)) - (portRef B (instanceRef RA_33_2_173_1_a2)) - (portRef A (instanceRef nRAS_0_i_a2_0_2)) - (portRef B (instanceRef S_0_0_0_a2_0)) - (portRef B (instanceRef N_117_i)) - (portRef B (instanceRef N_389_i)) - (portRef B (instanceRef un1_CKE48_0_i)) - (portRef B (instanceRef CKE_7s2_0_0_o2)) - (portRef B (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef RA_33_9_47_i_0_0)) - (portRef D (instanceRef RA_33_8_65_i_0_0_0)) + (portRef B (instanceRef Vout3_0_a2)) + (portRef A (instanceRef RDOE_2_0_a5)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef B (instanceRef RA_33_1_0_tz_10)) + (portRef B (instanceRef RA_33_2_173_0_a2)) + (portRef B (instanceRef S_0_i_x2_2)) + (portRef B (instanceRef RAT_2_0_a2_0_a2)) + (portRef B (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef B (instanceRef un11_S_0_a2)) + (portRef B (instanceRef un9_VOE_0_a2)) + (portRef B (instanceRef S_0_i_x2_3)) + (portRef B (instanceRef N_347_i)) + (portRef B (instanceRef N_346_i)) + (portRef C (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef C (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef C (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef C (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef B (instanceRef CKE_7s2_0_o2)) + (portRef C (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef D (instanceRef RA_33_8_65_i_0_0)) (portRef D (instanceRef RA_33_10_29_i_0_0)) - (portRef D (instanceRef S_0_0_0_0)) - (portRef D (instanceRef nRWE_0_i_o3_RNI7PQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNI4MQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNI1JQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNIUFQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNIRCQK3)) - (portRef C (instanceRef N_243_i)) - (portRef C (instanceRef N_229_i)) - (portRef C (instanceRef N_215_i)) - (portRef A (instanceRef N_430_i)) - (portRef B (instanceRef wb_req_RNO_0)) - (portRef C (instanceRef N_425_i)) - (portRef A (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef A (instanceRef RAT_2_0_a2)) - (portRef C (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef A (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef B (instanceRef RA_33_0_o2_9)) - (portRef B (instanceRef RA_33_1_1_RNO_10)) - (portRef A (instanceRef un9_VOE_0_a2_0_a2)) - (portRef A (instanceRef nRAS_0_i_a3)) - )) - (net N_427 (joined - (portRef Z (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef D (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef D (instanceRef RA_33_6_101_i_0_a2)) - (portRef D (instanceRef RA_33_7_83_i_0_a2)) - (portRef D (instanceRef RA_33_3_155_i_0_a2)) - (portRef D (instanceRef RA_33_4_137_i_0_a2)) - (portRef B (instanceRef nRAS_0_i_1_RNO)) - (portRef B (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef RA_33_9_47_i_0_0)) - (portRef C (instanceRef RA_33_8_65_i_0_0_0)) - (portRef C (instanceRef RA_33_10_29_i_0_0)) - (portRef A (instanceRef N_243_i)) - (portRef A (instanceRef N_229_i)) - (portRef A (instanceRef N_215_i)) - (portRef B (instanceRef N_430_i)) + (portRef D (instanceRef RA_33_9_47_i_0_0)) + (portRef C (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef B (instanceRef un1_CKE48_i)) + (portRef D (instanceRef N_312_i)) + (portRef D (instanceRef N_298_i)) + (portRef D (instanceRef N_284_i)) + (portRef D (instanceRef N_270_i)) + (portRef D (instanceRef N_256_i)) + (portRef C (instanceRef N_242_i)) + (portRef C (instanceRef N_228_i)) + (portRef C (instanceRef N_214_i)) + (portRef CD (instanceRef wb_req)) (portRef C (instanceRef wb_req_RNO_0)) - (portRef D (instanceRef N_425_i)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef B (instanceRef nRAS_0_i_a3)) - )) - (net (rename FS_0 "FS[0]") (joined - (portRef (member fs 15)) - (portRef A (instanceRef Ready3_0_a4_0_a2_3)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef A (instanceRef wb_cyc_stb_RNO_0)) - (portRef B (instanceRef N_425_i)) - (portRef C (instanceRef nRAS_0_i_a3)) - )) - (net (rename FS_15 "FS[15]") (joined - (portRef (member fs 0)) - (portRef B (instanceRef wb_dati_7_0_a3_3_1)) - (portRef C (instanceRef wb_dati_7_0_a3_1_1)) - (portRef B (instanceRef wb_rst6)) - (portRef D (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef A (instanceRef N_443_i)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef B (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef A (instanceRef wb_req_RNO_0)) - (portRef A (instanceRef N_425_i)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef D (instanceRef nRAS_0_i_a3)) - )) - (net N_554 (joined - (portRef Z (instanceRef nRAS_0_i_a3)) - (portRef B (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) - (portRef A (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef A (instanceRef nCAS_0_i_0_RNISBOI3)) - )) - (net (rename FS_9 "FS[9]") (joined - (portRef (member fs 6)) - (portRef C (instanceRef Ready3_0_a4_0_a3_1)) - (portRef B (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef B (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef B (instanceRef wb_adr_RNO_2_1)) - (portRef B (instanceRef wb_adr_7_5_41_0_o2)) - (portRef D (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef B (instanceRef wb_adr_7_0_o2_1_0)) - (portRef A (instanceRef wb_dati_7_0_o2_1)) - (portRef B (instanceRef wb_we_RNO_1)) - (portRef B (instanceRef wb_dati_7_0_o2_4)) - (portRef B (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_dati_7_0_a2_1_7)) - (portRef A (instanceRef wb_dati_7_0_a3_0_0)) - (portRef B (instanceRef wb_dati_7_0_a2_3_4)) - (portRef A (instanceRef wb_adr_7_0_a2_6_0)) - (portRef A (instanceRef wb_dati_7_0_RNO_7)) - (portRef A (instanceRef wb_dati_7_0_a3_5_1)) - (portRef A (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename FS_8 "FS[8]") (joined - (portRef (member fs 7)) - (portRef A (instanceRef wb_dati_7_0_a3_3_1)) - (portRef D (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef A (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef A (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef A (instanceRef wb_adr_RNO_2_1)) - (portRef A (instanceRef wb_adr_7_5_41_0_o2)) - (portRef D (instanceRef RA_33_9_47_i_0_o2)) - (portRef A (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef A (instanceRef wb_adr_7_0_o2_1_0)) - (portRef A (instanceRef wb_we_RNO_1)) - (portRef A (instanceRef wb_dati_7_0_o2_4)) - (portRef A (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_dati_7_0_a2_3_4)) - (portRef B (instanceRef wb_dati_7_0_a3_5_1)) - (portRef A (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef B (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename FS_10 "FS[10]") (joined - (portRef (member fs 5)) - (portRef A (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef wb_dati_7_0_a3_4)) - (portRef A (instanceRef wb_adr_7_0_o2_0)) - (portRef A (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef A (instanceRef wb_adr_RNO_3_1)) - (portRef C (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef C (instanceRef wb_adr_RNO_2_1)) - (portRef C (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef wb_dati_7_0_o2_1)) - (portRef C (instanceRef wb_we_RNO_1)) - (portRef C (instanceRef wb_dati_7_0_o2_4)) - (portRef C (instanceRef wb_dati_7_0_o2_3)) - (portRef B (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_dati_7_0_1)) - (portRef A (instanceRef wb_dati_7_0_6)) - (portRef A (instanceRef wb_adr_7_0_0)) - (portRef D (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef D (instanceRef wb_dati_7_0_a3_5_1)) - (portRef C (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename wb_adr_7_0_a2_1_0_0 "wb_adr_7_0_a2_1_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_4_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename wb_adr_7_0_a2_2_0_0 "wb_adr_7_0_a2_2_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_1_0)) + (portRef D (instanceRef CKE_0_RNO)) + (portRef C (instanceRef S_1_RNI29JH1)) + (portRef B (instanceRef RA_33_0_o2_11)) + (portRef D (instanceRef CKE_7s2_0_RNO)) + (portRef D (instanceRef un6_DOEEN_0_a2_0_a2)) + (portRef B (instanceRef wb_rst13_0_a2)) + (portRef B (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_3 "S[3]") (joined (portRef (member s 0)) - (portRef C (instanceRef Vout3_0_a2_1_a2)) - (portRef C (instanceRef CKE_7s2_0_0)) - (portRef B (instanceRef S_0_i_0_o2_1)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef B (instanceRef nRWE_0_i_o3)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) + (portRef C (instanceRef Vout3_0_a2)) + (portRef D (instanceRef nCAS_0_RNO_1)) + (portRef B (instanceRef CKE48_0_o2)) + (portRef B (instanceRef VOE_2_0_a2)) (portRef B (instanceRef LEDEN_RNO)) (portRef B (instanceRef RWMask_RNO_7)) (portRef D (instanceRef wb_reqc_2)) - (portRef D (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) + (portRef D (instanceRef RA_33_2_173_a2_0)) + (portRef C (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef D (instanceRef RAT_2_0_a2_0_a2)) + (portRef D (instanceRef DQML_4_iv_0_17)) + (portRef D (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef D (instanceRef un11_S_0_a2)) + (portRef D (instanceRef un9_VOE_0_a2)) (portRef B (instanceRef RWMask_RNO_6)) (portRef B (instanceRef RWMask_RNO_5)) (portRef B (instanceRef RWMask_RNO_4)) @@ -1974,469 +1803,296 @@ (portRef B (instanceRef RWMask_RNO_2)) (portRef B (instanceRef RWMask_RNO_1)) (portRef B (instanceRef RWMask_RNO_0)) - (portRef B (instanceRef nRAS_0_i_a2_0_2)) - (portRef D (instanceRef RA_33_1_a2_3_10)) - (portRef D (instanceRef S_0_0_0_a2_0)) - (portRef D (instanceRef N_117_i)) - (portRef D (instanceRef N_389_i)) - (portRef D (instanceRef N_443_i)) - (portRef D (instanceRef un1_CKE48_0_i)) - (portRef D (instanceRef CKE_7s2_0_0_o2)) - (portRef D (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef S_1_RNIP23H1)) + (portRef D (instanceRef S_0_i_x2_3)) + (portRef D (instanceRef N_347_i)) + (portRef D (instanceRef N_346_i)) + (portRef D (instanceRef N_194_i)) + (portRef C (instanceRef RA_33_1_a2_10)) + (portRef D (instanceRef nRAS_0_i_0_tz)) + (portRef D (instanceRef CKE_7s2_0_o2)) (portRef B (instanceRef wb_cyc_stb_RNO)) - (portRef D (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef D (instanceRef wb_we_RNO_0)) - (portRef D (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef C (instanceRef RAT_2_0_a2)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef D (instanceRef un1_CKE48_i)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef A (instanceRef CKE_0_RNO)) + (portRef C (instanceRef RA_33_0_o2_11)) + (portRef A (instanceRef CKE_7s2_0_RNO)) (portRef A (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef C (instanceRef RA_33_0_o2_9)) - (portRef C (instanceRef nRWE_0_i_0_RNO)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef B (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef C (instanceRef un9_VOE_0_a2_0_a2)) - (portRef C (instanceRef RA_33_2_173_a2_2)) - (portRef A (instanceRef nCAS_0_i_a2_1_1)) + (portRef C (instanceRef wb_rst13_0_a2)) + (portRef C (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_0 "S[0]") (joined (portRef (member s 3)) - (portRef D (instanceRef Vout3_0_a2_1_a2)) - (portRef B (instanceRef CKE_7s2_0_0)) - (portRef A (instanceRef CKE_7s2_0_0_1)) - (portRef B (instanceRef BA_3_0)) + (portRef D (instanceRef Vout3_0_a2)) + (portRef B (instanceRef nCAS_0_RNO)) + (portRef A (instanceRef CKE48_0_o2)) (portRef B (instanceRef BA_3_1)) - (portRef A (instanceRef S_0_i_0_o3_1)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef A (instanceRef nRWE_0_i_o3)) - (portRef A (instanceRef nRAS_0_i_a2_0_1)) + (portRef B (instanceRef BA_3_0)) (portRef B (instanceRef wb_reqc_2)) - (portRef C (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef A (instanceRef S_0_0_0_a2_0)) - (portRef A (instanceRef N_117_i)) - (portRef A (instanceRef N_389_i)) - (portRef C (instanceRef N_443_i)) - (portRef A (instanceRef un1_CKE48_0_i)) - (portRef D (instanceRef nCAS_0_i_0)) - (portRef B (instanceRef RAT_2_0_a2)) - (portRef D (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef D (instanceRef RA_33_0_o2_9)) - (portRef D (instanceRef nRWE_0_i_0_RNO)) - (portRef C (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef C (instanceRef RA_33_1_1_RNO_10)) - (portRef B (instanceRef un9_VOE_0_a2_0_a2)) - (portRef A (instanceRef RA_33_2_173_a2_2)) - (portRef B (instanceRef nCAS_0_i_a2_1_1)) - )) - (net (rename S_2 "S[2]") (joined - (portRef (member s 1)) - (portRef A (instanceRef Vout3_0_a2_1_a2)) - (portRef C (instanceRef CKE_7s2_0_0_1)) - (portRef C (instanceRef wb_we_RNO_2)) - (portRef B (instanceRef nCAS_0_i_a2_1_0)) - (portRef A (instanceRef S_0_i_0_o2_1)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) - (portRef B (instanceRef wb_adr_7_3)) - (portRef B (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef C (instanceRef wb_adr_RNO_6)) - (portRef C (instanceRef wb_adr_RNO_5)) - (portRef C (instanceRef wb_adr_RNO_4)) - (portRef B (instanceRef nRAS_0_i_a2_0_1)) - (portRef C (instanceRef RA_33_2_173_1_a2)) - (portRef B (instanceRef wb_adr_RNO_7)) - (portRef B (instanceRef wb_adr_RNO_2)) - (portRef C (instanceRef RA_33_1_a2_3_10)) - (portRef C (instanceRef S_0_0_0_a2_0)) - (portRef C (instanceRef N_117_i)) - (portRef C (instanceRef N_389_i)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef C (instanceRef un1_CKE48_0_i)) - (portRef C (instanceRef CKE_7s2_0_0_o2)) - (portRef C (instanceRef CKE_7_m1_i_a2)) - (portRef C (instanceRef S_1_RNIP23H1)) - (portRef C (instanceRef wb_adr_RNO_1)) - (portRef C (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef wb_dati_7_0_0_7)) - (portRef C (instanceRef wb_adr_7_0_2_0)) - (portRef C (instanceRef wb_dati_7_0_0_4)) - (portRef C (instanceRef wb_dati_7_0_1_1)) - (portRef C (instanceRef wb_dati_7_0_1_6)) - (portRef B (instanceRef wb_dati_7_0_3)) - (portRef B (instanceRef wb_dati_7_0_0)) - (portRef B (instanceRef wb_dati_7_0_2)) - (portRef B (instanceRef wb_dati_7_0_5)) - (portRef CD (instanceRef wb_req)) - (portRef A (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef C (instanceRef wb_we_RNO_0)) - (portRef C (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef C (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef C (instanceRef RA_33_1_RNO_10)) - (portRef D (instanceRef RAT_2_0_a2)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef B (instanceRef RA_33_2_173_a2_0)) + (portRef A (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef A (instanceRef RA_33_1_0_tz_10)) + (portRef A (instanceRef S_0_i_x2_2)) + (portRef A (instanceRef RAT_2_0_a2_0_a2)) + (portRef C (instanceRef DQML_4_iv_0_17)) + (portRef A (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef A (instanceRef un11_S_0_a2)) + (portRef A (instanceRef un9_VOE_0_a2)) + (portRef A (instanceRef S_0_i_x2_3)) + (portRef A (instanceRef N_347_i)) + (portRef A (instanceRef N_346_i)) + (portRef C (instanceRef N_194_i)) + (portRef B (instanceRef RA_33_1_a2_10)) + (portRef B (instanceRef S_0_0_0)) + (portRef B (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef A (instanceRef un1_CKE48_i)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef D (instanceRef RA_33_0_o2_11)) + (portRef B (instanceRef CKE_7s2_0_RNO)) (portRef B (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef A (instanceRef RA_33_0_o2_9)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef A (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef A (instanceRef RA_33_1_1_RNO_10)) - (portRef D (instanceRef un9_VOE_0_a2_0_a2)) - (portRef D (instanceRef RA_33_2_173_a2_2)) - (portRef C (instanceRef nCAS_0_i_a2_1_1)) + (portRef D (instanceRef wb_rst13_0_a2)) + (portRef D (instanceRef nRAS_0_i_a3_1_0)) )) - (net RefReq (joined - (portRef RefReq) - (portRef A (instanceRef nCAS_0_i_a2_1_0)) - (portRef A (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef nCAS_0_i_a2_1_1)) + (net nRAS_0_i_a3_1_0 (joined + (portRef Z (instanceRef nRAS_0_i_a3_1_0)) + (portRef C (instanceRef nRAS_0_i_208_tz)) )) - (net nCAS_0_i_a2_1_1 (joined - (portRef Z (instanceRef nCAS_0_i_a2_1_1)) - (portRef C (instanceRef nCAS_0_i_0_RNISBOI3)) - )) - (net (rename RWBank_7 "RWBank[7]") (joined - (portRef (member rwbank 0)) - (portRef B (instanceRef RA_33_2_173_a2_2)) - )) - (net N_512 (joined - (portRef Z (instanceRef RA_33_2_173_a2_2)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - )) - (net (rename un9_VOE_0_a2_0_a2_1z "un9_VOE_0_a2_0_a2") (joined - (portRef Z (instanceRef un9_VOE_0_a2_0_a2)) - (portRef un9_VOE_0_a2_0_a2_1z) - )) - (net N_301 (joined - (portRef Z (instanceRef RA_33_1_1_RNO_10)) - (portRef A (instanceRef RA_33_1_1_10)) - )) - (net N_188 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef A (instanceRef RA_33_1_a2_3_10)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef B (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef C (instanceRef RA_33_1_1_RNO_0_10)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef N_188) - )) - (net N_550 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef C (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - (portRef B (instanceRef RA_33_0_11)) - )) - (net N_556 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_5_1)) - (portRef D (instanceRef wb_dati_7_0_a2_2_1)) - (portRef B (instanceRef wb_dati_7_0_0_4)) - (portRef A (instanceRef wb_dati_7_0_o2_RNO_2)) - )) - (net N_347_2 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_a2_4_0_7)) - )) - (net N_240 (joined - (portRef Z (instanceRef nRWE_0_i_o3_0)) - (portRef A (instanceRef nRAS_0_i_1_RNO)) - (portRef A (instanceRef nRWE_0_i_0_RNO)) - )) - (net (rename FS_2 "FS[2]") (joined - (portRef (member fs 13)) - (portRef B (instanceRef Ready3_0_a4_0_a2_4)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef B (instanceRef nRAS_0_i_1_RNO_0)) - (portRef B (instanceRef nCAS_0_i_o2)) - (portRef B (instanceRef nRWE_0_i_0_RNO)) - )) - (net nRWE_0_i_a2_1 (joined - (portRef Z (instanceRef nRWE_0_i_0_RNO)) - (portRef D (instanceRef nRWE_0_i_0)) - )) - (net N_230 (joined - (portRef Z (instanceRef RA_33_0_o2_9)) - (portRef A (instanceRef RA_33_0_9)) - (portRef A (instanceRef RA_33_0_11)) - )) - (net N_426 (joined - (portRef Z (instanceRef nRWE_0_i_o3)) - (portRef D (instanceRef nRWE_0_i_a2_1_1)) - (portRef D (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef D (instanceRef RA_33_1_RNO_10)) - (portRef C (instanceRef nRWE_0_i_o3_RNIP8E61)) - )) - (net nRWE_0_i_o3_RNIP8E61 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef C (instanceRef wb_dati_7_0_a3_3_1)) - (portRef B (instanceRef wb_dati_7_0_a3_1_1)) - (portRef B (instanceRef nCAS_0_i_0_RNO)) + (net wb_rst13 (joined + (portRef Z (instanceRef wb_rst13_0_a2)) + (portRef C (instanceRef nCAS_0_sqmuxa)) + (portRef C (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef D (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef D (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef C (instanceRef S_0_0_0)) + (portRef D (instanceRef nRWE_0_i_a3)) + (portRef D (instanceRef nRAS_0_i_1)) + (portRef D (instanceRef wb_cyc_stb_RNO_0)) + (portRef D (instanceRef nCAS_0)) (portRef B (instanceRef CKE_0)) - (portRef CD (instanceRef wb_rst)) - (portRef C (instanceRef nRWE_0_i_o3_RNIR47H1)) + (portRef D (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef D (instanceRef wb_rst)) + (portRef C (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef C (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef wb_rst13) )) (net (rename un6_DOEEN_0_a2_0_a2_1z "un6_DOEEN_0_a2_0_a2") (joined (portRef Z (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2)) - (portRef D (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef B (instanceRef CS6_RNIL59G2)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef D (instanceRef CS12_RNI2SPL2)) + (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) (portRef un6_DOEEN_0_a2_0_a2_1z) )) + (net CKE_7s2_0_0 (joined + (portRef Z (instanceRef CKE_7s2_0_RNO)) + (portRef C (instanceRef CKE_7s2_0)) + )) + (net N_62 (joined + (portRef Z (instanceRef RA_33_0_o2_11)) + (portRef A (instanceRef RA_33_0_1_9)) + (portRef A (instanceRef RA_33_0_11)) + )) + (net (rename SZ0Z_1 "S_1") (joined + (portRef Z (instanceRef S_1)) + (portRef A (instanceRef S_0_0_0)) + (portRef D (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef D (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef A (instanceRef S_1_RNI29JH1)) + )) + (net N_60_2 (joined + (portRef Z (instanceRef CKE48_0_o2)) + (portRef B (instanceRef RA_33_0_9)) + (portRef C (instanceRef RA_33_1_a2_3_10)) + (portRef D (instanceRef wb_req_RNO_0)) + (portRef D (instanceRef S_1_RNI29JH1)) + )) + (net N_469 (joined + (portRef Z (instanceRef S_1_RNI29JH1)) + (portRef A (instanceRef un11_S_0_a2_RNIT9FP2)) + )) + (net RefReq (joined + (portRef RefReq) + (portRef C (instanceRef nCAS_0_RNO_1)) + (portRef C (instanceRef nRAS_0_i_0_tz)) + (portRef B (instanceRef CKE_0_RNO)) + )) + (net N_101 (joined + (portRef Z (instanceRef CKE_0_RNO)) + (portRef C (instanceRef CKE_0)) + )) (net RWSel (joined (portRef RWSel) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef B (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef D (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef N_351_i)) - (portRef D (instanceRef N_350_i)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2)) - (portRef C (instanceRef CS6_RNIL59G2)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef B (instanceRef CmdTout_3_0)) + (portRef C (instanceRef CmdTout_3_1)) + (portRef D (instanceRef CmdTout_3_2)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef C (instanceRef CS12_RNI2SPL2)) + (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + )) + (net N_503 (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef B (instanceRef nCAS_0_RNO_1)) + (portRef A (instanceRef RA_33_1_a2_10)) + (portRef B (instanceRef nRAS_0_i_0_tz)) + (portRef D (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) )) (net RWBank14 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef B (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) - (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - (portRef B (instanceRef un1_CS_0_sqmuxa_i_a2_0)) + (portRef A (instanceRef CS_0_sqmuxa_0)) (portRef SP (instanceRef CmdBitbangMXO2)) (portRef SP (instanceRef CmdExecMXO2)) (portRef RWBank14) )) - (net (rename RWBankZ0Z_3 "RWBank[3]") (joined - (portRef (member rwbank 4)) - (portRef A (instanceRef RA_33_1_1_RNO_0_10)) + (net (rename FS_11 "FS[11]") (joined + (portRef (member fs 4)) + (portRef A (instanceRef wb_adr_7_i_0_1_0)) + (portRef D (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef D (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef B (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef A (instanceRef wb_we_RNO_3)) + (portRef C (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef RA_33_6_101_i_0_a3)) + (portRef B (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_dati_cnst_7_0__m23)) + (portRef A (instanceRef wb_dati_cnst_7_0__m20)) + (portRef A (instanceRef wb_dati_cnst_7_0__m7)) + (portRef A (instanceRef wb_dati_cnst_7_0__m2)) + (portRef B (instanceRef wb_dati_cnst_7_0__m41)) + (portRef B (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef B (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef A (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net N_530 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) - (portRef B (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef RA_33_1_1_RNO_0_10)) - (portRef N_530) + (net N_462 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5)) + (portRef C (instanceRef wb_adr_7_i_0_1_0)) + (portRef D (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef D (instanceRef Ready3_0_a3_7)) + (portRef D (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef B (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net N_487 (joined - (portRef Z (instanceRef RA_33_1_1_RNO_0_10)) - (portRef B (instanceRef RA_33_1_1_10)) + (net (rename FS_10 "FS[10]") (joined + (portRef (member fs 5)) + (portRef C (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef C (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef A (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef C (instanceRef RA_33_7_83_i_0_a3)) + (portRef A (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef C (instanceRef wb_dati_cnst_7_0__m10)) + (portRef C (instanceRef wb_dati_cnst_7_0__m48)) + (portRef C (instanceRef wb_dati_cnst_7_0__m17)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m38)) + (portRef A (instanceRef wb_dati_cnst_7_0__m26)) + (portRef C (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef C (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m45)) + (portRef C (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef C (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m35_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m31_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m31_am)) + (portRef C (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef C (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net RAT_2 (joined - (portRef Z (instanceRef RAT_2_0_a2)) - (portRef D (instanceRef nCAS_0_i_0_RNO)) - (portRef B (instanceRef nRWE_0_i_0)) - (portRef C (instanceRef RA_33_1_10)) + (net N_556 (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) + (portRef D (instanceRef wb_adr_7_i_a5_1_0_0)) )) - (net N_527 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net (rename FS_13 "FS[13]") (joined + (portRef (member fs 2)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef wb_adr_7_i_0_1_0)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m27)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef B (instanceRef Ready3_0_a3_7)) + (portRef C (instanceRef wb_we_RNO_3)) + (portRef D (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef RA_33_4_137_i_0_a3)) + (portRef C (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_we_RNO)) + (portRef B (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m11)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m18)) + (portRef A (instanceRef wb_dati_cnst_7_0__m39)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m35)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m31)) + (portRef A (instanceRef wb_dati_cnst_7_0__m49)) + (portRef D (instanceRef Ready3_5_0_i_o5_RNISUVB)) )) - (net (rename FS_4 "FS[4]") (joined - (portRef (member fs 11)) - (portRef B (instanceRef Ready3_0_a4_0_a2_5)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef C (instanceRef nRAS_0_i_1_RNO_0)) - (portRef B (instanceRef nRWE_0_i_o3_0)) - (portRef B (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef C (instanceRef RA_33_10_29_i_0_o2)) - (portRef B (instanceRef RA_33_9_47_i_0_o2)) - (portRef C (instanceRef nRWE_0_i_a2_1_1)) - (portRef B (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef B (instanceRef RA_33_6_101_i_0_a2)) - (portRef B (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef RA_33_4_137_i_0_a2)) - (portRef D (instanceRef nCAS_0_i_o2)) - (portRef A (instanceRef RA_33_0_0_9)) - (portRef A (instanceRef RA_33_1_RNO_10)) + (net N_496 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef C (instanceRef Ready3_5_0_i_o5_RNI0R091)) )) - (net (rename FS_1 "FS[1]") (joined - (portRef (member fs 14)) - (portRef A (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef A (instanceRef nRAS_0_i_1_RNO_0)) - (portRef A (instanceRef RA_33_10_29_i_0_o2)) - (portRef A (instanceRef nRWE_0_i_a2_1_1)) - (portRef A (instanceRef nCAS_0_i_o2)) - (portRef B (instanceRef RA_33_1_RNO_10)) + (net CmdRWMaskSet (joined + (portRef CmdRWMaskSet) + (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) )) - (net N_486 (joined - (portRef Z (instanceRef RA_33_1_RNO_10)) - (portRef A (instanceRef RA_33_1_10)) + (net N_448 (joined + (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) + (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) )) - (net (rename RA_5 "RA[5]") (joined - (portRef (member ra 6)) - (portRef B (instanceRef nRWE_0_i_o3_RNIPAG81)) - )) - (net N_416 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef B (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net (rename RA_4 "RA[4]") (joined - (portRef (member ra 7)) - (portRef B (instanceRef nRWE_0_i_o3_RNIO9G81)) - )) - (net N_459 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net (rename RA_3 "RA[3]") (joined - (portRef (member ra 8)) - (portRef B (instanceRef RWSel_2_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIN8G81)) - )) - (net N_458 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net (rename RA_7 "RA[7]") (joined - (portRef (member ra 4)) - (portRef B (instanceRef nRWE_0_i_o3_RNIRCG81)) - )) - (net N_452 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net (rename RA_6 "RA[6]") (joined - (portRef (member ra 5)) - (portRef B (instanceRef nRWE_0_i_o3_RNIQBG81)) - )) - (net N_455 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_425_i (joined - (portRef Z (instanceRef N_425_i)) - (portRef C (instanceRef nRAS_0_i_1)) - (portRef A (instanceRef nRAS_0_i_a2_1)) - (portRef A (instanceRef nRWE_0_i_0)) - (portRef A (instanceRef nCAS_0_i_0)) + (net (rename FS_15 "FS[15]") (joined + (portRef (member fs 0)) + (portRef B (instanceRef wb_rst6)) + (portRef A (instanceRef DQML_4_iv_0_17)) + (portRef C (instanceRef Ready3_0_a3_7)) + (portRef B (instanceRef nCAS_0_sqmuxa)) + (portRef A (instanceRef N_194_i)) + (portRef B (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef A (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef A (instanceRef wb_req_RNO_0)) )) (net wb_adr_0_sqmuxa_1_i (joined (portRef Z (instanceRef wb_req_RNO_0)) (portRef SP (instanceRef wb_req)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_1_0 "un1_wb_adr_0_sqmuxa_2_1[0]") (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef A (instanceRef wb_we_RNO_0)) - (portRef A (instanceRef CmdBitbangMXO2_RNIB5O11)) + (net un11_S (joined + (portRef Z (instanceRef un11_S_0_a2)) + (portRef D (instanceRef S_0_0_0)) + (portRef D (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef A (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef A (instanceRef S_0_i_x2_RNIES2D2_2)) )) - (net CmdBitbangMXO2 (joined - (portRef Q (instanceRef CmdBitbangMXO2)) - (portRef B (instanceRef CmdBitbangMXO2_RNIB5O11)) + (net N_76_i (joined + (portRef Z (instanceRef S_0_i_x2_2)) + (portRef B (instanceRef S_0_i_x2_RNIES2D2_2)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_i_0 "un1_wb_adr_0_sqmuxa_2_i[0]") (joined - (portRef Z (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef SP (instanceRef wb_adr_7)) - (portRef SP (instanceRef wb_adr_6)) - (portRef SP (instanceRef wb_adr_5)) - (portRef SP (instanceRef wb_adr_4)) - (portRef SP (instanceRef wb_adr_3)) - (portRef SP (instanceRef wb_adr_2)) - (portRef SP (instanceRef wb_adr_1)) - (portRef SP (instanceRef wb_adr_0)) - (portRef SP (instanceRef wb_dati_7)) - (portRef SP (instanceRef wb_dati_6)) - (portRef SP (instanceRef wb_dati_5)) - (portRef SP (instanceRef wb_dati_4)) - (portRef SP (instanceRef wb_dati_3)) - (portRef SP (instanceRef wb_dati_2)) - (portRef SP (instanceRef wb_dati_1)) - (portRef SP (instanceRef wb_dati_0)) + (net N_459_i (joined + (portRef Z (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef N_459_i) )) - (net CmdExecMXO2 (joined - (portRef Q (instanceRef CmdExecMXO2)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef C (instanceRef wb_cyc_stb_RNO)) - (portRef B (instanceRef wb_we_RNO_0)) + (net N_73_i (joined + (portRef Z (instanceRef S_0_i_x2_3)) + (portRef B (instanceRef S_0_i_x2_RNIFT2D2_3)) )) - (net (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0 "un1_wb_cyc_stb_0_sqmuxa_1_i[0]") (joined - (portRef Z (instanceRef wb_we_RNO_0)) - (portRef SP (instanceRef wb_we)) + (net N_460_i (joined + (portRef Z (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef N_460_i) )) - (net N_528 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_1_1)) - (portRef C (instanceRef wb_dati_7_0_a2_3)) - (portRef C (instanceRef wb_dati_7_0_a2_4)) - (portRef B (instanceRef wb_dati_7_0_a3_2_1)) - (portRef C (instanceRef wb_dati_7_0_a2_2_1)) - (portRef D (instanceRef wb_adr_7_0_a2_4_0)) - (portRef C (instanceRef wb_adr_7_0_1_0)) - (portRef A (instanceRef wb_dati_7_0_0_7)) - (portRef B (instanceRef wb_dati_7_0_o2_RNO_2)) - )) - (net N_547 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef C (instanceRef wb_dati_7_0_o2_2)) - )) - (net (rename FS_14 "FS[14]") (joined - (portRef (member fs 1)) - (portRef D (instanceRef wb_dati_7_0_a3_3_1)) - (portRef A (instanceRef wb_dati_7_0_a3_1_1)) - (portRef A (instanceRef wb_rst6)) - (portRef A (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef B (instanceRef wb_adr_RNO_6)) - (portRef B (instanceRef wb_adr_RNO_5)) - (portRef B (instanceRef wb_adr_RNO_4)) - (portRef C (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef wb_reqc_2)) - (portRef C (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef wb_cyc_stb_RNO_0)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) - (portRef D (instanceRef nRWE_0_i_o3_RNIR47H1)) - )) - (net N_529 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef C (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_0_4)) - (portRef D (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename CS_0 "CS[0]") (joined - (portRef (member cs 2)) - (portRef A (instanceRef un1_CS_4_5)) - (portRef A (instanceRef CmdSetRWBankFFChip6)) - (portRef A (instanceRef CS6_RNO_0)) - (portRef A (instanceRef CS6_0)) - (portRef A (instanceRef CS6_1_RNO)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) - (portRef A (instanceRef CS6_RNIL59G2)) - )) - (net CS6 (joined - (portRef Z (instanceRef CS6)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2)) - (portRef A (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef D (instanceRef CS6_RNIL59G2)) - )) - (net (rename CS6_RNIL59G2_1z "CS6_RNIL59G2") (joined - (portRef Z (instanceRef CS6_RNIL59G2)) - (portRef CS6_RNIL59G2_1z) - )) - (net (rename N_430_i_1z "N_430_i") (joined - (portRef Z (instanceRef N_430_i)) - (portRef N_430_i_1z) - )) - (net N_194 (joined - (portRef Z (instanceRef S_0_i_0_o3_1)) - (portRef B (instanceRef S_1_RNIP23H1)) - (portRef C (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef B (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef N_194) - )) - (net N_301_i (joined - (portRef Z (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef N_301_i) - )) - (net N_92 (joined + (net wb_we_RNO (joined (portRef Z (instanceRef wb_we_RNO)) (portRef D (instanceRef wb_we)) )) + (net N_63 (joined + (portRef Z (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef SP (instanceRef wb_we)) + )) (net C14M_c (joined (portRef C14M_c) (portRef C14M_c (instanceRef ufmefb)) @@ -2476,9 +2132,9 @@ (portRef Q (instanceRef wb_we)) (portRef wb_we (instanceRef ufmefb)) )) - (net wb_rst6 (joined + (net wb_rst6_i (joined (portRef Z (instanceRef wb_rst6)) - (portRef D (instanceRef wb_rst)) + (portRef CD (instanceRef wb_rst)) )) (net wb_rst (joined (portRef Q (instanceRef wb_rst)) @@ -2490,18 +2146,37 @@ )) (net wb_req (joined (portRef Q (instanceRef wb_req)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) + (portRef D (instanceRef un1_FS_33_3)) )) (net (rename wb_dati_7_0 "wb_dati_7[0]") (joined - (portRef Z (instanceRef wb_dati_7_0_0)) + (portRef Z (instanceRef wb_dati_7_0)) (portRef D (instanceRef wb_dati_0)) )) + (net (rename un1_wb_adr_0_sqmuxa_2_i_0_0 "un1_wb_adr_0_sqmuxa_2_i_0[0]") (joined + (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef SP (instanceRef wb_adr_7)) + (portRef SP (instanceRef wb_adr_6)) + (portRef SP (instanceRef wb_adr_5)) + (portRef SP (instanceRef wb_adr_4)) + (portRef SP (instanceRef wb_adr_3)) + (portRef SP (instanceRef wb_adr_2)) + (portRef SP (instanceRef wb_adr_1)) + (portRef SP (instanceRef wb_adr_0)) + (portRef SP (instanceRef wb_dati_7)) + (portRef SP (instanceRef wb_dati_6)) + (portRef SP (instanceRef wb_dati_5)) + (portRef SP (instanceRef wb_dati_4)) + (portRef SP (instanceRef wb_dati_3)) + (portRef SP (instanceRef wb_dati_2)) + (portRef SP (instanceRef wb_dati_1)) + (portRef SP (instanceRef wb_dati_0)) + )) (net (rename wb_dati_0 "wb_dati[0]") (joined (portRef Q (instanceRef wb_dati_0)) (portRef (member wb_dati 7) (instanceRef ufmefb)) )) (net (rename wb_dati_7_1 "wb_dati_7[1]") (joined - (portRef Z (instanceRef wb_dati_7_0_1)) + (portRef Z (instanceRef wb_dati_7_1)) (portRef D (instanceRef wb_dati_1)) )) (net (rename wb_dati_1 "wb_dati[1]") (joined @@ -2509,7 +2184,7 @@ (portRef (member wb_dati 6) (instanceRef ufmefb)) )) (net (rename wb_dati_7_2 "wb_dati_7[2]") (joined - (portRef Z (instanceRef wb_dati_7_0_2)) + (portRef Z (instanceRef wb_dati_7_2)) (portRef D (instanceRef wb_dati_2)) )) (net (rename wb_dati_2 "wb_dati[2]") (joined @@ -2517,7 +2192,7 @@ (portRef (member wb_dati 5) (instanceRef ufmefb)) )) (net (rename wb_dati_7_3 "wb_dati_7[3]") (joined - (portRef Z (instanceRef wb_dati_7_0_3)) + (portRef Z (instanceRef wb_dati_7_3)) (portRef D (instanceRef wb_dati_3)) )) (net (rename wb_dati_3 "wb_dati[3]") (joined @@ -2525,7 +2200,7 @@ (portRef (member wb_dati 4) (instanceRef ufmefb)) )) (net (rename wb_dati_7_4 "wb_dati_7[4]") (joined - (portRef Z (instanceRef wb_dati_7_0_4)) + (portRef Z (instanceRef wb_dati_7_4)) (portRef D (instanceRef wb_dati_4)) )) (net (rename wb_dati_4 "wb_dati[4]") (joined @@ -2533,7 +2208,7 @@ (portRef (member wb_dati 3) (instanceRef ufmefb)) )) (net (rename wb_dati_7_5 "wb_dati_7[5]") (joined - (portRef Z (instanceRef wb_dati_7_0_5)) + (portRef Z (instanceRef wb_dati_7_5)) (portRef D (instanceRef wb_dati_5)) )) (net (rename wb_dati_5 "wb_dati[5]") (joined @@ -2541,7 +2216,7 @@ (portRef (member wb_dati 2) (instanceRef ufmefb)) )) (net (rename wb_dati_7_6 "wb_dati_7[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_6)) + (portRef Z (instanceRef wb_dati_7_6)) (portRef D (instanceRef wb_dati_6)) )) (net (rename wb_dati_6 "wb_dati[6]") (joined @@ -2549,7 +2224,7 @@ (portRef (member wb_dati 1) (instanceRef ufmefb)) )) (net (rename wb_dati_7_7 "wb_dati_7[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_7)) + (portRef Z (instanceRef wb_dati_7_7)) (portRef D (instanceRef wb_dati_7)) )) (net (rename wb_dati_7 "wb_dati[7]") (joined @@ -2560,40 +2235,40 @@ (portRef Z (instanceRef wb_cyc_stb_RNO)) (portRef D (instanceRef wb_cyc_stb)) )) - (net N_111 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net (rename un1_CmdBitbangMXO212_1_i_0 "un1_CmdBitbangMXO212_1_i[0]") (joined + (portRef Z (instanceRef wb_cyc_stb_RNO_0)) (portRef SP (instanceRef wb_cyc_stb)) )) (net wb_cyc_stb (joined (portRef Q (instanceRef wb_cyc_stb)) (portRef wb_cyc_stb (instanceRef ufmefb)) )) - (net (rename wb_adr_7_0 "wb_adr_7[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_0)) + (net N_495_i (joined + (portRef Z (instanceRef wb_adr_RNO_0)) (portRef D (instanceRef wb_adr_0)) )) (net (rename wb_adr_0 "wb_adr[0]") (joined (portRef Q (instanceRef wb_adr_0)) (portRef (member wb_adr 7) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_0)) + (portRef B (instanceRef wb_dati_7_0)) )) - (net (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (joined + (net N_493_i (joined (portRef Z (instanceRef wb_adr_RNO_1)) (portRef D (instanceRef wb_adr_1)) )) (net (rename wb_adr_1 "wb_adr[1]") (joined (portRef Q (instanceRef wb_adr_1)) (portRef (member wb_adr 6) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_1_1)) + (portRef B (instanceRef wb_dati_7_1)) )) - (net N_394_i (joined + (net N_66_i (joined (portRef Z (instanceRef wb_adr_RNO_2)) (portRef D (instanceRef wb_adr_2)) )) (net (rename wb_adr_2 "wb_adr[2]") (joined (portRef Q (instanceRef wb_adr_2)) (portRef (member wb_adr 5) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_2)) + (portRef B (instanceRef wb_dati_7_2)) )) (net (rename wb_adr_7_3 "wb_adr_7[3]") (joined (portRef Z (instanceRef wb_adr_7_3)) @@ -2602,16 +2277,16 @@ (net (rename wb_adr_3 "wb_adr[3]") (joined (portRef Q (instanceRef wb_adr_3)) (portRef (member wb_adr 4) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_3)) + (portRef B (instanceRef wb_dati_7_3)) )) - (net N_110 (joined + (net (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (joined (portRef Z (instanceRef wb_adr_RNO_4)) (portRef D (instanceRef wb_adr_4)) )) (net (rename wb_adr_4 "wb_adr[4]") (joined (portRef Q (instanceRef wb_adr_4)) (portRef (member wb_adr 3) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_0_4)) + (portRef B (instanceRef wb_dati_7_4)) )) (net (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (joined (portRef Z (instanceRef wb_adr_RNO_5)) @@ -2620,7 +2295,7 @@ (net (rename wb_adr_5 "wb_adr[5]") (joined (portRef Q (instanceRef wb_adr_5)) (portRef (member wb_adr 2) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_5)) + (portRef B (instanceRef wb_dati_7_5)) )) (net (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (joined (portRef Z (instanceRef wb_adr_RNO_6)) @@ -2629,22 +2304,22 @@ (net (rename wb_adr_6 "wb_adr[6]") (joined (portRef Q (instanceRef wb_adr_6)) (portRef (member wb_adr 1) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_1_6)) + (portRef B (instanceRef wb_dati_7_6)) )) - (net N_393_i (joined + (net N_494_i (joined (portRef Z (instanceRef wb_adr_RNO_7)) (portRef D (instanceRef wb_adr_7)) )) (net (rename wb_adr_7 "wb_adr[7]") (joined (portRef Q (instanceRef wb_adr_7)) (portRef (member wb_adr 0) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_0_7)) + (portRef B (instanceRef wb_dati_7_7)) )) - (net N_193_i (joined + (net N_82_i (joined (portRef Z (instanceRef RWMask_RNO_0)) (portRef D (instanceRef RWMask_0)) )) - (net N_104 (joined + (net (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (joined (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) (portRef SP (instanceRef RWMask_7)) (portRef SP (instanceRef RWMask_6)) @@ -2659,7 +2334,7 @@ (portRef Q (instanceRef RWMask_0)) (portRef C (instanceRef RWBank_3_0_0)) )) - (net N_398_i (joined + (net N_81_i (joined (portRef Z (instanceRef RWMask_RNO_1)) (portRef D (instanceRef RWMask_1)) )) @@ -2667,7 +2342,7 @@ (portRef Q (instanceRef RWMask_1)) (portRef C (instanceRef RWBank_3_0_1)) )) - (net N_397_i (joined + (net N_364_i (joined (portRef Z (instanceRef RWMask_RNO_2)) (portRef D (instanceRef RWMask_2)) )) @@ -2683,7 +2358,7 @@ (portRef Q (instanceRef RWMask_3)) (portRef C (instanceRef RWBank_3_0_3)) )) - (net N_253_i (joined + (net N_80_i (joined (portRef Z (instanceRef RWMask_RNO_4)) (portRef D (instanceRef RWMask_4)) )) @@ -2691,7 +2366,7 @@ (portRef Q (instanceRef RWMask_4)) (portRef C (instanceRef RWBank_3_0_4)) )) - (net N_268_i (joined + (net N_79_i (joined (portRef Z (instanceRef RWMask_RNO_5)) (portRef D (instanceRef RWMask_5)) )) @@ -2699,7 +2374,7 @@ (portRef Q (instanceRef RWMask_5)) (portRef C (instanceRef RWBank_3_0_5)) )) - (net N_283_i (joined + (net N_363_i (joined (portRef Z (instanceRef RWMask_RNO_6)) (portRef D (instanceRef RWMask_6)) )) @@ -2707,7 +2382,7 @@ (portRef Q (instanceRef RWMask_6)) (portRef C (instanceRef RWBank_3_0_6)) )) - (net N_396 (joined + (net (rename RWMask_RNO_7 "RWMask_RNO[7]") (joined (portRef Z (instanceRef RWMask_RNO_7)) (portRef D (instanceRef RWMask_7)) )) @@ -2719,318 +2394,481 @@ (portRef Z (instanceRef LEDEN_RNO)) (portRef D (instanceRef LEDEN)) )) - (net N_98 (joined + (net (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (joined (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) (portRef SP (instanceRef LEDEN)) )) (net LEDEN (joined (portRef Q (instanceRef LEDEN)) - (portRef A (instanceRef un1_LED_i)) - (portRef D (instanceRef RWBank10)) + (portRef C (instanceRef RWBank10)) + (portRef A (instanceRef LEDEN_RNI6G6M)) )) (net CmdExecMXO2_3 (joined - (portRef Z (instanceRef CmdExecMXO2_3)) + (portRef Z (instanceRef CmdExecMXO2_3_0_a5)) (portRef D (instanceRef CmdExecMXO2)) )) + (net CmdExecMXO2 (joined + (portRef Q (instanceRef CmdExecMXO2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef C (instanceRef wb_cyc_stb_RNO)) + (portRef C (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + )) (net CmdBitbangMXO2_3 (joined - (portRef Z (instanceRef CmdBitbangMXO2_3)) + (portRef Z (instanceRef CmdBitbangMXO2_3_0_a5)) (portRef D (instanceRef CmdBitbangMXO2)) )) + (net CmdBitbangMXO2 (joined + (portRef Q (instanceRef CmdBitbangMXO2)) + (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + )) + (net (rename CS_0 "CS[0]") (joined + (portRef (member cs 2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef A (instanceRef CmdLEDGet_3_0_a2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNIR0921)) + )) (net (rename CS_1 "CS[1]") (joined (portRef (member cs 1)) - (portRef A (instanceRef CS6_RNO)) - (portRef B (instanceRef CmdSetRWBankFFChip6)) - (portRef B (instanceRef CS6_0)) - (portRef B (instanceRef CS6_1_RNO)) - (portRef A (instanceRef CS6_1)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_o2)) + (portRef A (instanceRef CmdSetRWBankFF15_0_a2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) (net (rename CS_2 "CS[2]") (joined (portRef (member cs 0)) - (portRef C (instanceRef CmdSetRWBankFFChip6)) - (portRef B (instanceRef CS6_RNO_0)) - (portRef C (instanceRef CS6_0)) - (portRef A (instanceRef CS6_2)) - (portRef C (instanceRef CS6_1_RNO)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef B (instanceRef CS_0_sqmuxa_0_o2)) + (portRef B (instanceRef CmdSetRWBankFF15_0_a2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef C (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) (net CS_0_sqmuxa (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef D (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef Z (instanceRef CS_0_sqmuxa_0)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef C (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef B (instanceRef CS12_RNI2SPL2)) + (portRef D (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) - (net (rename CS_0_sqmuxa_0_a2_RNID1MT_1z "CS_0_sqmuxa_0_a2_RNID1MT") (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) - (portRef CS_0_sqmuxa_0_a2_RNID1MT_1z) + (net (rename CS_0_sqmuxa_0_RNIR0921_1z "CS_0_sqmuxa_0_RNIR0921") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNIR0921)) + (portRef CS_0_sqmuxa_0_RNIR0921_1z) )) - (net (rename CS_0_sqmuxa_0_a2_RNID8OM_1z "CS_0_sqmuxa_0_a2_RNID8OM") (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef CS_0_sqmuxa_0_a2_RNID8OM_1z) + (net (rename wb_dati_cnst_7 "wb_dati_cnst[7]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m49)) + (portRef C (instanceRef wb_dati_7_7)) )) - (net un1_CS_0_sqmuxa_i_a2_0_a2_0_1 (joined - (portRef Z (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef un1_CS_0_sqmuxa_i_a2_0)) + (net (rename wb_dati_cnst_2 "wb_dati_cnst[2]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m27)) + (portRef C (instanceRef wb_dati_7_5)) + (portRef C (instanceRef wb_dati_7_2)) )) - (net N_148 (joined - (portRef Z (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef N_148) + (net (rename wb_dati_cnst_3 "wb_dati_cnst[3]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31)) + (portRef C (instanceRef wb_dati_7_3)) )) - (net N_537 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_3_4)) - (portRef C (instanceRef wb_adr_7_0_a2_6_0)) - (portRef C (instanceRef wb_dati_7_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_1)) - (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - (portRef C (instanceRef wb_dati_7_0_6)) - (portRef B (instanceRef wb_adr_7_0_0)) + (net (rename wb_dati_cnst_4 "wb_dati_cnst[4]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35)) + (portRef C (instanceRef wb_dati_7_4)) )) - (net (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_4_0)) - (portRef C (instanceRef wb_adr_7_0_0)) + (net CS12 (joined + (portRef Z (instanceRef CS12)) + (portRef A (instanceRef CS12_RNI2SPL2)) )) - (net (rename wb_adr_7_0_5_0 "wb_adr_7_0_5[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_5_0)) - (portRef D (instanceRef wb_adr_7_0_0)) + (net un1_CS_0_sqmuxa_i (joined + (portRef Z (instanceRef CS12_RNI2SPL2)) + (portRef un1_CS_0_sqmuxa_i) )) - (net N_241 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_2)) - (portRef A (instanceRef wb_dati_7_0_2)) - (portRef A (instanceRef wb_dati_7_0_5)) + (net (rename CS_0_sqmuxa_0_RNIR7BR_1z "CS_0_sqmuxa_0_RNIR7BR") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef CS_0_sqmuxa_0_RNIR7BR_1z) )) - (net N_341 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_7)) - (portRef A (instanceRef wb_dati_7_0_7)) + (net (rename wb_dati_cnst_6 "wb_dati_cnst[6]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m39)) + (portRef C (instanceRef wb_dati_7_6)) )) - (net N_344 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_7)) + (net N_46 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45)) + (portRef B (instanceRef wb_dati_cnst_7_0__m49)) )) - (net (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_7)) + (net i4_mux (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m48)) + (portRef C (instanceRef wb_dati_cnst_7_0__m49)) )) - (net (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_0_7)) - (portRef D (instanceRef wb_dati_7_0_7)) + (net (rename wb_dati_cnst_1 "wb_dati_cnst[1]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18)) + (portRef C (instanceRef wb_dati_7_1)) )) - (net N_197 (joined - (portRef Z (instanceRef wb_adr_7_5_41_0_o2)) - (portRef A (instanceRef wb_adr_RNO_1_1)) - (portRef B (instanceRef wb_dati_7_0_1_3)) - (portRef B (instanceRef wb_dati_7_0_1)) - (portRef B (instanceRef wb_dati_7_0_6)) + (net N_26 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m25)) + (portRef C (instanceRef wb_dati_cnst_7_0__m26)) + (portRef B (instanceRef wb_dati_cnst_7_0__m31_am)) )) - (net (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_6)) - (portRef D (instanceRef wb_dati_7_0_6)) + (net N_30 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29)) + (portRef C (instanceRef wb_dati_cnst_7_0__m31_am)) )) - (net N_336 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_3_4)) - (portRef A (instanceRef wb_dati_7_0_4)) + (net m31_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m31)) )) - (net N_435 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4)) - (portRef B (instanceRef wb_dati_7_0_o2_2)) - (portRef B (instanceRef wb_dati_7_0_4)) + (net (rename FS_8 "FS[8]") (joined + (portRef (member fs 7)) + (portRef D (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef A (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef A (instanceRef Ready3_5_0_i_o5)) + (portRef A (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef D (instanceRef RA_33_9_47_i_0_o2)) + (portRef A (instanceRef wb_adr_7_i_a2_0_0)) + (portRef A (instanceRef wb_dati_cnst_7_0__m41)) + (portRef A (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m25)) + (portRef A (instanceRef wb_dati_cnst_7_0__m22_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m10)) + (portRef A (instanceRef wb_dati_cnst_7_0__m48)) + (portRef A (instanceRef wb_dati_cnst_7_0__m17)) + (portRef A (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net N_437 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1_4)) - (portRef B (instanceRef wb_dati_7_0_1_6)) - (portRef C (instanceRef wb_dati_7_0_4)) + (net (rename FS_9 "FS[9]") (joined + (portRef (member fs 6)) + (portRef C (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef B (instanceRef Ready3_5_0_i_o5)) + (portRef B (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef D (instanceRef RA_33_8_65_i_0_o2)) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef B (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m33)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m29)) + (portRef B (instanceRef wb_dati_cnst_7_0__m25)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m22)) + (portRef B (instanceRef wb_dati_cnst_7_0__m10)) + (portRef B (instanceRef wb_dati_cnst_7_0__m48)) + (portRef B (instanceRef wb_dati_cnst_7_0__m17)) + (portRef B (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (joined - (portRef Z (instanceRef wb_dati_7_0_0_4)) - (portRef D (instanceRef wb_dati_7_0_4)) + (net N_8 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m7)) + (portRef D (instanceRef wb_dati_cnst_7_0__m10)) + (portRef D (instanceRef wb_dati_cnst_7_0__m48)) + (portRef D (instanceRef wb_dati_cnst_7_0__m17)) + (portRef C (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net N_352 (joined - (portRef Z (instanceRef CS6_RNO)) - (portRef A (instanceRef CS6)) + (net m31_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m31)) )) - (net CS6_1 (joined - (portRef Z (instanceRef CS6_1)) - (portRef B (instanceRef CS6)) + (net N_23 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22)) + (portRef B (instanceRef wb_dati_cnst_7_0__m26)) + (portRef B (instanceRef wb_dati_cnst_7_0__m35_am)) )) - (net CS6_2 (joined - (portRef Z (instanceRef CS6_2)) - (portRef C (instanceRef CS6)) + (net N_34 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33)) + (portRef C (instanceRef wb_dati_cnst_7_0__m35_am)) )) - (net CS6_182_0_a2_3 (joined - (portRef Z (instanceRef CS6_RNO_0)) - (portRef D (instanceRef CS6)) + (net m35_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m35)) )) - (net nCAS_0_i_0 (joined - (portRef Z (instanceRef nCAS_0_i_0)) - (portRef B (instanceRef nCAS_0_i_0_RNISBOI3)) + (net m35_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m35)) )) - (net N_424_i (joined - (portRef Z (instanceRef nCAS_0_i_0_RNISBOI3)) - (portRef N_424_i) + (net (rename wb_dati_cnst_0 "wb_dati_cnst[0]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11)) + (portRef C (instanceRef wb_dati_7_0)) )) - (net nCAS_0_i_a2_1_0 (joined - (portRef Z (instanceRef nCAS_0_i_a2_1_0)) - (portRef C (instanceRef nRAS_0_i_1_RNI8KIR2)) + (net (rename CS_0_sqmuxa_0_RNISFDK_1z "CS_0_sqmuxa_0_RNISFDK") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef CS_0_sqmuxa_0_RNISFDK_1z) + )) + (net N_11 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m10)) + (portRef B (instanceRef wb_dati_cnst_7_0__m39)) + )) + (net N_39 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38)) + (portRef C (instanceRef wb_dati_cnst_7_0__m39)) + )) + (net N_3_i (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m2)) + (portRef D (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef D (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef D (instanceRef wb_dati_cnst_7_0__m18_am)) + )) + (net m18_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m18)) + )) + (net m18_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m18)) + )) + (net N_42 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m45_am)) + )) + (net m45_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m45)) + )) + (net N_24 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m23)) + (portRef C (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m25)) + (portRef D (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m45_bm)) + )) + (net m45_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m45)) + )) + (net CS_0_sqmuxa_0_a3_2_5 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CS_0_sqmuxa_0)) + )) + (net CS_0_sqmuxa_0_a3_3 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef C (instanceRef CS_0_sqmuxa_0)) + )) + (net CS_0_sqmuxa_0_tz_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_tz_2)) + (portRef D (instanceRef CS_0_sqmuxa_0)) + )) + (net N_508 (joined + (portRef Z (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef wb_adr_RNO_0)) + )) + (net N_542 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef B (instanceRef wb_adr_7_i_0_0)) + (portRef D (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C (instanceRef wb_adr_RNO_1)) + (portRef B (instanceRef wb_adr_RNO_0)) + )) + (net (rename wb_adr_7_i_2_0 "wb_adr_7_i_2[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_2_0)) + (portRef C (instanceRef wb_adr_RNO_0)) + )) + (net (rename wb_adr_7_i_a5_1_0 "wb_adr_7_i_a5_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef D (instanceRef wb_adr_RNO_0)) + )) + (net m11_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m11)) + )) + (net m11_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m11)) + )) + (net N_27 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m26)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m27)) + )) + (net m38_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m38)) + )) + (net m38_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m38)) + )) + (net N_18 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m17)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m27)) + )) + (net nCAS_0_sqmuxa (joined + (portRef Z (instanceRef nCAS_0_sqmuxa)) + (portRef A (instanceRef nRWE_0_i_a3)) + (portRef A (instanceRef nRWE_0_i_0)) + (portRef A (instanceRef nRAS_0_i_1)) + (portRef A (instanceRef nCAS_0)) + (portRef A (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef A (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + )) + (net N_610_tz (joined + (portRef Z (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + )) + (net nRAS_0_i_0_tz (joined + (portRef Z (instanceRef nRAS_0_i_0_tz)) + (portRef C (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) )) (net nRAS_0_i_1 (joined (portRef Z (instanceRef nRAS_0_i_1)) - (portRef D (instanceRef nRAS_0_i_1_RNI8KIR2)) + (portRef D (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) )) - (net N_420_i (joined - (portRef Z (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef N_420_i) - )) - (net N_447 (joined - (portRef Z (instanceRef nRAS_0_i_a2_1)) - (portRef A (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (net N_360_i (joined + (portRef Z (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + (portRef N_360_i) )) (net nRWE_0_i_0 (joined (portRef Z (instanceRef nRWE_0_i_0)) - (portRef C (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (portRef B (instanceRef nRWE_0_i_0_RNIE9LE2)) )) - (net nRWE_0_i_a2_1_1 (joined - (portRef Z (instanceRef nRWE_0_i_a2_1_1)) - (portRef D (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (net nRWE_0_i_a3_0_0 (joined + (portRef Z (instanceRef nRWE_0_i_a3_0_0)) + (portRef C (instanceRef nRWE_0_i_0_RNIE9LE2)) )) - (net N_421_i (joined - (portRef Z (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) - (portRef N_421_i) - )) - (net N_552 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_0_0)) - (portRef A (instanceRef wb_dati_7_0_a2_1_4)) - (portRef D (instanceRef wb_dati_7_0_a2_7)) - (portRef D (instanceRef wb_adr_7_0_5_0)) - (portRef A (instanceRef wb_dati_7_0_0)) - )) - (net (rename wb_dati_7_0_a2_0_0 "wb_dati_7_0_a2_0[0]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef wb_dati_7_0_0)) - )) - (net CmdRWMaskSet (joined - (portRef CmdRWMaskSet) - (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - )) - (net (rename un1_RWMask_0_sqmuxa_1_i_a2_0_0_0 "un1_RWMask_0_sqmuxa_1_i_a2_0_0[0]") (joined - (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - )) - (net N_324 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1)) - (portRef A (instanceRef wb_dati_7_0_1_1)) - (portRef C (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_dati_7_0_o2_2)) - )) - (net N_329 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_3)) - (portRef A (instanceRef wb_dati_7_0_3)) - )) - (net (rename wb_dati_7_0_1_3 "wb_dati_7_0_1[3]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_3)) - (portRef D (instanceRef wb_dati_7_0_3)) - )) - (net (rename wb_dati_7_0_1_1 "wb_dati_7_0_1[1]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_1)) - (portRef D (instanceRef wb_dati_7_0_1)) - )) - (net N_338 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_1_6)) - )) - (net (rename wb_adr_7_0_2_0 "wb_adr_7_0_2[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_2_0)) - (portRef B (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename wb_adr_7_0_a2_0_0_0 "wb_adr_7_0_a2_0_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef wb_adr_7_0_4_0)) + (net N_50_i (joined + (portRef Z (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef N_50_i) )) (net N_501 (joined - (portRef Z (instanceRef wb_adr_7_0_a2_6_0)) - (portRef C (instanceRef wb_adr_7_0_5_0)) - )) - (net CmdLEDSet (joined - (portRef CmdLEDSet) - (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) - )) - (net N_542 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_3_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_a3_0_0)) + (portRef Z (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef B (instanceRef wb_adr_7_i_m2_0)) + (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + (portRef C (instanceRef wb_dati_cnst_7_0__m23)) + (portRef C (instanceRef wb_dati_cnst_7_0__m20)) + (portRef C (instanceRef wb_dati_cnst_7_0__m7)) + (portRef C (instanceRef wb_dati_cnst_7_0__m2)) + (portRef D (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m22_am)) )) - (net (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (joined - (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + (net m22_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m22)) )) - (net N_193 (joined - (portRef Z (instanceRef wb_adr_7_0_o2_0)) - (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_RNO_7)) + (net N_21 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m20)) + (portRef B (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m25)) + (portRef B (instanceRef wb_dati_cnst_7_0__m22_bm)) )) - (net (rename wb_dati_7_0_a2_0_1_7 "wb_dati_7_0_a2_0_1[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef D (instanceRef wb_dati_7_0_RNO_7)) + (net m22_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m22)) )) - (net N_544 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_4)) - (portRef B (instanceRef wb_dati_7_0_a2_1_4)) - (portRef C (instanceRef wb_dati_7_0_a2_7)) + (net (rename FS_12 "FS[12]") (joined + (portRef (member fs 3)) + (portRef A (instanceRef wb_adr_7_i_0_0)) + (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef C (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef wb_we_RNO_3)) + (portRef C (instanceRef wb_we_RNO_0)) + (portRef B (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_we_RNO_1)) + (portRef B (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef B (instanceRef wb_dati_cnst_7_0__m23)) + (portRef B (instanceRef wb_dati_cnst_7_0__m20)) + (portRef B (instanceRef wb_dati_cnst_7_0__m7)) + (portRef B (instanceRef wb_dati_cnst_7_0__m2)) + (portRef A (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m29_am)) )) - (net N_133 (joined - (portRef Z (instanceRef CS6_1_RNO)) - (portRef B (instanceRef CS6_1)) + (net m29_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m29)) )) - (net un1_CS_4_1 (joined - (portRef Z (instanceRef un1_CS_4_1)) - (portRef C (instanceRef CS6_1)) + (net m29_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m29)) )) - (net un1_CS_4_5 (joined - (portRef Z (instanceRef un1_CS_4_5)) - (portRef D (instanceRef CS6_2)) - (portRef D (instanceRef CS6_1)) + (net m33_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m33)) )) - (net N_327 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_2_1)) - (portRef B (instanceRef wb_dati_7_0_1_1)) + (net m33_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m33)) )) - (net (rename Din_c_0 "Din_c[0]") (joined - (portRef (member din_c 7)) - (portRef B (instanceRef un1_CS_6_3)) - (portRef A (instanceRef wb_we_RNO_2)) - (portRef A (instanceRef RDout_0)) - (portRef A (instanceRef LEDEN_RNO)) - (portRef A (instanceRef CS6_RNO_1)) - (portRef A (instanceRef un1_CS_7_1)) - (portRef A (instanceRef RWMask_RNO_0)) - (portRef A (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef A (instanceRef un1_CS_4_7_0_a2)) - (portRef B (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_0)) - (portRef B (instanceRef CmdBitbangMXO2_3)) - (portRef B (instanceRef CmdLEDSet_3_0_a2)) - (portRef A (instanceRef wb_adr_7_0_2_0)) + (net (rename Din_c_1 "Din_c[1]") (joined + (portRef (member din_c 6)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef wb_adr_RNO_0_1)) + (portRef A (instanceRef N_491_i)) + (portRef A (instanceRef RWMask_RNO_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) + (portRef A (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef B (instanceRef CmdExecMXO2_3_0_a5)) + (portRef B (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef B (instanceRef CmdLEDSet_3_0_a5)) + (portRef B (instanceRef CmdLEDGet_3_0_a5)) + (portRef A (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef A (instanceRef CS_0_sqmuxa_0_tz_2)) )) - (net N_499 (joined - (portRef Z (instanceRef wb_adr_7_0_a2_4_0)) - (portRef B (instanceRef wb_adr_7_0_2_0)) + (net N_390 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef B (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef B (instanceRef CS_0_sqmuxa_0_tz_2)) )) - (net (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_1_0)) - (portRef D (instanceRef wb_adr_7_0_2_0)) + (net CS_0_sqmuxa_0_a3_0_3_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) + (portRef C (instanceRef CS_0_sqmuxa_0_tz_2)) + )) + (net CS_0_sqmuxa_0_tz_0 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_tz_0)) + (portRef D (instanceRef CS_0_sqmuxa_0_tz_2)) + )) + (net N_83 (joined + (portRef Z (instanceRef wb_adr_RNO_0_1)) + (portRef A (instanceRef wb_adr_RNO_1)) + )) + (net N_487 (joined + (portRef Z (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef C (instanceRef wb_we_RNO_1)) + (portRef B (instanceRef wb_adr_RNO_1)) + )) + (net N_557 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef A (instanceRef wb_adr_7_i_2_0)) + (portRef D (instanceRef wb_adr_RNO_1)) )) (net CKE_7_sm0 (joined - (portRef Z (instanceRef CKE_7s2_0_0)) + (portRef Z (instanceRef CKE_7s2_0)) (portRef A (instanceRef CKE_0)) )) - (net N_521 (joined - (portRef Z (instanceRef CKE_7_m1_i_a2)) - (portRef C (instanceRef CKE_0)) - )) - (net N_522 (joined - (portRef Z (instanceRef RDOE_2_0_a2)) + (net N_523 (joined + (portRef Z (instanceRef RDOE_2_0_a5)) (portRef A (instanceRef RDOE_2_0)) (portRef D (instanceRef CKE_0)) )) @@ -3038,246 +2876,113 @@ (portRef Z (instanceRef CKE_0)) (portRef CKE_0_1z) )) - (net N_208 (joined - (portRef Z (instanceRef CKE_7s2_0_0_o2)) - (portRef A (instanceRef CKE_7s2_0_0)) - (portRef B (instanceRef nCAS_0_i_0)) + (net (rename wb_adr_7_i_0_0 "wb_adr_7_i_0[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_0)) + (portRef B (instanceRef wb_adr_7_i_2_0)) )) - (net N_585_tz (joined - (portRef Z (instanceRef nCAS_0_i_0_RNO)) - (portRef C (instanceRef nCAS_0_i_0)) + (net (rename wb_adr_7_i_a5_1_1_0 "wb_adr_7_i_a5_1_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef C (instanceRef wb_adr_7_i_2_0)) )) - (net (rename un1_CmdBitbangMXO212_1_i_0_0_0 "un1_CmdBitbangMXO212_1_i_0_0[0]") (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net nCAS_0_192_i_1_0 (joined + (portRef Z (instanceRef nCAS_0_RNO)) + (portRef B (instanceRef nCAS_0)) )) - (net wb_ack (joined - (portRef wb_ack (instanceRef ufmefb)) - (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef D (instanceRef wb_cyc_stb_RNO_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net nCAS_0_192_i_1_tz (joined + (portRef Z (instanceRef nCAS_0_RNO_0)) + (portRef C (instanceRef nCAS_0)) )) - (net N_432 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_7)) - (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_adr_RNO_1_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1_7)) + (net (rename nCAS_0_1z "nCAS_0") (joined + (portRef Z (instanceRef nCAS_0)) + (portRef nCAS_0_1z) )) - (net N_429 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_1)) - (portRef B (instanceRef wb_dati_7_0_a2_1)) - )) - (net (rename wb_dati_7_0_a2_0_6 "wb_dati_7_0_a2_0[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef D (instanceRef wb_dati_7_0_a2_6)) - )) - (net CS6_182_0_a2_0_1 (joined - (portRef Z (instanceRef CS6_1_RNO_0)) - (portRef D (instanceRef CS6_1_RNO)) - )) - (net CS6_0 (joined - (portRef Z (instanceRef CS6_0)) - (portRef B (instanceRef CS6_2)) - )) - (net un1_CS_6_3 (joined - (portRef Z (instanceRef un1_CS_6_3)) - (portRef C (instanceRef CS6_2)) - )) - (net (rename wb_dati_7_0_a2_4_0_7 "wb_dati_7_0_a2_4_0[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef D (instanceRef wb_dati_7_0_0_7)) - )) - (net N_300 (joined - (portRef Z (instanceRef wb_adr_7_0_o2_1_0)) - (portRef B (instanceRef wb_adr_7_0_1_0)) - )) - (net (rename RA_0 "RA[0]") (joined - (portRef (member ra 11)) - (portRef A (instanceRef RWSel_2_0_a2)) - (portRef B (instanceRef N_215_i)) - )) - (net RA_33_10_29_i_0_0 (joined - (portRef Z (instanceRef RA_33_10_29_i_0_0)) - (portRef D (instanceRef N_215_i)) - )) - (net (rename N_215_i_1z "N_215_i") (joined - (portRef Z (instanceRef N_215_i)) - (portRef N_215_i_1z) - )) - (net (rename RA_1 "RA[1]") (joined - (portRef (member ra 10)) - (portRef B (instanceRef N_229_i)) - )) - (net RA_33_9_47_i_0_0 (joined - (portRef Z (instanceRef RA_33_9_47_i_0_0)) - (portRef D (instanceRef N_229_i)) - )) - (net (rename N_229_i_1z "N_229_i") (joined - (portRef Z (instanceRef N_229_i)) - (portRef N_229_i_1z) - )) - (net (rename RA_2 "RA[2]") (joined - (portRef (member ra 9)) - (portRef B (instanceRef N_243_i)) - )) - (net RA_33_8_65_i_0_0_0 (joined - (portRef Z (instanceRef RA_33_8_65_i_0_0_0)) - (portRef D (instanceRef N_243_i)) - )) - (net (rename N_243_i_1z "N_243_i") (joined - (portRef Z (instanceRef N_243_i)) - (portRef N_243_i_1z) - )) - (net (rename Ain_c_3 "Ain_c[3]") (joined - (portRef (member ain_c 4)) - (portRef A (instanceRef un6_RAout_i_m2_3)) - (portRef A (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net N_457 (joined - (portRef Z (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net N_257_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIRCQK3)) - (portRef N_257_i) - )) - (net (rename Ain_c_4 "Ain_c[4]") (joined - (portRef (member ain_c 3)) - (portRef A (instanceRef un6_RAout_i_m2_4)) - (portRef A (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net N_400 (joined - (portRef Z (instanceRef RA_33_6_101_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net N_271_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIUFQK3)) - (portRef N_271_i) - )) - (net (rename Ain_c_5 "Ain_c[5]") (joined - (portRef (member ain_c 2)) - (portRef A (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef A (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net N_468 (joined - (portRef Z (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef C (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net N_285_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI1JQK3)) - (portRef N_285_i) - )) - (net (rename Ain_c_6 "Ain_c[6]") (joined - (portRef (member ain_c 1)) - (portRef A (instanceRef un6_RAout_i_m2_6)) - (portRef A (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_454 (joined - (portRef Z (instanceRef RA_33_4_137_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_299_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI4MQK3)) - (portRef N_299_i) - )) - (net (rename Ain_c_7 "Ain_c[7]") (joined - (portRef (member ain_c 0)) - (portRef A (instanceRef un6_RAout_i_m2_7)) - (portRef A (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net N_451 (joined - (portRef Z (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net N_313_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI7PQK3)) - (portRef N_313_i) - )) - (net (rename SZ0Z_1 "S_1") (joined - (portRef Z (instanceRef S_1)) - (portRef A (instanceRef S_0_0_0_0)) - (portRef A (instanceRef S_1_RNIP23H1)) - (portRef A (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef A (instanceRef S_1_RNIP23H1_0)) - )) - (net N_73_i (joined - (portRef Z (instanceRef S_1_RNIP23H1_0)) - (portRef N_73_i) - )) - (net N_506 (joined - (portRef Z (instanceRef wb_cyc_stb_RNO_0)) - (portRef A (instanceRef wb_cyc_stb_RNO)) - )) - (net (rename Din_c_1 "Din_c[1]") (joined - (portRef (member din_c 6)) - (portRef C (instanceRef CS6_RNO_2)) - (portRef B (instanceRef CS6_RNO)) - (portRef B (instanceRef un1_CS_4_5)) - (portRef B (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_392_i)) - (portRef A (instanceRef RWMask_RNO_1)) - (portRef B (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef A (instanceRef RWBank_3_0_1)) - (portRef B (instanceRef CmdExecMXO2_3)) - (portRef B (instanceRef CmdSetRWBankFFChip_3)) - (portRef A (instanceRef CS6_1_RNO_0)) - (portRef C (instanceRef CmdBitbangMXO2_3)) - (portRef A (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef A (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef A (instanceRef wb_adr_RNO_1)) - (portRef A (instanceRef CmdLEDSet_3_0_a3)) - )) - (net (rename Din_c_3 "Din_c[3]") (joined - (portRef (member din_c 4)) - (portRef B (instanceRef CS6_RNO_2)) - (portRef A (instanceRef RDout_3)) - (portRef A (instanceRef wb_adr_7_3)) - (portRef A (instanceRef un1_CS_7_4_i_o2)) - (portRef A (instanceRef RWMask_RNO_3)) - (portRef C (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef B (instanceRef un1_CS_6_2)) - (portRef D (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_3)) - (portRef A (instanceRef un1_CS_4_1)) - (portRef B (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef B (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef B (instanceRef CmdLEDSet_3_0_a3)) - )) - (net (rename Din_c_4 "Din_c[4]") (joined - (portRef (member din_c 3)) - (portRef A (instanceRef RDout_4)) - (portRef A (instanceRef wb_adr_RNO_4)) - (portRef A (instanceRef CmdExecMXO2_3_0)) - (portRef B (instanceRef CS6_RNO_1)) - (portRef A (instanceRef RWMask_RNO_4)) - (portRef D (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef B (instanceRef CmdBitbangMXO2_3_3)) - (portRef C (instanceRef un1_CS_6_2)) - (portRef A (instanceRef un1_CS_7_2)) - (portRef C (instanceRef un1_CS_4_7_0_a2)) - (portRef A (instanceRef RWBank_3_0_4)) - (portRef C (instanceRef CmdSetRWBankFFChip_3)) + (net N_392 (joined + (portRef Z (instanceRef CmdSetRWBankFF15_0_a2)) (portRef C (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef C (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef C (instanceRef CmdLEDSet_3_0_a3)) + (portRef C (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef A (instanceRef CS_0_sqmuxa_0_tz_0)) )) - (net N_95 (joined - (portRef Z (instanceRef CmdLEDSet_3_0_a2)) - (portRef D (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef D (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef D (instanceRef CmdLEDSet_3_0_a3)) + (net CS_0_sqmuxa_0_a3_3_7 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef B (instanceRef CS_0_sqmuxa_0_tz_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_0_0_0 "un1_CmdBitbangMXO212_1_0_0_0[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef A (instanceRef wb_cyc_stb_RNO_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_a5_1_0_0 "un1_CmdBitbangMXO212_1_0_a5_1_0[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef D (instanceRef wb_cyc_stb_RNO)) + (portRef B (instanceRef wb_cyc_stb_RNO_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_a5_1_2_0 "un1_CmdBitbangMXO212_1_0_a5_1_2[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) + (portRef C (instanceRef wb_cyc_stb_RNO_0)) + )) + (net CmdSetRWBankFF_3_0_a3_1 (joined + (portRef Z (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CmdSetRWBankFF_3_0_a3)) + )) + (net CmdSetRWBankFF_3 (joined + (portRef Z (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef CmdSetRWBankFF_3) + )) + (net (rename Din_c_0 "Din_c[0]") (joined + (portRef (member din_c 7)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef LEDEN_RNO)) + (portRef A (instanceRef N_492_i)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef RWMask_RNO_0)) + (portRef A (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef A (instanceRef RWBank_3_0_0)) + (portRef A (instanceRef wb_we_RNO_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) + (portRef A (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef CmdExecMXO2_3_0_a5)) + (portRef A (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef A (instanceRef CmdLEDSet_3_0_a5)) + (portRef A (instanceRef CmdLEDGet_3_0_a5)) + )) + (net N_552 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CmdExecMXO2_3_0_a5)) + (portRef C (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef C (instanceRef CmdLEDSet_3_0_a5)) + (portRef C (instanceRef CmdLEDGet_3_0_a5)) + )) + (net un1_CS_3_3 (joined + (portRef Z (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef D (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef D (instanceRef CmdLEDSet_3_0_a5)) + (portRef D (instanceRef CmdLEDGet_3_0_a5)) + )) + (net CmdLEDGet_3 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a5)) + (portRef CmdLEDGet_3) )) (net CmdLEDSet_3 (joined - (portRef Z (instanceRef CmdLEDSet_3_0_a3)) + (portRef Z (instanceRef CmdLEDSet_3_0_a5)) (portRef CmdLEDSet_3) )) - (net N_555 (joined - (portRef Z (instanceRef RA_33_1_a3_10)) - (portRef A (instanceRef CKE_7s2_0_0_o2)) + (net CKE20_m (joined + (portRef Z (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef nRAS_0_i_0_tz)) + (portRef A (instanceRef RA_33_1_10)) + )) + (net N_111 (joined + (portRef Z (instanceRef RA_33_1_a2_10)) + (portRef C (instanceRef nRWE_0_i_a3)) (portRef B (instanceRef RA_33_1_10)) )) + (net N_115 (joined + (portRef Z (instanceRef RA_33_1_a2_3_10)) + (portRef C (instanceRef RA_33_1_10)) + )) (net (rename RA_33_1_1_10 "RA_33_1_1[10]") (joined (portRef Z (instanceRef RA_33_1_1_10)) (portRef D (instanceRef RA_33_1_10)) @@ -3286,110 +2991,274 @@ (portRef Z (instanceRef RA_33_1_10)) (portRef (member ra_33 1)) )) - (net N_502 (joined - (portRef Z (instanceRef wb_adr_RNO_0_1)) - (portRef B (instanceRef wb_adr_RNO_1)) + (net CS_0_sqmuxa_0_a3_2_5_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_2_5)) )) - (net wb_adr_7_5_41_0_1 (joined - (portRef Z (instanceRef wb_adr_RNO_1_1)) - (portRef D (instanceRef wb_adr_RNO_1)) + (net (rename Din_c_6 "Din_c[6]") (joined + (portRef (member din_c 1)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef wb_adr_RNO_6)) + (portRef A (instanceRef N_358_i)) + (portRef A (instanceRef RWMask_RNO_6)) + (portRef A (instanceRef RWBank_3_0_6)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef B (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7)) )) - (net CmdSetRWBankFFLED_3 (joined - (portRef Z (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef CmdSetRWBankFFLED_3) + (net CS_0_sqmuxa_0_a3_3_7_5 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7)) )) - (net N_202 (joined - (portRef Z (instanceRef wb_we_RNO_1)) - (portRef B (instanceRef wb_we_RNO)) + (net N_120 (joined + (portRef Z (instanceRef nRWE_0_i_a3)) + (portRef B (instanceRef nRWE_0_i_0)) + (portRef B (instanceRef nRAS_0_i_1)) )) - (net N_540 (joined - (portRef Z (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef B (instanceRef wb_we_RNO_2)) - (portRef D (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef wb_adr_RNO_1_1)) - (portRef C (instanceRef wb_we_RNO)) + (net N_609_tz (joined + (portRef Z (instanceRef nRAS_0_i_1_RNO)) + (portRef C (instanceRef nRAS_0_i_1)) )) - (net wb_we_7_iv_0_0_111_i_i_1 (joined - (portRef Z (instanceRef wb_we_RNO_2)) - (portRef D (instanceRef wb_we_RNO)) - )) - (net CmdRWMaskSet_3 (joined - (portRef Z (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef CmdRWMaskSet_3) - )) - (net un1_CS_7_2 (joined - (portRef Z (instanceRef un1_CS_7_2)) - (portRef D (instanceRef CS6_0)) - )) - (net N_481 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) + (net RAT_2 (joined + (portRef Z (instanceRef RAT_2_0_a2_0_a2)) + (portRef A (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef nCAS_0_RNO)) + (portRef C (instanceRef nRWE_0_i_0)) )) (net nEN80_c (joined (portRef nEN80_c) + (portRef D (instanceRef RDOE_2_0_a5)) (portRef C (instanceRef un1_nDOE_i)) - (portRef D (instanceRef RDOE_2_0_a2)) - (portRef D (instanceRef CKE_7s2_0_0_1)) - (portRef A (instanceRef RA_33_1_a3_10)) - (portRef A (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef C (instanceRef nRAS_0_i_a2_0_1)) - (portRef C (instanceRef un1_LED_i)) - (portRef C (instanceRef nRWE_0_i_0)) + (portRef C (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef un2_RDOE_1)) + (portRef A (instanceRef un1_nDOE_0_a2_0)) + (portRef C (instanceRef LEDEN_RNI6G6M)) + (portRef B (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef CKE_7s2_0)) + (portRef D (instanceRef nRWE_0_i_0)) )) - (net N_309 (joined - (portRef Z (instanceRef nCAS_0_i_o2)) - (portRef A (instanceRef nCAS_0_i_0_RNO)) + (net N_513 (joined + (portRef Z (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef D (instanceRef RA_33_5_119_i_0_a3)) + (portRef D (instanceRef RA_33_4_137_i_0_a3)) + (portRef D (instanceRef RA_33_3_155_i_0_a3)) + (portRef D (instanceRef RA_33_7_83_i_0_a3)) + (portRef D (instanceRef RA_33_6_101_i_0_a3)) + (portRef A (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef A (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef A (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef A (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef A (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef C (instanceRef RA_33_8_65_i_0_0)) + (portRef C (instanceRef RA_33_10_29_i_0_0)) + (portRef C (instanceRef RA_33_9_47_i_0_0)) + (portRef A (instanceRef N_242_i)) + (portRef A (instanceRef N_228_i)) + (portRef A (instanceRef N_214_i)) )) - (net N_545 (joined - (portRef Z (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef B (instanceRef RA_33_1_a2_3_10)) - (portRef C (instanceRef nCAS_0_i_0_RNO)) + (net (rename RA_0 "RA[0]") (joined + (portRef (member ra 11)) + (portRef A (instanceRef RWSel_2)) + (portRef B (instanceRef N_214_i)) )) - (net N_248 (joined - (portRef Z (instanceRef S_0_i_0_o2_1)) - (portRef B (instanceRef S_0_0_0_0)) - (portRef D (instanceRef S_0_i_0_o2_RNIFP961_1)) + (net RA_33_10_29_i_0_0 (joined + (portRef Z (instanceRef RA_33_10_29_i_0_0)) + (portRef D (instanceRef N_214_i)) )) - (net N_71_i (joined - (portRef Z (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef N_71_i) + (net (rename N_214_i_1z "N_214_i") (joined + (portRef Z (instanceRef N_214_i)) + (portRef N_214_i_1z) )) - (net N_349_i (joined - (portRef Z (instanceRef S_1_RNIP23H1)) - (portRef N_349_i) + (net (rename RA_1 "RA[1]") (joined + (portRef (member ra 10)) + (portRef B (instanceRef N_228_i)) )) - (net CmdSetRWBankFFChip6 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip6)) - (portRef A (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef CmdBitbangMXO2_3)) - (portRef A (instanceRef CmdLEDGet_3_0_a3)) - (portRef A (instanceRef CmdLEDSet_3_0_a2)) + (net RA_33_9_47_i_0_0 (joined + (portRef Z (instanceRef RA_33_9_47_i_0_0)) + (portRef D (instanceRef N_228_i)) )) - (net (rename Din_c_2 "Din_c[2]") (joined - (portRef (member din_c 5)) - (portRef C (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_391_i)) - (portRef A (instanceRef wb_adr_RNO_2)) - (portRef A (instanceRef RWMask_RNO_2)) - (portRef A (instanceRef CmdBitbangMXO2_3_3)) - (portRef A (instanceRef un1_CS_6_2)) - (portRef B (instanceRef un1_CS_4_7_0_a2)) - (portRef C (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_2)) - (portRef C (instanceRef CS6_RNO_0)) - (portRef B (instanceRef CmdLEDGet_3_0_a3)) - (portRef C (instanceRef CmdLEDSet_3_0_a2)) + (net (rename N_228_i_1z "N_228_i") (joined + (portRef Z (instanceRef N_228_i)) + (portRef N_228_i_1z) )) - (net N_412 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef D (instanceRef CmdSetRWBankFFChip_3)) - (portRef C (instanceRef CmdLEDGet_3_0_a3)) - (portRef D (instanceRef CmdLEDSet_3_0_a2)) + (net (rename RA_2 "RA[2]") (joined + (portRef (member ra 9)) + (portRef B (instanceRef N_242_i)) )) - (net N_272 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef C (instanceRef wb_cyc_stb_RNO_0)) + (net RA_33_8_65_i_0_0 (joined + (portRef Z (instanceRef RA_33_8_65_i_0_0)) + (portRef D (instanceRef N_242_i)) + )) + (net (rename N_242_i_1z "N_242_i") (joined + (portRef Z (instanceRef N_242_i)) + (portRef N_242_i_1z) + )) + (net (rename Ain_c_3 "Ain_c[3]") (joined + (portRef (member ain_c 4)) + (portRef A (instanceRef un6_RAout_i_m2_3)) + (portRef A (instanceRef N_256_i)) + )) + (net N_431 (joined + (portRef Z (instanceRef RA_33_7_83_i_0_a3)) + (portRef B (instanceRef N_256_i)) + )) + (net N_433 (joined + (portRef Z (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef C (instanceRef N_256_i)) + )) + (net (rename N_256_i_1z "N_256_i") (joined + (portRef Z (instanceRef N_256_i)) + (portRef N_256_i_1z) + )) + (net (rename Ain_c_4 "Ain_c[4]") (joined + (portRef (member ain_c 3)) + (portRef A (instanceRef un6_RAout_i_m2_4)) + (portRef A (instanceRef N_270_i)) + )) + (net N_428 (joined + (portRef Z (instanceRef RA_33_6_101_i_0_a3)) + (portRef B (instanceRef N_270_i)) + )) + (net N_430 (joined + (portRef Z (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef C (instanceRef N_270_i)) + )) + (net (rename N_270_i_1z "N_270_i") (joined + (portRef Z (instanceRef N_270_i)) + (portRef N_270_i_1z) + )) + (net (rename Ain_c_5 "Ain_c[5]") (joined + (portRef (member ain_c 2)) + (portRef A (instanceRef un6_RAout_i_m2_5)) + (portRef A (instanceRef N_284_i)) + )) + (net N_437 (joined + (portRef Z (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef N_284_i)) + )) + (net N_439 (joined + (portRef Z (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef C (instanceRef N_284_i)) + )) + (net (rename N_284_i_1z "N_284_i") (joined + (portRef Z (instanceRef N_284_i)) + (portRef N_284_i_1z) + )) + (net (rename Ain_c_6 "Ain_c[6]") (joined + (portRef (member ain_c 1)) + (portRef A (instanceRef un6_RAout_i_m2_6)) + (portRef A (instanceRef N_298_i)) + )) + (net N_434 (joined + (portRef Z (instanceRef RA_33_4_137_i_0_a3)) + (portRef B (instanceRef N_298_i)) + )) + (net N_436 (joined + (portRef Z (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef C (instanceRef N_298_i)) + )) + (net (rename N_298_i_1z "N_298_i") (joined + (portRef Z (instanceRef N_298_i)) + (portRef N_298_i_1z) + )) + (net (rename Ain_c_7 "Ain_c[7]") (joined + (portRef (member ain_c 0)) + (portRef A (instanceRef un6_RAout_i_m2_7)) + (portRef A (instanceRef N_312_i)) + )) + (net N_440 (joined + (portRef Z (instanceRef RA_33_3_155_i_0_a3)) + (portRef B (instanceRef N_312_i)) + )) + (net N_441 (joined + (portRef Z (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef C (instanceRef N_312_i)) + )) + (net (rename N_312_i_1z "N_312_i") (joined + (portRef Z (instanceRef N_312_i)) + (portRef N_312_i_1z) + )) + (net N_73 (joined + (portRef Z (instanceRef nRWE_0_i_o2_2)) + (portRef B (instanceRef nRWE_0_i_a3)) + )) + (net CmdLEDSet (joined + (portRef CmdLEDSet) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + )) + (net (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_4[0]") (joined + (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + )) + (net un1_FS_20 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) + )) + (net wb_ack (joined + (portRef wb_ack (instanceRef ufmefb)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) + )) + (net N_65 (joined + (portRef Z (instanceRef wb_we_RNO_0)) + (portRef B (instanceRef wb_we_RNO)) + )) + (net N_468 (joined + (portRef Z (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef D (instanceRef wb_we_RNO_3)) + (portRef B (instanceRef wb_we_RNO_1)) + (portRef C (instanceRef wb_we_RNO)) + )) + (net wb_we_7_iv_0_0_0_1 (joined + (portRef Z (instanceRef wb_we_RNO_1)) + (portRef D (instanceRef wb_we_RNO)) + )) + (net CmdExecMXO2_3_1 (joined + (portRef Z (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef D (instanceRef CmdExecMXO2_3_0_a5)) + (portRef D (instanceRef CmdBitbangMXO2_3_0_a5)) + )) + (net N_57 (joined + (portRef Z (instanceRef CKE_7s2_0_o2)) + (portRef A (instanceRef nCAS_0_RNO_1)) + (portRef A (instanceRef CKE_7s2_0)) + )) + (net (rename un9_VOE_0_a2_1z "un9_VOE_0_a2") (joined + (portRef Z (instanceRef un9_VOE_0_a2)) + (portRef D (instanceRef CKE_7s2_0)) + (portRef un9_VOE_0_a2_1z) + )) + (net N_555 (joined + (portRef Z (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef wb_adr_7_i_a5_1_0_0)) + )) + (net (rename un1_CKE48_i_1z "un1_CKE48_i") (joined + (portRef Z (instanceRef un1_CKE48_i)) + (portRef un1_CKE48_i_1z) + )) + (net N_458_i (joined + (portRef Z (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef N_458_i) + )) + (net (rename S_0_0_0 "S_0_0[0]") (joined + (portRef Z (instanceRef S_0_0_0)) + (portRef S_0_0_0) + )) + (net N_369 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) + (portRef A (instanceRef wb_cyc_stb_RNO)) + )) + (net N_133 (joined + (portRef Z (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef B (instanceRef RA_33_0_1_9)) + (portRef A (instanceRef RA_33_1_1_10)) + (portRef C (instanceRef RA_33_2_173_0)) + (portRef A (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef RA_33_0_11)) )) (net (rename RA_11 "RA[11]") (joined (portRef (member ra 0)) @@ -3403,301 +3272,366 @@ (portRef Z (instanceRef RA_33_0_11)) (portRef (member ra_33 0)) )) - (net Ready3_0_a4_0_a2_3 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_3)) - (portRef B (instanceRef Ready3_0_a4_0_a2)) + (net CmdLEDGet_3_0_a2_0 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef D (instanceRef CmdLEDGet_3_0_a2)) )) - (net Ready3_0_a4_0_a2_4 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_4)) - (portRef C (instanceRef Ready3_0_a4_0_a2)) + (net nCAS_7_sqmuxa_3 (joined + (portRef Z (instanceRef Ready3_0_a3_6)) + (portRef B (instanceRef nRWE_0_i_o2_2)) + (portRef A (instanceRef Ready3_0_a3)) )) - (net Ready3_0_a4_0_a2_5 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_5)) - (portRef D (instanceRef Ready3_0_a4_0_a2)) + (net Ready3_0_a3_5 (joined + (portRef Z (instanceRef Ready3_0_a3_5)) + (portRef B (instanceRef Ready3_0_a3)) + )) + (net Ready3_0_a3_7 (joined + (portRef Z (instanceRef Ready3_0_a3_7)) + (portRef C (instanceRef Ready3_0_a3)) + )) + (net un1_FS_20_1 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef D (instanceRef Ready3_0_a3)) )) (net Ready3 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2)) + (portRef Z (instanceRef Ready3_0_a3)) (portRef Ready3) )) - (net CmdLEDGet_3_0_a3_0_0 (joined - (portRef Z (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef D (instanceRef CmdLEDGet_3_0_a3)) + (net CmdRWMaskSet_3_0_a3_2 (joined + (portRef Z (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef D (instanceRef CmdRWMaskSet_3_0_a3)) )) - (net CmdLEDGet_3 (joined - (portRef Z (instanceRef CmdLEDGet_3_0_a3)) - (portRef CmdLEDGet_3) + (net CmdRWMaskSet_3 (joined + (portRef Z (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef CmdRWMaskSet_3) )) - (net N_314 (joined - (portRef Z (instanceRef S_0_0_0_a2_0)) - (portRef C (instanceRef S_0_0_0_0)) - )) - (net (rename S_0_0_0_0 "S_0_0_0[0]") (joined - (portRef Z (instanceRef S_0_0_0_0)) - (portRef S_0_0_0_0) - )) - (net (rename RA_9 "RA[9]") (joined - (portRef (member ra 2)) - (portRef B (instanceRef RA_33_0_9)) - )) - (net (rename RA_33_0_0_9 "RA_33_0_0[9]") (joined - (portRef Z (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef RA_33_0_9)) - )) - (net (rename RA_33_9 "RA_33[9]") (joined - (portRef Z (instanceRef RA_33_0_9)) - (portRef (member ra_33 2)) - )) - (net CmdBitbangMXO2_3_3 (joined - (portRef Z (instanceRef CmdBitbangMXO2_3_3)) - (portRef D (instanceRef CmdBitbangMXO2_3)) + (net (rename Din_c_3 "Din_c[3]") (joined + (portRef (member din_c 4)) + (portRef B (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef B (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef A (instanceRef RDout_3)) + (portRef A (instanceRef wb_adr_7_3)) + (portRef C (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef RWMask_RNO_3)) + (portRef A (instanceRef RWBank_3_0_3)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) (net (rename Din_c_5 "Din_c[5]") (joined (portRef (member din_c 2)) - (portRef A (instanceRef CS6_RNO_2)) - (portRef A (instanceRef RDout_5)) - (portRef B (instanceRef un1_CS_7_4_i_o2)) + (portRef C (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_4)) (portRef A (instanceRef wb_adr_RNO_5)) - (portRef B (instanceRef CmdExecMXO2_3_0)) - (portRef A (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) + (portRef A (instanceRef N_489_i)) (portRef A (instanceRef RWMask_RNO_5)) - (portRef D (instanceRef un1_CS_6_2)) (portRef A (instanceRef RWBank_3_0_5)) - (portRef B (instanceRef un1_CS_4_1)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) - (net (rename Din_c_7 "Din_c[7]") (joined - (portRef (member din_c 0)) - (portRef C (instanceRef un1_CS_6_3)) - (portRef C (instanceRef CS6_RNO)) - (portRef B (instanceRef un1_CS_2_4_0_i)) - (portRef A (instanceRef RWMask_RNO_7)) - (portRef D (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_390_i)) - (portRef C (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef A (instanceRef wb_adr_RNO_7)) - (portRef A (instanceRef RWBank_3_0_7)) - (portRef C (instanceRef CmdExecMXO2_3)) - (portRef B (instanceRef CS6_1_RNO_0)) - (portRef C (instanceRef un1_CS_4_1)) + (net N_74 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_o2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) - (net un1_CS_4_7 (joined - (portRef Z (instanceRef un1_CS_4_7_0_a2)) - (portRef D (instanceRef CS6_1_RNO_0)) - (portRef D (instanceRef un1_CS_4_1)) + (net CS_0_sqmuxa_0_a3_3_7_3 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) )) - (net N_99 (joined - (portRef Z (instanceRef un1_CS_7_4_i_o2)) - (portRef C (instanceRef CmdBitbangMXO2_3_3)) - (portRef C (instanceRef un1_CS_7_2)) - (portRef C (instanceRef CS6_1_RNO_0)) + (net wb_we_7_iv_0_0_0_0 (joined + (portRef Z (instanceRef wb_we_RNO_2)) + (portRef D (instanceRef wb_we_RNO_1)) )) - (net CS6_182_0_a2_0 (joined - (portRef Z (instanceRef CS6_RNO_1)) - (portRef D (instanceRef CS6_RNO_0)) - )) - (net wb_adr_7_5_41_a2_2_0 (joined - (portRef Z (instanceRef wb_adr_RNO_3_1)) - (portRef D (instanceRef wb_adr_RNO_1_1)) - )) - (net N_557 (joined - (portRef Z (instanceRef RA_33_1_a2_3_10)) - (portRef D (instanceRef nRAS_0_i_1)) - (portRef B (instanceRef nRAS_0_i_a2_1)) - (portRef C (instanceRef RA_33_1_1_10)) - )) - (net (rename RA_10 "RA[10]") (joined - (portRef (member ra 1)) - (portRef D (instanceRef RA_33_1_1_10)) - )) - (net (rename Ain_c_0 "Ain_c[0]") (joined - (portRef (member ain_c 7)) - (portRef A (instanceRef un6_RAout_i_m2_0)) - (portRef A (instanceRef RA_33_10_29_i_0_0)) - )) - (net N_279 (joined - (portRef Z (instanceRef RA_33_10_29_i_0_o2)) - (portRef B (instanceRef RA_33_10_29_i_0_0)) - )) - (net (rename Ain_c_2 "Ain_c[2]") (joined - (portRef (member ain_c 5)) - (portRef A (instanceRef un6_RAout_i_m2_i_m2_2)) - (portRef A (instanceRef RA_33_8_65_i_0_0_0)) - )) - (net N_280 (joined - (portRef Z (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef RA_33_8_65_i_0_0_0)) + (net (rename un1_CmdBitbangMXO212_1_0_0_tz_0 "un1_CmdBitbangMXO212_1_0_0_tz[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) )) (net (rename Ain_c_1 "Ain_c[1]") (joined (portRef (member ain_c 6)) (portRef A (instanceRef un6_RAout_i_m2_1)) (portRef A (instanceRef RA_33_9_47_i_0_0)) )) - (net N_278 (joined + (net N_416 (joined (portRef Z (instanceRef RA_33_9_47_i_0_o2)) (portRef B (instanceRef RA_33_9_47_i_0_0)) )) - (net (rename CmdTout_0 "CmdTout[0]") (joined - (portRef (member cmdtout 2)) - (portRef A (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef A (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef A (instanceRef N_351_i)) - (portRef A (instanceRef N_350_i)) + (net (rename Ain_c_0 "Ain_c[0]") (joined + (portRef (member ain_c 7)) + (portRef A (instanceRef un6_RAout_i_m2_0)) + (portRef A (instanceRef RA_33_10_29_i_0_0)) )) - (net (rename CmdTout_1 "CmdTout[1]") (joined - (portRef (member cmdtout 1)) - (portRef B (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef B (instanceRef N_351_i)) - (portRef B (instanceRef N_350_i)) + (net N_103 (joined + (portRef Z (instanceRef RA_33_10_29_i_0_o2)) + (portRef B (instanceRef RA_33_10_29_i_0_0)) )) - (net (rename CmdTout_2 "CmdTout[2]") (joined - (portRef (member cmdtout 0)) - (portRef C (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef N_350_i)) + (net (rename Ain_c_2 "Ain_c[2]") (joined + (portRef (member ain_c 5)) + (portRef A (instanceRef un6_RAout_i_m2_2)) + (portRef A (instanceRef RA_33_8_65_i_0_0)) )) - (net (rename N_350_i_1z "N_350_i") (joined - (portRef Z (instanceRef N_350_i)) - (portRef N_350_i_1z) + (net N_102 (joined + (portRef Z (instanceRef RA_33_8_65_i_0_o2)) + (portRef B (instanceRef RA_33_8_65_i_0_0)) )) - (net N_310 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_dati_7_0_a2_3)) - )) - (net N_190 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_4)) - (portRef A (instanceRef wb_dati_7_0_a2_4)) + (net (rename RA_4 "RA[4]") (joined + (portRef (member ra 7)) + (portRef B (instanceRef RA_33_6_101_i_0_a3_1)) )) (net Ready (joined (portRef Ready) - (portRef B (instanceRef RDout_5)) (portRef B (instanceRef RDout_3)) - (portRef B (instanceRef RDout_0)) - (portRef B (instanceRef RDout_4)) - (portRef B (instanceRef RDout_6)) - (portRef B (instanceRef un1_LED_i)) (portRef C (instanceRef S_1)) - (portRef B (instanceRef N_392_i)) - (portRef B (instanceRef N_391_i)) - (portRef B (instanceRef N_390_i)) + (portRef B (instanceRef N_492_i)) + (portRef B (instanceRef N_491_i)) + (portRef B (instanceRef N_359_i)) + (portRef B (instanceRef N_490_i)) + (portRef B (instanceRef N_489_i)) + (portRef B (instanceRef N_358_i)) + (portRef B (instanceRef N_488_i)) + (portRef B (instanceRef LEDEN_RNI6G6M)) (portRef B (instanceRef RDOE_2_0)) )) (net RDOE_2 (joined (portRef Z (instanceRef RDOE_2_0)) (portRef RDOE_2) )) + (net N_138 (joined + (portRef Z (instanceRef un1_nDOE_0_a2_0)) + (portRef A (instanceRef CKE_7s2_0_o2)) + )) + (net (rename RA_5 "RA[5]") (joined + (portRef (member ra 6)) + (portRef B (instanceRef RA_33_5_119_i_0_a3_1)) + )) + (net (rename RA_3 "RA[3]") (joined + (portRef (member ra 8)) + (portRef B (instanceRef RWSel_2)) + (portRef B (instanceRef RA_33_7_83_i_0_a3_1)) + )) + (net (rename RA_7 "RA[7]") (joined + (portRef (member ra 4)) + (portRef B (instanceRef RA_33_3_155_i_0_a3_1)) + )) + (net (rename FS_14 "FS[14]") (joined + (portRef (member fs 1)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef A (instanceRef wb_rst6)) + (portRef A (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef B (instanceRef wb_adr_RNO_6)) + (portRef B (instanceRef wb_adr_RNO_5)) + (portRef B (instanceRef wb_adr_RNO_4)) + (portRef B (instanceRef wb_adr_RNO_0_1)) + (portRef D (instanceRef Ready3_0_a3_5)) + (portRef A (instanceRef wb_reqc_2)) + (portRef C (instanceRef RA_33_3_155_i_0_a3)) + (portRef A (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + )) + (net (rename RA_6 "RA[6]") (joined + (portRef (member ra 5)) + (portRef B (instanceRef RA_33_4_137_i_0_a3_1)) + )) + (net (rename FS_1 "FS[1]") (joined + (portRef (member fs 14)) + (portRef A (instanceRef Ready3_0_a3_6)) + (portRef A (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef RA_33_10_29_i_0_o2)) + (portRef A (instanceRef RA_33_1_a2_3_10)) + (portRef A (instanceRef un1_FS_32_i_i_o2)) + (portRef A (instanceRef nCAS_0_RNO_0)) + (portRef A (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_3 "FS[3]") (joined + (portRef (member fs 12)) + (portRef A (instanceRef un1_FS_32_i_i_o2_0)) + (portRef C (instanceRef Ready3_0_a3_6)) + (portRef C (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef RA_33_8_65_i_0_o2)) + (portRef B (instanceRef RA_33_10_29_i_0_o2)) + (portRef A (instanceRef RA_33_9_47_i_0_o2)) + (portRef B (instanceRef nRWE_0_i_a3_0_0)) + (portRef A (instanceRef RA_33_5_119_i_0_a3)) + (portRef A (instanceRef RA_33_4_137_i_0_a3)) + (portRef A (instanceRef RA_33_3_155_i_0_a3)) + (portRef A (instanceRef RA_33_7_83_i_0_a3)) + (portRef A (instanceRef RA_33_6_101_i_0_a3)) + (portRef C (instanceRef nCAS_0_RNO_0)) + (portRef B (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_4 "FS[4]") (joined + (portRef (member fs 11)) + (portRef A (instanceRef RA_33_0_9)) + (portRef B (instanceRef un1_FS_32_i_i_o2_0)) + (portRef D (instanceRef Ready3_0_a3_6)) + (portRef D (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef B (instanceRef RA_33_8_65_i_0_o2)) + (portRef C (instanceRef RA_33_10_29_i_0_o2)) + (portRef B (instanceRef RA_33_9_47_i_0_o2)) + (portRef C (instanceRef nRWE_0_i_a3_0_0)) + (portRef B (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef RA_33_1_a2_3_10)) + (portRef B (instanceRef RA_33_4_137_i_0_a3)) + (portRef B (instanceRef RA_33_3_155_i_0_a3)) + (portRef B (instanceRef RA_33_7_83_i_0_a3)) + (portRef B (instanceRef RA_33_6_101_i_0_a3)) + (portRef D (instanceRef nCAS_0_RNO_0)) + (portRef C (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_2 "FS[2]") (joined + (portRef (member fs 13)) + (portRef B (instanceRef Ready3_0_a3_6)) + (portRef B (instanceRef un1_FS_32_i_i_o2_3)) + (portRef B (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef nRWE_0_i_a3_0_0)) + (portRef B (instanceRef nCAS_0_RNO_0)) + )) (net wb_reqc_2 (joined (portRef Z (instanceRef wb_reqc_2)) (portRef D (instanceRef wb_req_RNO)) )) - (net N_511 (joined - (portRef Z (instanceRef RA_33_2_173_1_a2)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) + (net N_98 (joined + (portRef Z (instanceRef RA_33_2_173_0_a2)) + (portRef A (instanceRef RA_33_2_173_0)) + )) + (net N_99 (joined + (portRef Z (instanceRef RA_33_2_173_a2_0)) + (portRef B (instanceRef RA_33_2_173_0)) )) (net (rename RWBank_1 "RWBank[1]") (joined (portRef (member rwbank 6)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) + (portRef D (instanceRef RA_33_2_173_0)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - (portRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0) + (net (rename RA_33_2_173_0_1z "RA_33_2_173_0") (joined + (portRef Z (instanceRef RA_33_2_173_0)) + (portRef RA_33_2_173_0_1z) )) - (net CmdSetRWBankFFChip_3_5 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef CmdExecMXO2_3)) - (portRef A (instanceRef CmdSetRWBankFFChip_3)) + (net un2_RDOE_1 (joined + (portRef Z (instanceRef un2_RDOE_1)) + (portRef D (instanceRef nCAS_0_RNO)) + (portRef D (instanceRef RA_33_1_a2_10)) )) - (net (rename CmdSetRWBankFFChip_3_1z "CmdSetRWBankFFChip_3") (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3)) - (portRef CmdSetRWBankFFChip_3_1z) + (net CS_0_sqmuxa_0_a3_3_8_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8)) )) - (net CmdExecMXO2_3_0 (joined - (portRef Z (instanceRef CmdExecMXO2_3_0)) - (portRef D (instanceRef CmdExecMXO2_3)) + (net (rename Din_c_4 "Din_c[4]") (joined + (portRef (member din_c 3)) + (portRef A (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef B (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef A (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef A (instanceRef wb_adr_RNO_4)) + (portRef A (instanceRef N_490_i)) + (portRef A (instanceRef RWMask_RNO_4)) + (portRef B (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_4)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net (rename un1_CKE48_0_i_1z "un1_CKE48_0_i") (joined - (portRef Z (instanceRef un1_CKE48_0_i)) - (portRef un1_CKE48_0_i_1z) + (net N_391 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_4)) + (portRef B (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef C (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net (rename RWBank_2 "RWBank[2]") (joined - (portRef (member rwbank 5)) - (portRef D (instanceRef RA_33_0_0_9)) + (net N_396 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef C (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net nRAS_0_i_210_tz_tz_0 (joined - (portRef Z (instanceRef nRAS_0_i_1_RNO_0)) - (portRef C (instanceRef nRAS_0_i_1_RNO)) + (net nCAS_0_sqmuxa_1_3 (joined + (portRef Z (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef nRWE_0_i_o2_2)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) )) - (net nRAS_0_i_210_0 (joined - (portRef Z (instanceRef nRAS_0_i_1_RNO)) - (portRef B (instanceRef nRAS_0_i_1)) + (net un1_FS_33_3 (joined + (portRef Z (instanceRef un1_FS_33_3)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) )) - (net (rename FS_3 "FS[3]") (joined - (portRef (member fs 12)) - (portRef A (instanceRef Ready3_0_a4_0_a2_5)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef A (instanceRef nRWE_0_i_o3_0)) - (portRef A (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef RA_33_10_29_i_0_o2)) - (portRef A (instanceRef RA_33_9_47_i_0_o2)) - (portRef B (instanceRef nRWE_0_i_a2_1_1)) - (portRef A (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef A (instanceRef RA_33_6_101_i_0_a2)) - (portRef A (instanceRef RA_33_7_83_i_0_a2)) - (portRef A (instanceRef RA_33_3_155_i_0_a2)) - (portRef A (instanceRef RA_33_4_137_i_0_a2)) - (portRef C (instanceRef nCAS_0_i_o2)) + (net (rename RA_10 "RA[10]") (joined + (portRef (member ra 1)) + (portRef B (instanceRef RA_33_1_1_10)) )) - (net N_200 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) + (net (rename RWBankZ0Z_3 "RWBank[3]") (joined + (portRef (member rwbank 4)) + (portRef C (instanceRef RA_33_1_1_10)) + )) + (net (rename RA_33_1_0_tz_10 "RA_33_1_0_tz[10]") (joined + (portRef Z (instanceRef RA_33_1_0_tz_10)) + (portRef D (instanceRef RA_33_1_1_10)) + )) + (net N_481 (joined + (portRef Z (instanceRef wb_we_RNO_3)) + (portRef B (instanceRef wb_we_RNO_2)) )) (net (rename RWBank_0 "RWBank[0]") (joined (portRef (member rwbank 7)) - (portRef B (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef B (instanceRef N_443_i)) + (portRef B (instanceRef DQML_4_iv_0_17)) + (portRef B (instanceRef N_194_i)) )) - (net (rename N_443_i_1z "N_443_i") (joined - (portRef Z (instanceRef N_443_i)) - (portRef N_443_i_1z) + (net (rename N_194_i_1z "N_194_i") (joined + (portRef Z (instanceRef N_194_i)) + (portRef N_194_i_1z) )) - (net (rename N_389_i_1z "N_389_i") (joined - (portRef Z (instanceRef N_389_i)) - (portRef N_389_i_1z) + (net (rename N_346_i_1z "N_346_i") (joined + (portRef Z (instanceRef N_346_i)) + (portRef N_346_i_1z) )) - (net (rename N_351_i_1z "N_351_i") (joined - (portRef Z (instanceRef N_351_i)) - (portRef N_351_i_1z) - )) - (net (rename N_117_i_1z "N_117_i") (joined - (portRef Z (instanceRef N_117_i)) - (portRef N_117_i_1z) + (net (rename N_347_i_1z "N_347_i") (joined + (portRef Z (instanceRef N_347_i)) + (portRef N_347_i_1z) )) (net RWBank10 (joined (portRef Z (instanceRef RWBank10)) - (portRef B (instanceRef RWBank_3_0_4)) - (portRef B (instanceRef RWBank_3_0_2)) (portRef B (instanceRef RWBank_3_0_1)) - (portRef B (instanceRef RWBank_3_0_0)) + (portRef B (instanceRef RWBank_3_0_2)) (portRef B (instanceRef RWBank_3_0_3)) + (portRef B (instanceRef RWBank_3_0_4)) (portRef B (instanceRef RWBank_3_0_5)) (portRef B (instanceRef RWBank_3_0_6)) (portRef B (instanceRef RWBank_3_0_7)) + (portRef B (instanceRef RWBank_3_0_0)) + )) + (net (rename RWBank_3_0 "RWBank_3[0]") (joined + (portRef Z (instanceRef RWBank_3_0_0)) + (portRef (member rwbank_3 7)) + )) + (net (rename CmdTout_0 "CmdTout[0]") (joined + (portRef (member cmdtout 2)) + (portRef A (instanceRef CmdTout_3_0)) + (portRef A (instanceRef CmdTout_3_1)) + (portRef A (instanceRef CS12)) + (portRef A (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_1 "CmdTout[1]") (joined + (portRef (member cmdtout 1)) + (portRef B (instanceRef CmdTout_3_1)) + (portRef B (instanceRef CS12)) + (portRef B (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_2 "CmdTout[2]") (joined + (portRef (member cmdtout 0)) + (portRef C (instanceRef CS12)) + (portRef C (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_3_2 "CmdTout_3[2]") (joined + (portRef Z (instanceRef CmdTout_3_2)) + (portRef (member cmdtout_3 0)) + )) + (net (rename Din_c_7 "Din_c[7]") (joined + (portRef (member din_c 0)) + (portRef B (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef C (instanceRef CS_0_sqmuxa_0_o2)) + (portRef C (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_4)) + (portRef A (instanceRef RWMask_RNO_7)) + (portRef A (instanceRef N_488_i)) + (portRef A (instanceRef wb_adr_RNO_7)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_7)) )) (net (rename RWBank_3_7 "RWBank_3[7]") (joined (portRef Z (instanceRef RWBank_3_0_7)) (portRef (member rwbank_3 0)) )) - (net (rename Din_c_6 "Din_c[6]") (joined - (portRef (member din_c 1)) - (portRef D (instanceRef un1_CS_6_3)) - (portRef A (instanceRef un1_CS_2_4_0_i)) - (portRef A (instanceRef RDout_6)) - (portRef A (instanceRef wb_adr_RNO_6)) - (portRef C (instanceRef CmdExecMXO2_3_0)) - (portRef C (instanceRef CS6_RNO_1)) - (portRef B (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef A (instanceRef RWMask_RNO_6)) - (portRef B (instanceRef un1_CS_7_2)) - (portRef D (instanceRef un1_CS_4_7_0_a2)) - (portRef A (instanceRef RWBank_3_0_6)) - )) (net (rename RWBank_3_6 "RWBank_3[6]") (joined (portRef Z (instanceRef RWBank_3_0_6)) (portRef (member rwbank_3 1)) @@ -3706,88 +3640,80 @@ (portRef Z (instanceRef RWBank_3_0_5)) (portRef (member rwbank_3 2)) )) + (net (rename RWBank_3_4 "RWBank_3[4]") (joined + (portRef Z (instanceRef RWBank_3_0_4)) + (portRef (member rwbank_3 3)) + )) (net (rename RWBank_3_3 "RWBank_3[3]") (joined (portRef Z (instanceRef RWBank_3_0_3)) (portRef (member rwbank_3 4)) )) - (net (rename RWBank_3_0 "RWBank_3[0]") (joined - (portRef Z (instanceRef RWBank_3_0_0)) - (portRef (member rwbank_3 7)) - )) - (net (rename RWBank_3_1 "RWBank_3[1]") (joined - (portRef Z (instanceRef RWBank_3_0_1)) - (portRef (member rwbank_3 6)) + (net (rename Din_c_2 "Din_c[2]") (joined + (portRef (member din_c 5)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef A (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef A (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef A (instanceRef N_359_i)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef wb_adr_RNO_2)) + (portRef A (instanceRef RWMask_RNO_2)) + (portRef A (instanceRef RWBank_3_0_2)) )) (net (rename RWBank_3_2 "RWBank_3[2]") (joined (portRef Z (instanceRef RWBank_3_0_2)) (portRef (member rwbank_3 5)) )) - (net (rename RWBank_3_4 "RWBank_3[4]") (joined - (portRef Z (instanceRef RWBank_3_0_4)) - (portRef (member rwbank_3 3)) + (net (rename RWBank_3_1 "RWBank_3[1]") (joined + (portRef Z (instanceRef RWBank_3_0_1)) + (portRef (member rwbank_3 6)) )) - (net nC07X_c (joined - (portRef nC07X_c) - (portRef C (instanceRef RWSel_2_0_a2)) + (net (rename FS_0 "FS[0]") (joined + (portRef (member fs 15)) + (portRef A (instanceRef un1_FS_32_i_i_o2_3)) + (portRef A (instanceRef Ready3_0_a3_7)) + (portRef A (instanceRef nCAS_0_sqmuxa)) )) - (net nWE_c (joined - (portRef nWE_c) - (portRef B (instanceRef un1_nDOE_i)) - (portRef C (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef RA_33_1_a3_10)) - (portRef B (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef C (instanceRef nRAS_0_i_a2_0_2)) - (portRef D (instanceRef RWSel_2_0_a2)) - )) - (net RWSel_2 (joined - (portRef Z (instanceRef RWSel_2_0_a2)) - (portRef RWSel_2) - )) - (net (rename FS_5 "FS[5]") (joined - (portRef (member fs 10)) - (portRef B (instanceRef Ready3_0_a4_0_a2_3)) - (portRef C (instanceRef RA_33_9_47_i_0_o2)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) - )) - (net (rename FS_6 "FS[6]") (joined - (portRef (member fs 9)) - (portRef C (instanceRef Ready3_0_a4_0_a2_3)) - (portRef C (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (net LED_c (joined + (portRef Z (instanceRef LEDEN_RNI6G6M)) + (portRef LED_c) )) (net (rename FS_7 "FS[7]") (joined (portRef (member fs 8)) - (portRef D (instanceRef Ready3_0_a4_0_a2_3)) + (portRef C (instanceRef Ready3_0_a3_5)) + (portRef C (instanceRef un1_FS_33_3)) (portRef D (instanceRef RA_33_10_29_i_0_o2)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (portRef B (instanceRef un1_FS_32_i_i_o2)) )) - (net (rename un1_CmdBitbangMXO212_1_i_o3_2_0 "un1_CmdBitbangMXO212_1_i_o3_2[0]") (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (net N_362 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2_0)) + (portRef C (instanceRef un1_FS_32_i_i_o2)) )) - (net N_228_i (joined - (portRef Z (instanceRef wb_adr_RNO_2_1)) - (portRef C (instanceRef wb_adr_RNO_0_1)) + (net un1_FS_32_i_i_o2_3 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2_3)) + (portRef D (instanceRef un1_FS_32_i_i_o2)) )) - (net un1_CS_7_1 (joined - (portRef Z (instanceRef un1_CS_7_1)) - (portRef D (instanceRef un1_CS_7_2)) + (net nC07X_c (joined + (portRef nC07X_c) + (portRef C (instanceRef RWSel_2)) )) - (net un1_CS_6_2 (joined - (portRef Z (instanceRef un1_CS_6_2)) - (portRef A (instanceRef un1_CS_6_3)) + (net nWE_c (joined + (portRef nWE_c) + (portRef C (instanceRef RDOE_2_0_a5)) + (portRef B (instanceRef un1_nDOE_i)) + (portRef B (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef B (instanceRef un2_RDOE_1)) + (portRef B (instanceRef un1_nDOE_0_a2_0)) + (portRef D (instanceRef RWSel_2)) )) - (net N_388 (joined - (portRef Z (instanceRef un1_CS_2_4_0_i)) - (portRef D (instanceRef CmdBitbangMXO2_3_3)) + (net (rename RWSel_2_1z "RWSel_2") (joined + (portRef Z (instanceRef RWSel_2)) + (portRef RWSel_2_1z) )) - (net nRAS_0_i_a2_0_1 (joined - (portRef Z (instanceRef nRAS_0_i_a2_0_1)) - (portRef D (instanceRef nRAS_0_i_a2_0_2)) - )) - (net nRAS_0_i_a2_0_2 (joined - (portRef Z (instanceRef nRAS_0_i_a2_0_2)) - (portRef A (instanceRef nRAS_0_i_1)) + (net (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_3[0]") (joined + (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) )) (net (rename wb_dato_0 "wb_dato[0]") (joined (portRef (member wb_dato 7) (instanceRef ufmefb)) @@ -3818,25 +3744,71 @@ (portRef (member wb_dato 1) (instanceRef ufmefb)) (portRef C (instanceRef RWMask_RNO_6)) )) - (net (rename DQMH_4_iv_0_0_i_0_i_a2_1z "DQMH_4_iv_0_0_i_0_i_a2") (joined - (portRef Z (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef DQMH_4_iv_0_0_i_0_i_a2_1z) + (net (rename FS_5 "FS[5]") (joined + (portRef (member fs 10)) + (portRef A (instanceRef Ready3_0_a3_5)) + (portRef C (instanceRef un1_FS_32_i_i_o2_3)) + (portRef A (instanceRef un1_FS_33_3)) + (portRef C (instanceRef RA_33_9_47_i_0_o2)) + )) + (net (rename FS_6 "FS[6]") (joined + (portRef (member fs 9)) + (portRef B (instanceRef Ready3_0_a3_5)) + (portRef D (instanceRef un1_FS_32_i_i_o2_3)) + (portRef B (instanceRef un1_FS_33_3)) + (portRef C (instanceRef RA_33_8_65_i_0_o2)) + )) + (net N_197 (joined + (portRef Z (instanceRef DQML_4_iv_0_17)) + (portRef N_197) + )) + (net (rename CmdTout_3_1 "CmdTout_3[1]") (joined + (portRef Z (instanceRef CmdTout_3_1)) + (portRef (member cmdtout_3 1)) + )) + (net CmdLEDGet (joined + (portRef CmdLEDGet) + (portRef A (instanceRef RWBank10)) + )) + (net CmdSetRWBankFF (joined + (portRef CmdSetRWBankFF) + (portRef B (instanceRef RWBank10)) )) (net (rename RA_8 "RA[8]") (joined (portRef (member ra 3)) - (portRef A (instanceRef RA_33_2_173_1_a2)) + (portRef A (instanceRef RA_33_2_173_0_a2)) )) - (net (rename N_390_i_1z "N_390_i") (joined - (portRef Z (instanceRef N_390_i)) - (portRef N_390_i_1z) + (net (rename N_488_i_1z "N_488_i") (joined + (portRef Z (instanceRef N_488_i)) + (portRef N_488_i_1z) )) - (net (rename N_391_i_1z "N_391_i") (joined - (portRef Z (instanceRef N_391_i)) - (portRef N_391_i_1z) + (net (rename N_358_i_1z "N_358_i") (joined + (portRef Z (instanceRef N_358_i)) + (portRef N_358_i_1z) )) - (net (rename N_392_i_1z "N_392_i") (joined - (portRef Z (instanceRef N_392_i)) - (portRef N_392_i_1z) + (net (rename N_489_i_1z "N_489_i") (joined + (portRef Z (instanceRef N_489_i)) + (portRef N_489_i_1z) + )) + (net (rename N_490_i_1z "N_490_i") (joined + (portRef Z (instanceRef N_490_i)) + (portRef N_490_i_1z) + )) + (net (rename N_359_i_1z "N_359_i") (joined + (portRef Z (instanceRef N_359_i)) + (portRef N_359_i_1z) + )) + (net (rename N_491_i_1z "N_491_i") (joined + (portRef Z (instanceRef N_491_i)) + (portRef N_491_i_1z) + )) + (net (rename N_492_i_1z "N_492_i") (joined + (portRef Z (instanceRef N_492_i)) + (portRef N_492_i_1z) + )) + (net (rename RWBank_7 "RWBank[7]") (joined + (portRef (member rwbank 0)) + (portRef A (instanceRef RA_33_2_173_a2_0)) )) (net PHI1_c (joined (portRef PHI1_c) @@ -3846,68 +3818,16 @@ (portRef PHI1r) (portRef B (instanceRef S_1)) )) - (net CmdLEDGet (joined - (portRef CmdLEDGet) - (portRef A (instanceRef RWBank10)) - )) - (net CmdSetRWBankFFChip (joined - (portRef CmdSetRWBankFFChip) - (portRef B (instanceRef RWBank10)) - )) - (net CmdSetRWBankFFLED (joined - (portRef CmdSetRWBankFFLED) - (portRef C (instanceRef RWBank10)) - )) - (net LED_c (joined - (portRef Z (instanceRef un1_LED_i)) - (portRef LED_c) - )) - (net (rename wb_dato_7 "wb_dato[7]") (joined - (portRef (member wb_dato 0) (instanceRef ufmefb)) - (portRef C (instanceRef RWMask_RNO_7)) - )) (net RAT (joined (portRef RAT) - (portRef B (instanceRef un6_RAout_i_m2_0)) + (portRef B (instanceRef un6_RAout_i_m2_7)) + (portRef B (instanceRef un6_RAout_i_m2_6)) + (portRef B (instanceRef un6_RAout_i_m2_5)) + (portRef B (instanceRef un6_RAout_i_m2_2)) (portRef B (instanceRef un6_RAout_i_m2_1)) + (portRef B (instanceRef un6_RAout_i_m2_0)) (portRef B (instanceRef un6_RAout_i_m2_3)) (portRef B (instanceRef un6_RAout_i_m2_4)) - (portRef B (instanceRef un6_RAout_i_m2_6)) - (portRef B (instanceRef un6_RAout_i_m2_7)) - (portRef B (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef B (instanceRef un6_RAout_i_m2_i_m2_2)) - )) - (net (rename RAr_2 "RAr[2]") (joined - (portRef (member rar 5)) - (portRef C (instanceRef un6_RAout_i_m2_i_m2_2)) - )) - (net (rename RAout_c_2 "RAout_c[2]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_i_m2_2)) - (portRef (member raout_c 5)) - )) - (net (rename RAr_5 "RAr[5]") (joined - (portRef (member rar 2)) - (portRef C (instanceRef un6_RAout_i_m2_i_m2_5)) - )) - (net (rename RAout_c_5 "RAout_c[5]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef (member raout_c 2)) - )) - (net (rename RAr_7 "RAr[7]") (joined - (portRef (member rar 0)) - (portRef C (instanceRef un6_RAout_i_m2_7)) - )) - (net (rename RAout_c_7 "RAout_c[7]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_7)) - (portRef (member raout_c 0)) - )) - (net (rename RAr_6 "RAr[6]") (joined - (portRef (member rar 1)) - (portRef C (instanceRef un6_RAout_i_m2_6)) - )) - (net (rename RAout_c_6 "RAout_c[6]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_6)) - (portRef (member raout_c 1)) )) (net (rename RAr_4 "RAr[4]") (joined (portRef (member rar 3)) @@ -3925,13 +3845,9 @@ (portRef Z (instanceRef un6_RAout_i_m2_3)) (portRef (member raout_c 4)) )) - (net (rename RAr_1 "RAr[1]") (joined - (portRef (member rar 6)) - (portRef C (instanceRef un6_RAout_i_m2_1)) - )) - (net (rename RAout_c_1 "RAout_c[1]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_1)) - (portRef (member raout_c 6)) + (net (rename wb_dato_7 "wb_dato[7]") (joined + (portRef (member wb_dato 0) (instanceRef ufmefb)) + (portRef C (instanceRef RWMask_RNO_7)) )) (net (rename RAr_0 "RAr[0]") (joined (portRef (member rar 7)) @@ -3941,37 +3857,45 @@ (portRef Z (instanceRef un6_RAout_i_m2_0)) (portRef (member raout_c 7)) )) - (net (rename RDout_6 "RDout[6]") (joined - (portRef Z (instanceRef RDout_6)) - (portRef RDout_6) + (net (rename RAr_1 "RAr[1]") (joined + (portRef (member rar 6)) + (portRef C (instanceRef un6_RAout_i_m2_1)) )) - (net (rename RDout_4 "RDout[4]") (joined - (portRef Z (instanceRef RDout_4)) - (portRef RDout_4) + (net (rename RAout_c_1 "RAout_c[1]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_1)) + (portRef (member raout_c 6)) )) - (net (rename RDout_0 "RDout[0]") (joined - (portRef Z (instanceRef RDout_0)) - (portRef RDout_0) + (net (rename RAr_2 "RAr[2]") (joined + (portRef (member rar 5)) + (portRef C (instanceRef un6_RAout_i_m2_2)) )) - (net (rename RDout_3 "RDout[3]") (joined - (portRef Z (instanceRef RDout_3)) - (portRef RDout_3) + (net (rename RAout_c_2 "RAout_c[2]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_2)) + (portRef (member raout_c 5)) )) - (net (rename RDout_5 "RDout[5]") (joined - (portRef Z (instanceRef RDout_5)) - (portRef RDout_5) + (net (rename RAr_5 "RAr[5]") (joined + (portRef (member rar 2)) + (portRef C (instanceRef un6_RAout_i_m2_5)) )) - (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined - (portRef Z (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef CmdTout_3_0) + (net (rename RAout_c_5 "RAout_c[5]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_5)) + (portRef (member raout_c 2)) )) - (net (rename RWBank_6 "RWBank[6]") (joined - (portRef (member rwbank 1)) - (portRef A (instanceRef BA_3_1)) + (net (rename RAr_6 "RAr[6]") (joined + (portRef (member rar 1)) + (portRef C (instanceRef un6_RAout_i_m2_6)) )) - (net (rename BA_3_1 "BA_3[1]") (joined - (portRef Z (instanceRef BA_3_1)) - (portRef (member ba_3 0)) + (net (rename RAout_c_6 "RAout_c[6]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_6)) + (portRef (member raout_c 1)) + )) + (net (rename RAr_7 "RAr[7]") (joined + (portRef (member rar 0)) + (portRef C (instanceRef un6_RAout_i_m2_7)) + )) + (net (rename RAout_c_7 "RAout_c[7]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_7)) + (portRef (member raout_c 0)) )) (net (rename RWBank_5 "RWBank[5]") (joined (portRef (member rwbank 2)) @@ -3981,13 +3905,62 @@ (portRef Z (instanceRef BA_3_0)) (portRef (member ba_3 1)) )) - (net CKE_7s2_0_0_1 (joined - (portRef Z (instanceRef CKE_7s2_0_0_1)) - (portRef D (instanceRef CKE_7s2_0_0)) + (net (rename RWBank_6 "RWBank[6]") (joined + (portRef (member rwbank 1)) + (portRef A (instanceRef BA_3_1)) )) - (net CS6_182_0_o2_1 (joined - (portRef Z (instanceRef CS6_RNO_2)) - (portRef D (instanceRef CS6_RNO)) + (net (rename BA_3_1 "BA_3[1]") (joined + (portRef Z (instanceRef BA_3_1)) + (portRef (member ba_3 0)) + )) + (net N_523_1 (joined + (portRef Z (instanceRef VOE_2_0_a2)) + (portRef B (instanceRef RDOE_2_0_a5)) + (portRef N_523_1) + )) + (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined + (portRef Z (instanceRef CmdTout_3_0)) + (portRef (member cmdtout_3 2)) + )) + (net (rename RDout_0 "RDout[3]") (joined + (portRef Z (instanceRef RDout_3)) + (portRef RDout_0) + )) + (net CS_0_sqmuxa_0_o2_1 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef D (instanceRef CS_0_sqmuxa_0_o2)) + )) + (net CS_0_sqmuxa_0_a3_3_8_1 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8)) + )) + (net (rename RA_9 "RA[9]") (joined + (portRef (member ra 2)) + (portRef C (instanceRef RA_33_0_1_9)) + )) + (net (rename RWBank_2 "RWBank[2]") (joined + (portRef (member rwbank 5)) + (portRef D (instanceRef RA_33_0_1_9)) + )) + (net (rename RA_33_0_1_9 "RA_33_0_1[9]") (joined + (portRef Z (instanceRef RA_33_0_1_9)) + (portRef D (instanceRef RA_33_0_9)) + )) + (net (rename RA_33_9 "RA_33[9]") (joined + (portRef Z (instanceRef RA_33_0_9)) + (portRef (member ra_33 2)) + )) + (net (rename wb_adr_7_i_0_1_0 "wb_adr_7_i_0_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_1_0)) + (portRef C (instanceRef wb_adr_7_i_0_0)) + )) + (net (rename wb_adr_7_i_0_1_1_0 "wb_adr_7_i_0_1_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef D (instanceRef wb_adr_7_i_0_1_0)) + )) + (net nCAS_0_192_i_1_0_1 (joined + (portRef Z (instanceRef nCAS_0_RNO_1)) + (portRef C (instanceRef nCAS_0_RNO)) )) (net DOEEN (joined (portRef DOEEN) @@ -3998,7 +3971,7 @@ (portRef nDOE_c) )) (net Vout3 (joined - (portRef Z (instanceRef Vout3_0_a2_1_a2)) + (portRef Z (instanceRef Vout3_0_a2)) (portRef Vout3) )) ) @@ -4040,6 +4013,9 @@ ) (instance (rename RC_RNO_0 "RC_RNO[0]") (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance RDOE_RNIAM8C (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance DOEEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (!B !A))")) + ) (instance Ready_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) @@ -4179,18 +4155,18 @@ ) (instance (rename RC_2 "RC[2]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance (rename RAr_0 "RAr[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_0 "RA[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance (rename RAr_0 "RAr[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + ) (instance (rename RAr_1 "RAr[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RA_1 "RA[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance (rename RAr_2 "RAr[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_2 "RA[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance (rename RAr_2 "RAr[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + ) (instance (rename RAr_3 "RAr[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RA_3 "RA[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) @@ -4199,14 +4175,14 @@ ) (instance (rename RAr_4 "RAr[4]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance (rename RAr_5 "RAr[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_5 "RA[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance (rename RA_6 "RA[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance (rename RAr_5 "RAr[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RAr_6 "RAr[6]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance (rename RA_6 "RA[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) (instance (rename RA_7 "RA[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance (rename RAr_7 "RAr[7]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -4264,11 +4240,9 @@ (instance (rename CmdTout_2 "CmdTout[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CmdSetRWBankFFLED (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance CmdSetRWBankFF (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CmdSetRWBankFFChip (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) (instance CmdRWMaskSet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) @@ -4362,13 +4336,13 @@ ) (instance C14M_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) ) (instance VOE_i_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D A)")) + (property lut_function (string "(!D (!C+(!B+!A))+D (B+A))")) ) (instance (rename RC_RNO_2 "RC_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+!A))")) ) (instance RATc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (property lut_function (string "(!C+(B+A))")) ) (instance RefReq_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) @@ -4441,25 +4415,30 @@ (portRef SP (instanceRef CmdTout_1)) (portRef SP (instanceRef CmdTout_0)) )) - (net un9_VOE_0_a2_0_a2 (joined - (portRef un9_VOE_0_a2_0_a2_1z (instanceRef ram2e_ufm)) + (net un9_VOE_0_a2 (joined + (portRef un9_VOE_0_a2_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef RWSel)) )) (net (rename S_0 "S[0]") (joined (portRef Q (instanceRef S_0)) (portRef (member s 3) (instanceRef ram2e_ufm)) (portRef A (instanceRef RATc)) + (portRef A (instanceRef VOE_i_0io_RNO)) + (portRef C (instanceRef DOEEN_RNO)) )) (net (rename S_1 "S[1]") (joined (portRef Q (instanceRef S_1)) (portRef (member s 2) (instanceRef ram2e_ufm)) - (portRef B (instanceRef RATc)) + (portRef B (instanceRef VOE_i_0io_RNO)) + (portRef D (instanceRef RAT)) + (portRef B (instanceRef DOEEN_RNO)) )) (net (rename S_2 "S[2]") (joined (portRef Q (instanceRef S_2)) (portRef (member s 1) (instanceRef ram2e_ufm)) + (portRef B (instanceRef RATc)) (portRef C (instanceRef VOE_i_0io_RNO)) - (portRef CD (instanceRef RAT)) + (portRef A (instanceRef DOEEN_RNO)) )) (net (rename S_3 "S[3]") (joined (portRef Q (instanceRef S_3)) @@ -4483,6 +4462,11 @@ (portRef (member fs 13) (instanceRef ram2e_ufm)) (portRef A1 (instanceRef FS_cry_0_1)) )) + (net (rename FS_3 "FS[3]") (joined + (portRef Q (instanceRef FS_3)) + (portRef (member fs 12) (instanceRef ram2e_ufm)) + (portRef A0 (instanceRef FS_cry_0_3)) + )) (net (rename FS_4 "FS[4]") (joined (portRef Q (instanceRef FS_4)) (portRef (member fs 11) (instanceRef ram2e_ufm)) @@ -4686,42 +4670,18 @@ (portRef SP (instanceRef Vout_0io_1)) (portRef SP (instanceRef Vout_0io_0)) )) - (net RWSel_2 (joined - (portRef RWSel_2 (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWSel)) - )) (net (rename RA_0 "RA[0]") (joined (portRef Q (instanceRef RA_0)) (portRef (member ra 11) (instanceRef ram2e_ufm)) (portRef D (instanceRef RAr_0)) )) - (net (rename RDout_0 "RDout[0]") (joined - (portRef RDout_0 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_0)) - )) (net (rename RDout_3 "RDout[3]") (joined - (portRef RDout_3 (instanceRef ram2e_ufm)) + (portRef RDout_0 (instanceRef ram2e_ufm)) (portRef I (instanceRef RD_pad_3)) )) - (net (rename RDout_4 "RDout[4]") (joined - (portRef RDout_4 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_4)) - )) - (net (rename RDout_5 "RDout[5]") (joined - (portRef RDout_5 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_5)) - )) - (net (rename RDout_6 "RDout[6]") (joined - (portRef RDout_6 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_6)) - )) - (net CmdSetRWBankFFLED (joined - (portRef Q (instanceRef CmdSetRWBankFFLED)) - (portRef CmdSetRWBankFFLED (instanceRef ram2e_ufm)) - )) - (net CmdSetRWBankFFChip (joined - (portRef Q (instanceRef CmdSetRWBankFFChip)) - (portRef CmdSetRWBankFFChip (instanceRef ram2e_ufm)) + (net CmdSetRWBankFF (joined + (portRef Q (instanceRef CmdSetRWBankFF)) + (portRef CmdSetRWBankFF (instanceRef ram2e_ufm)) )) (net (rename BA_3_0 "BA_3[0]") (joined (portRef (member ba_3 1) (instanceRef ram2e_ufm)) @@ -4748,26 +4708,6 @@ (portRef Ready3 (instanceRef ram2e_ufm)) (portRef A (instanceRef Ready_0)) )) - (net RWBank14 (joined - (portRef RWBank14 (instanceRef ram2e_ufm)) - (portRef SP (instanceRef CmdLEDGet)) - (portRef SP (instanceRef CmdLEDSet)) - (portRef SP (instanceRef CmdRWMaskSet)) - (portRef SP (instanceRef CmdSetRWBankFFChip)) - (portRef SP (instanceRef CmdSetRWBankFFLED)) - (portRef SP (instanceRef RWBank_7)) - (portRef SP (instanceRef RWBank_6)) - (portRef SP (instanceRef RWBank_5)) - (portRef SP (instanceRef RWBank_4)) - (portRef SP (instanceRef RWBank_3)) - (portRef SP (instanceRef RWBank_2)) - (portRef SP (instanceRef RWBank_1)) - (portRef SP (instanceRef RWBank_0)) - )) - (net (rename RWBank_3_0 "RWBank_3[0]") (joined - (portRef (member rwbank_3 7) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_0)) - )) (net (rename RWBank_3_1 "RWBank_3[1]") (joined (portRef (member rwbank_3 6) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_1)) @@ -4776,10 +4716,26 @@ (portRef (member rwbank_3 5) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_2)) )) + (net (rename RWBank_3_3 "RWBank_3[3]") (joined + (portRef (member rwbank_3 4) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_3)) + )) (net (rename RWBank_3_4 "RWBank_3[4]") (joined (portRef (member rwbank_3 3) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_4)) )) + (net (rename RWBank_3_5 "RWBank_3[5]") (joined + (portRef (member rwbank_3 2) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_5)) + )) + (net (rename RWBank_3_6 "RWBank_3[6]") (joined + (portRef (member rwbank_3 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_6)) + )) + (net (rename RWBank_3_7 "RWBank_3[7]") (joined + (portRef (member rwbank_3 0) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_7)) + )) (net RDOE (joined (portRef Q (instanceRef RDOE)) (portRef A (instanceRef RDOE_RNIAM8C)) @@ -4792,17 +4748,9 @@ (portRef CmdLEDGet_3 (instanceRef ram2e_ufm)) (portRef D (instanceRef CmdLEDGet)) )) - (net CmdSetRWBankFFChip_3 (joined - (portRef CmdSetRWBankFFChip_3_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdSetRWBankFFChip)) - )) - (net CmdSetRWBankFFLED_3 (joined - (portRef CmdSetRWBankFFLED_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdSetRWBankFFLED)) - )) - (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined - (portRef CmdTout_3_0 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_0)) + (net CmdLEDSet_3 (joined + (portRef CmdLEDSet_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdLEDSet)) )) (net (rename RWBank_1 "RWBank[1]") (joined (portRef Q (instanceRef RWBank_1)) @@ -4824,6 +4772,10 @@ (portRef Q (instanceRef RWBank_7)) (portRef (member rwbank 0) (instanceRef ram2e_ufm)) )) + (net CmdSetRWBankFF_3 (joined + (portRef CmdSetRWBankFF_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdSetRWBankFF)) + )) (net (rename RA_1 "RA[1]") (joined (portRef Q (instanceRef RA_1)) (portRef (member ra 10) (instanceRef ram2e_ufm)) @@ -4885,20 +4837,24 @@ (portRef Q (instanceRef nRAS)) (portRef D (instanceRef nRASout_0io)) )) - (net (rename S_0_0_0_0 "S_0_0_0[0]") (joined - (portRef S_0_0_0_0 (instanceRef ram2e_ufm)) + (net nCAS_0 (joined + (portRef nCAS_0_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef nCAS)) + )) + (net (rename S_0_0_0 "S_0_0[0]") (joined + (portRef S_0_0_0 (instanceRef ram2e_ufm)) (portRef D (instanceRef S_0)) )) - (net CS6_RNIL59G2 (joined - (portRef CS6_RNIL59G2_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNISFDK (joined + (portRef CS_0_sqmuxa_0_RNISFDK_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_0)) )) - (net CS_0_sqmuxa_0_a2_RNID8OM (joined - (portRef CS_0_sqmuxa_0_a2_RNID8OM_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNIR7BR (joined + (portRef CS_0_sqmuxa_0_RNIR7BR_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_1)) )) - (net CS_0_sqmuxa_0_a2_RNID1MT (joined - (portRef CS_0_sqmuxa_0_a2_RNID1MT_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNIR0921 (joined + (portRef CS_0_sqmuxa_0_RNIR0921_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_2)) )) (net (rename RC_RNO_1 "RC_RNO[1]") (joined @@ -4913,31 +4869,129 @@ (portRef (member ra_33 2) (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_9)) )) - (net (rename RA_33_10 "RA_33[10]") (joined - (portRef (member ra_33 1) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RA_10)) - )) (net (rename RA_33_11 "RA_33[11]") (joined (portRef (member ra_33 0) (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_11)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (joined - (portRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 (instanceRef ram2e_ufm)) + (net RA_33_2_173_0 (joined + (portRef RA_33_2_173_0_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_8)) )) - (net N_148 (joined - (portRef N_148 (instanceRef ram2e_ufm)) - (portRef CD (instanceRef CS_2)) - (portRef CD (instanceRef CS_1)) - (portRef CD (instanceRef CS_0)) + (net RWBank14 (joined + (portRef RWBank14 (instanceRef ram2e_ufm)) + (portRef SP (instanceRef CmdLEDGet)) + (portRef SP (instanceRef CmdLEDSet)) + (portRef SP (instanceRef CmdRWMaskSet)) + (portRef SP (instanceRef CmdSetRWBankFF)) + (portRef SP (instanceRef RWBank_7)) + (portRef SP (instanceRef RWBank_6)) + (portRef SP (instanceRef RWBank_5)) + (portRef SP (instanceRef RWBank_4)) + (portRef SP (instanceRef RWBank_3)) + (portRef SP (instanceRef RWBank_2)) + (portRef SP (instanceRef RWBank_1)) + (portRef SP (instanceRef RWBank_0)) )) - (net (rename FS_3 "FS[3]") (joined - (portRef Q (instanceRef FS_3)) - (portRef (member fs 12) (instanceRef ram2e_ufm)) - (portRef A0 (instanceRef FS_cry_0_3)) + (net (rename ram2e_ufm_wb_rst13 "ram2e_ufm.wb_rst13") (joined + (portRef wb_rst13 (instanceRef ram2e_ufm)) + (portRef CD (instanceRef BA_0io_1)) + (portRef CD (instanceRef BA_0io_0)) )) - (net un1_CKE48_0_i (joined - (portRef un1_CKE48_0_i_1z (instanceRef ram2e_ufm)) + (net CKE_0 (joined + (portRef CKE_0_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef CKE)) + )) + (net (rename RA_33_10 "RA_33[10]") (joined + (portRef (member ra_33 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RA_10)) + )) + (net CmdRWMaskSet_3 (joined + (portRef CmdRWMaskSet_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdRWMaskSet)) + )) + (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined + (portRef (member cmdtout_3 2) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_0)) + )) + (net (rename CmdTout_3_1 "CmdTout_3[1]") (joined + (portRef (member cmdtout_3 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_1)) + )) + (net (rename CmdTout_3_2 "CmdTout_3[2]") (joined + (portRef (member cmdtout_3 0) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_2)) + )) + (net N_197 (joined + (portRef N_197 (instanceRef ram2e_ufm)) + (portRef D (instanceRef DQML_0io)) + )) + (net (rename RWBank_3_0 "RWBank_3[0]") (joined + (portRef (member rwbank_3 7) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_0)) + )) + (net RWSel_2 (joined + (portRef RWSel_2_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWSel)) + )) + (net N_523_1 (joined + (portRef N_523_1 (instanceRef ram2e_ufm)) + (portRef CD (instanceRef VOE_i_0io)) + )) + (net N_488_i (joined + (portRef N_488_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_7)) + )) + (net N_358_i (joined + (portRef N_358_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_6)) + )) + (net N_489_i (joined + (portRef N_489_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_5)) + )) + (net N_490_i (joined + (portRef N_490_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_4)) + )) + (net N_359_i (joined + (portRef N_359_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_2)) + )) + (net N_491_i (joined + (portRef N_491_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_1)) + )) + (net N_492_i (joined + (portRef N_492_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_0)) + )) + (net N_50_i (joined + (portRef N_50_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef nRWE)) + )) + (net N_460_i (joined + (portRef N_460_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_3)) + )) + (net N_459_i (joined + (portRef N_459_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_2)) + )) + (net N_458_i (joined + (portRef N_458_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_1)) + )) + (net N_360_i (joined + (portRef N_360_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef nRAS)) + )) + (net N_347_i (joined + (portRef N_347_i_1z (instanceRef ram2e_ufm)) + (portRef SP (instanceRef BA_0io_1)) + (portRef SP (instanceRef BA_0io_0)) + )) + (net un1_CKE48_i (joined + (portRef un1_CKE48_i_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef RA_11)) (portRef SP (instanceRef RA_10)) (portRef SP (instanceRef RA_9)) @@ -4951,140 +5005,53 @@ (portRef SP (instanceRef RA_1)) (portRef SP (instanceRef RA_0)) )) - (net DQMH_4_iv_0_0_i_0_i_a2 (joined - (portRef DQMH_4_iv_0_0_i_0_i_a2_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef DQMH_0io)) - )) - (net CKE_0 (joined - (portRef CKE_0_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CKE)) - )) - (net CmdLEDSet_3 (joined - (portRef CmdLEDSet_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdLEDSet)) - )) - (net CmdRWMaskSet_3 (joined - (portRef CmdRWMaskSet_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdRWMaskSet)) - )) - (net (rename RWBank_3_3 "RWBank_3[3]") (joined - (portRef (member rwbank_3 4) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_3)) - )) - (net (rename RWBank_3_5 "RWBank_3[5]") (joined - (portRef (member rwbank_3 2) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_5)) - )) - (net (rename RWBank_3_6 "RWBank_3[6]") (joined - (portRef (member rwbank_3 1) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_6)) - )) - (net (rename RWBank_3_7 "RWBank_3[7]") (joined - (portRef (member rwbank_3 0) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_7)) - )) - (net N_530 (joined - (portRef N_530 (instanceRef ram2e_ufm)) - (portRef CD (instanceRef VOE_i_0io)) - )) - (net N_188 (joined - (portRef N_188 (instanceRef ram2e_ufm)) - (portRef A (instanceRef VOE_i_0io_RNO)) - )) - (net N_194 (joined - (portRef N_194 (instanceRef ram2e_ufm)) - (portRef B (instanceRef VOE_i_0io_RNO)) - )) - (net N_390_i (joined - (portRef N_390_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_7)) - )) - (net N_391_i (joined - (portRef N_391_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_2)) - )) - (net N_392_i (joined - (portRef N_392_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_1)) - )) - (net N_424_i (joined - (portRef N_424_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nCAS)) - )) - (net N_421_i (joined - (portRef N_421_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nRWE)) - )) - (net N_349_i (joined - (portRef N_349_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_3)) - )) - (net N_73_i (joined - (portRef N_73_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_2)) - )) - (net N_71_i (joined - (portRef N_71_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_1)) - )) - (net N_420_i (joined - (portRef N_420_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nRAS)) - )) - (net N_117_i (joined - (portRef N_117_i_1z (instanceRef ram2e_ufm)) - (portRef SP (instanceRef BA_0io_1)) - (portRef SP (instanceRef BA_0io_0)) - )) - (net N_350_i (joined - (portRef N_350_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_2)) - )) - (net N_351_i (joined - (portRef N_351_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_1)) - )) - (net N_313_i (joined - (portRef N_313_i (instanceRef ram2e_ufm)) + (net N_312_i (joined + (portRef N_312_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_7)) )) - (net N_299_i (joined - (portRef N_299_i (instanceRef ram2e_ufm)) + (net N_298_i (joined + (portRef N_298_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_6)) )) - (net N_285_i (joined - (portRef N_285_i (instanceRef ram2e_ufm)) + (net N_284_i (joined + (portRef N_284_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_5)) )) - (net N_271_i (joined - (portRef N_271_i (instanceRef ram2e_ufm)) + (net N_270_i (joined + (portRef N_270_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_4)) )) - (net N_257_i (joined - (portRef N_257_i (instanceRef ram2e_ufm)) + (net N_256_i (joined + (portRef N_256_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_3)) )) - (net N_243_i (joined - (portRef N_243_i_1z (instanceRef ram2e_ufm)) + (net N_242_i (joined + (portRef N_242_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_2)) )) - (net N_229_i (joined - (portRef N_229_i_1z (instanceRef ram2e_ufm)) + (net N_228_i (joined + (portRef N_228_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_1)) )) - (net N_215_i (joined - (portRef N_215_i_1z (instanceRef ram2e_ufm)) + (net N_214_i (joined + (portRef N_214_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_0)) )) - (net N_443_i (joined - (portRef N_443_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef DQML_0io)) + (net un1_CS_0_sqmuxa_i (joined + (portRef un1_CS_0_sqmuxa_i (instanceRef ram2e_ufm)) + (portRef CD (instanceRef CS_2)) + (portRef CD (instanceRef CS_1)) + (portRef CD (instanceRef CS_0)) )) - (net N_389_i (joined - (portRef N_389_i_1z (instanceRef ram2e_ufm)) + (net N_346_i (joined + (portRef N_346_i_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef DQMH_0io)) (portRef SP (instanceRef DQML_0io)) )) + (net N_194_i (joined + (portRef N_194_i_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef DQMH_0io)) + )) (net (rename FS_cry_0 "FS_cry[0]") (joined (portRef COUT (instanceRef FS_cry_0_0)) (portRef CIN (instanceRef FS_cry_0_1)) @@ -5181,10 +5148,6 @@ (portRef S0 (instanceRef FS_s_0_15)) (portRef D (instanceRef FS_15)) )) - (net RATc (joined - (portRef Z (instanceRef RATc)) - (portRef D (instanceRef RAT)) - )) (net VOE_ic_i (joined (portRef Z (instanceRef VOE_i_0io_RNO)) (portRef D (instanceRef VOE_i_0io)) @@ -5338,8 +5301,7 @@ (portRef CK (instanceRef CmdLEDGet)) (portRef CK (instanceRef CmdLEDSet)) (portRef CK (instanceRef CmdRWMaskSet)) - (portRef CK (instanceRef CmdSetRWBankFFChip)) - (portRef CK (instanceRef CmdSetRWBankFFLED)) + (portRef CK (instanceRef CmdSetRWBankFF)) (portRef CK (instanceRef CmdTout_2)) (portRef CK (instanceRef CmdTout_1)) (portRef CK (instanceRef CmdTout_0)) @@ -5926,18 +5888,17 @@ (portRef B (instanceRef RD_pad_7)) (portRef (member rd 0)) )) - (net N_623_0 (joined + (net N_727_0 (joined + (portRef Z (instanceRef DOEEN_RNO)) + (portRef CD (instanceRef DOEEN)) + )) + (net N_659_0 (joined (portRef Z (instanceRef Ready_0)) (portRef D (instanceRef Ready)) )) - (net N_301_i (joined - (portRef N_301_i (instanceRef ram2e_ufm)) - (portRef CD (instanceRef DOEEN)) - )) - (net N_430_i (joined - (portRef N_430_i_1z (instanceRef ram2e_ufm)) - (portRef CD (instanceRef BA_0io_1)) - (portRef CD (instanceRef BA_0io_0)) + (net RATc_i (joined + (portRef Z (instanceRef RATc)) + (portRef CD (instanceRef RAT)) )) (net RDOE_i (joined (portRef Z (instanceRef RDOE_RNIAM8C)) diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.jed b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.jed index f5e1154..7d693cb 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.jed +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.jed @@ -2,7 +2,7 @@ NOTE Diamond (64-bit) 3.11.3.469 JEDEC Compatible Fuse File.* NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation.* NOTE All Rights Reserved.* -NOTE DATE CREATED: Fri Jun 07 20:50:17 2024* +NOTE DATE CREATED: Fri Jul 12 16:08:37 2024* NOTE DESIGN NAME: RAM2E_LCMXO2_640HC_impl1.ncd* NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100* NOTE JEDEC FILE STATUS: Final Version 1.95* @@ -82,423 +82,423 @@ QF171904* G0* F0* L000000 -11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000000010100101000 -00001001001100000000011001010000010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000 +11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000011000001010000 +00000110000010010010100000000101010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000100011000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100100000100011000 +00000000100010000100000000000000000000000001000100100110000100011000000000000000000000000000000000000000000000000000000000001000 +01100100000010000110010010011000010000001001100000010000100100001000000010010010010000000000000000010010000100000010001100010011 +00000000000000000000000000000000000000000000000000000000000000000000100011000010010100000001001110000010011100001101110000000000 +00000100000000000100100100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000000 +00000000000001000010001001100000000000000000000000000000000000000000000000000000000000000000000000001001100000000000000000011011 +01000001000100000010001000000000000000010000010001100001000011011001001110000000000000000000000000000000000000000000000000000000 +00010000100000100001000000000000000000000000000000000000000000000011001000101001100000000000000000000000000000000000000000000000 +00000000000000000000100011000001000100000000000010001100010011100000100111000000000000000010010100000000000000000000000000000000 +00000000000000000000000000001001110000010011100000000100111000000000000000000000000000000000000000000100110100100000000000000000 +00000000000000000000000000000000000000001001100000000000000000000000000000010010100100010000000000000000000010111000000100010000 +10011100000000000000000000000000000000000000000000000000000000100101000000000000000000000001000110000010001010011100000000100100 +00000000010000100001000001010100000000000000000000000000000000000000000000000000100011000001001000000000000000000000000010010100 +01001110000000100000000000000100100000100010001000010010011110010100000000000000000000000000000000000000000000000000000000000000 +00000000001000011001110000101001000001000110000000000010000100010011000000100101000000000000000000000000000000000000000000000000 +00000000000000000000000000100111000001111000000000001001100000010011101101101000000000000000000000000000100010010111001001001000 +01000000000000000000000000000000000000000000000000000000000000000100010000001000100000010001010011100001000101001010000000000010 +10010000000000001000011000101101000001010101001001110111001010000000000000000000000000000000000000000000000000000000000000001001 +10000010000100000100001100000010001000100001100110000001000110100011001000010000000000000000001001001000110100111100111000000010 +00000000000000000000000000000000000000000000000000000000000010001100001000000000001110010000001001110100000110001100000000000000 +10011100000001010010000000001001010000001000101001110000000000000000000000000000000000000000000000001000000000000000000000000000 +00000000000000000000000000000000010010100000000000000000000000000000000000000000000000000000000000001000100000000000010101000000 +00000000000000000001010110000000000000000010010100000100110100110000000000000000000000000000000000000000000000000000000000000000 +00000000001000011001100000000000000000000000000000000100011100011000000000100111000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000110011100011001110000001000110000000000000000000000000000000000 +00000000000000000000000000100111000100000000001000101001000000000000000000001001100000000000010010100100010100111100011100100000 +00000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000100011000000000010010100100 +11000101001100110000000000000000000000000000000000000000000000000000000000000000010001000001011010000001000000000000000000000000 +00000000001000111010010111000001010011000000000000000000000000000000000000000000000000000000000000000000000000100000000001000001 +00010000000000000000000000000000000100011100010100010001001011010110000000000000000000000000000000000000000000000000000000000000 +01000000001000000010010100000000101101100010100111001100100100100011000000001000110000000010001001010010000000000000000010100110 +01010000000000000000000000000000000000000000000000000001000100000110011001011010001000010010000010001000011001000101001100000100 +00110011001000110011001000101001100010100101100100010100110000011010010101101000101000000000000000000001001000000010011000000000 +00000000000000000000000000000000000000100001101011010010010011000000010011110011100100100001001110001101000001010010100100011000 +00100011000001010011001100000000000000000000100110100110000000000000000000000000000000000000000000000000000000001001110001100010 +00110001110011100000100011000001000010001100100101000000000100101000000010000010000100000000000000000001001001001000000000000000 +00000000000000000000000000000000000000001001010000010011000001000001101000001000001000001000000011001001000000000000001000000000 +00010100100000000000000000000000011001111000001000100000000000000000000000000000000000000000000100001100111001010101001000001100 +11001010011010000100011001100101101000001100001110000101000100001100110000010001101000110000001001100011010010101001101001010000 +00000000000000000000000001100111100000000000000000000000000000000000000101011000000000100101010101100000001001001001101000110001 +00100110100001010001001000110010011000000100110000001000010000101001100110100110000000000000101011000000011000011110000000000100 +00000000000000000000000000000000000000000000101010000001011000000110001000110001100001100010001001110100000100110011001001010000 +01100010001010010100000101011100011001000000000000000000000000000000000000000000000000000000000000000000000000000000001001001001 +01010000000111001001010001101001001001100100111010001110001011110000000100100100010100001010011001011101000111000001110001100100 +01100000001000000001010010010000110000000000000000000000000000000110011110010010000000000000000000000000000000000000000000001001 +01100010110001000110000101010111000001111000000110000101011100100001100001011100011010000010000011101100001001000100101100101001 +00100000101111101100100110010111100010000001000010000010000110001110001100000010001000000000000000000000011001111000000000000000 +00000000000000000000000000000000100010110000101000100100110010010010010110011100000110000101000001100010100100011000100001111011 +01101011000101001000000010000010010000001000001101100000101110100010100111010001100000010011100000000011000011110000000000000000 +00000000000000000000000000000001001000000010110011000010101001111010010010000111011000001001100000101100000000100111000000001000 +10100010000001000101000010001001010001001110110010101000000000000000000001100111100000000000000000000000000000000000001000000000 +00001001111000011001011100100001010011101100011001110001001011011000001001110011010010011001100010010000110000110011011000000100 +00001011010010010101000011110000001100010100101111010100000010000100001001101100010010100110000000000000000000011000011110000000 +00010010100000000000000000000000000000000010000100000001000110100110100100111000101000100011010111010001110001101001001001101001 +10101001111000100000100001110100000110010100010010011100000101010011001001001000011001001101101100000000010001101001101100100010 +01000100101111000000000000000000000000000000000000000010101100000000000000000000000000000101011000111000001010011001000110010011 +11000010010010110000001011101001011001010010000010011100011001010011001101001001010111001010001001111010110101001011000100010100 +10000010010000010111000100010000000000000000000000010001100000000000000000000000000000000000000000001011000001001011111000000100 +00110000010011110010100100011010001000000001000010011000011101001110001000011110000001100010000000011000011000010000111100000101 +00111000010000000000000000000000001001110000010010000000000000000000000000000000000000010010100100001110101000100110001010010110 +01101100000110110000010000100100010011000101011010010100110000010011110001010011110011000011000010101001110010010000010001000010 +00011001110000100101000000000000000011000011110000000000000000000000000000000000000000000000001000111100101001110000110011000100 +10000011000100010100011001001101001010001100001010110001100001101100000100110001100110100010011010011001001011000001110000110010 +01010001000010011101000000110001000111100001101001110000010001000000000000000000000000001001010000000000000000000000000000000000 +00100110010010010010110011100100101100101100011000011001000010010011001000001100100100100111111001000010010010010010001011100001 +10001101011000001000011101001001010010010101000101010001010100100001110100100110010110011000000001001100000000000000000000000000 +00000000000000000000000000000000000000100110101101110010001001000001001100111010011010000110111010011010011001000110100111100100 +00100001000000010001100000010011000000000100010011100010001001000100011000100111010010000000000001100001111000000000010001101001 +00000000000000000000000000000000000000001001000000010001001011011010011001110001001000000011000110100101110001011010100111001000 +10000100110000000100000000000000000000000000000000000001001100000000000000000000000000000000000000100101010001110001000101100110 +00100011010011001011000110001000001101100001000011000110000000010010010011100111010000011001100011001100110000001100010001100010 +01001000101000001110000110010010100000000000100000000000000000000000010010101001000000001010110000000000000000000000000000000101 +01110001000001001011010100100001000100000010001100011001001001000000010010010001011101010011001100101001000001001000000001000101 +00000100001110100100111101000001001000101110000000000010010000000000000000100100001100100100010011000000000000000000000000000000 +00000001000010100101110110000010000000010101010010010110010011000101010010110010011000000011010010010000100011000100010000001001 +10001000010101011000000000001010110010000110011100000000000000000000000000000000000000000000000000000110000111111110111110110011 +00110011111101011111111101010100011001100110101000111111110000111111111001111110101111111110010000111111100110011111100111111110 +01110000111000011111110001000100110011010011101000011110011111000010000111100111110011000110111111101110100001110001011111111110 +01100110000000000000000000000000000000000000000000000000000000000000000000000101000101000100100110001000100110011111111111111001 +00100111111011110100010001111101111111111111100001111111110110000110000101111001000101111000000110000001110111111001100111111101 +11010001101101001110101111111001111100001001001011010100010110001101111010001001011111100010111111111100110001100000000000000000 +00000000000000000000000000000000000000000000000000000110000101110100001111101111001111111010111011101110010011101111111111111011 +11000011001110111111101000100101011111110111000100010111100100010111100111111110001011011111111001100111000111010001101101001110 +10111111100111110000100100110001011101000101010101101000100101111110010101111100110011000110000000000000000000000000000000000000 +00000000000000000000000000000110000111111110111110101000001110100000111111110110000110100010100010011010100011111011110010100001 +11111010111110111011000011111110011001100000011111100010110000111000011111110001110100110011010011101000011011010000100001110001 +01110011101011111111101110100001110010101110100010011000000000000000000000000000000000000000000000000000000000000000000000001000 +00001001110010000000111000010000110001001110010010001111110000000011000000111001001000111111000100001100000011100100100011111100 +01000010010001000111111000100000001000100011000000111001001000111111000100001001000000000000000000000000000000000000000000000000 +00000000000001001000011000101001000100010000000000010000100110011000100000100101000000000010110100000000000000000100011100111000 +00000000000000001010010000000000000000000000000000000000001000011001101001010001000011101000101001000010010000100001100100010110 +10000011100010010000110010101010011010010100000000010001100100011001100100010100110001000110001000110000010010100000000000000000 +00000000001001100000000000000000000000000000000001001111001100010010110011010010010001010101000000001001001101000100100111000100 +01110011010011100000001010010010011000100110010001101001110110010010110101100001010101000110100000000000000000000000010011010011 +00000000000000000000000000000000000000000000000010101010000110011010000000010000000001101000001000001100010001010010000000000011 +00010001001001011001000001000110000010011000100110010001110010100000000000000000010010010010000000000000000000000000000000000000 +10101000100001100100100100100100000000000100111000000100100010001110010101100111000010001100001001001001000010001100000000000100 +01111110000000000010011100000000000000100010000000000000011001111000000000000000000000000000000000000000000000010000110011000001 +11001001010011010011000101001101101110100001000100110000000000100011000001000110000100011000001000111000110000000000000001110000 +01010011000010001100000001100111100000000000000000000000000000000101010001000100100110100110001000110000000010100100101001010010 +10100110010011000100011000010011010011000100000100111000100010100110000111010100001000001001010010001101011000000000000000000000 +00110000111100000000000000000000000000000000000000001001100000100001000001000110001000011100010001100001100100000100000100001100 +11001001100000000000000110001000101001010100001000000100110001001100000000000000000000000000000000000000000000000000000010011110 +00010010000100110100000101000101100100101111010000001000101000101110001000111000001010010001100010100111010000000100110000010000 +00010000011000100011101000101000001100011001111100000100100000011100110001000000111000100010010101100011001111000010001001111000 +01100111100100101001110010001010011100000000000010000100000000000110011110010010000000000000000000000000000000100000000000100100 +01100010010100101000100110110100001000100111100101100011111100000010000010010111100000111011101011110000100101010011010110110010 +10010011100100011100101100101100001110001001010011001100100001001001001000011001010001011001000011101000010000000000000100000000 +00010001100000000110011110000000000000000000000000000000100001010110000101010001000111000101110000010101101110110000010100101110 +01000010101101000010100100110001001010001110010010010001101001001001110001100100011001001011011001100101001010001110001110111010 +00001000111001110001110000100000001010100100111000100000110100101011101000001001110000000000001000010000011000011110000000000000 +00000000000000000000000001000000010010000001100010100000000100010100111010011110011001010101110000100101110100100010000110000010 +01110110010010010001001001011001111001010000010001111000101001000011000001011101000100100111001101001001100010000110010010000000 +00000000000000000000000001100111100000000000000000000000000000000100011100010011100000010001000011110000010111010000010001011010 +11000101011100100100100110010000111100000010001100000011100000110000101010011000100101110100100110000110011010011010000010001011 +00101010100100100011100011010000011010000101100010010100101111100000010010110001111110010101110000010100111100101110001001010001 +10100111100100100111101110101011100100010010100000000000000000001100001111000000000010101100000000000000000000000000010011001000 +10000001001110100001001000011001011000101000111001111100111000100100011011010000100011000100111001000111001011000000101010100111 +10010101101001010100010100010111000110000100001100110010001110010010011010010110110001101000010010000110010110110010110110011000 +00000000000000000000000000000000000000000000000000000000010000101101000010000100011001000100100110100110010001001011110101001100 +00001100001010000010011010011111100010001011110001111000001100011001010111001101110000001100110110011100010011010100101100111001 +10011010011011010000100110110000011001000101001000010010011000101001010011000110000000000000000000000000000000000000000000000000 +00000000000100010110110000011100100000010101010001010000110011110010011011000100100100110000101010011010010101110000100101100111 +10000001010010110110000011000011001100001010101111100011011001000100110100010101001100010000111100010101001111010100010011011000 +01010010010110010010010001010101000111001110110100110001000011101000001100110000000000000000000000000000001001110100000000100100 +00000000000000000000100111000000000001010011101000101111010000000010100110000010011001000000100000110101101010001110101100100011 +10110111010110000100111011001010011101001001100110001100001010100100110010011000001101000001000001100001010100001100110000101101 +10110000000000000000000000110000011100000000000000000000000000000000100010000100000001100101001010111000010000000000100110001000 +11100101100100110110010001001111001110100010110110000001000101000110000100101101011011001000011011011001111001110001000101000001 +11000101100010010110011010010000001010011100100100000000100110000000000000000000000010000100010010100000101011010000110011100000 +00000000000010001001001110001000011011011101100000000100010100101101111010001010010110001101000010010000010001110001010011110011 +00001011001001001001000011000100101010101001000100010010011111001001000000100000110000110100001100100001100111010011000000000000 +00000000000000000000000000000000000000000100110010010110010110010000001001010101110110001001011000000111000001100001110100111110 +10000100010000111100000011110010000000100001110100000101001111001100110100011010010110011110011000100011010111001000011000101100 +10100111001001011110100000000010011000001100100100100000100111111001000000000100011000000000000110000011100000000000000111001100 +00000000000000000001000110000010001111000011000010001000001001001110001000100110010010110000110001010001011011000000010001110000 +01100100010100111010010010111010001011010001001001001001010100010111110000100100110100101110010000110001110011010011010010101000 +11100011101010000100011110010000110110011011000001001111000000110100101010000011010010101001110000000000000010010000000000000110 +00110000000000000000000000010011000000100110011000000110100000000010101011100000101001000010001111110000011101000001010000110010 +11001111001110100011000100110100001110000001111000100010010001011000010100110000101001000011100010010100001100100000100000100101 +10001101001001100100100010001110000110010100010100100000000000000001000110000000000001000100100110000000000000000000000000010000 +10000000100110100000100110001100100011100101110010001010010110100101001010100100100110011100100001001111000111010100100110001000 +11110010010010001110111000101010100010010010010101001000011000010010100110000010110111110000001001011001000010000010010010001110 +01110000000000000000010000000000011110000001001000010011000000000000000000000000000010010000000001011011001001001001000011001001 +00111101010100011100111010001110001010101110101010010100011000100100100111100100100110010000011001000101001100011000010101111000 +00011001100010001101000101110011100011100010001001110010011101000001001110100100001101000010110100010000000000000000000010110100 +00000000000000000000000000000000011000011111111110000100001101000001111111010110111011110000111111111101101110110100110101000111 +11111000101000111111000001100110111101000011100111111111011101100110111111001010100010000111011011111010000110000111110100000111 +00100000111111110110100001101111111110110111101010001110001100100001111101110111100100000101000111111110101110111011111111100101 +11011111111111111010101000101000000000000000000000010111000000000000000000000000000000000000000001101010101111010101011000101001 +01000001010001010001001001111111100101000110000111011010101111100001100100100111011111111100110011000111100110011111101111110010 +01110011111110010001010001010100011111111001101110000111111011010100010001111001011111110101110100100110110110011100100001000111 +01000111111011110010001011001000111111111101111100110010010011111111011110010000100011111101111111111110111001001110111011110110 +00001000111111011111111111110110010011110111111111111011100011000000000000000001000100000000000000000000000000000000000001100110 +01111110011001001000001111111110101000100100111111110010100011000011101101110111111100110001111110111111100110010001010011111111 +11101101111110111111111001100111100001100111111000011101100111101110000101000111010111110001111001011111000100110010011011110011 +00011111011101110001010011100111111111001001100011110001000111010011001011111111101111110110000001100110101111101100001111000100 +11110001000111111011111101011000111001001111111110110000000000000000000000000000000000000000000000000000011000011111111110000100 +00100011111111101101110111100001111111111011011101101001101010001110101010010100011100110010110111111110100010000110100011110011 +00100110111111000110100010000111011001101111001111100110110000111110100001100111111111100111001010001111001111010100011110010011 +00110110011001111110011001000011101101111110110111101100110101111101100001111110111111111011101111111101101000011100100111010000 +00000000000000000000000000000000000000000000000000000000100000001110000111001000000011100001000011000100111011110100111001000010 +10001111110001000011000000111001001100001100111100010000110001000100100111001000000010011100110001000101000111111000100001000000 +01001110010000000100111000000000000000000001100000011111100000000000000000000000000000000000000000000000000001100010010010110010 +00000000001010110001011010000001001100000010001100000010001000010000100000000000000000000000000000000000000000000000000000000000 +00000000000000000000000010010100100111000000010000100000100001000001011001110000100000010110000100111000000000010011110010100000 +00001000100000000000000000001000011001100000000000000000000000000000000000000000010011000010011101000110000010011010101010100100 +00100011000000111000010010011100100011000100011010001000000000100100000000000100000000000000000000000000000000000000000000000000 +00000000000001001101110000001101100110100010001011010010000000001100011001100001000001000000000000000010000000010011000001000011 +00111001000100000000000000000000000000000000000000000000000000000000000000000010010000000000011001001010000100011000001000001001 +10000010001100000010011000000101011000000000001001110000000000000000000000000000000000000000000000000000000000000000000000000001 +10000110100001000011001101001100001100100010101001110001100001001110000000001000100000000000100001111000100000000000000000000000 +00000000000000000000000000000000000000000000001001010000000001000110000000001100010100101001100110100110100111000000000010011100 +00010101001010110010001100000000000000000000000000000000000000000000000000000000000000000000000000000000100111000010000010010000 +01100100001100000011000101100001000000000001001000000000000100000100110000010001100000000000000000000000000000000000000000000000 +00000000000000001001010001001111000111000011000101001101011110101110100100100111110001000100100111100011100101100100101110100101 +11001001001000111010010100110001001001000001110010010100101010001010001010001111000011011000110001000001000011000010100011010000 +00000000000000000000000000000000000000000000000000000000000000000000001000001100010010101100100111001000011000100100010110000101 +11000111101100100100011100001010010010000110011011010000011001011000110100101100101001001110111011000101101000001011101000000100 +01101100100100101111111001000001000010011010010011110110100000000000000000000000000000000000000000000000000000000000000010010000 +10000100011001010100100111001001010110001001001001010111000100110001011001100011001100100110010110010011010011110011001000110100 +01000010000010011110011000010011101000010100111001000011110010001010011100000000000000001000100000000000000000000000000000000000 +00000000000001001110010011100011000000111001000010011110010101101000010010001101010101011100010001110010010001111001001010100001 +00100011100010110001010010000010011100011001010101110010000111001000001110000010000100111000000000000000001000000000000000000000 +00000000000000000000000000000000000000100001010100100010010010000010000000001101000100100001111000000101000100010011010010010011 +11110000100011000100100100101011000010101010011100001010110000101000111000001110001010000101010010011001000011000110000000000000 +00000000000000000000000000000000000000000000000000000000000000100000100000010001000011100001000100101010010101000001000111100010 +10010111100011000100101001110001010011001111101000100010011101111000000100101111000010000010011010010100010011100000000000000000 +00000000000000000000000000000000000000000000000000000001101000010010001100100101100110110001101010011001000000001101000010100111 +01001111000011000000011000100100100000100110111010000101000111110000010010100100100100100111001000110001000110000000000000000000 +00000000000000000000000000000000000000000000000000011000000110001000101100100001100111100111010000111000110001001110011000101000 +11001001011101101001100100000010010011101001000001000110000100111110001011010000011011100010100001011100010101001111001110100010 +11000011001101100000000000000000000000000000000000000000000000000000000000000000000000000000000010011110011011001000100011010000 +10111000010111000100010001000001110000010100111110100001001100010010010010010100101001010100101010111110010100101100101010110001 +00100000110000101010011000010011000000000000000000000000000000000000000000000000000000000000000010001100010000010010101100010110 +01001000001000100111001000000010001110001111000011001001000100000100000101010000111100000010000110011010011000100111011001001001 +11001000001001011001111100110110100110000010100101000100000000000000000000000000000000010000000000000000000000000000000000000000 +00100010010101110011101001000001001011000010100010001001110101001010010101101100000010011111010001001000111001110100110110001100 +00010011000010001000000000000000000000000000000000000001000010000000000000000000000000000000010011000010000110011010011110000001 +11001000001001010110010011001001100010011111000100101011001110100000100111100110010000110000011100100100010001010101010011001001 +10100100011000101101100100001100111111000001000101010110101000111100110000001000100010011100000000000000000000000000000000000000 +00000000000000000000000000000100101010110000010101111000101001100001010110100110110011010011101011101011001001111001101010011000 +11010000001000011001111001010100000100000100110111010000010001110000110001111001100011001111010011001010100011100001100110000001 +00110000000000000000000000000000000000000000000000000000000000000000000000001000010100110010011011100001000100111100000100101001 +00001101011010010010010111000101000100001001001011001101000010001101000100101101100100010000011000100010000011001000101111001000 +10001000010000111011000000000000000000000000000000000000000000000000000000000000000000000000010001010001101001111000111000010111 +01000001101000001010000110011010000001001011001001101100000100101011010001001000000100001100101000101011110001100010011001000101 +00011110001001011000110001011001000000010010010010010011100100000000000000000000000000000000000000000000000000000000000000000000 +00000010011111000100010111010000010011110010101000111100100001110111000010010011000101000101101100000011100100011101010001101100 +11001001001001011110011000111001000010010010011110000010000011100000011001001100010011100000110001001010011010011110011010010001 +10010011010000000000010001100000000000000000000000000000000000000000000000000000000000000000010100010111001010001110110000011110 +01100111010101001010001010000110000111111100011110100110110111011100110001000101111111110110000001101000111111111100001010000111 +11111101010000101000101000011111110101100001100011110111011010000101000000111011110100000000000000000000000000000000000000000000 +00000000000000000000011000011110100100110011001101100100110111100110111101000001001001111110111111001100111000011001100001100110 +00011111011111100000011101000100011011001100111001001111111110101101100011100011111010100000111111111010001110100011110100001001 +00111011111111011111111000111010001100101110100100101000111111101110001111111100110001111111011100011000000000000000000000000000 +00000000000000000000000000000000000001100110011111011111101100111111111110111011000011101111111100111011101101010001110011001100 +01011000010111010001001011110000100101000100011010100001110110000110011000101111101111111010100000101000011111111101111001001010 +10000011001001111000110111101110111001110010110000111100011110010010000000000000000000000000000000000000000000000000000000000000 +00000101000101000011001111111111100110101110111101111110100001100001111101000011000010111001110110011010011111101111001100111111 +10110111011101111111110010111100111011111111000011011001100111111110110110001100111011111101110101100001111001010001100111001011 +00110011000111100100100000000000000000000000000000000000000000000000000000000000000000000000100000001001110011000000111011110100 +11100110000001110010010001111110000000010010000100111001000000010011100100000001001110010000000100111001000000000000000000000000 +00000000000000000000000000000000000000000000000000100101010010100000001000100000000000100001100011100011100110100101100101000111 +00100000000000000000000000000000000000001100010100100000000000000010011001000011001100000000000000000000000000000000000010000001 +01110110001100000000000100000100110000001110100000000110010001010011001001001001001000011110000010100100000000010010100000000000 +00000000000011000000110010000110100100000100111110110000000100110000000000000000000000000000000000001101000100001001100000000100 +11011010001000010001100100011111000100000001001100001000010100100100111000001000101110010000000000000000000000000000001001011001 +10001000001001110010011101000000000000000000000000000000000000000010000010110110010000000000010000001001000100110001000010000110 +10000011000011001000001101000001100011000000000000000000000000000000110000001100010101000001001100000000000000000000000000000000 +00000000000000000000000000000001001010000100100000001001010100100000000001000111000011000010000000000000000000000000011000101000 +00000000011001110000000000000000000010001100000010001100000000000001000011001100010001100001000110010000110011000001100100010110 +10001001001110100111001011000010011101000011001000000000000000000000000000000000000100001100110000110000111100000110011110010011 +10010011000000000000001001010000000000000000000000001001100000100110000101001001001100001000111000110000100011000010011000000001 +11000000100000000000000000000000000100101100110000110100111100000110000001110011101000000000000000000000000001001010000000000000 +00000000000010000010000101000111001000100010100000100110110100010000000110011010010010101000000000001000010000000000000000000000 +00000010101000001101110000000000010000100000000000000000000000000000000000000100001111100100010110111010011010010101110111110000 +00010011111000011001010101001101101100000001000001010110110101000101001000100110110001001010001110010111010000100010101110010010 +01111100001100001000011000011001000000000000000000000000001011100000000001100111000110001100000000100000000000000000000000000001 +00000000000100100010000110010100001000101000100001001011101100000100000010000000100000111001001011000100100100101011010001000100 +11111000110001001000100001000100101101011100110100010010000000000000000000000000000100000000001011011100001111000110011110010011 +10000010101100000000000000000000000000000010001110100110011010001010001100001000000001000001000111101011010100011101001001100101 +01011010010101001101110001000001100001010100111111000010010011010110000000001000101000000100101000000000000000000000000000000110 +10011110000000000000000000000000000000000000000100111100111101010111000000110011100101001100011100100001001011010101000010100111 +00100001001000011001011110100000110000001101011001001001001111000000010000011100001000111010000100100100100000000000000000000000 +00000000000000000110011110000000000000000000100010000000000000000000010000101100100100110001001010100101000011110000010110010001 +01001011000101111000000100011100011110000101010001010011110011110110001101000001010001000110001000110011010011101001111000011001 +11110100100110011110100100001000011001000000000010010100000000000000001001110100111000110000111100110011100010011000000000000000 +00100111000000000000000000110100000100000000010111000010011111010000101001000001101000100111000011011100000011000110100111110100 +01101100001010000000100011000101100110010010010011100000000000000000000000000100010000110000011100000000001001010101001000000000 +00000000000000000000000000001001001000110010110010001100100100111010010001001011001001001111001111000110100001110100100100100011 +10010101110000010100001100110001001100100110000110001011001001000000000000000000000000000100100000010000000000000010011110001100 +00000000000000000000000000000000010010101100010100100000100111001001010000100100111100001010000011010000011100010110011111000000 +10001001110110000100101010000110110010001101001000101010100010000001001001110010010100111000000001001000000000000000000000000000 +01000101111001000010011000100010000000000000100100000000000000000000010010010000011001000101101000001001000101001101110000100001 +00100100010110001010001001110111010000001000101110000010110100001010011101001011010011001101000101001110010000011100000100000110 +01000011000001001100000000000000000000000000000001000101111000000000000000001001000001001110000000000000000000000010011100000100 +00110010101010111000101100100010010010110001111010010101000001001011100111000010011011010000011100100100010001101100000011100000 +01000110001000011101010010010001101001100011100010000010011010001110010110111110001100000000000000000000000000000000000000000000 +00100001100111000000001001010000000000100001000000001001011000101010111001000010000001110000100010011001110010001010000001100100 +00100100110100100110001001000010011010000101001010000100110100011001000011100001100100110000000000000000000000000000000000000000 +01000100000000000000000000000000000000000000001100100010100000100111100001010011010110010000110011000010110110010111100110000100 +11001101000110110100010111100000010011000010111011011000100010010011100011010100100100101100101110001001010001111000101001100100 +00101001100000000000000000000000000000000000000000111110000000001001100000000000000000000000001000110000000110101001011100010011 +10100001010011111101010001000110000100111010001100100111111000001001000111000001000001001010001001011100100010100100100111001000 +10110110101010000110011001010010000000000010000000000000000000000010001001101011000100000111100000000000001001110100011000000000 +00000000000000000010011000000010010001001001001001000010100101001000100001000011100111001010000110010100100111100110100110000111 +00010101001111110000010100101010011011010000100101001010011010011010011010101000000000000000000000000000001001000001001100000000 +00001001011000100101111000000000000000000000000000000000100101100001100111010011100000011001000010111100000010010110010001000011 +01001110010010101101001010001011001000101000101001001110010000100010100011010011011010110001000000100010100011010001100000001000 +01000000000000000000100101000000000001001010100110000000000000000000000000000000100001001011011011111011111000011101000001001000 +00100010000101101011101000101001111001101001101000001000101110010000010011011001011001001101010101110100000100111010110011000100 +10110001000110001110011110111010001101000010100101000000000000000000000000001000100100111101101000000000000000000000000000000000 +00000001111110010110001000101010001110001111100110110000111111111110110111011101100111100000010100001100001111111111100110011011 +10101000101010011001110111010000101110110101111101000001010000110111011110100010000111100110011010000111111111011010101010110110 +00000000000000000000000000000000000010011000000000000000000000000000000000000000000000100010101000101000100100111011111111000111 +11100011011111110111001001110111011000110111111110010011000000111111001000110000110011101010101100110111100100110011101111110111 +11100011111100110011010111111001000110100010001101110111111111111010001011011001101111111001100101111011101011000001111001001101 +10000000000000000000000000000000000000000000000000000000000000000000000000000010001010100011111011100111010011011111100001000110 +11101010100111011101101000100111000110001100011001011000011000100111001010000111110110011101000001001001100001011101000110000110 +01111111110010111110100011001000101000111110111111110101010101110001101000000000000000000000000000000000011110000000000000000000 +00000000000000000000000011111100101100010001011011001101001001001101111111110101000011101110110011111100001001110110011111110011 +11001101100000111111111000001000001101111111011110011001110100000100001111011101111011111111001101111111110111100110010000101111 +01110110011010100011110011010101100000000000000000000000000000000000000000000000000000000000000000000000000000110000001110010001 +00111001100010001001001110011000000111001001000111111000000001100010011100100010011100100000001110000100001100000011101111100011 +11110000000010000000100111000000000000000000000000000000001100000011111100000000010011000000000000000000000000000000000000001000 +10100111000000000000100000100101100101100011000100100001000101001010100110000000000000000000000000000000000000000100011010011100 +00000000010011101000101000011101000001000000000000000000010001110001100000000000001000011001100000000000010001100100110010000110 +01101001100001110000010110100110110010000000000010000110011000001000011001100000000000000000000000110100001010011000000000110111 +00000011010000100000000000000000000100101000000000000000000000000101001000100011000010100101001101001100000100010100111001001100 +00001001100111000010000010011001000111000110000000000000000000100110100110001000001001110010011101110011001000000000000000000000 +10010100000000000000000000000000001000110010000010000110111010010010010001000101000000000100000000001000000100001010011101000000 +01001101001100000000000000000000101100100011000100110000001001010000000000000000000000000000000000010000100000100011000010111100 +00001000110001001100000010011000001000011001010000000000000000000000000000000001000110000000000001100111100010001000000000000000 +00000000100011000000000000000001001100000000010001000100110010000110011010001100000100100000000000100001100110010011000100001100 +11000000001001100000000000000011010000101001100001100001111000000110011110010011100100110000000000000000000000000000000000100111 +00000000010010100000000001001111001100000010101100010000110111100001001101000101001000001001100100011100011000010010000000000000 +00010011010011000011010011110000010000110011101110011001101010000000000000000000001001010000000000000000000010101001000110001000 +00000001000101110010000100100000000000010011000010000000100111001000000010011010011000000000000000000001011000000110111000000000 +01000010000000000000000000000000010100100000000001001000000000111000001011001000101001100011000010101010101010101010010100001100 +11110001111100100101001110100000010001110100111000000110001000111000010000000000000000000000000000000000000000000110011110011000 +11000000001000000000000000000000000000010000000000010001101001111011000001000100100100100011001000001001001000101100001100100000 +00100000010010001000110100110100001100110000100100100011010001100000100000000000010101100010011001000010000000001000001000010000 +10110111000011110110011110010011100000000000000000000000000000000000010010110011100000010000010010100010010110101111110000000100 +11100100101100101001100001010011001010011000001100001011000100101000010100100010100100000000000001000000000000000000000110100111 +10000000000000000000000000000000000000000000000010001001100001010000001010101110100000001011111000001000001001110001100100110110 +00010101011100000100100111010000000010001000100101000000000000000001000110000000000001000101010110000000011001111000000000000000 +00000100100000000000000000000001000110010101101001110001001101000101010110110001001000100000010101011001001101000111011100011001 +00001100000001001000010001010011000000000000000000000000000000000000001100001111001100111000100001100011000100111000000000000000 +00000000000000000100110000100011011001001000001110010000100111100100011101001000001001100101001011100100000111000100010011111010 +11000010000110011011010001101110000101110001010010001100100111000000000000000000000000000000001100000111000000000010010100000000 +00010101100000000000000000000000000000000000010001011101000000001001110001001011001100100010010001001001101001111001011000111001 +01100110010010100000000000101001000000000000000000000001000000000001000101001100010001000000000000010011000000000000000000000110 +00101000000010101100100011010010010101010011011101000000100010011010010101100001010010100101110000100110100001011001110101000010 +10000101011001000001110010000100111010001000000000000000000000000000000000000000001111101000000000000000000000000000001001110000 +00000001000100000100000100011000100101100001100010110100010010011110011000011100011000001001011011011001011110001000000100010111 +00000100011100001000100010010011110000100100010010011100000000000000000000100100100111001110000100111100000000000000000000000000 +00000000000010001000000000000010010110110001001111001100100101000010001110000111010000101000111001000110100010011100001101000011 +11001000001100100010001100100010001001101111000000111010001010001110011010001100111100000001000110010001010011001000110000010000 +11001110000000000010011001100100100000000000000000100001100111000000000000000000000000000001000110100001000000010011011001010011 +10010001010110110010000110001000110001000001001000100011001000101000111101000100011010001001001101100010100010001101001100001000 +11010011010100100000000000000000100100000000000001000000000000000000000000000000000000000000001001011100001010001011101110000100 +11001001000000100101110010010001001110010001011001100101101000001101110100001100100011010000101011000010011010001001100001010101 +11110011101000101001000100101010010101110010000010010100000000000000000000000100010000000011110000000010101101001100000000000000 +00000001010010010001010011100000100000101011000100000000010000110011010001111001001000001001100010101010101110000010011000100101 +01000110010001101001000001000101001111000100100111000100010010011100000000000000000000010111100100000111100000000010011100001001 +00100111000000000000000000000000000000001110100100110001001010000110001100100001110100010010010011010010100010000010001010010000 +01000001001101001000001110100110111100001010001010001100100010110000101011000011000100011010011001000110001001100000000000000000 +00000001000100100110010011000000000000010010100000000000000000000000000000000100010100100100000010011000010001100000000010001111 +00001010100101010000100110001001010000010001111010000101100010100100011100011010011001110010100100010111000100001101000100111100 +10100010001100000000000000000000001001100011000110000000001001000011000110000110100010000000000000000000000000000000001000000100 +01110001000001000001101000001111001000010011010010001000001111000001111001000000110010010011100100001100001110110101001010011110 +01101100001100100011100100100111100111100000111010000010010100111101000000100100010010101001010100100010010100000000000000000000 +10010010001001001111011010000000000000000000000000000000000000000000000110111000000101000001110111111000111111011101111110000111 +00010000100101111111110001101010101111100111101100110001111001001001111111110111111011101000001101000100010100010000001010001111 +11111010110011101111111111111101111001001011011111111111111110110100100111101111111111111101111001001011011111111111111110101001 +00000000000000000000000010011000000000000000000000000000000000000000000110111011100110110011000101000000101000100011101000111100 +11001001001001011101100100100011110101010011100011111001001100110011110000001110001110100010100000110100010010001111011111111100 +00110110010011101111111100111110110000110111101111001100111101111011000011011111001100111110110000110111101111001100111101111011 +00001101111100110011111010001100000000000000000000000000000000000000000000000000000000000000000111000111101001001101100110001111 +11110000011011111110101000110111111101111011101101000011110111001110001100100100111100111101000111111000001111010101110010011111 +01100101000001010000011011111111100001101111011110011001111011110110000110111110011001111101100001101111011110011001111011110110 +00011011111001100111111100011000000000000000000000000000000000000000000000000000000000000000000111000100001000011010000011111111 +10000110111011101110101110101000011110111011111111110011110011001111001100011110011101101100111011110000011110101011100001111100 +11001111111110011001100111100111100010000011111111001101000001111011111111111111011110000010110111111111111111101101000001111011 +11111111111101111000001011011111111010000000000000000000000000000000000000000000000000000000000000000000000000100000001000010010 +00000000010000000100111001100000011100100011100001000011000100010010011100100000001001110100000111101001110010010001111110001001 +10110100010000011110100111001001000111111000100110110100010000011110100000000000000000000000000011000000111111000000000000000100 +00000000000000000000000000000000000000010000010100110100100000000001010011001010000000000000000000010000000000000000000000000000 +00000000000000000010011001000011001100000000000000000000000000000000000001000010000000000000100100000010000000010001000000000000 +00100110100101000000000010010110011000000000000000000000001101100000001101000100000000000000000000000000000000000000000000000000 +10001000000010000100000000000000000101110100100000000000010111010010000000000000000000010011100000111001000001000000000000000000 +00000000000000000000000000000000000010000000000000000100111000000000010001101001000000000000010010000000000000000000000000000000 +00000000000000000000100100000000000000000100100000000001000110000000000000000000000000000000000000000000000000000000000001100111 +10000100001100110000000000000000000000011000010101001100000000000000000000000000000000000000000000010011100000100111000000001000 +10000000000000000000000110000111100000000110011110010011100100110000000000000000000000010101110011000000000000000100101000000000 +00000000000000000000000000000000000000000000000000000000011000011110000001100000011100111010000000000000000000000000000100000000 +00000000000000000000010000000000000000000000001000100000010001000000000010000000000000000000000000000000010000100000000000000000 +00000000000000000000001101000100011100010000000000011010000011000110111010000000000000000000000001001000000000000001000100000000 +00000000000000000110011110011000110001000010000100000000000000000000000000001000000000000000000110000001100001000011000111001100 +10001100100011000000000000000010011110101100000000000000000000000000000000010000111000011110000001100111100111001000000000000000 +00000000000000000000000000010100101010010000000100010000000000000000000000000000000000000000000000000000000100110000011000011110 +00010000100000000000000000000000000000000000000000000000011001101001100010100010011100100110000000000000000000000000000000100111 +00000000000000001001000000000000011001111000000000000000000000000000000000000000000000000010000100000000000000000000000000000000 +00000100111000000000000000001001110100111010001001100001111000000011001110000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000010001000100000000000000100101000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000010010000000010000110011100101011000000100100101110001010100000100111000000000000000000000000 +00000000000000000000001000000010111100000000000000000000000000000000000000000000000000000000000000111100100000000000000000000000 +00000000000000000000000000000000000000000010000010010100000000000000000000000000000000000000010001000010011010011000000000100100 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000 +00000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000001000000000000000000000000000000 +00000000000000000000000000000000000000110000001100000000000000000000000000000000000000000000000000000000000010011100100011000000 +00111110000000100101010001000000000000000000000000000000000010010000000000010000001000110100110000000000000000000000001101011000 +00000000010001101001100000000000000000010111000000100111001100100100010011010011100000000000000000000110110010001001110000000001 +00010010011100000000010011000000000000000000000000100011000000000001001010000000000000000010001100000000001001010000100011000000 +00000000000000000100100000000010001000010010000000001000100100010000000000000000000000000000000000000010010000000000000000010010 +00101110000000000011000110000010001001110100000000000000000000000000100010000000000010011110101101011100000000001001100111011000 +00000000000000000000001001000100111000000000001011100000000000000001010010010000100000000011100100000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000100000000000000010000110010 -10000000000000000100001000000100100001010110100110000100011000000000000000000000000000000000000000000000000000000000000000001001 -00000010010100010000110011000000100011010110000100001010010100000000000000000001001001001111001010001001000000000010110000000000 -00000000000000000000000000000000000000000000000000000001000101001110000100000100011000001001110000011100000010000000000010011100 -00010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000100010001001100000 -00000000000000100000110100001010011000100010100001110100101000000000000000000000000000000000000000000000000000000000000000100001 -10010000000000000000000000000000010000100000100001000000000001001010001001110100111000000000000000000000000000000000000000000000 -00000000000000000000000000000000000100111001000110001001110100011000100111000001001110100000000101100000001001000000100101000000 -00000000000000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000100010000000010001101001010 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000010110110000 -00000100110000000000000000000000000000000000000000000000000000000000000000000000000000101001000000010001100000100010100111001010 -01000010000100000010010001001110010001000010000110111110011000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000110000001100000000000010011100000000000000001001010000000000000000000000000000000000000000000000000000000000000 -01000101001110000000101010000001010010010001010011100000000000001000101001110000000010011010001000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000100110000000000001011100010101110001100001000100000000000000001100100001010011 -00001000110100000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000010011100000101001 -01001010000010010100000010001110010110001100010011001000100000000000000000000000000000000000000000000000000000000000000000000000 -10000001100001100000000000001011000001110100000000000011000010110010000100100100000000000000000100000000000000000000000000000000 -00000000000000000000000000000000001001110000000010011100000001000101001110000000000010011100000100111000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000001001101001100000000000000000000000 -00000000000000000000000000000000000000000000000000100001100110000000000000000000000000000000010001110001100100011000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111110011100011001110 -00000101001000000000000000000000000000000000000010000000000000000000000000000010000100001000010000001101000010000000000000000001 -00110100111000000000001001010000110000111000000000000000000000000000000000000000100010000000000000000000000000010011100001001111 -00111000011100010001001110000000000000000110000110000000000000000100101001010011111001000000000000000000000000000000000000000000 -00000000000000000000000000000001010110000000000000000000000010000111110000000000010011000000100011100001111000001010011000000000 -00000000000000000000000000000000000000000000000000000000000000010000000001000010000000000000000000100011000001000110000000100010 -00110010000100000000000000000000000000000000000000000000000000000000000000100101000001001001000111001010000000001001111100101001 -00000100100000010001000000101110000000000100010000101001000000000000000100010100111100101000000000000000000000000000000000000000 -00000000100011100010000000010010100011000010110000000000110010001010011001001110011001001101001101000000001100100010110100100101 -01010000000001101000010100110000000000000000000001001000001001100000000000000000000000000000000000000010011000010000101001101001 -11000001000101001110000000010010000111010000000100010100110000010001100000000000100110100110000000000000000000010011010001100000 -00000000000000000000000000000000000000001100010001100001100100001000001000000001000110010000100000100011010001000001010110001100 -01000100000000000000000101111000000000000000000001001001001100000000000000000000000000000000000000000000001011000001001100000001 -01011000000101110000010101111001000011000101001110000100101001000100001001010000000010000001001010000000000000000000000001100111 -10000000000000000000000000000000000000000100011000010000110000111010000010000010000110011100010010010111100001100110010100110100 -00100011010001101001100100100000000100100011010001101001100000110100001010100101001000000000000000000000000000000110011110000000 -00000000000000000000000000000101111000010011000101110000100111010111000010001100001101000010000010010010011010010101001110100010 -10011000001001101001101001100000000000000000000000000000000011000011110000000000000000000000000000000000000000001100010001101011 -10101000001000111000110000010011100011000100011000010000110001000100100001001100010001000001000000100011000000000100010000000000 -00000000000000000000000000000000000000000000000000000000011011010001001100010001010000110000010000110111100011010010011001001000 -10100111100001111000000110000001101110001001000010010001010011101000101010110110001010010011000101011000100111100011100010110000 -10100101001110100010110010011001001011110000001111001000000100000000000000000000000000000110011110010010000000000000000000000000 -00000010000000000011100100000100000000100000100100010011101000110100100100110100000101010110000001100110001010010011110000101001 -10100000001000100001001001110000010100111111001000001000101001101110001001010000010000111001001001111100000010001001001001001010 -00000001000100000000000000000000011001111000000000000000000000000000000000000000001010110100011001000000101001000011000010101101 -00000110001100010110111010100100000100110100010010011010000010001011101010011101011000111001000010100110001110000010000010010011 -01001101101110100011110001001010001100010001110001010001111000110011001100011010000100000000000000000110000111100000000000000000 -00000000000000000000000000100101100111001001101000011011011110010000100110010011001010011001100010001010011001001110100011010001 -11000000111001000010001001001111000010001001111010011100100001001001011001011001111010110100111100000100000100110010001100100100 -00010011100000000000000001100111100000000000000000000000000000100111000000000000101001000100010110010000111110000001001000111001 -00000100001100001100011100111010011111000110011000011000110100010001000111100010100100101010001000100111011000100101001111010111 -10100001001000111000011011011100100001100100010011100100101100001100011111010100000000000000000000011000011110000000000000000000 -00000000000010001000000000000010000010000100100100000100111001001001001100101001011101110000111100000010011010011000011001001001 -00000111000000110010010000110000010011010000110010110101000010001010011010010111001000011001011100100100111000000111100010001001 -10001011011110001000100111000000000000000000000000000000000000000000000000000000000000000100001000100110100000100000000001000001 -11000100011010000100100100001100011010100110010000100001001101000110010001100100110011010000011011011001000010000110000110010010 -01101001000011001010101001101000110000010111100000000000000000000000000000000000000000000000000000000000001100110001001101100000 -01000110110110000001110100000100110100011110010100100010000110110110011010010000010000111010100101010011001000010001011000010101 -11000100000010100110010110101001000110100011110010001011000011101001110000110100010000000000000100001100111000000000000000100111 -00000000000000000000000000000000000010010101000001000011001110001000100100110100111000101110100110010010100101101100111010011101 -10011100110000000100111010000100010010111000000111110100000100111101111010010110010011001000011001110001001101001100101010000000 -00000000100000000011000011110000000000000000000000000000000000000000001000010001010011000111000011001010100110010010110001110010 -10100000110000101010001100011000100011000111100001011000001110010000100011000100111111001100000010000110110100010001010001011100 -00101100011100001100101100100110100100110011100000000000000000000000000000000000101110000001011100000000000000000000000000001000 -01100110000101101100101110100001010011000100110010010001001100100011010010001001000010100101001011001001000101110000001101010100 -11110100111010001010100010111000010000011001000010100000010011000100001101010100110001010100010001000100011000001000010000000000 -00000000000000000000000000000000000000000010001110010100010010001000111000010010101111010000101001100001000011101100001100111000 -10101110011000000100001000100010100000100000001110001000100111110001011010010010011110010010001111101010001000111000011000110000 -01000000010010100000000001100001111000000000011001101000000000000000000000000000000000000100001110010101000001000011110000001110 -01000011101000101010011110001110001110000001001111001011001001011011100001110101001001101010100101100100011010101010010011100001 -00100100110001000101010010011011000001111000000011110000000000001001111000101000011001101001100000000000000000000000000000000001 -00110000000000000000000000000001100000011000100000010000010010100010010000010010100100110110110000111011000001101110000000001001 -01000100010100000000100011111000011010011010001100010101110101100100100010010010110101001011000010010000100000000000000000000000 -00000100010011000110000000000000000000000000000000000001000101001100000011001001001001001000110100011100000110001100011010000100 -01001011001011101100000000100000100010000100100110010001001100010010000001000111000111001000001011110100100100010100000011010011 -01100101000000000000000000000000001001000000100110000000000000000000000000000000010011001000011001101110010000100001001000011011 -11100111100110100110100110100010100000010000100110010010110000110011100001100010010011010010010010011101001110001011111000001110 -00010010000100100010000100110010000101110001000000000001011100000000000000000000000000000000000000000000000000001110101111010100 -01010110110101111110100000101000011101000001010000101000101000011000100011110111111011000011001010000110101010111110011001000011 -01101001101011111111011101110000111001111111110010011100110110110101011010011100111111010011011011110110001010111111111100111111 -10110011111110111010001000011100111111111001000010011000000000000000000000000000000000000000000000000000000000000000000011010111 -11101100111111101110101111000011001110100000111111110000111001111101110100000110111111110010011110111111111111101100011110001000 -11010001001001011011111101111110000110011000100011101000100101100110000111101000101101011111110001000110110011000011001110010011 -10001111011010101101001110101100100110110110111111000011000111111111010010011111110110100010011111010111001001100111111100111100 -01100000000000000000000000000000000000000000000000000000000000001010001001011100001101111010111111000011001001111010000011100110 -10100011111101111111110010000101000101000011110111111010001001011101101110111011101110001010101011110001001011001100001111010001 -01101001110110001000110110011010001111100000011100011111110111111010011101011001110010001111110001010001001001110110011000101000 -10110001010111111110111001001110111011010001100000000000000000000000000000000000000000000000000000000000110000111101111011101111 -10011111001101101111111111111101100111001100110011010100011110011000111111011111101111110101000111110111101111111110110011111101 -10101010111110001001000011100000011001101001110111011101110000111010001111111110011100110101000110100111010000111100110011010000 -11000100111111000000011001100110011001111111111011111100001111011101111110111011001100000000000000000000000000000000000000000000 -00000000000000001000111111000000001100010010001001110010000000100111001000000100011111100000000110000001110010010001111110001000 -01100000011100100100011111100010000110001000101000111111000100001100000011100100010011100110000001110010001110000100001001000000 -00000000000000000000000000000000000000000000000000000000000001001100100010000001000000000000000001001100000100000000000101110000 -00000000000000001001000000000000010100100000000000000000000000000000000000000000001001010000100000000001000010000100001100110000 -01100110010110100100110110000001000110100011000100000010001100110100001011010010010000010110110001100000000000000000000000100110 -00000000000000000000000000000000000000010011000100100010100110111100001101000100000000001010010100100100110000000010010100000110 -01000010000100111100111000000000000000000000001001101001100000000000000000000000000000000000000000000000000001011001000001000010 -00100010111000000101001110000000001100010001100010111100000000000000001100001100100111100001001000100110000101000000100101000000 -01001010000000000100100100100000000000000000000000000000000000000000000001000000010010000001001001001000000100011000100010100101 -10010100010000000000001100111000000010011100000000000000000000000000100010000000011001111000000000000000000000000000000000000000 -00001000011001110001001010000100001010011000010000000001100100010100110000000001001100011000011000000000000100011010011100000000 -00000001110000010100110000100011011001111000000000000000000000000000000000000010111000010001000100110000100111100110100110000000 -00100110001001100100100000000001001100000000001000110000100101100110100011010011100000000000000000011000011110000000000000000000 -00000000000000000000000000000000000000100111000100000010010010001110010000100011000000001000110010000000000100001000010000000100 -00100000000000000000110000001100000000000000000000000000000000000001001110000000100101000000010101010010011000101000100110100111 -10000111010011001000111000011000100100011100100110001000111011000101100000111010001010001001100001010100010100100100001110001010 -01100100001110110101010011100100010110001100000100110010000001000100000000000000010000100000000001100111100100100000000000000000 -00000000000000001000100000001000010100111100011010011011100000010111001000001000110110100001010110101100000011110101000111010000 -01110001000101110010000111011000010011101000101000111101100000010010111001100010001001110010001010001101110110001100001101101110 -00100101100010010100101100100010011011010001001010111001100000010001000000010000000000010001100001100111100000000000000000000000 -00000000000000000001001111001011001100001001010001110100000111000100010010011001101101000111001101000111001110001000111011100100 -10010010001001001000011111000100100011000111010000110001101000110100011100101011010010010010001110001110001101010100000000000000 -10000100000110000111100000000000000000000000000000000000000000000100001100101100110001100010100100001100101111001000010110001000 -10011001000101001111111000000100101100010110100010011001110011010100011101000001100101001100000100111111101000010000000100000100 -00111110000000011010000011000001110001100001011111011011001101001110011100001001101000001110100000111000100101001110000010011100 -00000000000000000110011110000000000000000000000000000000000000001001111001100000011010010010010010101110000010111001000001100010 -01010001010011110011111010100101110001001011100001010100010100010100100100001101111010010010001110001110000110000000011000100101 -00000100000101001111001000110001010100110000011100100101111000000000110100010010011100000000000000000001100001111000000000000000 -00000000000000000000000000000000100011001001101001111000110100011010011100100101101011011010110001000110100111010110100100101101 -10111010001000110110000010001110011110010111110000001000101110000010010010010011010001010001011000010100101111010011010011110010 -01001001100010100011000101001010110000000000000000000000000000000000000000000000000000000000000000010010101000010100011010001101 -10001010001010111010101001101001101000111101001100100111011011000000100011100101010010011100101001001011000001000101001011011101 -00011010010110001010010001001100100110110100100101000101001100100101010001010000111100010000000000000000000000000000000000000000 -00000000000000000000000100100010000110011011010011000010000111011000000100111101010010011110110011010000010010010101100010010111 -10010101001010110010000111100001011000111100010001010011110001010111010110110000011101000000010110110110111011001010101100110010 -00100110000101011000100011000101111010000100000011000100100100011000000000000000000000000010011101000000000000000000000000010001 -00000000000000001000001001111001100001100011010000001000111000011011000100010110101001011100010101100101001110110000010111000000 -01001010111010010010000000100101110001001010101111010000101110010000001000111000000000101101110010011011000011101000010000000000 -00000000011000001110000000000000000000000000100011000001001110000010001100000000000001001110100111110111000000100011100101100010 -10011110001110011001001110010001100110110011011001010011001111000110100001110010001011000110001001101001010100111010001001001111 -00101100010110010010011100000011001111110100000100101111010000010010101001100010001000000100110000000000000000000000100001001011 -11001000011001110010111000000000000000000100101000000000010110000011000100011001100100001100100100011100100100101001100010100100 -00111001000011001011001011001010010000110000010010010011010011001000011101000010100011011100001001100100001110100010010011010000 -10010000010010010101011000100101001001001100100011100001100101000000001001000000000000000000000000000000000010010100000000000000 -00000000100111010010001000100100110001101100000110000101011000100011001111001010001011111001110001000111011101010100001000101001 -10110110000010001111110000000110100000111000100101110001000100001010011010110110101111100100101001111001001000101000011111000000 -11101000001001110010011100000000000000011000001110000000000001001000100100000000000000000000000000000000010011101000001001010010 -00110011000010100010011101000001110010001110100010010011100100010100001011010000100110001000111011010000101111010010111010000100 -10000000100101110000110001100010010010011001000101000110100101010000010011010111110001010011110011100000000000000100010000000000 -00000100010010011000000000000000000000000000000000000001000010111000001010011010011010011100110011010001001011000110100011100110 -01100100101100100010010110000111001011101001010010010001000110000110010010101101100100000100100111001001010011010011101010101001 -01101011101011000000000000000100101000000000010001001001100000000000000000000000000000000010001101001110100001100100100001011100 -01000100001000100011111000000110010010010111100101001001011000110110100001000010000010011001000000100000111001000010001000110100 -00011000000011100100010010000010000111000010101100001010100001010001101101100001101101000000000000000000100000000111100000010010 -00010010101000110000000000000000000000000000000101010111010000010000000011000101000100001100001110101100001001100100001100011100 -11101000010100100110001100101001111001011000101000101000001001111010100100001100010100001001001001001101100100101110110101001000 -11001101000100010011101010100100001011100010000000000000000000001010110000000000000000000000000000000000000000001110101010001111 -01000101100110011111101110100101000100110101000110011111101110011111101000011001110101111110100100001111111011111000000110101000 -10100001010001111111101010000011111011100110110000000110001110111101011110101000101000010100010100010000110000011111100000101000 -11101010100101000000000000000000000001010100000000000000000000000000000000000000000000001111001100001111010001100011110101010111 -10001000001110111111101110110111111111111111001001001100011111111101111110010110110010100010110011111100001100000011100011110111 -11111111011101100100111110111111111111011000110101000100100110110000000110011111110100010010011101111111111111101100011110111111 -11101110111100100010100010001111111110111110001000101100111111110111001000101000110000000000000000010001000000000000000000000000 -00000000000000000000000010110000111101000101100110011111000100000010001110100011111111100110001001111111011101000111110100000010 -11001111110001111100110010001011101111111010001001001101111111101000100011101000101000011000110010011000001111111001110010100011 -11001111010000011110111101001000111111010101111010110010010110000011100110010000000000000000000000000000000000000000000000000000 -00000000111111110000111101000101101010101111101110100111100100010101011111111101111011111011001100111010001001101110100000111100 -01001000011111111100101000010100011011101111000011010001111111101100110111111110111111111100110011111100110111011110100001111111 -10110011001100010001101000100001111111110110100001101011111100111010000011100010010000000000000000000000000000000000000000000000 -00000000000000000100111001000000010011100110001100100100111001000000100011111100010000110000001110010001110000100001100010001001 -00111001000000010011100100000001110000100001000000100011111100000000000000000000000000110000001111110000000000000000000000000000 -00000000000000000000000101110000101111100100010001001100100010100010100111001000001000101100101001000010000010001000000100000000 -01010011000000000100011000000000010001110011000000001001000000000000000000000000000000000000000000000000000000001000010000011010 -00010100110000001000110100111001100110010110100001000100111010010010000000001000110000010000110011011101000000000000000000100101 -00000000000000000000000000001000011001100000000000000000000000000000000001001100100110001001100100110100110111001000100000000010 -01001001100000011010001000100001001001011001101011111010010000000000000000001001000000000000000000000000000000000000000000000000 -00000000000000010010010000010010000001011110100100000000100010001100010001100001100010000110001000100000100000001000100000100101 -00000000001000011001000000000100101000000000000000000000000000000000000000000000000000000000000010100100010001100100000000011001 -00001100000000110000101001000000000100100100100000100000000000000001000110000000000000000000000000000000000000000000000000000000 -00000100011000010010100000100101000110010001010011000000000001100100010100110000010001100100010000100011000000000000000000000000 -00000000000000000000000000000000000000000000000000000100110000010011100000100111000010011000000010010100010010010001001001100001 -00110010011010011100101101000000100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -00001000100000100010100010000100000101110110001010000110001000101001100000000000010001100001000010000000000000000000000000000000 -00000000000000000000000000010011100000100111000110000001101100001010100001100100100111100100110001010010011100100111100100100010 -10000011001000100100011100011100010100011100000100110001001111001011000001010100111001000010010011000110100101001010001110001000 -00100100011001001011100100010101010000000000000000000000000000000000000000000000000000001000000100010000001000010000100000000100 -11001000011001011111000110110001001001100000011001001111000100100110001110100010100111100101010001101000101001011011110001001100 -10001110000010011110000011001001101101110010110100110010010110001100111000010000000000000000000000000000000000000000000000000000 -00000000000000000000010001101100101001101100101011100111110100010000100000101011001000101001001011101001010010111110000111010001 -00000001000111001111010011001101001011000110001000110010000001001001001101001111100001010100011100110000000000000000001000100000 -00000000000000000000000000000000000000000000000100101111001000110100100100010110001010111011100000111011000010011101110001010100 -00010011001001110110010010010111111011000001010100011010010100110000110101001000100001110110101010011010110001001111100100101100 -01011101000001001110010011010000010001100000000000000000100000000000000100010000000000000000000000000000000000000101001100001010 -11011000001001111001100001100011010010010110010010001011100001001000111001101001101000100111000000110001110011100001110000101101 -10010010000010010010011001000011110000100010011000110010001001100100100100001100110000000000000000000000000000001001110000000000 -00000000000000000000100001000000010010110001010010101000010101011100110100011100100010010011100100001001011100100100001000010110 -10000101001000100100011010001011100100100100110001001101010111100100010100011100101001100100110110100001011000011000001000011110 -00010000000000000000000000000000000000000000000000000000000000000000000000000100011111000010010011111001010101010101101011000001 -01110001001101001101001011011100100100000010000010001100010000101001110001100100001100110100110001000000110001100110011010011111 -11000010011000010101011010000000000000000000000000000000000000000000000000000000000000010000100000011101100001100100110100101001 -00010010010110000010011010010010000111100001001000011000001110000001100011100000010000110101010011101001110110001010010000001001 -10010010000100101100011001100100110000100101010011010011110010100000000000000000000000000000000000000000000000000000000000010011 -10010000011010000010000000100110110011000100010001011101000000110010010110000001000111000111000011001100010001011000110001011011 -00101000100000100000011010010101001010100101110010001000010001010010001001011001101000001001010110011001010011000000000000000000 -00000000000000000000000000000000000000000010001000000000000010101110010011010011000000101101100111011011000000111100000010001110 -00101011010010011001110000100010011001111000100100101010011110011110011111001001100010001101000001000111110010000110100110000011 -00011010111000010010110000000000000000000000000000000000000000000000000000000000000000010001000000001001101100100010100111000100 -01001001010010011000110110000000100000010000101001111001000011000110001000011110001000100010010000001001101001100011010001101000 -00100111000110010010001001110000000000000000000000000000000000000000000000000000000000000000000101101011001000011000001001100001 -00110010011011010010101100010100101101100101111100000010011001011111010101010100010001011010001101110000001100001100110100110010 -00101101000100100100000000111000100010010010001110000110000000000000000000000000000000000000000000000000000000000000000000000000 -00000001101000001100000100111100001100011101001100011010010101000110110100010010000111100111001111010000000100001110100000110000 -01001011000101110100001111000010110000001001010110100100110000101001101100100100010010101010101001101000100011001010101001100000 -00000000000000000000000000000000000000000000000000000000000000100011100011111100010011001001001000111001001001100100010111000000 -11000011100100010010011110010000001101001001101100100001000100010100101100010110000101000100110100101111001010010010000100001110 -11011011000100001100011001100111100101000000000000000000000000000000100100000000000000000000000000000000000010001000000000110000 -10101010100011100010000100000100101010000100000010001011100000011100001010001110010000010000110111110000110011010010001011010000 -01000000010000100110010010010000111100100000000000000000000000000000100101000000000000000000000000000000000001001110000010011011 -00101010001111000010100101010011001101000100010010010001011001010101101000101100111110100100110011001101000100100101111001100000 -01010010100000100000000111001000011100100001110000011101010010001010000011101000001110001000101010010000110010000000000000000000 -00000000000000000000000000000000000000000000000000010100010011011111110111110111111100001111111111011011011111001101111111100101 -00001111111011101000011110011011010000101000101000010111100111111000011111111100111111110111100011010101000111101110101010001111 -10111101010001111101111010100011111100000101100101000010100011111111010101101111001100000000000000000000000000000000000000000000 -00000000000000000000000000010100010111011111110101100111111100100111110111011111011111000111111111100111111000010010010100011111 -10000100011111100110011110011001001001110011001111111000011000011001100001111110000110010010011111110001010001000111111011101111 -00011011011001110111111111101110110001111110111011111101111100100111011111110100010001111110011100100100101100111110111110001111 -01110011111100110010010011110010011110001000100011000000000000000000000000000000000000000000000000000000000000000000100011111111 -10101100111111100100111110111011111011111100001100111111111011110011001001011111110011111111000001010011010001001001111110111101 -00011000011001010100011111110001010001000110111000110110010111111100101111001001100011110000111111101010001001000111101000001101 -01010010100001111101111100111010100011010111110110101101110100000000000000000000000000000000000000000000000000000000000000000000 -00001010101111111011111011111110000111111111101101101111100110101000001111110011101000011011000101111111100100001101000111110111 -11001101101010101111100100001111110000111101111110011011000100011110001101011111100001111001001100110101000111111110010000111001 -10011111101110101010001110111111010100011100100000111101111110100001110011111111011001100000000000000000000000000100111000000000 -00000000000000000000000000000000011000110010011100001000011000100111001001000111111000000001000000011100001000011000100111001000 -10011100110001000101000111111000000001100010001001110000100001000000010011100100000001001110000000000000000000000000000000000000 -00000000000000001001000100100000000000000000000010001010001010110000010010100000000100100001011100100101100101000001000001000111 -00000010000001000010000000000000000000000000010001010000001001000000000000100110010000110011000000000000000001000001001100000000 -00000000000010101000100001110100000100010011010000111010001001000110000010010100010000111010010010100100001000111000011001111010 -01100110100001100001100110010010100000000000000000000000000110100001010100100000001101100000001001100000000000000000100001100110 -10011101001100000000000000000000100110100110100111001001100100110010001100010001101001010000100011001000010100110100011000000111 -00100001110101000000000000000000000000000010011010011000100000100111000000100111010000000000000000000001000101001100000000000000 -00000000001000001010111001000011000110001011110111010000010011000010000110011000000000011100100011000010110010110000010001110000 -10000000000000000000000000001011110010010101001100000000000000000000000000000100010000000000000000000000001011100100011000010010 -10101010100000000011101000001100010010000001001000000001001000000000000000000000000000000000100010000000011001110000000000000000 -00000001110000001100110001000110000000000000000000010110110010100010000110011010010000010001110001100000000000010001100001000010 -00000000000000000000000000011010000101001100001100001111011001111001001110010011000000000000000000000000000000000000001011100101 -00101001100000010001101001100010011010011001100100001000000000010011101001100010000000000000000000000000000000001001101001100001 -10100111100000000110000001110011101000000000000000000000000001001010000000000000000000100000100001100110000100010100001100110110 -00101000010000001001000000100010100111100101000000000000000000000000000000000000001011110000110111000000010000100000000000000100 -11000001000011001110111000001000000000000000000001000110001001111101000010010010001000111010110100111001000011000011000111001100 -10000001011111000110110001001010011110011010000010100101010100110001100010000110011000000000000000000000000001010100000000000000 -01100111000110001100000000100000000000010001100000010000110011100000000000000000001001100011010010011000010010011111000101010100 -11111101000101000001001001001111100010100110000110010011110010000110100100111110000001001110010011010111110001000100010101110100 -00000100000000000000000000000000001000000110010110000010101111000011110001100111100100111000000000000000000010000110011110001100 -00000000000000000000110000101010001011000110000011011010101100010001100010110001010010011101001100110110000010100110010100110010 -10101001000010011001001101001110000100000101010100011000000000000000000001001000000000000110100111100000000000000000000000000001 -00001000000000000000000000001001001101010001111000000101101100000100000110100010010000110011001110000010100011110100101010000001 -00100010000101000000001100001011101011111001001010101001000000011010100101101100000101010000000000000000000010010100000010011100 -00000000011001111000000000000000000000100001000000100010000000100111000010111000000100010100011110110000111000011001001111000010 -01000101000000100111101001101001100001010011011100000101110010000010011111010000100110101001010011111000110001000001001101001100 -01100011000101101100001000000000000000000000000010011101001110001100001111000000001100111000000001001110000000000001100010001001 -00010000000000100010000001001010010001000111000000111001000100111000010001000000111100000011000100011000010111001000001000011010 -10101001111001000010000110010111110001101100001010100101000100000100110010001101001101001100000000000000000000000000000100010000 -11000001110000000010010101010010000000000000000100110000000100000000000000000000010001100000010000101100100010111010000010011100 -01000001001110100011011001001001001111001000001000011110001000010101001000110100001010001100000000001000100000000000000100100000 -01000000001001110000100010000000000000000010000000000000000000010001100010011100110010010111000110011110010000100111010110010101 -10100001010010001100101001101010110010010010101010010110001010000001110000001001011111011101100001010100011000100011100100011101 -00000000000000010000000000000000000000000000000001000101111001000010011000000000000000011000100010010001010000100000000000000000 -00010000010100111010001000110100000111001000011000111110000010100001110100001000100010110010010010010010101110011110011110010110 -00111000111110010000111001000000100001110100000110111010011100010001010101100000000000000000000000000000001000101111000000000000 -00001000110000001001110000000001001010100110100010000000000000000000001101100000010000011001010101001001001001001011000001011101 -00011100110111100000001100010001110001100110011011000010100100111100001110010001010000001000111001101000001000101000010000000000 -00000000000000000000000000000000000000000010000100000100001100111001001010010001110001100000000000000000000110001010010011011010 -01010011010011101001111001110110100011010001011000100101001001000101001010001010010100000011100001001001101001100010001110010110 -01100000000000000000000000000000000000000000000001000100000000000000000000001001001000000000000000000000000100001010001101110001 -01000100110101111011001000011110010000100000100110110001010110101110011011011000000010011011001001011000011000110100110011001000 -10110001000111000110000001101100100001010100000000000000000000000000000000000000001111100000000010010100000000000000010100100000 -00000000010001100000000110100011001110001100100111100011100011011010010100000110100000100101100010000110000011100100101001001001 -00010000101000001001111001100010001011000011100000000000000000000000000000100010011010110001000001111000000000000010011100001001 -11000000000000000010011111100010000000000000001001100100010000100111010001101001100100001100100011001010010110110000001001100111 -00010001100010010101001111001000001000001100011000100010100111001000001011011000101001000010011110010000100011000000000000000000 -00000000100100000100110000000100010010100100000000000000010011001010100010000110011100000000001000000010000101001001001011001001 -11001000010100101001111110100000110001001010001111100100001010011000101101000100110001010001110010010000001001101000011001000100 -01110111010001110010000101110100101100011100110000000000000000000000000001001010000000000000010010100000000000000000101011000000 -00000000000000100000001011011000000010011001000101100100001001101000010100011100000110110010101001100011000110011101000001100101 -10010101011111101100001111000000110011001001000100000100000100111000000101010000000000000000000001000100100111101011000000000000 -00000000000001100001111001010001111110000000000000000000000011001111111111010100011111111011100111111100110110000111111101010101 -00001111001111111011001101001101111101111110101110101100010001100101011000101011010000111100110110100001110001000110101010101001 -10100111010000000101000111111110000000000000000000000000000000001001100000000000000000000000100010111111000001100010100111001100 -11010001001010000000000000000001100001111011011100001111110111111011111111000011001011110100100110001001111011101111011101010001 -10101010110110010000011001001101000111011111111011111100100101000110001111110001111101000001101011101100100110111000000000111011 -11111111111100100011000000000000000000000000000000000000000000000000000000001000101010000100100101000101011100011000000000000000 -00010100011011101111101110000111100100111011001101100001100010100010010001101111111100011110000111010100010010111000000111100110 -01001011111001111001001100010001101000011110100000011110100001100110111100011001001001010001111111101000000000000000000000000000 -00000000011110000000000000000000000000001000011111110110111111100110011000000000000000000011101010111111111011001101101110100110 -11000101011101111111100001110000101111101110111010101111101110100011011001100111111110110011000101011111001100100001110011001111 -11110110011110111011110100000011110111011100110011100110100000010000111111100001010000000000000000000000000000000000000000000000 -00000000000010000110010101000100000000000000000000010000001100001100111100010000110001001110010001110000100001100011001010001111 -11000000001000000011100001000010000000100111001000000000000000000000000000000000000000011000000111111000000000000100110001000101 -00000000000000000000000000000000000000000000000010010000001001010100011000100110000000000000000000000000000000000000000000000100 -10001001110000000100111010001010000110011010010100000000000000000000000000000000000000001011011001100010001000100011010011000100 -00010010010011000000000100001100110000010000110011000000000000000000000000000001100001010100110000000000001101110000010011011010 -00100000000000000000000000000000000000000010111000010011001001011001101000111001111010011001110000000000100110000001001100111000 -01000001001100010001100000000000000000000000001011101001100010000010011100100111100000100000010011000000000000000000000000000000 -00000000001000000100100010010010011010000010000010010000001000110100011001000000000010000001000010100111010000000010011000000000 -00000000000000000100000100011000100110000010010101000100000000000000000000000000000000000001000000010001100010011010010000000010 -00110001001101000110000000000000000000000000000000000000000000010010000000000000011001111000100010000000000000000000000000000000 -00000000100101010011001000011001101011000000000100110000010011000000000100001100110000010000110011001001100000000000010011000000 -00000000011000010101001100001100001111000110011110010011101001101001100000000000000000000000000000000000010001010011110111000010 -01100100101100110000000001000110000000000010011010001000001001100100100000000000001001000000000000000010111010011000011010011110 -01000011001111000001000000000000000000000000000000000000000000000010000001001010100100000000001000011001000000000001000000010011 -10010000000000000000000000000000000000100000000011011100000000000100001000000000000001000000000000000000010001010011100000000101 -10101010101000110001000011001100001000001001011010010110000101010011110000110010010011000000000000000000000000000000000000000000 -00000000000000000110011110011000110000000010000000000000000000000000000000000010001001001011001100101001001110000010100110110001 -10000010110010001010110110000011010000010011110000001110000010010001100010000100000000000010001010000000000000000100110000000000 -00100000100001000010101111000011110000000110011110010011100000001000011001110000000000000000000000000000000010011000100010110000 -11001001100000011011000101001000000110001110010011000011000011100000000101001010100100000000000000000000000000000000001101001111 -00000000000000001000100000000000000000000000100000011001001101001101110001000001000011001011000011100000011001011001100111000110 -00010100010011111001100101010111001111001111001110000100001100111000000000001001110000000000010001100000000000010001000000000000 -01100111100001001100000000010001000000000000000000000000001001111000100111001000010001101100100010100111010010010011010010010001 -01110001000010000110010001001011000000100001000000000000000000000000000000000000000000000000001100001111001100111000010001100000 -00001001110000000000000000000000000010000110000011100001000001111100100110010000111000110000110011001010000110001010010100001101 -10010010011110100110001100100110000001001110100010000000000000000000001011100000000000000001100000111000001001011001110000000000 -00000000000000000000000000001110010000100011100111100110100001010001010011000100101101100100011100111010100110011000010011000000 -00000000000000000000000000000000000000000010000000000000000100100000000000000000000101100000000000000000010010001110000001100001 -10011000011000010101000000100110111001001010110011100010011100001010110000001100111000110010110111000011101101100000000100011000 -01000100001001110000000000000000000000000000000000000000001111101000000010000000000000000000000000000100010100111000000100101100 -10001110000100100110100001010000011010001000100001011101000000101101110110001010011100001100011001111000100010011000000010001001 -00010010011101001000100010010011100000000000000000000000000100100100111001110000100111100000000000000001000010000000000000000000 -00000000000100001001000011000001100100001110011100000100001110110000001000010100011111001000001001010010011111100010101001100000 -11110010000010000110111001111000000010001100010011001000110000000000010000110011100000000000100110011001001000000000000000001000 -01100111000000001011100000000000000000000000000100101011100001001001001001100011000100011001001001010011011000001100100010100010 -10101001000100000000100101000101011100011100011011010001001001111110010101111000010011100010000110100010010011100000000000000000 -00000010010000000000000001000000000000000000000000000000000000000000001000001000011110000100010010110001010001010000010011010011 -11101010010110000110010001010101100010001010010010000011100100001000110100001100010000011001001001001000100101000100100010010100 -00000000000000000000000000010001000000000011110000000011011010000100110000000000000000000000000000000100000100101010010010011110 -01010001000001000010011001010110101001100001100111000110001000110000101001100001000000000100010010011101001110100010011100001010 -00000000000000000000000000101100001000001111000000000000001001110000000000000000000000000000000000000010101101001110001100011000 -10011110011000010011110001010010110001100111000010010011011100011001000110001100011000100011100011001001100100011010000101001100 -00000000000000000000000000001000100100110010011000000100011011000110000010000110011100000000000000000000000000000100100100111100 -10100011001001000110001100010011101000101100010100100011101010100001010000110100111100100000110100001010010010011000100110100110 -10111110100110001101101000100100111110001010011010001001110001000010001010011100000000000000000000000001001100011000110000000000 -00100100000010011100000000000000000000000000000000010110101001101000001001101011111000011110001101111000001011000000111010100010 -00000100110100110010000111000100011110100000111000100001000110100000000010010001001010010010010010001001010000000000000000000000 -00001001001000100100111101011000000000000000000000000000000000000000000010111101111111110110010111101110111011111110111001100001 -11110100001010001110101010011011011111100000011011001110111100110011010110110011101111111010000111111111010110111101111111111111 -10111100100101101111111111111111011010010011110111111111111110111100100101101111111111111111010100100000000000000000000000000000 -01001100000000000000000000000000000000000000000011110011011001001010001010001000111110111010111110111010010010100011111111101000 -11111101111110100010010011001000110010100011101010101001001010111010001000111010000001100111110110000110111101111001100111101111 -01100001101111100110011111011000011011110111100110011110111101100001101111100110011111010001100000000000000000000000000000000000 -00000000000000000000000000000000000000001111111010010100010100001010001010000111100110111011101111000111110001000111011101101010 -00101000100011111011101111000011110101000101000011001110110001101111111110000110111101111001100111101111011000011011111001100111 -11011000011011110111100110011110111101100001101111100110011111110001100000000000000000000000000000000000000000000000000000000000 -00000000000000001100110010011110111111101001111011111010101001000111100111111001100110111000010111101100110111101111111001100111 -01010111011101111110011110111111111011011100110110111111100011111111001101000001111011111111111111011110000010110111111111111111 -10110100000111101111111111111101111000001011011111111010000000000000000000000000000000000000000000000000000000000000000000000000 -00000000010011100110000001110010010001111110000000011000100010010011100100000001001110010000000001000001111010011100100100011111 -10001001101101000100000111101001110010010001111110001001101101000100000111101000000000000000000000000000000000110000001111110000 -00000000000000000000000000000000000000100100000000000001000001010011010010001000000000010001010100110010100000000000000000000100 -00000000000000000000000000000000000000000010011001000011001100000000000000000000000010001000000000000010000100000000001000101000 -00010010000000000010001000000001001110000100110111010000000000000001001011001100000000000000000000000000001101100000001001100000 -00000000000000000000000000000000100010000001000010000000000000000000000000000001010101001000000000000101010100100000000000000000 -00010011100000000011100100000100000000000000000000000000000011110000000000000000010000000000000000100111000000000001000000000001 -00010000100011011000101000000000000010010000000000000000000000000000000000000000000000000000110010010000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000110011110000100001100110000000000000000000000010000110011000000 -00000000000000000010001000000000000000000000000000000000000100010000010000100000000000000001100001111000011001111001001110010011 -00000000000000000000000010011000000000000000000000000000000000000000100011000000000000000000000000000000000000000000110000111100 -01100000011100111010000000000000000000000000000110010010110001010011100000000001011110000000000010000000000000000000100101000000 -00000000000000100000000001001000000000000000000001000010000000000000000000000000000000000000000000000000010011011110010000010010 -00000000101110000000000010010000000000000000000000000000000000000000000001100111100110001100010000100001000000000000000000000000 -00001000000000000010111001010100000000011010011000010011100000000100101000000000010011110111000000000000000000000000000000000010 -00011100001111000110011110011100100000000000000000000000000000000000000000010001001001110000000010010010011000000000000000000000 -00000000000000000000000000000000100110000011000011110000000100001000000000000000000000000000000000000010010101001001011000000000 -01000100110101100010011001100000011000001001000000000000000000000000000000000000000000010010000000000000011001111000000000000001 -00100000000000000000000000000000000000000000000000010000100000000000000000000000001001110000000000000000010011101001110100010011 -00001111001100111000000000000000000000000000000000000000000000000000000000000001000110000000000000000100010000000000000000000000 -00000100010001000000000000100101000000000000000000000000000000000000000000000000000000000001001010000000000000000000000001001000 -00000100001100111001011100000001001001010100010111100000001001111010100000000000100110000000000000000000000000000000000000000001 -01100000010000000000000000010110000001000000000000000000000000000000000000000000001111001000000000000000000000000000000000000000 -01000000000000010000100000000000000000000000000000000000000000000000000100010000001000100001001101001100001001000000000000000000 -00000000000000000000000010001000010011100001001100000000000000001011000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000100001001000000101111100100000000000000000000000000000000000000000000000001000000000000000 -00000000000000000000000000000000000000000000000010001000100000000000000000101100000001011000000000000000000000000000000000000000 -00000001001110010001100000111110000000100011000000000000000000000001101000100010011000000000000100110000000010000000010011000000 -00000000000000001001000110101100000000000010001101001101000001001100000000000000001010100000000000100111001001000100110000000000 -00000000000000100100000000000110011100001001000000000010011010100100100011000000000000000000001000110000000000010010100000000000 -00000010001100000010010100000000000000000000000000100110000000000100010000100101000000100111100010100100100010000000000000000000 -00000000000000000001001000000000000000001001000101010000001100011000001101000100011011100000000000000000000000001000100000000000 -10011110001101001100000010000100010011001110110000100110000000000000000000000010011100000000000101010000000000000000101001001000 -01000000001110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000010000 -10000000000000000000000010000100000000000000000000000110011001000000000000000000000000100001000000000000000000000001000010000000 -00111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000100000010011110110110010100000000000100101100001000000000010010110000100000000000000000000001001011000 -01000000000010010110000100000000000000000000000000000000000000000000000000010001111011000000000000001000000100110000000000100000 -01001100000000000000000000000010011000000000000100110000000000000000000000000000000000000000000000000000000110001000111100000101 -00000000000000010011110000100000000001001111000010000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000001000000100111000000000010000100010010100000001000010001001010000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000110001000111010000011001100000000101011110001100010000011111110011111100000000000010101111000110001 -00000111111100111111000000000000000000000000100010100100110001100111101000011110100000000000010001010010011000110011110100001111 -01000000000000000000000000000000000000000000000000000000000001100011001111110000111111000000000000101011110001100010000011111110 -01111110000000000001010111100011000100000111111100111111000000000000000000000000001100011001111110000111111000000000000001100011 -00111111000011111100000000000000000000000000000000000000000001001110000000000000000010011100000000000000000000000001010111100011 -00011000110011110000001100111000000000000010101111000110001100011001111000000110011100000000000000000000000000000000000000000000 -00000000000000000000000000000000000001000011000111000111001111001110101011110001100011000110011110000001100111010101111000110001 -10001100111100000011001110000000000000101011110001100011000110011110000001100111000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00100001000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000100001000000000000000000 +00000110011001000000000000000000000000100001000000000000000000000001000010000000001111110000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100111101100100 +10100000000000100101100001000000000010010110000100000000000000000000001001011000010000000000100101100001000000000000000000000000 +00000000000000000000000000010001111011000000000000001000000100110000000000100000010011000000000000000000000000100110000000000001 +00110000000000000000000000000000000000000000000000000000000110001000111100000101000000000000000100111100001000000000010011110000 +10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001001110000000000100001000100101 +00000001000010001001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010001110100000 +11001100000000101101110001100010000011111110011111100000000000010110111000110001000001111111001111110000000000000000000000001000 +10100100110001100111101000011110100000000000010001010010011000110011110100001111010000000000000000000000000000000000000000000000 +00000000000001100011001111110000111111000000000000101101110001100010000011111110011111100000000000010110111000110001000001111111 +00111111000000000000000000000000001100011001111110000111111000000000000001100011001111110000111111000000000000000000000000000000 +00000000000001001110000000000000000010011100000000000000000000000001011011100011000110001100111100000011001110000000000000101101 +11000110001100011001111000000110011100000000000000000000000000000000000000000000000000000000000000000000000000000000010000110001 +11000111001111001110101101110001100011000110011110000001100111010110111000110001100011001111000000110011100000000000001011011100 +01100011000110011110000001100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000001000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000001000011000110000000000000000000000000000000010010100000000000000011100010100000010000100110100101111111111111111 -11111111111111111111111111111111111111111111111111000010100000000000000000000000000000000000000000000000000000000010101010100111 -00100010000000000000000000000000010000000000000000000000000000001111111111111111111111111111111101011110000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010001100000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110001100000000000000000000 +00000000000010010100000000000000011100010100000000001011101111101111111111111111111111111111111111111111111111111111111111111111 +11000010100000000000000000000000000000000000000000000000000000000010101010100111001000100000000000000000000000000100000000000000 +00000000000000001111111111111111111111111111111111111111111111111111111111111111111111111111111101011110000000000000000000000000 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 * NOTE END CONFIG DATA* -L52992 +L52352 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 @@ -1432,10 +1432,10 @@ L171648 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 * -C9E6F* +C8CD3* NOTE FEATURE_ROW* E0000000000000000000000000000000000000000000000000000000000000000 0000010001100000* NOTE User Electronic Signature Data* UH00000000* -56E0 +55A6 diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.mrp b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.mrp index 8d63e35..50741c6 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.mrp +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.mrp @@ -9,25 +9,26 @@ Command line: map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial RAM2E_LCMXO2_640HC_impl1.ngd -o RAM2E_LCMXO2_640HC_impl1_map.ncd -pr RAM2E_LCMXO2_640HC_impl1.prf -mp RAM2E_LCMXO2_640HC_impl1.mrp -lpf //Mac/iC loud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_sy - nplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui + nplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui + -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml Target Vendor: LATTICE Target Device: LCMXO2-640HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469 -Mapped on: 06/07/24 20:49:58 +Mapped on: 07/12/24 16:07:45 Design Summary -------------- - Number of registers: 125 out of 877 (14%) - PFU registers: 103 out of 640 (16%) + Number of registers: 124 out of 877 (14%) + PFU registers: 102 out of 640 (16%) PIO registers: 22 out of 237 (9%) - Number of SLICEs: 148 out of 320 (46%) - SLICEs as Logic/ROM: 148 out of 320 (46%) + Number of SLICEs: 145 out of 320 (45%) + SLICEs as Logic/ROM: 145 out of 320 (45%) SLICEs as RAM: 0 out of 240 (0%) SLICEs as Carry: 9 out of 320 (3%) - Number of LUT4s: 296 out of 640 (46%) - Number used as logic LUTs: 278 + Number of LUT4s: 289 out of 640 (45%) + Number used as logic LUTs: 271 Number used as distributed RAM: 0 Number used as ripple logic: 18 Number used as shift registers: 0 @@ -51,53 +52,54 @@ Design Summary 2. Number of logic LUT4s does not include count of distributed RAM and ripple logic. Number of clocks: 2 - Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M ) + Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M ) Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 ) Number of Clock Enables: 13 - Net N_117_i: 2 loads, 0 LSLICEs - Net RWBank14: 11 loads, 11 LSLICEs + Net N_347_i: 2 loads, 0 LSLICEs + Net RWBank14: 10 loads, 10 LSLICEs Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs - Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs - Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs Page 1 -Design: RAM2E Date: 06/07/24 20:49:58 +Design: RAM2E Date: 07/12/24 16:07:45 Design Summary (cont) --------------------- - Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs - Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs - Net un1_CKE48_0_i: 6 loads, 6 LSLICEs - Net N_389_i: 2 loads, 0 LSLICEs + Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs + Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs + Net un9_VOE_0_a2: 1 loads, 1 LSLICEs + Net un1_CKE48_i: 6 loads, 6 LSLICEs + Net N_346_i: 2 loads, 0 LSLICEs Net Vout3: 8 loads, 0 LSLICEs - Number of LSRs: 8 - Net N_148: 2 loads, 2 LSLICEs - Net N_430_i: 2 loads, 0 LSLICEs + Number of LSRs: 9 + Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs + Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs Net RC7: 2 loads, 2 LSLICEs - Net S[2]: 2 loads, 2 LSLICEs + Net S[1]: 1 loads, 1 LSLICEs Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs - Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs - Net N_530: 1 loads, 0 LSLICEs - Net N_301_i: 1 loads, 1 LSLICEs + Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs + Net N_523_1: 1 loads, 0 LSLICEs + Net N_727_0: 1 loads, 1 LSLICEs + Net RATc_i: 1 loads, 1 LSLICEs Number of nets driven by tri-state buffers: 0 Top 10 highest fanout non-clock nets: - Net S[2]: 60 loads - Net S[3]: 48 loads - Net S[1]: 46 loads - Net S[0]: 31 loads - Net FS[11]: 24 loads - Net FS[10]: 23 loads - Net FS[12]: 23 loads - Net FS[9]: 23 loads - Net FS[13]: 21 loads - Net FS[8]: 18 loads + Net S[2]: 51 loads + Net S[1]: 44 loads + Net S[3]: 40 loads + Net S[0]: 32 loads + Net FS[8]: 29 loads + Net FS[9]: 26 loads + Net FS[10]: 25 loads + Net FS[11]: 23 loads + Net FS[13]: 20 loads + Net ram2e_ufm.wb_rst13: 17 loads @@ -121,21 +123,22 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will WARNING - map: IO buffer missing for top level port nWE80...logic will be discarded. -IO (PIO) Attributes -------------------- -+---------------------+-----------+-----------+------------+ -| IO Name | Direction | Levelmode | IO | + + Page 2 -Design: RAM2E Date: 06/07/24 20:49:58 +Design: RAM2E Date: 07/12/24 16:07:45 -IO (PIO) Attributes (cont) --------------------------- +IO (PIO) Attributes +------------------- + ++---------------------+-----------+-----------+------------+ +| IO Name | Direction | Levelmode | IO | | | | IO_TYPE | Register | +---------------------+-----------+-----------+------------+ | RD[0] | BIDIR | LVCMOS33 | | @@ -189,19 +192,19 @@ IO (PIO) Attributes (cont) | BA[1] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ | BA[0] | OUTPUT | LVCMOS33 | OUT | -+---------------------+-----------+-----------+------------+ -| nRWEout | OUTPUT | LVCMOS33 | OUT | -+---------------------+-----------+-----------+------------+ Page 3 -Design: RAM2E Date: 06/07/24 20:49:58 +Design: RAM2E Date: 07/12/24 16:07:45 IO (PIO) Attributes (cont) -------------------------- ++---------------------+-----------+-----------+------------+ +| nRWEout | OUTPUT | LVCMOS33 | OUT | ++---------------------+-----------+-----------+------------+ | nCASout | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ | nRASout | OUTPUT | LVCMOS33 | OUT | @@ -255,19 +258,19 @@ IO (PIO) Attributes (cont) | Din[4] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[3] | INPUT | LVCMOS33 | | -+---------------------+-----------+-----------+------------+ -| Din[2] | INPUT | LVCMOS33 | | -+---------------------+-----------+-----------+------------+ Page 4 -Design: RAM2E Date: 06/07/24 20:49:58 +Design: RAM2E Date: 07/12/24 16:07:45 IO (PIO) Attributes (cont) -------------------------- ++---------------------+-----------+-----------+------------+ +| Din[2] | INPUT | LVCMOS33 | | ++---------------------+-----------+-----------+------------+ | Din[1] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[0] | INPUT | LVCMOS33 | | @@ -321,19 +324,19 @@ Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped. -Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped. -Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped. -Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped. Page 5 -Design: RAM2E Date: 06/07/24 20:49:58 +Design: RAM2E Date: 07/12/24 16:07:45 Removed logic (cont) -------------------- +Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped. +Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped. +Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN3 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN2 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN1 undriven or does not drive anything - clipped. @@ -388,15 +391,13 @@ Block ram2e_ufm/ufmefb/GND was optimized away. - - - Page 6 -Design: RAM2E Date: 06/07/24 20:49:58 +Design: RAM2E Date: 07/12/24 16:07:45 + Embedded Functional Block Connection Summary -------------------------------------------- @@ -446,7 +447,7 @@ Run Time and Memory Usage ------------------------- Total CPU Time: 0 secs - Total REAL Time: 0 secs + Total REAL Time: 2 secs Peak Memory Usage: 59 MB @@ -456,7 +457,6 @@ Run Time and Memory Usage - Page 7 diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.pad b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.pad index 5f3ea7b..31a498d 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.pad +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.pad @@ -6,7 +6,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.39 -Fri Jun 07 20:50:08 2024 +Fri Jul 12 16:08:09 2024 Pinout by Port Name: +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+ @@ -283,5 +283,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:12 2024 +Fri Jul 12 16:08:21 2024 diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.prf b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.prf index 49e19a0..4637a19 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.prf +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.prf @@ -1,5 +1,5 @@ SCHEMATIC START ; -# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jun 07 20:49:59 2024 +# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jul 12 16:07:47 2024 SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ; LOCATE COMP "RD[0]" SITE "36" ; diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.srr b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.srr index 857d422..250b06f 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.srr +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1.srr @@ -3,7 +3,7 @@ #OS: Windows 8 6.2 #Hostname: ZANEMACWIN11 -# Fri Jun 7 20:49:50 2024 +# Fri Jul 12 16:07:05 2024 #Implementation: impl1 @@ -52,6 +52,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0 @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\REFB.v" (library work) @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-OFF.v" (library work) Verilog syntax check successful! +File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling Selecting top level module RAM2E @N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work. Running optimization stage 1 on VHI ....... @@ -77,12 +78,12 @@ Running optimization stage 2 on EFB ....... Running optimization stage 2 on VLO ....... Running optimization stage 2 on VHI ....... -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) +At c_ver Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:05s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:51 2024 +# Fri Jul 12 16:07:11 2024 ###########################################################] @@ -102,13 +103,14 @@ Implementation : impl1 Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\layer0.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:51 2024 +# Fri Jul 12 16:07:12 2024 ###########################################################] @@ -118,12 +120,12 @@ For a summary of runtime and memory usage for all design units, please see file: @END -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) +At c_hdl Exit (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:06s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:51 2024 +# Fri Jul 12 16:07:12 2024 ###########################################################] @@ -143,18 +145,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:52 2024 +# Fri Jul 12 16:07:14 2024 ###########################################################] -Premap Report - -# Fri Jun 7 20:49:53 2024 +# Fri Jul 12 16:07:14 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -199,7 +200,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h @A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP. @N: MH105 |UMR3 is only supported for HAPS-80. @N: MH105 |UMR3 is only supported for HAPS-80. -@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. +@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. syn_allowed_resources : blockrams=2 set on top level netlist RAM2E Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) @@ -212,7 +213,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -227,7 +228,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - @@ -247,14 +248,14 @@ Number of ICG latches not removed: 0 #### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[ -2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s) +2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s) 0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) 0 instances converted, 0 sequential instances remain driven by gated/generated clocks =========================== Non-Gated/Non-Generated Clocks ============================ Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------- -@KP:ckid0_0 C14M port 121 PHI1r +@KP:ckid0_0 C14M port 120 PHI1r @KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0] ======================================================================================= @@ -264,27 +265,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I @N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized. Finished Pre Mapping Phase. -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) +Starting constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker preprocessing (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) None None -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) Pre-mapping successful! -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) +At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Jun 7 20:49:53 2024 +Process took 0h:00m:02s realtime, 0h:00m:01s cputime +# Fri Jul 12 16:07:18 2024 ###########################################################] -Map & Optimize Report - -# Fri Jun 7 20:49:53 2024 +# Fri Jul 12 16:07:21 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -319,12 +318,12 @@ Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0 Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) +Finished loading timing files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) @N: MF284 |Setting synthesis effort to medium for the design -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) +Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) Available hyper_sources - for debug and ip models @@ -333,61 +332,61 @@ Available hyper_sources - for debug and ip models @N: FX493 |Applying initial value "00000000" on instance RWBank[7:0]. @N: FX493 |Applying initial value "0000" on instance S[3:0]. -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) +Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) @N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0] -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) +Starting factoring (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) +Finished factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Starting Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished preparing to map (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB) -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology mapping (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------ - 1 0h:00m:01s 6.90ns 281 / 125 + 1 0h:00m:01s 6.90ns 280 / 124 -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished restoring hierarchy (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) +Start Writing Netlists (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB) Writing EDIF Netlist and constraint files @N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\RAM2E_LCMXO2_640HC_impl1.edi N-2018.03L-SP1-1 @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB) +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 163MB) -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Start final timing analysis (Real Time elapsed 0h:00m:11s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB) @W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-640hc-nodhgr\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @N: MT615 |Found clock C14M with period 69.84ns @@ -395,7 +394,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0 ##### START OF TIMING REPORT #####[ -# Timing Report written on Fri Jun 7 20:49:57 2024 +# Timing Report written on Fri Jul 12 16:07:33 2024 # @@ -420,9 +419,9 @@ Worst slack in design: 6.897 Requested Estimated Requested Estimated Clock Clock Starting Clock Frequency Frequency Period Period Slack Type Group ---------------------------------------------------------------------------------------------------------------------- -C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup +C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0 -System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup +System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup ====================================================================================================================== Estimated period and frequency reported as NA means no slack depends directly on the clock waveform @@ -437,9 +436,9 @@ Clocks | rise to rise | fall to fall | rise ---------------------------------------------------------------------------------------------------------------- Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------------- -System C14M | 69.841 67.088 | No paths - | No paths - | No paths - +System C14M | 69.841 66.719 | No paths - | No paths - | No paths - C14M System | 69.841 68.797 | No paths - | No paths - | No paths - -C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths - +C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths - RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp - RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths - ================================================================================================================ @@ -468,10 +467,10 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------- -S[2] C14M FD1S3AX Q S[2] 1.366 31.599 -S[3] C14M FD1S3AX Q S[3] 1.345 31.619 -S[1] C14M FD1S3AX Q S[1] 1.344 31.800 -S[0] C14M FD1S3AX Q S[0] 1.305 31.838 +S[2] C14M FD1S3AX Q S[2] 1.353 31.675 +S[3] C14M FD1S3AX Q S[3] 1.337 31.691 +S[0] C14M FD1S3AX Q S[0] 1.319 32.182 +S[1] C14M FD1S3AX Q S[1] 1.344 32.656 RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707 RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707 RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771 @@ -484,21 +483,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771 Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599 -VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800 -Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634 -=================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +---------------------------------------------------------------------------------- +VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675 +RAT C14M FD1S3IX CD RATc_i 34.118 32.147 +Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647 +================================================================================== @@ -512,9 +511,9 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 34.118 - - Propagation time: 2.519 + - Propagation time: 2.442 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 31.599 + = Slack (non-critical) : 31.675 Number of logic level(s): 1 Starting point: S[2] / Q @@ -522,16 +521,16 @@ Path information for path number 1: The start point is clocked by C14M [rising] on pin CK The end point is clocked by C14M [falling] on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------- -S[2] FD1S3AX Q Out 1.366 1.366 - -S[2] Net - - - - 58 -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 - -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 - -N_530 Net - - - - 3 -VOE_i_0io OFS1P3IX CD In 0.000 2.519 - -============================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------- +S[2] FD1S3AX Q Out 1.353 1.353 - +S[2] Net - - - - 50 +ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 - +ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 - +N_523_1 Net - - - - 2 +VOE_i_0io OFS1P3IX CD In 0.000 2.442 - +======================================================================================= @@ -620,7 +619,7 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313 @@ -635,21 +634,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7] Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------- -ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736 -ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736 -======================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719 +ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736 +ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +=============================================================================================================== @@ -663,30 +662,33 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 69.369 - - Propagation time: 2.282 + - Propagation time: 2.650 - Clock delay at starting point: 0.000 (ideal) - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 67.088 + = Slack (non-critical) : 66.719 - Number of logic level(s): 2 + Number of logic level(s): 3 Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO - Ending point: ram2e_ufm.RWMask[0] / SP + Ending point: ram2e_ufm.wb_cyc_stb / SP The start point is clocked by System [rising] The end point is clocked by C14M [rising] on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ----------------------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - -wb_ack Net - - - - 5 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 - -un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 - -N_104 Net - - - - 8 -ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 - -================================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - +wb_ack Net - - - - 4 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 - +un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 - +un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 - +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 - +un1_CmdBitbangMXO212_1_i[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 - +=============================================================================================================== @@ -695,16 +697,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In Timing exceptions that could not be applied None -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Finished final timing analysis (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Finished timing report (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) --------------------------------------- Resource Usage Report Part: lcmxo2_640hc-4 -Register bits: 125 of 640 (20%) +Register bits: 124 of 640 (19%) PIC Latch: 0 I/O cells: 70 @@ -713,7 +715,7 @@ Details: BB: 8 CCU2D: 9 EFB: 1 -FD1P3AX: 58 +FD1P3AX: 57 FD1P3IX: 1 FD1S3AX: 31 FD1S3AY: 4 @@ -726,15 +728,16 @@ OB: 41 OFS1P3BX: 6 OFS1P3DX: 12 OFS1P3IX: 3 -ORCALUT4: 275 +ORCALUT4: 268 +PFUMX: 10 PUR: 1 VHI: 3 VLO: 3 Mapper successful! -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 164MB) +At Mapper Exit (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 163MB) -Process took 0h:00m:03s realtime, 0h:00m:03s cputime -# Fri Jun 7 20:49:57 2024 +Process took 0h:00m:14s realtime, 0h:00m:03s cputime +# Fri Jul 12 16:07:38 2024 ###########################################################] diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html new file mode 100644 index 0000000..a725836 --- /dev/null +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html @@ -0,0 +1,152 @@ + +Bitgen Report + + +
BITGEN: Bitstream Generator Diamond (64-bit) 3.11.3.469
+Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
+Copyright (c) 1995 AT&T Corp.   All rights reserved.
+Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
+Copyright (c) 2001 Agere Systems   All rights reserved.
+Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
+Fri Jun 07 20:50:16 2024
+
+
+Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR -w -jedec -gui RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf 
+
+Loading design for application Bitgen from file RAM2E_LCMXO2_640HC_impl1.ncd.
+Design name: RAM2E
+NCD version: 3.3
+Vendor:      LATTICE
+Device:      LCMXO2-640HC
+Package:     TQFP100
+Performance: 4
+Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.11_x64/ispfpga.
+Package Status:                     Final          Version 1.39.
+Performance Hardware Data Status:   Final          Version 34.4.
+
+Running DRC.
+DRC detected 0 errors and 0 warnings.
+Reading Preference File from RAM2E_LCMXO2_640HC_impl1.prf.
+
+
+Preference Summary:
+
++---------------------------------+---------------------------------+
+|  Preference                     |  Current Setting                |
++---------------------------------+---------------------------------+
+|                         RamCfg  |                        Reset**  |
++---------------------------------+---------------------------------+
+|                     MCCLK_FREQ  |                         2.08**  |
++---------------------------------+---------------------------------+
+|                  CONFIG_SECURE  |                          OFF**  |
++---------------------------------+---------------------------------+
+|                          INBUF  |                           ON**  |
++---------------------------------+---------------------------------+
+|                      JTAG_PORT  |                       ENABLE**  |
++---------------------------------+---------------------------------+
+|                       SDM_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                 SLAVE_SPI_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                MASTER_SPI_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                       I2C_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|        MUX_CONFIGURATION_PORTS  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                  CONFIGURATION  |                          CFG**  |
++---------------------------------+---------------------------------+
+|                COMPRESS_CONFIG  |                           ON**  |
++---------------------------------+---------------------------------+
+|                        MY_ASSP  |                          OFF**  |
++---------------------------------+---------------------------------+
+|               ONE_TIME_PROGRAM  |                          OFF**  |
++---------------------------------+---------------------------------+
+|                 ENABLE_TRANSFR  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                  SHAREDEBRINIT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|            BACKGROUND_RECONFIG  |                          OFF**  |
++---------------------------------+---------------------------------+
+ *  Default setting.
+ ** The specified setting matches the default setting.
+
+
+Creating bit map...
+ 
+Bitstream Status: Final           Version 1.95.
+ 
+Saving bit stream in "RAM2E_LCMXO2_640HC_impl1.jed".
+ 
+===========
+UFM Summary.
+===========
+UFM Size:        191 Pages (128*191 Bits).
+UFM Utilization: General Purpose Flash Memory.
+ 
+Available General Purpose Flash Memory:  191 Pages (Page 0 to Page 190).
+Initialized UFM Pages:                     1 Page (Page 190).
+ 
+Total CPU Time: 3 secs 
+Total REAL Time: 4 secs 
+Peak Memory Usage: 267 MB
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt index cfcae9b..f24b212 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt @@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11 Implementation : impl1 -# Written on Fri Jun 7 20:49:53 2024 +# Written on Fri Jul 12 16:07:16 2024 ##### DESIGN INFO ####################################################### diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html index 932aab0..af6cc78 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html @@ -17,24 +17,25 @@ Command line: map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial RAM2E_LCMXO2_640HC_impl1.ngd -o RAM2E_LCMXO2_640HC_impl1_map.ncd -pr RAM2E_LCMXO2_640HC_impl1.prf -mp RAM2E_LCMXO2_640HC_impl1.mrp -lpf //Mac/iC loud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_sy - nplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui + nplify.lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui + -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml Target Vendor: LATTICE Target Device: LCMXO2-640HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469 -Mapped on: 06/07/24 20:49:58 +Mapped on: 07/12/24 16:07:45 Design Summary - Number of registers: 125 out of 877 (14%) - PFU registers: 103 out of 640 (16%) + Number of registers: 124 out of 877 (14%) + PFU registers: 102 out of 640 (16%) PIO registers: 22 out of 237 (9%) - Number of SLICEs: 148 out of 320 (46%) - SLICEs as Logic/ROM: 148 out of 320 (46%) + Number of SLICEs: 145 out of 320 (45%) + SLICEs as Logic/ROM: 145 out of 320 (45%) SLICEs as RAM: 0 out of 240 (0%) SLICEs as Carry: 9 out of 320 (3%) - Number of LUT4s: 296 out of 640 (46%) - Number used as logic LUTs: 278 + Number of LUT4s: 289 out of 640 (45%) + Number used as logic LUTs: 271 Number used as distributed RAM: 0 Number used as ripple logic: 18 Number used as shift registers: 0 @@ -58,44 +59,45 @@ Mapped on: 06/07/24 20:49:58 2. Number of logic LUT4s does not include count of distributed RAM and ripple logic. Number of clocks: 2 - Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M ) + Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M ) Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 ) Number of Clock Enables: 13 - Net N_117_i: 2 loads, 0 LSLICEs - Net RWBank14: 11 loads, 11 LSLICEs + Net N_347_i: 2 loads, 0 LSLICEs + Net RWBank14: 10 loads, 10 LSLICEs Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs - Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs - Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs - Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs - Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs - Net un1_CKE48_0_i: 6 loads, 6 LSLICEs - Net N_389_i: 2 loads, 0 LSLICEs + Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs + Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs + Net un9_VOE_0_a2: 1 loads, 1 LSLICEs + Net un1_CKE48_i: 6 loads, 6 LSLICEs + Net N_346_i: 2 loads, 0 LSLICEs Net Vout3: 8 loads, 0 LSLICEs - Number of LSRs: 8 - Net N_148: 2 loads, 2 LSLICEs - Net N_430_i: 2 loads, 0 LSLICEs + Number of LSRs: 9 + Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs + Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs Net RC7: 2 loads, 2 LSLICEs - Net S[2]: 2 loads, 2 LSLICEs + Net S[1]: 1 loads, 1 LSLICEs Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs - Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs - Net N_530: 1 loads, 0 LSLICEs - Net N_301_i: 1 loads, 1 LSLICEs + Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs + Net N_523_1: 1 loads, 0 LSLICEs + Net N_727_0: 1 loads, 1 LSLICEs + Net RATc_i: 1 loads, 1 LSLICEs Number of nets driven by tri-state buffers: 0 Top 10 highest fanout non-clock nets: - Net S[2]: 60 loads - Net S[3]: 48 loads - Net S[1]: 46 loads - Net S[0]: 31 loads - Net FS[11]: 24 loads - Net FS[10]: 23 loads - Net FS[12]: 23 loads - Net FS[9]: 23 loads - Net FS[13]: 21 loads - Net FS[8]: 18 loads + Net S[2]: 51 loads + Net S[1]: 44 loads + Net S[3]: 40 loads + Net S[0]: 32 loads + Net FS[8]: 29 loads + Net FS[9]: 26 loads + Net FS[10]: 25 loads + Net FS[11]: 23 loads + Net FS[13]: 20 loads + Net ram2e_ufm.wb_rst13: 17 loads @@ -123,11 +125,14 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be + + + + IO (PIO) Attributes +---------------------+-----------+-----------+------------+ | IO Name | Direction | Levelmode | IO | - | | | IO_TYPE | Register | +---------------------+-----------+-----------+------------+ | RD[0] | BIDIR | LVCMOS33 | | @@ -181,10 +186,10 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be | BA[1] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ | BA[0] | OUTPUT | LVCMOS33 | OUT | + +---------------------+-----------+-----------+------------+ | nRWEout | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ - | nCASout | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ | nRASout | OUTPUT | LVCMOS33 | OUT | @@ -238,10 +243,10 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be | Din[4] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[3] | INPUT | LVCMOS33 | | + +---------------------+-----------+-----------+------------+ | Din[2] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ - | Din[1] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[0] | INPUT | LVCMOS33 | | @@ -296,10 +301,10 @@ Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped. + Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped. - Signal ram2e_ufm/ufmefb/SPIMCSN3 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN2 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN1 undriven or does not drive anything - clipped. @@ -357,8 +362,6 @@ Block ram2e_ufm/ufmefb/GND was optimized away. - - Embedded Functional Block Connection Summary Desired WISHBONE clock frequency: 14.4 MHz @@ -410,7 +413,7 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0 ------------------------- Total CPU Time: 0 secs - Total REAL Time: 0 secs + Total REAL Time: 2 secs Peak Memory Usage: 59 MB @@ -422,7 +425,6 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0 - Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html index 0c2d893..f07144a 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html @@ -14,7 +14,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.39 -Fri Jun 07 20:50:08 2024 +Fri Jul 12 16:08:09 2024 Pinout by Port Name: +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+ @@ -292,7 +292,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:12 2024 +Fri Jul 12 16:08:21 2024 diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_par.html b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_par.html index 25efd40..93f0eae 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_par.html +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_par.html @@ -12,11 +12,12 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:49:59 2024 +Fri Jul 12 16:07:51 2024 C:/lscc/diamond/3.11_x64/ispfpga\bin\nt64\par -f RAM2E_LCMXO2_640HC_impl1.p2t RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir -RAM2E_LCMXO2_640HC_impl1.prf -gui +RAM2E_LCMXO2_640HC_impl1.prf -gui -msgset +//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml Preference file: RAM2E_LCMXO2_640HC_impl1.prf. @@ -25,22 +26,22 @@ Preference file: RAM2E_LCMXO2_640HC_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 56.334 0 0.379 0 16 Completed +5_1 * 0 55.130 0 0.379 0 44 Completed * : Design saved. -Total (real) run time for 1-seed: 16 secs +Total (real) run time for 1-seed: 44 secs par done! Note: user must run 'Trace' for timing closure signoff. Lattice Place and Route Report for Design "RAM2E_LCMXO2_640HC_impl1_map.ncd" -Fri Jun 07 20:49:59 2024 +Fri Jul 12 16:07:51 2024 Best Par Run PAR: Place And Route Diamond (64-bit) 3.11.3.469. -Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_640HC_impl1.prf +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_640HC_impl1.prf Preference file: RAM2E_LCMXO2_640HC_impl1.prf. Placement level-cost: 5-1. Routing Iterations: 6 @@ -66,39 +67,39 @@ Ignore Preference Error(s): True 70+4(JTAG)/79 94% bonded IOLOGIC 22/80 27% used - SLICE 148/320 46% used + SLICE 145/320 45% used EFB 1/1 100% used -Number of Signals: 465 -Number of Connections: 1330 +Number of Signals: 447 +Number of Connections: 1292 Pin Constraint Summary: 70 out of 70 pins locked (100% locked). The following 1 signal is selected to use the primary clock routing resources: - C14M_c (driver: C14M, clk load #: 85) + C14M_c (driver: C14M, clk load #: 84) WARNING - par: Signal "C14M_c" is selected to use Primary clock resources. However, its driver comp "C14M" is located at "62", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew. The following 1 signal is selected to use the secondary clock routing resources: - RWBank14 (driver: ram2e_ufm/SLICE_82, clk load #: 0, sr load #: 0, ce load #: 11) + RWBank14 (driver: ram2e_ufm/SLICE_89, clk load #: 0, sr load #: 0, ce load #: 10) No signal is selected as Global Set/Reset. Starting Placer Phase 0. ............ -Finished Placer Phase 0. REAL time: 2 secs +Finished Placer Phase 0. REAL time: 6 secs Starting Placer Phase 1. ..................... -Placer score = 71540. -Finished Placer Phase 1. REAL time: 9 secs +Placer score = 69147. +Finished Placer Phase 1. REAL time: 17 secs Starting Placer Phase 2. . -Placer score = 70933 -Finished Placer Phase 2. REAL time: 9 secs +Placer score = 69051 +Finished Placer Phase 2. REAL time: 18 secs @@ -111,8 +112,8 @@ Global Clock Resources: DCC : 0 out of 8 (0%) Global Clocks: - PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 85 - SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_82" on site "R2C9D", clk load = 0, ce load = 11, sr load = 0 + PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 84 + SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_89" on site "R6C8A", clk load = 0, ce load = 10, sr load = 0 PRIMARY : 1 out of 8 (12%) SECONDARY: 1 out of 8 (12%) @@ -140,16 +141,16 @@ Total placer CPU time: 8 secs Dumping design to file RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd. -0 connections routed; 1330 unrouted. +0 connections routed; 1292 unrouted. Starting router resource preassignment WARNING - par: The driver of primary clock net C14M_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew. WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=PHI1_c loads=5 clock_loads=3 -Completed router resource preassignment. Real time: 14 secs +Completed router resource preassignment. Real time: 31 secs -Start NBR router at 20:50:13 06/07/24 +Start NBR router at 16:08:22 07/12/24 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -164,32 +165,38 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 20:50:13 06/07/24 +Start NBR special constraint process at 16:08:22 07/12/24 -Start NBR section for initial routing at 20:50:13 06/07/24 +Start NBR section for initial routing at 16:08:22 07/12/24 Level 4, iteration 1 -17(0.04%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 56.334ns/0.000ns; real time: 15 secs +15(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 56.455ns/0.000ns; real time: 42 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 20:50:14 06/07/24 +Start NBR section for normal routing at 16:08:33 07/12/24 Level 4, iteration 1 -7(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 56.334ns/0.000ns; real time: 15 secs +6(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 56.455ns/0.000ns; real time: 42 secs Level 4, iteration 2 +1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 55.130ns/0.000ns; real time: 42 secs +Level 4, iteration 3 +1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 55.130ns/0.000ns; real time: 42 secs +Level 4, iteration 4 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 56.334ns/0.000ns; real time: 15 secs +Estimated worst slack/total negative slack<setup>: 55.130ns/0.000ns; real time: 42 secs -Start NBR section for setup/hold timing optimization with effort level 3 at 20:50:14 06/07/24 +Start NBR section for setup/hold timing optimization with effort level 3 at 16:08:33 07/12/24 -Start NBR section for re-routing at 20:50:14 06/07/24 +Start NBR section for re-routing at 16:08:34 07/12/24 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 56.334ns/0.000ns; real time: 15 secs +Estimated worst slack/total negative slack<setup>: 55.130ns/0.000ns; real time: 43 secs -Start NBR section for post-routing at 20:50:14 06/07/24 +Start NBR section for post-routing at 16:08:34 07/12/24 End NBR router with 0 unrouted connection @@ -197,7 +204,7 @@ NBR Summary ----------- Number of unrouted connections : 0 (0.00%) Number of connections with timing violations : 0 (0.00%) - Estimated worst slack<setup> : 56.334ns + Estimated worst slack<setup> : 55.130ns Timing score<setup> : 0 ----------- Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. @@ -208,9 +215,9 @@ WARNING - par: The following clock signals will be routed by using generic routi Signal=PHI1_c loads=5 clock_loads=3 Total CPU time 13 secs -Total REAL time: 16 secs +Total REAL time: 44 secs Completely routed. -End of route. 1330 routed (100.00%); 0 unrouted. +End of route. 1292 routed (100.00%); 0 unrouted. Hold time timing score: 0, hold timing errors: 0 @@ -224,14 +231,14 @@ All signals are completely routed. PAR_SUMMARY::Run status = Completed PAR_SUMMARY::Number of unrouted conns = 0 -PAR_SUMMARY::Worst slack<setup/<ns>> = 56.334 +PAR_SUMMARY::Worst slack<setup/<ns>> = 55.130 PAR_SUMMARY::Timing score<setup/<ns>> = 0.000 PAR_SUMMARY::Worst slack<hold /<ns>> = 0.379 PAR_SUMMARY::Timing score<hold /<ns>> = 0.000 PAR_SUMMARY::Number of errors = 0 -Total CPU time to completion: 13 secs -Total REAL time to completion: 16 secs +Total CPU time to completion: 14 secs +Total REAL time to completion: 44 secs par done! diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt index 8b4d4ff..bcca9c5 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt @@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11 Implementation : impl1 -# Written on Fri Jun 7 20:49:53 2024 +# Written on Fri Jul 12 16:07:14 2024 ##### FILES SYNTAX CHECKED ############################################## Constraint File(s): "\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc" @@ -33,7 +33,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -47,7 +47,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html index 6b2c9da..d57c446 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html @@ -62,7 +62,7 @@ Updated: -2024/06/07 20:50:21 +2024/07/12 16:08:40 Implementation Location: diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html index a130384..e421bbc 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html @@ -12,7 +12,7 @@ #OS: Windows 8 6.2 #Hostname: ZANEMACWIN11 -# Fri Jun 7 20:49:50 2024 +# Fri Jul 12 16:07:05 2024 #Implementation: impl1 @@ -61,6 +61,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0 @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\REFB.v" (library work) @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-OFF.v" (library work) Verilog syntax check successful! +File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling Selecting top level module RAM2E @N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work. Running optimization stage 1 on VHI ....... @@ -86,12 +87,12 @@ Running optimization stage 2 on EFB ....... Running optimization stage 2 on VLO ....... Running optimization stage 2 on VHI ....... -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) +At c_ver Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:05s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:51 2024 +# Fri Jul 12 16:07:11 2024 ###########################################################] @@ -111,13 +112,14 @@ Implementation : impl1 Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\layer0.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:51 2024 +# Fri Jul 12 16:07:12 2024 ###########################################################] @@ -127,12 +129,12 @@ For a summary of runtime and memory usage for all design units, please see file: @END -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) +At c_hdl Exit (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:06s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:51 2024 +# Fri Jul 12 16:07:12 2024 ###########################################################] @@ -152,18 +154,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:49:52 2024 +# Fri Jul 12 16:07:14 2024 ###########################################################] -Premap Report - -# Fri Jun 7 20:49:53 2024 +# Fri Jul 12 16:07:14 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -208,7 +209,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h @A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP. @N: MH105 |UMR3 is only supported for HAPS-80. @N: MH105 |UMR3 is only supported for HAPS-80. -@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. +@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. syn_allowed_resources : blockrams=2 set on top level netlist RAM2E Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) @@ -221,7 +222,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -236,7 +237,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - @@ -256,14 +257,14 @@ Number of ICG latches not removed: 0 #### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[ -2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s) +2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s) 0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) 0 instances converted, 0 sequential instances remain driven by gated/generated clocks =========================== Non-Gated/Non-Generated Clocks ============================ Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------- -@KP:ckid0_0 C14M port 121 PHI1r +@KP:ckid0_0 C14M port 120 PHI1r @KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0] ======================================================================================= @@ -273,27 +274,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I @N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized. Finished Pre Mapping Phase. -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) +Starting constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker preprocessing (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) None None -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) Pre-mapping successful! -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) +At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 57MB peak: 143MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Jun 7 20:49:53 2024 +Process took 0h:00m:02s realtime, 0h:00m:01s cputime +# Fri Jul 12 16:07:18 2024 ###########################################################] -Map & Optimize Report - -# Fri Jun 7 20:49:53 2024 +# Fri Jul 12 16:07:21 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -328,12 +327,12 @@ Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0 Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 112MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) +Finished loading timing files (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 111MB peak: 114MB) @N: MF284 |Setting synthesis effort to medium for the design -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) +Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) Available hyper_sources - for debug and ip models @@ -342,61 +341,61 @@ Available hyper_sources - for debug and ip models @N: FX493 |Applying initial value "00000000" on instance RWBank[7:0]. @N: FX493 |Applying initial value "0000" on instance S[3:0]. -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) +Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) @N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0] -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) +Starting factoring (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) +Finished factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Starting Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished preparing to map (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB) -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology mapping (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------ - 1 0h:00m:01s 6.90ns 281 / 125 + 1 0h:00m:01s 6.90ns 280 / 124 -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished restoring hierarchy (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) +Start Writing Netlists (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB) Writing EDIF Netlist and constraint files @N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC-NODHGR\impl1\RAM2E_LCMXO2_640HC_impl1.edi N-2018.03L-SP1-1 @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB) +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 163MB) -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Start final timing analysis (Real Time elapsed 0h:00m:11s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB) @W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-640hc-nodhgr\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @N: MT615 |Found clock C14M with period 69.84ns @@ -404,7 +403,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0 ##### START OF TIMING REPORT #####[ -# Timing Report written on Fri Jun 7 20:49:57 2024 +# Timing Report written on Fri Jul 12 16:07:33 2024 # @@ -429,9 +428,9 @@ Worst slack in design: 6.897 Requested Estimated Requested Estimated Clock Clock Starting Clock Frequency Frequency Period Period Slack Type Group ---------------------------------------------------------------------------------------------------------------------- -C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup +C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0 -System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup +System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup ====================================================================================================================== Estimated period and frequency reported as NA means no slack depends directly on the clock waveform @@ -446,9 +445,9 @@ Clocks | rise to rise | fall to fall | rise ---------------------------------------------------------------------------------------------------------------- Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------------- -System C14M | 69.841 67.088 | No paths - | No paths - | No paths - +System C14M | 69.841 66.719 | No paths - | No paths - | No paths - C14M System | 69.841 68.797 | No paths - | No paths - | No paths - -C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths - +C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths - RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp - RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths - ================================================================================================================ @@ -477,10 +476,10 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------- -S[2] C14M FD1S3AX Q S[2] 1.366 31.599 -S[3] C14M FD1S3AX Q S[3] 1.345 31.619 -S[1] C14M FD1S3AX Q S[1] 1.344 31.800 -S[0] C14M FD1S3AX Q S[0] 1.305 31.838 +S[2] C14M FD1S3AX Q S[2] 1.353 31.675 +S[3] C14M FD1S3AX Q S[3] 1.337 31.691 +S[0] C14M FD1S3AX Q S[0] 1.319 32.182 +S[1] C14M FD1S3AX Q S[1] 1.344 32.656 RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707 RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707 RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771 @@ -493,21 +492,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771 Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599 -VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800 -Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634 -=================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +---------------------------------------------------------------------------------- +VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675 +RAT C14M FD1S3IX CD RATc_i 34.118 32.147 +Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647 +================================================================================== @@ -521,9 +520,9 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 34.118 - - Propagation time: 2.519 + - Propagation time: 2.442 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 31.599 + = Slack (non-critical) : 31.675 Number of logic level(s): 1 Starting point: S[2] / Q @@ -531,16 +530,16 @@ Path information for path number 1: The start point is clocked by C14M [rising] on pin CK The end point is clocked by C14M [falling] on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------- -S[2] FD1S3AX Q Out 1.366 1.366 - -S[2] Net - - - - 58 -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 - -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 - -N_530 Net - - - - 3 -VOE_i_0io OFS1P3IX CD In 0.000 2.519 - -============================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------- +S[2] FD1S3AX Q Out 1.353 1.353 - +S[2] Net - - - - 50 +ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 - +ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 - +N_523_1 Net - - - - 2 +VOE_i_0io OFS1P3IX CD In 0.000 2.442 - +======================================================================================= @@ -629,7 +628,7 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313 @@ -644,21 +643,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7] Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------- -ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736 -ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736 -======================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719 +ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736 +ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +=============================================================================================================== @@ -672,30 +671,33 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 69.369 - - Propagation time: 2.282 + - Propagation time: 2.650 - Clock delay at starting point: 0.000 (ideal) - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 67.088 + = Slack (non-critical) : 66.719 - Number of logic level(s): 2 + Number of logic level(s): 3 Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO - Ending point: ram2e_ufm.RWMask[0] / SP + Ending point: ram2e_ufm.wb_cyc_stb / SP The start point is clocked by System [rising] The end point is clocked by C14M [rising] on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ----------------------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - -wb_ack Net - - - - 5 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 - -un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 - -N_104 Net - - - - 8 -ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 - -================================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - +wb_ack Net - - - - 4 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 - +un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 - +un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 - +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 - +un1_CmdBitbangMXO212_1_i[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 - +=============================================================================================================== @@ -704,16 +706,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In Timing exceptions that could not be applied None -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Finished final timing analysis (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 164MB) +Finished timing report (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) --------------------------------------- Resource Usage Report Part: lcmxo2_640hc-4 -Register bits: 125 of 640 (20%) +Register bits: 124 of 640 (19%) PIC Latch: 0 I/O cells: 70 @@ -722,7 +724,7 @@ Details: BB: 8 CCU2D: 9 EFB: 1 -FD1P3AX: 58 +FD1P3AX: 57 FD1P3IX: 1 FD1S3AX: 31 FD1S3AY: 4 @@ -735,16 +737,17 @@ OB: 41 OFS1P3BX: 6 OFS1P3DX: 12 OFS1P3IX: 3 -ORCALUT4: 275 +ORCALUT4: 268 +PFUMX: 10 PUR: 1 VHI: 3 VLO: 3 Mapper successful! -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 164MB) +At Mapper Exit (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 32MB peak: 163MB) -Process took 0h:00m:03s realtime, 0h:00m:03s cputime -# Fri Jun 7 20:49:57 2024 +Process took 0h:00m:14s realtime, 0h:00m:03s cputime +# Fri Jul 12 16:07:38 2024 ###########################################################] diff --git a/CPLD/LCMXO2-640HC-NODHGR/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO2-640HC-NODHGR/impl1/hdla_gen_hierarchy.html index 413e0e1..a09b2ea 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/impl1/hdla_gen_hierarchy.html +++ b/CPLD/LCMXO2-640HC-NODHGR/impl1/hdla_gen_hierarchy.html @@ -2,17 +2,19 @@ Starting: parse design source files (VERI-1482) Analyzing Verilog file C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v +WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(140,9-140,25) (VERI-1362) CmdRWMaskSet is already implicitly declared on line 131 +WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(141,9-141,22) (VERI-1362) CmdLEDSet is already implicitly declared on line 131 (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/REFB.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-OFF.v INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,8-1,13) (VERI-1018) compiling module RAM2E -INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-479,10) (VERI-9000) elaborating module 'RAM2E' +INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-476,10) (VERI-9000) elaborating module 'RAM2E' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v(1,1-335,10) (VERI-9000) elaborating module 'RAM2E_UFM_uniq_1' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-OFF.v(1,1-1,68) (VERI-9000) elaborating module 'DHGR_uniq_1' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC-NODHGR/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1' -Done: design load finished with (0) errors, and (0) warnings +Done: design load finished with (0) errors, and (2) warnings \ No newline at end of file diff --git a/CPLD/LCMXO2-640HC-NODHGR/promote.xml b/CPLD/LCMXO2-640HC-NODHGR/promote.xml index 8cb23f7..40170d6 100644 --- a/CPLD/LCMXO2-640HC-NODHGR/promote.xml +++ b/CPLD/LCMXO2-640HC-NODHGR/promote.xml @@ -1,3 +1,3 @@ - + diff --git a/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html b/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html new file mode 100644 index 0000000..61af8e1 --- /dev/null +++ b/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html @@ -0,0 +1,70 @@ + +Lattice TCL Log + + +
pn240608044451
+#Start recording tcl command: 6/7/2024 20:49:37
+#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC; Project name: RAM2E_LCMXO2_640HC
+prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf"
+prj_run Export -impl impl1 -forceAll
+#Stop recording: 6/8/2024 04:44:51
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.alt b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.alt index d372a33..9a59464 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.alt +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.alt @@ -1,6 +1,6 @@ NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * NOTE All Rights Reserved * -NOTE DATE CREATED: Fri Jun 07 20:50:29 2024 * +NOTE DATE CREATED: Fri Jul 12 16:08:57 2024 * NOTE DESIGN NAME: RAM2E * NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100 * NOTE PIN ASSIGNMENTS * diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr index 95aa319..4de3bf5 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr @@ -1,7 +1,7 @@ ---------------------------------------------------------------------- Report for cell RAM2E.verilog -Register bits: 125 of 640 (20%) +Register bits: 124 of 640 (19%) PIC Latch: 0 I/O cells: 70 Cell usage: @@ -9,7 +9,7 @@ I/O cells: 70 BB 8 100.0 CCU2D 9 100.0 EFB 1 100.0 - FD1P3AX 58 100.0 + FD1P3AX 57 100.0 FD1P3IX 1 100.0 FD1S3AX 31 100.0 FD1S3AY 4 100.0 @@ -22,7 +22,8 @@ I/O cells: 70 OFS1P3BX 6 100.0 OFS1P3DX 12 100.0 OFS1P3IX 3 100.0 - ORCALUT4 275 100.0 + ORCALUT4 268 100.0 + PFUMX 10 100.0 PUR 1 100.0 VHI 3 100.0 VLO 3 100.0 @@ -30,23 +31,24 @@ SUB MODULES RAM2E_UFM 1 100.0 REFB 1 100.0 - TOTAL 494 + TOTAL 496 ---------------------------------------------------------------------- Report for cell RAM2E_UFM.netlist Instance path: ram2e_ufm Cell usage: cell count Res Usage(%) EFB 1 100.0 - FD1P3AX 29 50.0 + FD1P3AX 29 50.9 FD1P3IX 1 100.0 FD1S3IX 1 11.1 - ORCALUT4 268 97.5 + ORCALUT4 260 97.0 + PFUMX 10 100.0 VHI 2 66.7 VLO 2 66.7 SUB MODULES REFB 1 100.0 - TOTAL 305 + TOTAL 307 ---------------------------------------------------------------------- Report for cell REFB.netlist Instance path: ram2e_ufm.ufmefb diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.bgn b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.bgn index d79fdad..e890f24 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.bgn +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.bgn @@ -4,10 +4,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:26 2024 +Fri Jul 12 16:08:51 2024 -Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC -w -jedec -gui RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf +Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf Loading design for application Bitgen from file RAM2E_LCMXO2_640HC_impl1.ncd. Design name: RAM2E @@ -82,5 +82,5 @@ Available General Purpose Flash Memory: 191 Pages (Page 0 to Page 190). Initialized UFM Pages: 1 Page (Page 190). Total CPU Time: 3 secs -Total REAL Time: 3 secs +Total REAL Time: 6 secs Peak Memory Usage: 267 MB diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.edi b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.edi index 5e79a5d..05934bf 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.edi +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2024 6 7 20 50 6) + (timeStamp 2024 7 12 16 7 42) (author "Synopsys, Inc.") (program "Synplify Pro" (version "N-2018.03L-SP1-1, mapper maplat2018q2p1, Build 055R")) ) @@ -164,6 +164,16 @@ ) ) ) + (cell PFUMX (cellType GENERIC) + (view PRIM (viewType NETLIST) + (interface + (port ALUT (direction INPUT)) + (port BLUT (direction INPUT)) + (port C0 (direction INPUT)) + (port Z (direction OUTPUT)) + ) + ) + ) (cell GSR (cellType GENERIC) (view PRIM (viewType NETLIST) (interface @@ -738,197 +748,127 @@ (cell RAM2E_UFM (cellType GENERIC) (view netlist (viewType NETLIST) (interface - (port (array (rename ba_3 "BA_3[1:0]") 2) (direction OUTPUT)) + (port (array (rename ra_33 "RA_33[11:9]") 3) (direction OUTPUT)) (port (array (rename rwbank "RWBank[7:0]") 8) (direction INPUT)) - (port CmdTout_3_0 (direction OUTPUT)) - (port RDout_6 (direction OUTPUT)) - (port RDout_4 (direction OUTPUT)) + (port (array (rename ra "RA[11:0]") 12) (direction INPUT)) (port RDout_0 (direction OUTPUT)) - (port RDout_3 (direction OUTPUT)) - (port RDout_5 (direction OUTPUT)) + (port (array (rename cmdtout_3 "CmdTout_3[2:0]") 3) (direction OUTPUT)) + (port (array (rename ba_3 "BA_3[1:0]") 2) (direction OUTPUT)) (port (array (rename raout_c "RAout_c[7:0]") 8) (direction OUTPUT)) (port (array (rename rar "RAr[7:0]") 8) (direction INPUT)) - (port (array (rename ra "RA[11:0]") 12) (direction INPUT)) (port (array (rename fs "FS[15:0]") 16) (direction INPUT)) (port (array (rename rwbank_3 "RWBank_3[7:0]") 8) (direction OUTPUT)) (port (array (rename din_c "Din_c[7:0]") 8) (direction INPUT)) - (port un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 (direction OUTPUT)) (port (array (rename cmdtout "CmdTout[2:0]") 3) (direction INPUT)) (port (array (rename ain_c "Ain_c[7:0]") 8) (direction INPUT)) - (port (array (rename ra_33 "RA_33[11:9]") 3) (direction OUTPUT)) - (port S_0_0_0_0 (direction OUTPUT)) + (port S_0_0_0 (direction OUTPUT)) (port (array (rename cs "CS[2:0]") 3) (direction INPUT)) (port (array (rename s "S[3:0]") 4) (direction INPUT)) (port Vout3 (direction OUTPUT)) (port nDOE_c (direction OUTPUT)) (port DOEEN (direction INPUT)) + (port N_523_1 (direction OUTPUT)) (port RAT (direction INPUT)) - (port LED_c (direction OUTPUT)) - (port CmdSetRWBankFFLED (direction INPUT)) - (port CmdSetRWBankFFChip (direction INPUT)) - (port CmdLEDGet (direction INPUT)) (port PHI1r (direction INPUT)) (port PHI1_c (direction INPUT)) - (port N_392_i_1z (direction OUTPUT)) - (port N_391_i_1z (direction OUTPUT)) - (port N_390_i_1z (direction OUTPUT)) - (port DQMH_4_iv_0_0_i_0_i_a2_1z (direction OUTPUT)) - (port RWSel_2 (direction OUTPUT)) + (port N_492_i_1z (direction OUTPUT)) + (port N_491_i_1z (direction OUTPUT)) + (port N_359_i_1z (direction OUTPUT)) + (port N_490_i_1z (direction OUTPUT)) + (port N_489_i_1z (direction OUTPUT)) + (port N_358_i_1z (direction OUTPUT)) + (port N_488_i_1z (direction OUTPUT)) + (port CmdSetRWBankFF (direction INPUT)) + (port CmdLEDGet (direction INPUT)) + (port N_197 (direction OUTPUT)) + (port RWSel_2_1z (direction OUTPUT)) (port nWE_c (direction INPUT)) (port nC07X_c (direction INPUT)) - (port N_117_i_1z (direction OUTPUT)) - (port N_351_i_1z (direction OUTPUT)) - (port N_389_i_1z (direction OUTPUT)) - (port N_443_i_1z (direction OUTPUT)) - (port un1_CKE48_0_i_1z (direction OUTPUT)) - (port CmdSetRWBankFFChip_3_1z (direction OUTPUT)) + (port LED_c (direction OUTPUT)) + (port N_347_i_1z (direction OUTPUT)) + (port N_346_i_1z (direction OUTPUT)) + (port N_194_i_1z (direction OUTPUT)) + (port RA_33_2_173_0_1z (direction OUTPUT)) (port RDOE_2 (direction OUTPUT)) (port Ready (direction INPUT)) - (port N_350_i_1z (direction OUTPUT)) - (port CmdLEDGet_3 (direction OUTPUT)) - (port Ready3 (direction OUTPUT)) - (port N_349_i (direction OUTPUT)) - (port N_71_i (direction OUTPUT)) - (port nEN80_c (direction INPUT)) (port CmdRWMaskSet_3 (direction OUTPUT)) - (port CmdSetRWBankFFLED_3 (direction OUTPUT)) - (port CmdLEDSet_3 (direction OUTPUT)) - (port N_73_i (direction OUTPUT)) - (port N_313_i (direction OUTPUT)) - (port N_299_i (direction OUTPUT)) - (port N_285_i (direction OUTPUT)) - (port N_271_i (direction OUTPUT)) - (port N_257_i (direction OUTPUT)) - (port N_243_i_1z (direction OUTPUT)) - (port N_229_i_1z (direction OUTPUT)) - (port N_215_i_1z (direction OUTPUT)) - (port CKE_0_1z (direction OUTPUT)) + (port Ready3 (direction OUTPUT)) + (port N_458_i (direction OUTPUT)) + (port un1_CKE48_i_1z (direction OUTPUT)) + (port un9_VOE_0_a2_1z (direction OUTPUT)) (port CmdLEDSet (direction INPUT)) - (port CmdRWMaskSet (direction INPUT)) - (port N_421_i (direction OUTPUT)) - (port N_420_i (direction OUTPUT)) - (port N_424_i (direction OUTPUT)) - (port N_148 (direction OUTPUT)) - (port CS_0_sqmuxa_0_a2_RNID8OM_1z (direction OUTPUT)) - (port CS_0_sqmuxa_0_a2_RNID1MT_1z (direction OUTPUT)) + (port N_312_i_1z (direction OUTPUT)) + (port N_298_i_1z (direction OUTPUT)) + (port N_284_i_1z (direction OUTPUT)) + (port N_270_i_1z (direction OUTPUT)) + (port N_256_i_1z (direction OUTPUT)) + (port N_242_i_1z (direction OUTPUT)) + (port N_228_i_1z (direction OUTPUT)) + (port N_214_i_1z (direction OUTPUT)) + (port nEN80_c (direction INPUT)) + (port CmdLEDSet_3 (direction OUTPUT)) + (port CmdLEDGet_3 (direction OUTPUT)) + (port CmdSetRWBankFF_3 (direction OUTPUT)) + (port nCAS_0_1z (direction OUTPUT)) + (port CKE_0_1z (direction OUTPUT)) + (port N_50_i (direction OUTPUT)) + (port N_360_i (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNISFDK_1z (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNIR7BR_1z (direction OUTPUT)) + (port un1_CS_0_sqmuxa_i (direction OUTPUT)) + (port CS_0_sqmuxa_0_RNIR0921_1z (direction OUTPUT)) (port C14M_c (direction INPUT)) - (port N_301_i (direction OUTPUT)) - (port N_194 (direction OUTPUT)) - (port N_430_i_1z (direction OUTPUT)) - (port CS6_RNIL59G2_1z (direction OUTPUT)) - (port N_530 (direction OUTPUT)) + (port N_460_i (direction OUTPUT)) + (port N_459_i (direction OUTPUT)) + (port CmdRWMaskSet (direction INPUT)) (port RWBank14 (direction OUTPUT)) (port RWSel (direction INPUT)) - (port un6_DOEEN_0_a2_0_a2_1z (direction OUTPUT)) - (port N_188 (direction OUTPUT)) - (port un9_VOE_0_a2_0_a2_1z (direction OUTPUT)) (port RefReq (direction INPUT)) + (port un6_DOEEN_0_a2_0_a2_1z (direction OUTPUT)) + (port wb_rst13 (direction OUTPUT)) ) (contents - (instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B A))+D (!C (B+A)+C (!B A)))")) - ) - (instance nRAS_0_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (!C !A+C (B !A)))")) - ) - (instance (rename wb_adr_7_0_4_RNO_0 "wb_adr_7_0_4_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) - ) - (instance (rename wb_adr_7_0_1_RNO_0 "wb_adr_7_0_1_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance nCAS_0_i_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B !A)))")) - ) - (instance RA_33_2_173_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) - ) - (instance un9_VOE_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A)))")) - ) - (instance (rename RA_33_1_1_RNO_10 "RA_33_1_1_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) - ) - (instance wb_req_1_0_127_a2_i_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B !A))")) - ) - (instance (rename wb_dati_7_0_a3_5_1 "wb_dati_7_0_a3_5[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance nRAS_0_i_a3_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_4_0_RNO_7 "wb_dati_7_0_a2_4_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) - ) - (instance nRWE_0_i_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wb_rst13_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance (rename RA_33_0_o2_9 "RA_33_0_o2[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) - ) - (instance nRWE_0_i_o3_RNIP8E61 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) - ) (instance un6_DOEEN_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance (rename RA_33_1_1_RNO_0_10 "RA_33_1_1_RNO_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance RAT_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (!B A)))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_a3_0 "un1_CmdBitbangMXO212_1_i_a3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) + (instance CKE_7s2_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)+C !A)+D (B !A))")) ) - (instance (rename RA_33_1_RNO_10 "RA_33_1_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)))")) + (instance (rename RA_33_0_o2_11 "RA_33_0_o2[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) ) - (instance nRWE_0_i_o3_RNIPAG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance S_1_RNI29JH1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D A)")) ) - (instance nRWE_0_i_o3_RNIO9G81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance CKE_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B !A)))")) ) - (instance nRWE_0_i_o3_RNIN8G81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance (rename un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0 "un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) - (instance nRWE_0_i_o3_RNIRCG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance (rename wb_adr_7_i_a5_1_0_RNO_0 "wb_adr_7_i_a5_1_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B A))")) ) - (instance nRWE_0_i_o3_RNIQBG81 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!B !A))")) + (instance Ready3_5_0_i_o5_RNISUVB (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (!B+!A))+D (!C A+C (!B A+B !A)))")) ) - (instance N_425_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+!A)))")) + (instance (rename un1_RWMask_0_sqmuxa_1_i_0_RNO_0 "un1_RWMask_0_sqmuxa_1_i_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) ) (instance wb_req_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CmdBitbangMXO2_RNIB5O11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B !A))+D (C (B !A)))")) + (instance (rename S_0_i_x2_RNIES2D2_2 "S_0_i_x2_RNIES2D2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)))")) ) - (instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A+C (B !A))+D (C (B !A)))")) - ) - (instance (rename wb_dati_7_0_o2_RNO_2 "wb_dati_7_0_o2_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (B A))")) - ) - (instance nRWE_0_i_o3_RNIR47H1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance CS6_RNIL59G2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D A+D (!C A+C (!B A+B !A)))")) - ) - (instance N_430_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance (rename S_0_i_0_o3_RNICBFR_1 "S_0_i_0_o3_RNICBFR[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) + (instance (rename S_0_i_x2_RNIFT2D2_3 "S_0_i_x2_RNIFT2D2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)))")) ) (instance wb_we (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) @@ -994,324 +934,396 @@ (instance CmdBitbangMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CS_0_sqmuxa_0_a2_RNID1MT (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_RNIR0921 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D C+D (!C (B A)+C (!B+!A)))")) ) - (instance CS_0_sqmuxa_0_a2_RNID8OM (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_7_7 "wb_dati_7[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_2 "wb_dati_7[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_3 "wb_dati_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_4 "wb_dati_7[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance (rename wb_dati_7_5 "wb_dati_7[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) + ) + (instance CS12_RNI2SPL2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C !B))")) + ) + (instance CS_0_sqmuxa_0_RNIR7BR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (!B A+B !A))")) ) - (instance un1_CS_0_sqmuxa_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C+(B !A)))")) + (instance (rename wb_dati_7_6 "wb_dati_7[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename wb_adr_7_0_0 "wb_adr_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B A)))")) + (instance (rename wb_dati_cnst_7_0__m49 "wb_dati_cnst_7_0_.m49") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance CS_0_sqmuxa_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance (rename wb_dati_7_1 "wb_dati_7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename wb_dati_7_0_5 "wb_dati_7_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) + (instance (rename wb_dati_cnst_7_0__m31_am "wb_dati_cnst_7_0_.m31_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+!A)+C (B A))")) ) - (instance (rename wb_dati_7_0_2 "wb_dati_7_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) + (instance (rename wb_dati_cnst_7_0__m31_bm "wb_dati_cnst_7_0_.m31_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_7 "wb_dati_7_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m31 "wb_dati_cnst_7_0_.m31") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m35_am "wb_dati_cnst_7_0_.m35_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance (rename wb_dati_7_0_6 "wb_dati_7_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m35_bm "wb_dati_cnst_7_0_.m35_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_4 "wb_dati_7_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m35 "wb_dati_cnst_7_0_.m35") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_7_0 "wb_dati_7[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance CS6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) + (instance CS_0_sqmuxa_0_RNISFDK (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A+B !A)")) ) - (instance nCAS_0_i_0_RNISBOI3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C (!B !A))")) + (instance (rename wb_dati_cnst_7_0__m39 "wb_dati_cnst_7_0_.m39") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance nRAS_0_i_1_RNI8KIR2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(B+!A)))")) + (instance (rename wb_dati_cnst_7_0__m18_am "wb_dati_cnst_7_0_.m18_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A+B A)))")) ) - (instance nRWE_0_i_a2_1_1_RNI2DGK2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m18_bm "wb_dati_cnst_7_0_.m18_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C (B !A)))")) ) - (instance (rename wb_dati_7_0_0 "wb_dati_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + (instance (rename wb_dati_cnst_7_0__m18 "wb_dati_cnst_7_0_.m18") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m45_am "wb_dati_cnst_7_0_.m45_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (B+!A))")) ) - (instance (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance (rename wb_dati_cnst_7_0__m45_bm "wb_dati_cnst_7_0_.m45_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance (rename wb_dati_7_0_o2_2 "wb_dati_7_0_o2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B+A))")) + (instance (rename wb_dati_cnst_7_0__m45 "wb_dati_cnst_7_0_.m45") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CS_0_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C A)+D A)")) ) - (instance (rename wb_dati_7_0_3 "wb_dati_7_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C (B+A)))")) + (instance (rename wb_adr_RNO_0 "wb_adr_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (!C (!B A)))")) ) - (instance (rename wb_dati_7_0_1 "wb_dati_7_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m11_am "wb_dati_cnst_7_0_.m11_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m11_bm "wb_dati_cnst_7_0_.m11_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B+A))+D (B+A))")) + (instance (rename wb_dati_cnst_7_0__m11 "wb_dati_cnst_7_0_.m11") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m26 "wb_dati_cnst_7_0_.m26") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance (rename wb_adr_7_0_5_0 "wb_adr_7_0_5[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(B !A)))")) + (instance (rename wb_dati_cnst_7_0__m38_am "wb_dati_cnst_7_0_.m38_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (!C (!B A)+C A))")) ) - (instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) - ) - (instance (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D C)")) - ) - (instance (rename wb_adr_7_0_a2_6_0 "wb_adr_7_0_a2_6[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m38_bm "wb_dati_cnst_7_0_.m38_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance (rename wb_dati_7_0_a2_7 "wb_dati_7_0_a2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A+B A)))")) + (instance (rename wb_dati_cnst_7_0__m38 "wb_dati_cnst_7_0_.m38") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m17 "wb_dati_cnst_7_0_.m17") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)+C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_3_4 "wb_dati_7_0_a2_3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m48 "wb_dati_cnst_7_0_.m48") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)+C (!B A+B !A)))")) ) - (instance CS6_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) + (instance nRAS_0_i_0_tz_RNIPQGV3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A))")) ) - (instance (rename wb_dati_7_0_1_3 "wb_dati_7_0_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D C+D (C+(!B !A)))")) + (instance nRWE_0_i_0_RNIE9LE2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C !B+C (!B A)))")) ) - (instance (rename wb_dati_7_0_1_1 "wb_dati_7_0_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) + (instance (rename wb_dati_cnst_7_0__m10 "wb_dati_cnst_7_0_.m10") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B A)+D (C+(B A)))")) + (instance (rename wb_dati_cnst_7_0__m22_am "wb_dati_cnst_7_0_.m22_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A))+D (!C (!B+!A)+C !A))")) ) - (instance (rename wb_adr_7_0_2_0 "wb_adr_7_0_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) - ) - (instance CKE_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C !B)+D (!C+(!B+!A)))")) - ) - (instance nCAS_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) - ) - (instance (rename wb_dati_7_0_a3_0_0 "wb_dati_7_0_a3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m22_bm "wb_dati_cnst_7_0_.m22_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_0_0 "un1_CmdBitbangMXO212_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B A))+D (C+B))")) + (instance (rename wb_dati_cnst_7_0__m22 "wb_dati_cnst_7_0_.m22") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m25 "wb_dati_cnst_7_0_.m25") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A))+D (C+(B A)))")) ) - (instance (rename wb_dati_7_0_a2_1_7 "wb_dati_7_0_a2_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m29_am "wb_dati_cnst_7_0_.m29_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C+(!B+A)))")) ) - (instance (rename wb_dati_7_0_a2_1 "wb_dati_7_0_a2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B A))")) + (instance (rename wb_dati_cnst_7_0__m29_bm "wb_dati_cnst_7_0_.m29_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(!B+!A))+D (!C (!B A)+C A))")) ) - (instance (rename wb_dati_7_0_a2_6 "wb_dati_7_0_a2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A+B A)))")) + (instance (rename wb_dati_cnst_7_0__m29 "wb_dati_cnst_7_0_.m29") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance (rename wb_dati_cnst_7_0__m33_am "wb_dati_cnst_7_0_.m33_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A))+D (!C (B+A)+C A))")) ) - (instance CS6_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance (rename wb_dati_cnst_7_0__m33_bm "wb_dati_cnst_7_0_.m33_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A)+C (B+A))")) ) - (instance CS6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D B+D (!C B+C (B+A)))")) - ) - (instance (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) - ) - (instance (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B !A))+D C)")) - ) - (instance N_215_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance N_229_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance N_243_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+(B+!A)))")) - ) - (instance nRWE_0_i_o3_RNIRCQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNIUFQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI1JQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI4MQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance nRWE_0_i_o3_RNI7PQK3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) - ) - (instance S_1_RNIP23H1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B !A)+C (B !A))+D (!C (!B !A)+C !A))")) - ) - (instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C A+C (B+A))")) - ) - (instance CmdLEDSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance (rename RA_33_1_10 "RA_33_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C A+C (B+A)))")) - ) - (instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(!C B+C (B+A)))")) - ) - (instance CmdSetRWBankFFLED_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B !A)))")) - ) - (instance (rename wb_adr_7_0_a2_4_0 "wb_adr_7_0_a2_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_dati_cnst_7_0__m33 "wb_dati_cnst_7_0_.m33") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CS_0_sqmuxa_0_tz_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C (B !A)))")) ) - (instance (rename wb_dati_7_0_a2_2_1 "wb_dati_7_0_a2_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B A)))")) ) - (instance CmdRWMaskSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance CKE_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C B)+D (!C+(B+!A)))")) ) - (instance CS6_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C B)+D (C (B+A)))")) + (instance (rename wb_adr_7_i_2_0 "wb_adr_7_i_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_0_0_0 "un1_CmdBitbangMXO212_1_i_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C A+C (B+A))+D (B+A))")) + (instance (rename wb_dati_cnst_7_0__m41 "wb_dati_cnst_7_0_.m41") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)+C (!B+!A)))")) ) - (instance nRWE_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A))+D !A)")) + (instance nCAS_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C A))")) ) - (instance nCAS_0_i_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B A)+D (C+(!B A)))")) - ) - (instance (rename S_0_i_0_o2_RNIFP961_1 "S_0_i_0_o2_RNIFP961[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B !A)+D (C (B !A)))")) - ) - (instance S_1_RNIP23H1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D !A)")) - ) - (instance CmdLEDSet_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) + (instance CS_0_sqmuxa_0_tz_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) (instance wb_cyc_stb_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C !B))")) + (property lut_function (string "(!D !A+D (!C !A+C (!B !A)))")) + ) + (instance CmdSetRWBankFF_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance CmdLEDGet_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance CmdLEDSet_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance (rename RA_33_1_10 "RA_33_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) + ) + (instance CS_0_sqmuxa_0_a3_2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance CS_0_sqmuxa_0_a3_3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) + ) + (instance nRAS_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D B+D (!C B+C (B+!A)))")) + ) + (instance nRWE_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B+C (B+!A))+D B)")) + ) + (instance N_214_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance N_228_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance N_242_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+(B+A)))")) + ) + (instance Ready3_5_0_i_o5_RNI0R091 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C A+C (!B+A)))")) + ) + (instance N_256_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_270_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_284_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_298_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance N_312_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) + ) + (instance nRWE_0_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C !A)+D (!C (B !A)+C !A))")) + ) + (instance (rename wb_dati_cnst_7_0__m2 "wb_dati_cnst_7_0_.m2") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance (rename wb_dati_cnst_7_0__m7 "wb_dati_cnst_7_0_.m7") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B !A))")) + ) + (instance (rename wb_dati_cnst_7_0__m20 "wb_dati_cnst_7_0_.m20") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A))")) + ) + (instance (rename wb_dati_cnst_7_0__m23 "wb_dati_cnst_7_0_.m23") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C !B+C (!B+A)))")) + ) + (instance (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D A+D (!C A+C (!B+A)))")) + ) + (instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(!C (B !A)))")) + ) + (instance CmdBitbangMXO2_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) + ) + (instance CmdExecMXO2_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance CKE_7s2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) + ) + (instance (rename wb_adr_7_i_m2_0 "wb_adr_7_i_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_7_i_a5_1_0_0 "wb_adr_7_i_a5_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!B A))")) + ) + (instance un1_CKE48_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (!B !A+B A))+D (!C (!B+!A)+C (B+!A)))")) + ) + (instance un11_S_0_a2_RNIT9FP2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B !A)+C (!B !A))+D !A)")) + ) + (instance (rename S_0_0_0 "S_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C A)+D (!C+A))")) + ) + (instance (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0_0 "un1_wb_cyc_stb_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) + (instance (rename un1_wb_adr_0_sqmuxa_2_i_0_0 "un1_wb_adr_0_sqmuxa_2_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) + ) + (instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))")) ) (instance (rename RA_33_0_11 "RA_33_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance Ready3_0_a4_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdLEDGet_3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) + ) + (instance Ready3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance CmdLEDGet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance CmdRWMaskSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B !A)))")) ) - (instance (rename un1_CmdBitbangMXO212_1_i_a2_0_0 "un1_CmdBitbangMXO212_1_i_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (C !B))")) - ) - (instance (rename S_0_0_0_0 "S_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (C+(!B+A)))")) - ) - (instance (rename RA_33_0_9 "RA_33_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(B A))")) - ) - (instance CmdBitbangMXO2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a3_0_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B A)))")) ) - (instance un1_CS_4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a3_3_7_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (!C (!B !A)))")) ) - (instance CS6_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B A)))")) + (instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C (!B !A)))")) ) - (instance CS6_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance (rename wb_adr_RNO_1_1 "wb_adr_RNO_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A))+D (C (!B+!A)))")) - ) - (instance (rename RA_33_1_1_10 "RA_33_1_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance RA_33_10_29_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) - ) - (instance RA_33_8_65_i_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) + (instance (rename un1_CmdBitbangMXO212_1_0_0_0_0 "un1_CmdBitbangMXO212_1_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!B)+D !A)")) ) (instance RA_33_9_47_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B)+D (!C (B+!A)+C !A))")) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance N_350_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)+C (!B+!A)))")) + (instance RA_33_10_29_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance (rename wb_dati_7_0_o2_3 "wb_dati_7_0_o2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C (B A))+D (C (!B+!A)))")) + (instance RA_33_8_65_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C B)+D (!C !A+C (B+!A)))")) ) - (instance (rename wb_dati_7_0_o2_4 "wb_dati_7_0_o2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (!C (B A)+C (!B+!A)))")) - ) - (instance CKE_7_m1_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B !A)))")) + (instance RA_33_6_101_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) ) (instance RDOE_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+A)")) ) - (instance nRAS_0_i_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance CKE_7s2_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CKE_7s2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C B)+D (!C (!B A)))")) ) - (instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+!A))+D (!C+(!B+!A)))")) + (instance RA_33_5_119_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_7_83_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_3_155_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance wb_adr_1_sqmuxa_0_a2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B+A))")) + ) + (instance un1_FS_20_0_a2_0_a5_RNIR1RL (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) + ) + (instance RA_33_4_137_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance nRAS_0_i_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C !B)")) + ) + (instance nRAS_0_i_208_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B A))")) + ) + (instance nCAS_0_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+A)+D (!C (!B+!A)+C !B))")) + ) + (instance nRAS_0_i_0_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A+C (!B+A))+D A)")) ) (instance wb_req_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A)))")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B+!A))+D (C+(!B+!A)))")) + ) + (instance RA_33_2_173_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (B+A)+D (C+(B+A)))")) ) - (instance CmdSetRWBankFFChip_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance (rename RA_33_1_a2_10 "RA_33_1_a2[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A))+D (!B !A))")) ) - (instance CmdExecMXO2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) + (instance CS_0_sqmuxa_0_a3_3_8_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) ) - (instance un1_CKE48_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B+A)+C (!B !A+B A))+D (!C (!B+!A)+C (B+!A)))")) + (instance CS_0_sqmuxa_0_a3_2_5_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) ) - (instance (rename RA_33_0_0_9 "RA_33_0_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!B !A)+D (C+(!B !A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_a5_1_2_0 "un1_CmdBitbangMXO212_1_0_a5_1_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C A+C (!B A))")) ) - (instance nRAS_0_i_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A)+C !B)")) + (instance (rename RA_33_1_1_10 "RA_33_1_1[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) ) - (instance (rename un1_RWMask_0_sqmuxa_1_i_a2_0_0_0 "un1_RWMask_0_sqmuxa_1_i_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) + (instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance nCAS_0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+A)+D (!C (!B+!A)+C !B))")) + (instance N_194_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_1_0 "un1_wb_adr_0_sqmuxa_2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (B+!A)+D (C+B))")) - ) - (instance N_443_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C !A)+D (!C (B+!A)+C B))")) - ) - (instance N_389_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_346_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B A)))")) ) - (instance N_351_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A+B !A))")) - ) - (instance N_117_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_347_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))")) ) + (instance RA_33_6_101_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) + (instance (rename RWBank_3_0_0 "RWBank_3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) + ) + (instance (rename CmdTout_3_2 "CmdTout_3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)+C (!B+!A)))")) + ) + (instance RA_33_7_83_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) + (instance nRWE_0_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) + ) + (instance RA_33_3_155_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) + ) (instance (rename RWBank_3_0_7 "RWBank_3_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C B+C (B+A))")) ) @@ -1321,86 +1333,65 @@ (instance (rename RWBank_3_0_5 "RWBank_3_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) + (instance (rename RWBank_3_0_4 "RWBank_3_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B+A)+C B)")) + ) (instance (rename RWBank_3_0_3 "RWBank_3_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename wb_dati_7_0_o2_1 "wb_dati_7_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B !A))+D (!C (B A)))")) - ) - (instance RA_33_4_137_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) - ) - (instance RA_33_3_155_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) - ) - (instance (rename RWBank_3_0_0 "RWBank_3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) - (instance (rename RWBank_3_0_1 "RWBank_3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B+A)+C B)")) - ) (instance (rename RWBank_3_0_2 "RWBank_3_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename RWBank_3_0_4 "RWBank_3_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RWBank_3_0_1 "RWBank_3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B+A)+C B)")) ) - (instance (rename S_0_0_0_a2_0 "S_0_0_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)+C !A)+D !A)")) + (instance (rename S_0_i_x2_3 "S_0_i_x2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+(!B+!A))+D (C (B A)))")) ) - (instance RA_33_7_83_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) + (instance RA_33_4_137_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C+(!B+A)))")) ) - (instance RA_33_6_101_i_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+(!B+A)))")) + (instance nCAS_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (!B+A))")) ) - (instance (rename RA_33_1_a2_3_10 "RA_33_1_a2_3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C !A)+D (C (B !A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_0_tz_0 "un1_CmdBitbangMXO212_1_0_0_tz[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C+(!B !A))")) ) - (instance (rename wb_adr_7_0_o2_1_0 "wb_adr_7_0_o2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B+!A))+D (!C+(!B+!A)))")) + (instance LEDEN_RNI6G6M (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(!B+!A))")) ) - (instance RWSel_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)))")) - ) - (instance (rename un1_CmdBitbangMXO212_1_i_o3_0 "un1_CmdBitbangMXO212_1_i_o3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_FS_32_i_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CmdSetRWBankFFChip_3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename wb_adr_7_i_a2_0_0 "wb_adr_7_i_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B A+B !A)))")) ) - (instance RA_33_5_119_i_0_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C B+C (B A)))")) + (instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B !A)))")) ) - (instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B A)))")) - ) - (instance un1_CS_4_7_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) - ) - (instance un1_CS_7_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) - ) - (instance un1_CS_6_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RA_33_1_a2_3_10 "RA_33_1_a2_3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance CmdBitbangMXO2_3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RA_33_5_119_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C B+C (B A)))")) + ) + (instance RWSel_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (!B A)))")) ) - (instance CmdLEDGet_3_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance CS_0_sqmuxa_0_a3_3_7_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance nRAS_0_i_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B !A)+C (B+A)))")) + (instance CmdRWMaskSet_3_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) - (instance nRWE_0_i_a2_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (C (B A)))")) ) - (instance (rename wb_dati_7_0_a2_4_0_7 "wb_dati_7_0_a2_4_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B A))")) + (instance nRWE_0_i_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A)+C (B !A))")) ) - (instance (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)))")) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B !A)))")) ) (instance (rename RWMask_RNO_0 "RWMask_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B+!A))")) @@ -1423,13 +1414,16 @@ (instance (rename RWMask_RNO_6 "RWMask_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B !A)+C (!B+!A))")) ) + (instance un1_wb_we54_1_2_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B+A)+C (B A)))")) + ) (instance RA_33_9_47_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (!B+A)+C !B))")) ) (instance RA_33_10_29_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C+(B !A)))")) ) - (instance RA_33_8_65_i_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RA_33_8_65_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C+(!B+!A))+D (!C (!B+A)+C !B))")) ) (instance (rename wb_adr_RNO_2 "wb_adr_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -1438,166 +1432,163 @@ (instance (rename wb_adr_RNO_7 "wb_adr_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance CmdSetRWBankFFChip6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (instance un9_VOE_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B A)))")) ) - (instance CmdSetRWBankFFChip_3_6_0_a2_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un11_S_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (B A)))")) + ) + (instance RA_33_2_173_a2_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) + ) + (instance CS12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (B A))")) ) - (instance DQMH_4_iv_0_0_i_0_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))")) + (instance DQML_4_iv_0_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A)+D (!C (B+!A)+C B))")) ) - (instance RA_33_2_173_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C A)")) + (instance (rename CmdTout_3_1 "CmdTout_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B A+B !A))")) ) - (instance wb_adr_7_5_41_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C+(!B+!A))")) + (instance RWBank10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C B+C (B+A))")) ) - (instance (rename wb_adr_RNO_2_1 "wb_adr_RNO_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RAT_2_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B !A)))")) + ) + (instance un1_FS_20_0_a2_0_a5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) + (instance CS_0_sqmuxa_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B A))")) + ) + (instance (rename S_0_i_x2_2 "S_0_i_x2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B+!A)+C (B A))")) ) - (instance nRWE_0_i_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B A+B !A))")) + (instance RA_33_2_173_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C A)")) ) - (instance (rename wb_dati_7_0_a2_0_2_7 "wb_dati_7_0_a2_0_2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (B+!A)))")) + (instance (rename RA_33_1_0_tz_10 "RA_33_1_0_tz[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C+(B A))")) ) - (instance N_390_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_488_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance N_391_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_358_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance N_392_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance N_489_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) + (instance N_490_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_359_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_491_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance N_492_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance RA_33_7_83_i_o4_i_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B !A))")) + ) + (instance RA_33_2_173_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (B A)))")) + ) + (instance nCAS_0_sqmuxa_1_3_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) + ) (instance S_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C (!B A))")) ) - (instance RWBank10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C+B)+D (C+(B+A)))")) - ) - (instance un1_LED_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C+(!B+!A))")) - ) - (instance nRAS_0_i_1_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B+A)+C (B A))")) - ) - (instance un1_CS_7_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (!B A)))")) - ) - (instance (rename un1_CmdBitbangMXO212_1_i_o3_2_0_0 "un1_CmdBitbangMXO212_1_i_o3_2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D+(C+(B+A)))")) - ) - (instance (rename wb_adr_RNO_3_1 "wb_adr_RNO_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) + (instance un1_FS_33_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) (instance wb_reqc_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D+(C+(B+A)))")) ) - (instance un1_CS_0_sqmuxa_i_a2_0_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A)))")) + (instance un1_FS_32_i_i_o2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D+(C+(B+A)))")) ) - (instance CS6_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) - ) - (instance CmdExecMXO2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) - ) - (instance Ready3_0_a4_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Ready3_0_a4_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance Ready3_0_a3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(D (C (B A)))")) ) - (instance Ready3_0_a4_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (!C (!B !A)))")) ) - (instance nRAS_0_i_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A))")) + (instance (rename wb_adr_7_i_a5_1_1_0 "wb_adr_7_i_a5_1_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (!B !A)))")) ) - (instance (rename wb_dati_7_0_a2_0_0_6 "wb_dati_7_0_a2_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B A))")) - ) - (instance (rename wb_adr_7_0_a2_0_1_0 "wb_adr_7_0_a2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A))+D (B A))")) - ) - (instance (rename wb_dati_7_0_a2_0_0_0 "wb_dati_7_0_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (B A))+D (C (!B A)))")) - ) - (instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C !B+C A)")) ) - (instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C A)")) - ) - (instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C !B+C A)")) - ) - (instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_i_m2_2 "un6_RAout_i_m2_i_m2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_i_m2_5 "un6_RAout_i_m2_i_m2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_7 "un6_RAout_i_m2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) - (instance (rename un6_RAout_i_m2_6 "un6_RAout_i_m2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A)+C (!B+A))")) - ) (instance (rename un6_RAout_i_m2_4 "un6_RAout_i_m2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance (rename un6_RAout_i_m2_3 "un6_RAout_i_m2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance (rename un6_RAout_i_m2_1 "un6_RAout_i_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) (instance (rename un6_RAout_i_m2_0 "un6_RAout_i_m2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+A))")) ) - (instance un1_CS_7_4_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename un6_RAout_i_m2_1 "un6_RAout_i_m2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_2 "un6_RAout_i_m2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_5 "un6_RAout_i_m2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_6 "un6_RAout_i_m2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename un6_RAout_i_m2_7 "un6_RAout_i_m2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A)+C (!B+A))")) + ) + (instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !B+C A)")) + ) + (instance Ready3_5_0_i_o5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B+!A)")) ) - (instance (rename RDout_6 "RDout[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename RDout_4 "RDout[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance (rename RDout_0 "RDout[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B A)")) - ) - (instance un1_CS_2_4_0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance un1_CS_4_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) - ) - (instance (rename wb_adr_7_0_o2_0 "wb_adr_7_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename wb_dati_7_0_o2_7 "wb_dati_7_0_o2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+A)")) - ) - (instance (rename wb_dati_7_0_a3_4 "wb_dati_7_0_a3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename BA_3_0 "BA_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B A)")) ) - (instance CKE_7_m0_0_a2_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance (rename BA_3_1 "BA_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B A)")) + ) + (instance VOE_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance (rename CmdTout_3_0 "CmdTout_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance wb_we_7_iv_0_0_i_i_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B !A)")) + (instance (rename un1_CmdBitbangMXO212_1_0_o2_0_0 "un1_CmdBitbangMXO212_1_0_o2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B+A)")) ) - (instance wb_rst6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance un1_nDOE_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B !A)")) + ) + (instance un2_RDOE_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) (instance (rename wb_adr_7_3 "wb_adr_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) @@ -1606,367 +1597,205 @@ (instance (rename RDout_3 "RDout[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename RDout_5 "RDout[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdBitbangMXO2_3_0_a5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance (rename CmdTout_3_0_a2_0_a2_0 "CmdTout_3_0_a2_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CmdSetRWBankFF15_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B A)")) + ) + (instance CmdLEDGet_3_4_0_a2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!B !A)")) ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_a2_0_0 "un1_wb_adr_0_sqmuxa_2_0_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B !A)")) ) - (instance (rename RA_33_1_a3_10 "RA_33_1_a3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B !A)")) - ) - (instance nRWE_0_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_0 "un1_wb_adr_0_sqmuxa_2_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(B+A)")) - ) - (instance (rename S_0_i_0_o3_1 "S_0_i_0_o3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename un1_wb_adr_0_sqmuxa_2_0_o2_0_0 "un1_wb_adr_0_sqmuxa_2_0_o2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename S_0_i_0_o2_1 "S_0_i_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B+!A)")) - ) - (instance (rename BA_3_1 "BA_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance (rename BA_3_0 "BA_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!B A)")) - ) - (instance nCAS_0_i_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance CS_0_sqmuxa_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B A)")) ) - (instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))")) + (instance wb_req_1_0_127_a2_i_o5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CKE_7s2_0_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (!B A)+C (!B !A))+D (C (!B !A)))")) + (instance un1_FS_32_i_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CKE_7s2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A)+C A)+D (!C+(B+A)))")) + (instance CKE48_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance CS6_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (!C (!B !A)+C (B A)))")) + (instance wb_rst6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(B+A)")) ) - (instance Ready3_0_a4_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance (rename un1_CmdBitbangMXO212_1_0_a5_1_0_0 "un1_CmdBitbangMXO212_1_0_a5_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!B !A)")) ) - (instance CS6_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (!B !A)+C (B A))")) + (instance (rename wb_dati_cnst_7_0__m27 "wb_dati_cnst_7_0_.m27") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) ) + (instance CmdSetRWBankFF_3_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (B A))+D (B A))")) ) - (instance un1_CS_6_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance CS_0_sqmuxa_0_o2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (!B+!A)+C (!B !A))")) ) - (instance RDOE_2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B A)))")) + (instance CS_0_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C A)+D (C B))")) + ) + (instance CS_0_sqmuxa_0_a3_3_8_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (C (!B A))+D (!C B))")) + ) + (instance CS_0_sqmuxa_0_a3_3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B+A)))")) + ) + (instance (rename RA_33_0_1_9 "RA_33_0_1[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C+!A)+D (!C !B+C (!B !A)))")) + ) + (instance (rename RA_33_0_9 "RA_33_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D+(!C (!B !A)))")) + ) + (instance (rename wb_adr_7_i_0_0 "wb_adr_7_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) + ) + (instance (rename wb_adr_7_i_0_1_1_0 "wb_adr_7_i_0_1_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B+A)+C (B !A))+D (!C (B+A)))")) + ) + (instance (rename wb_adr_7_i_0_1_0 "wb_adr_7_i_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D !B+D (!C (!B+!A)+C !A))")) + ) + (instance nCAS_0_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C !A+C (B !A))+D !A)")) + ) + (instance nCAS_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C B)+D (!C (B+A)+C A))")) + ) + (instance un1_FS_20_0_a2_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(D (C (!B A)))")) + ) + (instance RAT_2_0_a2_0_a2_RNI1J2I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C (B A))")) ) (instance un1_nDOE_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(C+(!B+!A))")) ) - (instance Vout3_0_a2_1_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (instance RDOE_2_0_a5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!D (!C (B A)))")) ) - (instance (rename wb_dati_7_0_a2_1_4 "wb_dati_7_0_a2_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(D (C (B A)))")) + (instance CmdLEDGet_3_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(C (B !A))")) ) - (instance nRAS_0_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B+A))+D !C)")) - ) - (instance (rename wb_dati_7_0_a3_1_1 "wb_dati_7_0_a3_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (!B !A))")) - ) - (instance (rename wb_dati_7_0_a3_3_1 "wb_dati_7_0_a3_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C (B !A)))")) - ) - (instance (rename wb_dati_7_0_a3_2_1 "wb_dati_7_0_a3_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!C (B A))")) - ) - (instance (rename wb_dati_7_0_a2_4 "wb_dati_7_0_a2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) - ) - (instance (rename wb_dati_7_0_a2_3 "wb_dati_7_0_a2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (C (!B A)))")) + (instance Vout3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!D (!C (B A)))")) ) (instance ufmefb (viewRef netlist (cellRef REFB)) ) (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) ) (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) ) - (net N_562 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef A (instanceRef Ready3_0_a4_0_a2)) - (portRef A (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_12 "FS[12]") (joined - (portRef (member fs 3)) - (portRef B (instanceRef wb_dati_7_0_a2_3)) - (portRef B (instanceRef wb_dati_7_0_a2_4)) - (portRef A (instanceRef wb_dati_7_0_a3_2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_1_4)) - (portRef A (instanceRef wb_dati_7_0_o2_7)) - (portRef C (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef Ready3_0_a4_0_a2_5)) - (portRef B (instanceRef wb_adr_RNO_3_1)) - (portRef B (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef B (instanceRef wb_req_RNO)) - (portRef D (instanceRef wb_we_RNO_1)) - (portRef A (instanceRef wb_dati_7_0_a2_2_1)) - (portRef C (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_adr_7_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_a2_1)) - (portRef A (instanceRef wb_dati_7_0_a2_7)) - (portRef D (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef B (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef B (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_11 "FS[11]") (joined - (portRef (member fs 4)) - (portRef B (instanceRef Ready3_0_a4_0_a3_1)) - (portRef B (instanceRef wb_dati_7_0_a3_4)) - (portRef B (instanceRef wb_adr_7_0_o2_0)) - (portRef B (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef C (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef D (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef C (instanceRef wb_adr_7_5_41_0_o2)) - (portRef A (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef wb_adr_7_0_o2_1_0)) - (portRef C (instanceRef RA_33_6_101_i_0_a2)) - (portRef C (instanceRef wb_dati_7_0_o2_1)) - (portRef A (instanceRef wb_req_RNO)) - (portRef D (instanceRef wb_dati_7_0_o2_4)) - (portRef D (instanceRef wb_dati_7_0_o2_3)) - (portRef C (instanceRef wb_dati_7_0_a2_3_4)) - (portRef B (instanceRef wb_adr_7_0_a2_6_0)) - (portRef A (instanceRef wb_adr_7_0_5_0)) - (portRef C (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_a3_5_1)) - (portRef B (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef C (instanceRef wb_adr_7_0_4_RNO_0)) - (portRef C (instanceRef wb_we_RNO_3)) - )) - (net (rename FS_13 "FS[13]") (joined - (portRef (member fs 2)) - (portRef D (instanceRef wb_dati_7_0_a2_3)) - (portRef D (instanceRef wb_dati_7_0_a2_4)) - (portRef C (instanceRef wb_dati_7_0_a3_2_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1_4)) - (portRef B (instanceRef wb_dati_7_0_o2_7)) - (portRef D (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef Ready3_0_a4_0_a2_5)) - (portRef C (instanceRef wb_adr_RNO_3_1)) - (portRef D (instanceRef wb_adr_7_0_o2_1_0)) - (portRef C (instanceRef RA_33_4_137_i_0_a2)) - (portRef D (instanceRef wb_dati_7_0_o2_1)) - (portRef C (instanceRef wb_req_RNO)) - (portRef B (instanceRef wb_dati_7_0_a2_2_1)) - (portRef A (instanceRef wb_we_RNO)) - (portRef B (instanceRef wb_dati_7_0_a2_7)) - (portRef B (instanceRef wb_adr_7_0_5_0)) - (portRef A (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef C (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef A (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef D (instanceRef wb_we_RNO_3)) - )) - (net wb_we_7_iv_0_0_111_i_i_1_1 (joined - (portRef Z (instanceRef wb_we_RNO_3)) - (portRef D (instanceRef wb_we_RNO_2)) + (net (rename S_2 "S[2]") (joined + (portRef (member s 1)) + (portRef A (instanceRef Vout3_0_a2)) + (portRef C (instanceRef RA_33_0_9)) + (portRef B (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef B (instanceRef wb_adr_7_3)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef A (instanceRef VOE_2_0_a2)) + (portRef C (instanceRef wb_adr_RNO_6)) + (portRef C (instanceRef wb_adr_RNO_5)) + (portRef C (instanceRef wb_adr_RNO_4)) + (portRef C (instanceRef wb_adr_RNO_0_1)) + (portRef C (instanceRef wb_reqc_2)) + (portRef C (instanceRef RA_33_2_173_a2_0)) + (portRef B (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef C (instanceRef RA_33_1_0_tz_10)) + (portRef C (instanceRef RA_33_2_173_0_a2)) + (portRef C (instanceRef S_0_i_x2_2)) + (portRef C (instanceRef RAT_2_0_a2_0_a2)) + (portRef C (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef C (instanceRef un11_S_0_a2)) + (portRef C (instanceRef un9_VOE_0_a2)) + (portRef B (instanceRef wb_adr_RNO_7)) + (portRef B (instanceRef wb_adr_RNO_2)) + (portRef D (instanceRef RA_33_1_a2_3_10)) + (portRef C (instanceRef S_0_i_x2_3)) + (portRef C (instanceRef N_347_i)) + (portRef C (instanceRef N_346_i)) + (portRef C (instanceRef wb_we_RNO_2)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef C (instanceRef CKE_7s2_0_o2)) + (portRef C (instanceRef un1_CKE48_i)) + (portRef C (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef wb_dati_7_0)) + (portRef A (instanceRef wb_dati_7_1)) + (portRef A (instanceRef wb_dati_7_6)) + (portRef A (instanceRef wb_dati_7_5)) + (portRef A (instanceRef wb_dati_7_4)) + (portRef A (instanceRef wb_dati_7_3)) + (portRef A (instanceRef wb_dati_7_2)) + (portRef A (instanceRef wb_dati_7_7)) + (portRef B (instanceRef wb_req_RNO_0)) + (portRef C (instanceRef CKE_0_RNO)) + (portRef B (instanceRef S_1_RNI29JH1)) + (portRef A (instanceRef RA_33_0_o2_11)) + (portRef C (instanceRef CKE_7s2_0_RNO)) + (portRef C (instanceRef un6_DOEEN_0_a2_0_a2)) + (portRef A (instanceRef wb_rst13_0_a2)) + (portRef A (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_1 "S[1]") (joined (portRef (member s 2)) - (portRef B (instanceRef Vout3_0_a2_1_a2)) - (portRef A (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef CKE_7s2_0_0_1)) - (portRef B (instanceRef S_0_i_0_o3_1)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef C (instanceRef wb_reqc_2)) - (portRef C (instanceRef nRWE_0_i_o3_0)) - (portRef B (instanceRef RA_33_2_173_1_a2)) - (portRef A (instanceRef nRAS_0_i_a2_0_2)) - (portRef B (instanceRef S_0_0_0_a2_0)) - (portRef B (instanceRef N_117_i)) - (portRef B (instanceRef N_389_i)) - (portRef B (instanceRef un1_CKE48_0_i)) - (portRef B (instanceRef CKE_7s2_0_0_o2)) - (portRef B (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef RA_33_9_47_i_0_0)) - (portRef D (instanceRef RA_33_8_65_i_0_0_0)) + (portRef B (instanceRef Vout3_0_a2)) + (portRef A (instanceRef RDOE_2_0_a5)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef B (instanceRef RA_33_1_0_tz_10)) + (portRef B (instanceRef RA_33_2_173_0_a2)) + (portRef B (instanceRef S_0_i_x2_2)) + (portRef B (instanceRef RAT_2_0_a2_0_a2)) + (portRef B (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef B (instanceRef un11_S_0_a2)) + (portRef B (instanceRef un9_VOE_0_a2)) + (portRef B (instanceRef S_0_i_x2_3)) + (portRef B (instanceRef N_347_i)) + (portRef B (instanceRef N_346_i)) + (portRef C (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef C (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef C (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef C (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef B (instanceRef CKE_7s2_0_o2)) + (portRef C (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef D (instanceRef RA_33_8_65_i_0_0)) (portRef D (instanceRef RA_33_10_29_i_0_0)) - (portRef D (instanceRef S_0_0_0_0)) - (portRef D (instanceRef nRWE_0_i_o3_RNI7PQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNI4MQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNI1JQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNIUFQK3)) - (portRef D (instanceRef nRWE_0_i_o3_RNIRCQK3)) - (portRef C (instanceRef N_243_i)) - (portRef C (instanceRef N_229_i)) - (portRef C (instanceRef N_215_i)) - (portRef A (instanceRef N_430_i)) - (portRef B (instanceRef wb_req_RNO_0)) - (portRef C (instanceRef N_425_i)) - (portRef A (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef A (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef A (instanceRef RAT_2_0_a2)) - (portRef C (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef A (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef B (instanceRef RA_33_0_o2_9)) - (portRef B (instanceRef RA_33_1_1_RNO_10)) - (portRef A (instanceRef un9_VOE_0_a2_0_a2)) - (portRef A (instanceRef nRAS_0_i_a3)) - )) - (net N_427 (joined - (portRef Z (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef D (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef D (instanceRef RA_33_6_101_i_0_a2)) - (portRef D (instanceRef RA_33_7_83_i_0_a2)) - (portRef D (instanceRef RA_33_3_155_i_0_a2)) - (portRef D (instanceRef RA_33_4_137_i_0_a2)) - (portRef B (instanceRef nRAS_0_i_1_RNO)) - (portRef B (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef RA_33_9_47_i_0_0)) - (portRef C (instanceRef RA_33_8_65_i_0_0_0)) - (portRef C (instanceRef RA_33_10_29_i_0_0)) - (portRef A (instanceRef N_243_i)) - (portRef A (instanceRef N_229_i)) - (portRef A (instanceRef N_215_i)) - (portRef B (instanceRef N_430_i)) + (portRef D (instanceRef RA_33_9_47_i_0_0)) + (portRef C (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef B (instanceRef un1_CKE48_i)) + (portRef D (instanceRef N_312_i)) + (portRef D (instanceRef N_298_i)) + (portRef D (instanceRef N_284_i)) + (portRef D (instanceRef N_270_i)) + (portRef D (instanceRef N_256_i)) + (portRef C (instanceRef N_242_i)) + (portRef C (instanceRef N_228_i)) + (portRef C (instanceRef N_214_i)) + (portRef CD (instanceRef wb_req)) (portRef C (instanceRef wb_req_RNO_0)) - (portRef D (instanceRef N_425_i)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef B (instanceRef nRAS_0_i_a3)) - )) - (net (rename FS_0 "FS[0]") (joined - (portRef (member fs 15)) - (portRef A (instanceRef Ready3_0_a4_0_a2_3)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef A (instanceRef wb_cyc_stb_RNO_0)) - (portRef B (instanceRef N_425_i)) - (portRef C (instanceRef nRAS_0_i_a3)) - )) - (net (rename FS_15 "FS[15]") (joined - (portRef (member fs 0)) - (portRef B (instanceRef wb_dati_7_0_a3_3_1)) - (portRef C (instanceRef wb_dati_7_0_a3_1_1)) - (portRef B (instanceRef wb_rst6)) - (portRef D (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef A (instanceRef N_443_i)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef B (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef A (instanceRef wb_req_RNO_0)) - (portRef A (instanceRef N_425_i)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef D (instanceRef nRAS_0_i_a3)) - )) - (net N_554 (joined - (portRef Z (instanceRef nRAS_0_i_a3)) - (portRef B (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) - (portRef A (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef A (instanceRef nCAS_0_i_0_RNISBOI3)) - )) - (net (rename FS_9 "FS[9]") (joined - (portRef (member fs 6)) - (portRef C (instanceRef Ready3_0_a4_0_a3_1)) - (portRef B (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef B (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef B (instanceRef wb_adr_RNO_2_1)) - (portRef B (instanceRef wb_adr_7_5_41_0_o2)) - (portRef D (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef B (instanceRef wb_adr_7_0_o2_1_0)) - (portRef A (instanceRef wb_dati_7_0_o2_1)) - (portRef B (instanceRef wb_we_RNO_1)) - (portRef B (instanceRef wb_dati_7_0_o2_4)) - (portRef B (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_dati_7_0_a2_1_7)) - (portRef A (instanceRef wb_dati_7_0_a3_0_0)) - (portRef B (instanceRef wb_dati_7_0_a2_3_4)) - (portRef A (instanceRef wb_adr_7_0_a2_6_0)) - (portRef A (instanceRef wb_dati_7_0_RNO_7)) - (portRef A (instanceRef wb_dati_7_0_a3_5_1)) - (portRef A (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename FS_8 "FS[8]") (joined - (portRef (member fs 7)) - (portRef A (instanceRef wb_dati_7_0_a3_3_1)) - (portRef D (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef A (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef A (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef A (instanceRef wb_adr_RNO_2_1)) - (portRef A (instanceRef wb_adr_7_5_41_0_o2)) - (portRef D (instanceRef RA_33_9_47_i_0_o2)) - (portRef A (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef A (instanceRef wb_adr_7_0_o2_1_0)) - (portRef A (instanceRef wb_we_RNO_1)) - (portRef A (instanceRef wb_dati_7_0_o2_4)) - (portRef A (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_dati_7_0_a2_3_4)) - (portRef B (instanceRef wb_dati_7_0_a3_5_1)) - (portRef A (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef B (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename FS_10 "FS[10]") (joined - (portRef (member fs 5)) - (portRef A (instanceRef Ready3_0_a4_0_a3_1)) - (portRef A (instanceRef wb_dati_7_0_a3_4)) - (portRef A (instanceRef wb_adr_7_0_o2_0)) - (portRef A (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef A (instanceRef wb_adr_RNO_3_1)) - (portRef C (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef C (instanceRef wb_adr_RNO_2_1)) - (portRef C (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef wb_dati_7_0_o2_1)) - (portRef C (instanceRef wb_we_RNO_1)) - (portRef C (instanceRef wb_dati_7_0_o2_4)) - (portRef C (instanceRef wb_dati_7_0_o2_3)) - (portRef B (instanceRef wb_adr_7_0_a2_4_0)) - (portRef A (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_dati_7_0_1)) - (portRef A (instanceRef wb_dati_7_0_6)) - (portRef A (instanceRef wb_adr_7_0_0)) - (portRef D (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef D (instanceRef wb_dati_7_0_a3_5_1)) - (portRef C (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_4_RNO_0)) - )) - (net (rename wb_adr_7_0_a2_1_0_0 "wb_adr_7_0_a2_1_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_4_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename wb_adr_7_0_a2_2_0_0 "wb_adr_7_0_a2_2_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_1_RNO_0)) - (portRef D (instanceRef wb_adr_7_0_1_0)) + (portRef D (instanceRef CKE_0_RNO)) + (portRef C (instanceRef S_1_RNI29JH1)) + (portRef B (instanceRef RA_33_0_o2_11)) + (portRef D (instanceRef CKE_7s2_0_RNO)) + (portRef D (instanceRef un6_DOEEN_0_a2_0_a2)) + (portRef B (instanceRef wb_rst13_0_a2)) + (portRef B (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_3 "S[3]") (joined (portRef (member s 0)) - (portRef C (instanceRef Vout3_0_a2_1_a2)) - (portRef C (instanceRef CKE_7s2_0_0)) - (portRef B (instanceRef S_0_i_0_o2_1)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef B (instanceRef nRWE_0_i_o3)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) + (portRef C (instanceRef Vout3_0_a2)) + (portRef D (instanceRef nCAS_0_RNO_1)) + (portRef B (instanceRef CKE48_0_o2)) + (portRef B (instanceRef VOE_2_0_a2)) (portRef B (instanceRef LEDEN_RNO)) (portRef B (instanceRef RWMask_RNO_7)) (portRef D (instanceRef wb_reqc_2)) - (portRef D (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) + (portRef D (instanceRef RA_33_2_173_a2_0)) + (portRef C (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef D (instanceRef RAT_2_0_a2_0_a2)) + (portRef D (instanceRef DQML_4_iv_0_17)) + (portRef D (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef D (instanceRef un11_S_0_a2)) + (portRef D (instanceRef un9_VOE_0_a2)) (portRef B (instanceRef RWMask_RNO_6)) (portRef B (instanceRef RWMask_RNO_5)) (portRef B (instanceRef RWMask_RNO_4)) @@ -1974,469 +1803,296 @@ (portRef B (instanceRef RWMask_RNO_2)) (portRef B (instanceRef RWMask_RNO_1)) (portRef B (instanceRef RWMask_RNO_0)) - (portRef B (instanceRef nRAS_0_i_a2_0_2)) - (portRef D (instanceRef RA_33_1_a2_3_10)) - (portRef D (instanceRef S_0_0_0_a2_0)) - (portRef D (instanceRef N_117_i)) - (portRef D (instanceRef N_389_i)) - (portRef D (instanceRef N_443_i)) - (portRef D (instanceRef un1_CKE48_0_i)) - (portRef D (instanceRef CKE_7s2_0_0_o2)) - (portRef D (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef S_1_RNIP23H1)) + (portRef D (instanceRef S_0_i_x2_3)) + (portRef D (instanceRef N_347_i)) + (portRef D (instanceRef N_346_i)) + (portRef D (instanceRef N_194_i)) + (portRef C (instanceRef RA_33_1_a2_10)) + (portRef D (instanceRef nRAS_0_i_0_tz)) + (portRef D (instanceRef CKE_7s2_0_o2)) (portRef B (instanceRef wb_cyc_stb_RNO)) - (portRef D (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef D (instanceRef wb_we_RNO_0)) - (portRef D (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef C (instanceRef RAT_2_0_a2)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef D (instanceRef un1_CKE48_i)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef A (instanceRef CKE_0_RNO)) + (portRef C (instanceRef RA_33_0_o2_11)) + (portRef A (instanceRef CKE_7s2_0_RNO)) (portRef A (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef C (instanceRef RA_33_0_o2_9)) - (portRef C (instanceRef nRWE_0_i_0_RNO)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef B (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef C (instanceRef un9_VOE_0_a2_0_a2)) - (portRef C (instanceRef RA_33_2_173_a2_2)) - (portRef A (instanceRef nCAS_0_i_a2_1_1)) + (portRef C (instanceRef wb_rst13_0_a2)) + (portRef C (instanceRef nRAS_0_i_a3_1_0)) )) (net (rename S_0 "S[0]") (joined (portRef (member s 3)) - (portRef D (instanceRef Vout3_0_a2_1_a2)) - (portRef B (instanceRef CKE_7s2_0_0)) - (portRef A (instanceRef CKE_7s2_0_0_1)) - (portRef B (instanceRef BA_3_0)) + (portRef D (instanceRef Vout3_0_a2)) + (portRef B (instanceRef nCAS_0_RNO)) + (portRef A (instanceRef CKE48_0_o2)) (portRef B (instanceRef BA_3_1)) - (portRef A (instanceRef S_0_i_0_o3_1)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef A (instanceRef nRWE_0_i_o3)) - (portRef A (instanceRef nRAS_0_i_a2_0_1)) + (portRef B (instanceRef BA_3_0)) (portRef B (instanceRef wb_reqc_2)) - (portRef C (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef A (instanceRef S_0_0_0_a2_0)) - (portRef A (instanceRef N_117_i)) - (portRef A (instanceRef N_389_i)) - (portRef C (instanceRef N_443_i)) - (portRef A (instanceRef un1_CKE48_0_i)) - (portRef D (instanceRef nCAS_0_i_0)) - (portRef B (instanceRef RAT_2_0_a2)) - (portRef D (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef D (instanceRef RA_33_0_o2_9)) - (portRef D (instanceRef nRWE_0_i_0_RNO)) - (portRef C (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef C (instanceRef RA_33_1_1_RNO_10)) - (portRef B (instanceRef un9_VOE_0_a2_0_a2)) - (portRef A (instanceRef RA_33_2_173_a2_2)) - (portRef B (instanceRef nCAS_0_i_a2_1_1)) - )) - (net (rename S_2 "S[2]") (joined - (portRef (member s 1)) - (portRef A (instanceRef Vout3_0_a2_1_a2)) - (portRef C (instanceRef CKE_7s2_0_0_1)) - (portRef C (instanceRef wb_we_RNO_2)) - (portRef B (instanceRef nCAS_0_i_a2_1_0)) - (portRef A (instanceRef S_0_i_0_o2_1)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) - (portRef B (instanceRef wb_adr_7_3)) - (portRef B (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef C (instanceRef wb_adr_RNO_6)) - (portRef C (instanceRef wb_adr_RNO_5)) - (portRef C (instanceRef wb_adr_RNO_4)) - (portRef B (instanceRef nRAS_0_i_a2_0_1)) - (portRef C (instanceRef RA_33_2_173_1_a2)) - (portRef B (instanceRef wb_adr_RNO_7)) - (portRef B (instanceRef wb_adr_RNO_2)) - (portRef C (instanceRef RA_33_1_a2_3_10)) - (portRef C (instanceRef S_0_0_0_a2_0)) - (portRef C (instanceRef N_117_i)) - (portRef C (instanceRef N_389_i)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef C (instanceRef un1_CKE48_0_i)) - (portRef C (instanceRef CKE_7s2_0_0_o2)) - (portRef C (instanceRef CKE_7_m1_i_a2)) - (portRef C (instanceRef S_1_RNIP23H1)) - (portRef C (instanceRef wb_adr_RNO_1)) - (portRef C (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef wb_dati_7_0_0_7)) - (portRef C (instanceRef wb_adr_7_0_2_0)) - (portRef C (instanceRef wb_dati_7_0_0_4)) - (portRef C (instanceRef wb_dati_7_0_1_1)) - (portRef C (instanceRef wb_dati_7_0_1_6)) - (portRef B (instanceRef wb_dati_7_0_3)) - (portRef B (instanceRef wb_dati_7_0_0)) - (portRef B (instanceRef wb_dati_7_0_2)) - (portRef B (instanceRef wb_dati_7_0_5)) - (portRef CD (instanceRef wb_req)) - (portRef A (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef C (instanceRef wb_we_RNO_0)) - (portRef C (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef C (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef C (instanceRef RA_33_1_RNO_10)) - (portRef D (instanceRef RAT_2_0_a2)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef B (instanceRef RA_33_2_173_a2_0)) + (portRef A (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef A (instanceRef RA_33_1_0_tz_10)) + (portRef A (instanceRef S_0_i_x2_2)) + (portRef A (instanceRef RAT_2_0_a2_0_a2)) + (portRef C (instanceRef DQML_4_iv_0_17)) + (portRef A (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef A (instanceRef un11_S_0_a2)) + (portRef A (instanceRef un9_VOE_0_a2)) + (portRef A (instanceRef S_0_i_x2_3)) + (portRef A (instanceRef N_347_i)) + (portRef A (instanceRef N_346_i)) + (portRef C (instanceRef N_194_i)) + (portRef B (instanceRef RA_33_1_a2_10)) + (portRef B (instanceRef S_0_0_0)) + (portRef B (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef A (instanceRef un1_CKE48_i)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef D (instanceRef RA_33_0_o2_11)) + (portRef B (instanceRef CKE_7s2_0_RNO)) (portRef B (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef A (instanceRef RA_33_0_o2_9)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef A (instanceRef wb_req_1_0_127_a2_i_0_o2_0)) - (portRef A (instanceRef RA_33_1_1_RNO_10)) - (portRef D (instanceRef un9_VOE_0_a2_0_a2)) - (portRef D (instanceRef RA_33_2_173_a2_2)) - (portRef C (instanceRef nCAS_0_i_a2_1_1)) + (portRef D (instanceRef wb_rst13_0_a2)) + (portRef D (instanceRef nRAS_0_i_a3_1_0)) )) - (net RefReq (joined - (portRef RefReq) - (portRef A (instanceRef nCAS_0_i_a2_1_0)) - (portRef A (instanceRef CKE_7_m1_i_a2)) - (portRef D (instanceRef nCAS_0_i_a2_1_1)) + (net nRAS_0_i_a3_1_0 (joined + (portRef Z (instanceRef nRAS_0_i_a3_1_0)) + (portRef C (instanceRef nRAS_0_i_208_tz)) )) - (net nCAS_0_i_a2_1_1 (joined - (portRef Z (instanceRef nCAS_0_i_a2_1_1)) - (portRef C (instanceRef nCAS_0_i_0_RNISBOI3)) - )) - (net (rename RWBank_7 "RWBank[7]") (joined - (portRef (member rwbank 0)) - (portRef B (instanceRef RA_33_2_173_a2_2)) - )) - (net N_512 (joined - (portRef Z (instanceRef RA_33_2_173_a2_2)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - )) - (net (rename un9_VOE_0_a2_0_a2_1z "un9_VOE_0_a2_0_a2") (joined - (portRef Z (instanceRef un9_VOE_0_a2_0_a2)) - (portRef un9_VOE_0_a2_0_a2_1z) - )) - (net N_301 (joined - (portRef Z (instanceRef RA_33_1_1_RNO_10)) - (portRef A (instanceRef RA_33_1_1_10)) - )) - (net N_188 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0)) - (portRef A (instanceRef RA_33_1_a2_3_10)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef B (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef C (instanceRef RA_33_1_1_RNO_0_10)) - (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef N_188) - )) - (net N_550 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIU6B11_0)) - (portRef C (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - (portRef B (instanceRef RA_33_0_11)) - )) - (net N_556 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_5_1)) - (portRef D (instanceRef wb_dati_7_0_a2_2_1)) - (portRef B (instanceRef wb_dati_7_0_0_4)) - (portRef A (instanceRef wb_dati_7_0_o2_RNO_2)) - )) - (net N_347_2 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_a2_4_0_7)) - )) - (net N_240 (joined - (portRef Z (instanceRef nRWE_0_i_o3_0)) - (portRef A (instanceRef nRAS_0_i_1_RNO)) - (portRef A (instanceRef nRWE_0_i_0_RNO)) - )) - (net (rename FS_2 "FS[2]") (joined - (portRef (member fs 13)) - (portRef B (instanceRef Ready3_0_a4_0_a2_4)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef B (instanceRef nRAS_0_i_1_RNO_0)) - (portRef B (instanceRef nCAS_0_i_o2)) - (portRef B (instanceRef nRWE_0_i_0_RNO)) - )) - (net nRWE_0_i_a2_1 (joined - (portRef Z (instanceRef nRWE_0_i_0_RNO)) - (portRef D (instanceRef nRWE_0_i_0)) - )) - (net N_230 (joined - (portRef Z (instanceRef RA_33_0_o2_9)) - (portRef A (instanceRef RA_33_0_9)) - (portRef A (instanceRef RA_33_0_11)) - )) - (net N_426 (joined - (portRef Z (instanceRef nRWE_0_i_o3)) - (portRef D (instanceRef nRWE_0_i_a2_1_1)) - (portRef D (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef D (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef D (instanceRef RA_33_1_RNO_10)) - (portRef C (instanceRef nRWE_0_i_o3_RNIP8E61)) - )) - (net nRWE_0_i_o3_RNIP8E61 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIP8E61)) - (portRef C (instanceRef wb_dati_7_0_a3_3_1)) - (portRef B (instanceRef wb_dati_7_0_a3_1_1)) - (portRef B (instanceRef nCAS_0_i_0_RNO)) + (net wb_rst13 (joined + (portRef Z (instanceRef wb_rst13_0_a2)) + (portRef C (instanceRef nCAS_0_sqmuxa)) + (portRef C (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef D (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef D (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef C (instanceRef S_0_0_0)) + (portRef D (instanceRef nRWE_0_i_a3)) + (portRef D (instanceRef nRAS_0_i_1)) + (portRef D (instanceRef wb_cyc_stb_RNO_0)) + (portRef D (instanceRef nCAS_0)) (portRef B (instanceRef CKE_0)) - (portRef CD (instanceRef wb_rst)) - (portRef C (instanceRef nRWE_0_i_o3_RNIR47H1)) + (portRef D (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef D (instanceRef wb_rst)) + (portRef C (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef C (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef wb_rst13) )) (net (rename un6_DOEEN_0_a2_0_a2_1z "un6_DOEEN_0_a2_0_a2") (joined (portRef Z (instanceRef un6_DOEEN_0_a2_0_a2)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2)) - (portRef D (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef B (instanceRef CS6_RNIL59G2)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef D (instanceRef CS12_RNI2SPL2)) + (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) (portRef un6_DOEEN_0_a2_0_a2_1z) )) + (net CKE_7s2_0_0 (joined + (portRef Z (instanceRef CKE_7s2_0_RNO)) + (portRef C (instanceRef CKE_7s2_0)) + )) + (net N_62 (joined + (portRef Z (instanceRef RA_33_0_o2_11)) + (portRef A (instanceRef RA_33_0_1_9)) + (portRef A (instanceRef RA_33_0_11)) + )) + (net (rename SZ0Z_1 "S_1") (joined + (portRef Z (instanceRef S_1)) + (portRef A (instanceRef S_0_0_0)) + (portRef D (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef D (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef A (instanceRef S_1_RNI29JH1)) + )) + (net N_60_2 (joined + (portRef Z (instanceRef CKE48_0_o2)) + (portRef B (instanceRef RA_33_0_9)) + (portRef C (instanceRef RA_33_1_a2_3_10)) + (portRef D (instanceRef wb_req_RNO_0)) + (portRef D (instanceRef S_1_RNI29JH1)) + )) + (net N_469 (joined + (portRef Z (instanceRef S_1_RNI29JH1)) + (portRef A (instanceRef un11_S_0_a2_RNIT9FP2)) + )) + (net RefReq (joined + (portRef RefReq) + (portRef C (instanceRef nCAS_0_RNO_1)) + (portRef C (instanceRef nRAS_0_i_0_tz)) + (portRef B (instanceRef CKE_0_RNO)) + )) + (net N_101 (joined + (portRef Z (instanceRef CKE_0_RNO)) + (portRef C (instanceRef CKE_0)) + )) (net RWSel (joined (portRef RWSel) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef B (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef D (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef N_351_i)) - (portRef D (instanceRef N_350_i)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2)) - (portRef C (instanceRef CS6_RNIL59G2)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) + (portRef B (instanceRef CmdTout_3_0)) + (portRef C (instanceRef CmdTout_3_1)) + (portRef D (instanceRef CmdTout_3_2)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef C (instanceRef CS12_RNI2SPL2)) + (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + )) + (net N_503 (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_0)) + (portRef B (instanceRef nCAS_0_RNO_1)) + (portRef A (instanceRef RA_33_1_a2_10)) + (portRef B (instanceRef nRAS_0_i_0_tz)) + (portRef D (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) )) (net RWBank14 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIBDAB1_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_o2_0_RNIFHGK1_0)) + (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef B (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) - (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - (portRef B (instanceRef un1_CS_0_sqmuxa_i_a2_0)) + (portRef A (instanceRef CS_0_sqmuxa_0)) (portRef SP (instanceRef CmdBitbangMXO2)) (portRef SP (instanceRef CmdExecMXO2)) (portRef RWBank14) )) - (net (rename RWBankZ0Z_3 "RWBank[3]") (joined - (portRef (member rwbank 4)) - (portRef A (instanceRef RA_33_1_1_RNO_0_10)) + (net (rename FS_11 "FS[11]") (joined + (portRef (member fs 4)) + (portRef A (instanceRef wb_adr_7_i_0_1_0)) + (portRef D (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef D (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef B (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef A (instanceRef wb_we_RNO_3)) + (portRef C (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef RA_33_6_101_i_0_a3)) + (portRef B (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_dati_cnst_7_0__m23)) + (portRef A (instanceRef wb_dati_cnst_7_0__m20)) + (portRef A (instanceRef wb_dati_cnst_7_0__m7)) + (portRef A (instanceRef wb_dati_cnst_7_0__m2)) + (portRef B (instanceRef wb_dati_cnst_7_0__m41)) + (portRef B (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef B (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef A (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net N_530 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_a2_0_0)) - (portRef B (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef RA_33_1_1_RNO_0_10)) - (portRef N_530) + (net N_462 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5)) + (portRef C (instanceRef wb_adr_7_i_0_1_0)) + (portRef D (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef D (instanceRef Ready3_0_a3_7)) + (portRef D (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef B (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net N_487 (joined - (portRef Z (instanceRef RA_33_1_1_RNO_0_10)) - (portRef B (instanceRef RA_33_1_1_10)) + (net (rename FS_10 "FS[10]") (joined + (portRef (member fs 5)) + (portRef C (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef C (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef A (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef C (instanceRef RA_33_7_83_i_0_a3)) + (portRef A (instanceRef wb_we_RNO_0)) + (portRef A (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef C (instanceRef wb_dati_cnst_7_0__m10)) + (portRef C (instanceRef wb_dati_cnst_7_0__m48)) + (portRef C (instanceRef wb_dati_cnst_7_0__m17)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m38)) + (portRef A (instanceRef wb_dati_cnst_7_0__m26)) + (portRef C (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef C (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m45)) + (portRef C (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef C (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m35_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m31_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m31_am)) + (portRef C (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef C (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) )) - (net RAT_2 (joined - (portRef Z (instanceRef RAT_2_0_a2)) - (portRef D (instanceRef nCAS_0_i_0_RNO)) - (portRef B (instanceRef nRWE_0_i_0)) - (portRef C (instanceRef RA_33_1_10)) + (net N_556 (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_0_RNO_0)) + (portRef D (instanceRef wb_adr_7_i_a5_1_0_0)) )) - (net N_527 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_a3_0)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net (rename FS_13 "FS[13]") (joined + (portRef (member fs 2)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef wb_adr_7_i_0_1_0)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m27)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef B (instanceRef Ready3_0_a3_7)) + (portRef C (instanceRef wb_we_RNO_3)) + (portRef D (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef RA_33_4_137_i_0_a3)) + (portRef C (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_we_RNO)) + (portRef B (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m11)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m18)) + (portRef A (instanceRef wb_dati_cnst_7_0__m39)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m35)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m31)) + (portRef A (instanceRef wb_dati_cnst_7_0__m49)) + (portRef D (instanceRef Ready3_5_0_i_o5_RNISUVB)) )) - (net (rename FS_4 "FS[4]") (joined - (portRef (member fs 11)) - (portRef B (instanceRef Ready3_0_a4_0_a2_5)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef C (instanceRef nRAS_0_i_1_RNO_0)) - (portRef B (instanceRef nRWE_0_i_o3_0)) - (portRef B (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef C (instanceRef RA_33_10_29_i_0_o2)) - (portRef B (instanceRef RA_33_9_47_i_0_o2)) - (portRef C (instanceRef nRWE_0_i_a2_1_1)) - (portRef B (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef B (instanceRef RA_33_6_101_i_0_a2)) - (portRef B (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef RA_33_4_137_i_0_a2)) - (portRef D (instanceRef nCAS_0_i_o2)) - (portRef A (instanceRef RA_33_0_0_9)) - (portRef A (instanceRef RA_33_1_RNO_10)) + (net N_496 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5_RNISUVB)) + (portRef C (instanceRef Ready3_5_0_i_o5_RNI0R091)) )) - (net (rename FS_1 "FS[1]") (joined - (portRef (member fs 14)) - (portRef A (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef A (instanceRef nRAS_0_i_1_RNO_0)) - (portRef A (instanceRef RA_33_10_29_i_0_o2)) - (portRef A (instanceRef nRWE_0_i_a2_1_1)) - (portRef A (instanceRef nCAS_0_i_o2)) - (portRef B (instanceRef RA_33_1_RNO_10)) + (net CmdRWMaskSet (joined + (portRef CmdRWMaskSet) + (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) )) - (net N_486 (joined - (portRef Z (instanceRef RA_33_1_RNO_10)) - (portRef A (instanceRef RA_33_1_10)) + (net N_448 (joined + (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0)) + (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) )) - (net (rename RA_5 "RA[5]") (joined - (portRef (member ra 6)) - (portRef B (instanceRef nRWE_0_i_o3_RNIPAG81)) - )) - (net N_416 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIPAG81)) - (portRef B (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net (rename RA_4 "RA[4]") (joined - (portRef (member ra 7)) - (portRef B (instanceRef nRWE_0_i_o3_RNIO9G81)) - )) - (net N_459 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIO9G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net (rename RA_3 "RA[3]") (joined - (portRef (member ra 8)) - (portRef B (instanceRef RWSel_2_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIN8G81)) - )) - (net N_458 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIN8G81)) - (portRef C (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net (rename RA_7 "RA[7]") (joined - (portRef (member ra 4)) - (portRef B (instanceRef nRWE_0_i_o3_RNIRCG81)) - )) - (net N_452 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIRCG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net (rename RA_6 "RA[6]") (joined - (portRef (member ra 5)) - (portRef B (instanceRef nRWE_0_i_o3_RNIQBG81)) - )) - (net N_455 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIQBG81)) - (portRef C (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_425_i (joined - (portRef Z (instanceRef N_425_i)) - (portRef C (instanceRef nRAS_0_i_1)) - (portRef A (instanceRef nRAS_0_i_a2_1)) - (portRef A (instanceRef nRWE_0_i_0)) - (portRef A (instanceRef nCAS_0_i_0)) + (net (rename FS_15 "FS[15]") (joined + (portRef (member fs 0)) + (portRef B (instanceRef wb_rst6)) + (portRef A (instanceRef DQML_4_iv_0_17)) + (portRef C (instanceRef Ready3_0_a3_7)) + (portRef B (instanceRef nCAS_0_sqmuxa)) + (portRef A (instanceRef N_194_i)) + (portRef B (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef A (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef A (instanceRef wb_req_RNO_0)) )) (net wb_adr_0_sqmuxa_1_i (joined (portRef Z (instanceRef wb_req_RNO_0)) (portRef SP (instanceRef wb_req)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_1_0 "un1_wb_adr_0_sqmuxa_2_1[0]") (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) - (portRef A (instanceRef wb_we_RNO_0)) - (portRef A (instanceRef CmdBitbangMXO2_RNIB5O11)) + (net un11_S (joined + (portRef Z (instanceRef un11_S_0_a2)) + (portRef D (instanceRef S_0_0_0)) + (portRef D (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef A (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef A (instanceRef S_0_i_x2_RNIES2D2_2)) )) - (net CmdBitbangMXO2 (joined - (portRef Q (instanceRef CmdBitbangMXO2)) - (portRef B (instanceRef CmdBitbangMXO2_RNIB5O11)) + (net N_76_i (joined + (portRef Z (instanceRef S_0_i_x2_2)) + (portRef B (instanceRef S_0_i_x2_RNIES2D2_2)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_i_0 "un1_wb_adr_0_sqmuxa_2_i[0]") (joined - (portRef Z (instanceRef CmdBitbangMXO2_RNIB5O11)) - (portRef SP (instanceRef wb_adr_7)) - (portRef SP (instanceRef wb_adr_6)) - (portRef SP (instanceRef wb_adr_5)) - (portRef SP (instanceRef wb_adr_4)) - (portRef SP (instanceRef wb_adr_3)) - (portRef SP (instanceRef wb_adr_2)) - (portRef SP (instanceRef wb_adr_1)) - (portRef SP (instanceRef wb_adr_0)) - (portRef SP (instanceRef wb_dati_7)) - (portRef SP (instanceRef wb_dati_6)) - (portRef SP (instanceRef wb_dati_5)) - (portRef SP (instanceRef wb_dati_4)) - (portRef SP (instanceRef wb_dati_3)) - (portRef SP (instanceRef wb_dati_2)) - (portRef SP (instanceRef wb_dati_1)) - (portRef SP (instanceRef wb_dati_0)) + (net N_459_i (joined + (portRef Z (instanceRef S_0_i_x2_RNIES2D2_2)) + (portRef N_459_i) )) - (net CmdExecMXO2 (joined - (portRef Q (instanceRef CmdExecMXO2)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef C (instanceRef wb_cyc_stb_RNO)) - (portRef B (instanceRef wb_we_RNO_0)) + (net N_73_i (joined + (portRef Z (instanceRef S_0_i_x2_3)) + (portRef B (instanceRef S_0_i_x2_RNIFT2D2_3)) )) - (net (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0 "un1_wb_cyc_stb_0_sqmuxa_1_i[0]") (joined - (portRef Z (instanceRef wb_we_RNO_0)) - (portRef SP (instanceRef wb_we)) + (net N_460_i (joined + (portRef Z (instanceRef S_0_i_x2_RNIFT2D2_3)) + (portRef N_460_i) )) - (net N_528 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_1_1)) - (portRef C (instanceRef wb_dati_7_0_a2_3)) - (portRef C (instanceRef wb_dati_7_0_a2_4)) - (portRef B (instanceRef wb_dati_7_0_a3_2_1)) - (portRef C (instanceRef wb_dati_7_0_a2_2_1)) - (portRef D (instanceRef wb_adr_7_0_a2_4_0)) - (portRef C (instanceRef wb_adr_7_0_1_0)) - (portRef A (instanceRef wb_dati_7_0_0_7)) - (portRef B (instanceRef wb_dati_7_0_o2_RNO_2)) - )) - (net N_547 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_RNO_2)) - (portRef C (instanceRef wb_dati_7_0_o2_2)) - )) - (net (rename FS_14 "FS[14]") (joined - (portRef (member fs 1)) - (portRef D (instanceRef wb_dati_7_0_a3_3_1)) - (portRef A (instanceRef wb_dati_7_0_a3_1_1)) - (portRef A (instanceRef wb_rst6)) - (portRef A (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef B (instanceRef wb_adr_RNO_6)) - (portRef B (instanceRef wb_adr_RNO_5)) - (portRef B (instanceRef wb_adr_RNO_4)) - (portRef C (instanceRef Ready3_0_a4_0_a2_4)) - (portRef A (instanceRef wb_reqc_2)) - (portRef C (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef wb_cyc_stb_RNO_0)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) - (portRef D (instanceRef nRWE_0_i_o3_RNIR47H1)) - )) - (net N_529 (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIR47H1)) - (portRef C (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_0_4)) - (portRef D (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename CS_0 "CS[0]") (joined - (portRef (member cs 2)) - (portRef A (instanceRef un1_CS_4_5)) - (portRef A (instanceRef CmdSetRWBankFFChip6)) - (portRef A (instanceRef CS6_RNO_0)) - (portRef A (instanceRef CS6_0)) - (portRef A (instanceRef CS6_1_RNO)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) - (portRef A (instanceRef CS6_RNIL59G2)) - )) - (net CS6 (joined - (portRef Z (instanceRef CS6)) - (portRef A (instanceRef CS_0_sqmuxa_0_a2)) - (portRef A (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef D (instanceRef CS6_RNIL59G2)) - )) - (net (rename CS6_RNIL59G2_1z "CS6_RNIL59G2") (joined - (portRef Z (instanceRef CS6_RNIL59G2)) - (portRef CS6_RNIL59G2_1z) - )) - (net (rename N_430_i_1z "N_430_i") (joined - (portRef Z (instanceRef N_430_i)) - (portRef N_430_i_1z) - )) - (net N_194 (joined - (portRef Z (instanceRef S_0_i_0_o3_1)) - (portRef B (instanceRef S_1_RNIP23H1)) - (portRef C (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef B (instanceRef S_1_RNIP23H1_0)) - (portRef B (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef N_194) - )) - (net N_301_i (joined - (portRef Z (instanceRef S_0_i_0_o3_RNICBFR_1)) - (portRef N_301_i) - )) - (net N_92 (joined + (net wb_we_RNO (joined (portRef Z (instanceRef wb_we_RNO)) (portRef D (instanceRef wb_we)) )) + (net N_63 (joined + (portRef Z (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + (portRef SP (instanceRef wb_we)) + )) (net C14M_c (joined (portRef C14M_c) (portRef C14M_c (instanceRef ufmefb)) @@ -2476,9 +2132,9 @@ (portRef Q (instanceRef wb_we)) (portRef wb_we (instanceRef ufmefb)) )) - (net wb_rst6 (joined + (net wb_rst6_i (joined (portRef Z (instanceRef wb_rst6)) - (portRef D (instanceRef wb_rst)) + (portRef CD (instanceRef wb_rst)) )) (net wb_rst (joined (portRef Q (instanceRef wb_rst)) @@ -2490,18 +2146,37 @@ )) (net wb_req (joined (portRef Q (instanceRef wb_req)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) + (portRef D (instanceRef un1_FS_33_3)) )) (net (rename wb_dati_7_0 "wb_dati_7[0]") (joined - (portRef Z (instanceRef wb_dati_7_0_0)) + (portRef Z (instanceRef wb_dati_7_0)) (portRef D (instanceRef wb_dati_0)) )) + (net (rename un1_wb_adr_0_sqmuxa_2_i_0_0 "un1_wb_adr_0_sqmuxa_2_i_0[0]") (joined + (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + (portRef SP (instanceRef wb_adr_7)) + (portRef SP (instanceRef wb_adr_6)) + (portRef SP (instanceRef wb_adr_5)) + (portRef SP (instanceRef wb_adr_4)) + (portRef SP (instanceRef wb_adr_3)) + (portRef SP (instanceRef wb_adr_2)) + (portRef SP (instanceRef wb_adr_1)) + (portRef SP (instanceRef wb_adr_0)) + (portRef SP (instanceRef wb_dati_7)) + (portRef SP (instanceRef wb_dati_6)) + (portRef SP (instanceRef wb_dati_5)) + (portRef SP (instanceRef wb_dati_4)) + (portRef SP (instanceRef wb_dati_3)) + (portRef SP (instanceRef wb_dati_2)) + (portRef SP (instanceRef wb_dati_1)) + (portRef SP (instanceRef wb_dati_0)) + )) (net (rename wb_dati_0 "wb_dati[0]") (joined (portRef Q (instanceRef wb_dati_0)) (portRef (member wb_dati 7) (instanceRef ufmefb)) )) (net (rename wb_dati_7_1 "wb_dati_7[1]") (joined - (portRef Z (instanceRef wb_dati_7_0_1)) + (portRef Z (instanceRef wb_dati_7_1)) (portRef D (instanceRef wb_dati_1)) )) (net (rename wb_dati_1 "wb_dati[1]") (joined @@ -2509,7 +2184,7 @@ (portRef (member wb_dati 6) (instanceRef ufmefb)) )) (net (rename wb_dati_7_2 "wb_dati_7[2]") (joined - (portRef Z (instanceRef wb_dati_7_0_2)) + (portRef Z (instanceRef wb_dati_7_2)) (portRef D (instanceRef wb_dati_2)) )) (net (rename wb_dati_2 "wb_dati[2]") (joined @@ -2517,7 +2192,7 @@ (portRef (member wb_dati 5) (instanceRef ufmefb)) )) (net (rename wb_dati_7_3 "wb_dati_7[3]") (joined - (portRef Z (instanceRef wb_dati_7_0_3)) + (portRef Z (instanceRef wb_dati_7_3)) (portRef D (instanceRef wb_dati_3)) )) (net (rename wb_dati_3 "wb_dati[3]") (joined @@ -2525,7 +2200,7 @@ (portRef (member wb_dati 4) (instanceRef ufmefb)) )) (net (rename wb_dati_7_4 "wb_dati_7[4]") (joined - (portRef Z (instanceRef wb_dati_7_0_4)) + (portRef Z (instanceRef wb_dati_7_4)) (portRef D (instanceRef wb_dati_4)) )) (net (rename wb_dati_4 "wb_dati[4]") (joined @@ -2533,7 +2208,7 @@ (portRef (member wb_dati 3) (instanceRef ufmefb)) )) (net (rename wb_dati_7_5 "wb_dati_7[5]") (joined - (portRef Z (instanceRef wb_dati_7_0_5)) + (portRef Z (instanceRef wb_dati_7_5)) (portRef D (instanceRef wb_dati_5)) )) (net (rename wb_dati_5 "wb_dati[5]") (joined @@ -2541,7 +2216,7 @@ (portRef (member wb_dati 2) (instanceRef ufmefb)) )) (net (rename wb_dati_7_6 "wb_dati_7[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_6)) + (portRef Z (instanceRef wb_dati_7_6)) (portRef D (instanceRef wb_dati_6)) )) (net (rename wb_dati_6 "wb_dati[6]") (joined @@ -2549,7 +2224,7 @@ (portRef (member wb_dati 1) (instanceRef ufmefb)) )) (net (rename wb_dati_7_7 "wb_dati_7[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_7)) + (portRef Z (instanceRef wb_dati_7_7)) (portRef D (instanceRef wb_dati_7)) )) (net (rename wb_dati_7 "wb_dati[7]") (joined @@ -2560,40 +2235,40 @@ (portRef Z (instanceRef wb_cyc_stb_RNO)) (portRef D (instanceRef wb_cyc_stb)) )) - (net N_111 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net (rename un1_CmdBitbangMXO212_1_i_0 "un1_CmdBitbangMXO212_1_i[0]") (joined + (portRef Z (instanceRef wb_cyc_stb_RNO_0)) (portRef SP (instanceRef wb_cyc_stb)) )) (net wb_cyc_stb (joined (portRef Q (instanceRef wb_cyc_stb)) (portRef wb_cyc_stb (instanceRef ufmefb)) )) - (net (rename wb_adr_7_0 "wb_adr_7[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_0)) + (net N_495_i (joined + (portRef Z (instanceRef wb_adr_RNO_0)) (portRef D (instanceRef wb_adr_0)) )) (net (rename wb_adr_0 "wb_adr[0]") (joined (portRef Q (instanceRef wb_adr_0)) (portRef (member wb_adr 7) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_0)) + (portRef B (instanceRef wb_dati_7_0)) )) - (net (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (joined + (net N_493_i (joined (portRef Z (instanceRef wb_adr_RNO_1)) (portRef D (instanceRef wb_adr_1)) )) (net (rename wb_adr_1 "wb_adr[1]") (joined (portRef Q (instanceRef wb_adr_1)) (portRef (member wb_adr 6) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_1_1)) + (portRef B (instanceRef wb_dati_7_1)) )) - (net N_394_i (joined + (net N_66_i (joined (portRef Z (instanceRef wb_adr_RNO_2)) (portRef D (instanceRef wb_adr_2)) )) (net (rename wb_adr_2 "wb_adr[2]") (joined (portRef Q (instanceRef wb_adr_2)) (portRef (member wb_adr 5) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_2)) + (portRef B (instanceRef wb_dati_7_2)) )) (net (rename wb_adr_7_3 "wb_adr_7[3]") (joined (portRef Z (instanceRef wb_adr_7_3)) @@ -2602,16 +2277,16 @@ (net (rename wb_adr_3 "wb_adr[3]") (joined (portRef Q (instanceRef wb_adr_3)) (portRef (member wb_adr 4) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_3)) + (portRef B (instanceRef wb_dati_7_3)) )) - (net N_110 (joined + (net (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (joined (portRef Z (instanceRef wb_adr_RNO_4)) (portRef D (instanceRef wb_adr_4)) )) (net (rename wb_adr_4 "wb_adr[4]") (joined (portRef Q (instanceRef wb_adr_4)) (portRef (member wb_adr 3) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_0_4)) + (portRef B (instanceRef wb_dati_7_4)) )) (net (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (joined (portRef Z (instanceRef wb_adr_RNO_5)) @@ -2620,7 +2295,7 @@ (net (rename wb_adr_5 "wb_adr[5]") (joined (portRef Q (instanceRef wb_adr_5)) (portRef (member wb_adr 2) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_5)) + (portRef B (instanceRef wb_dati_7_5)) )) (net (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (joined (portRef Z (instanceRef wb_adr_RNO_6)) @@ -2629,22 +2304,22 @@ (net (rename wb_adr_6 "wb_adr[6]") (joined (portRef Q (instanceRef wb_adr_6)) (portRef (member wb_adr 1) (instanceRef ufmefb)) - (portRef D (instanceRef wb_dati_7_0_1_6)) + (portRef B (instanceRef wb_dati_7_6)) )) - (net N_393_i (joined + (net N_494_i (joined (portRef Z (instanceRef wb_adr_RNO_7)) (portRef D (instanceRef wb_adr_7)) )) (net (rename wb_adr_7 "wb_adr[7]") (joined (portRef Q (instanceRef wb_adr_7)) (portRef (member wb_adr 0) (instanceRef ufmefb)) - (portRef C (instanceRef wb_dati_7_0_0_7)) + (portRef B (instanceRef wb_dati_7_7)) )) - (net N_193_i (joined + (net N_82_i (joined (portRef Z (instanceRef RWMask_RNO_0)) (portRef D (instanceRef RWMask_0)) )) - (net N_104 (joined + (net (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (joined (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) (portRef SP (instanceRef RWMask_7)) (portRef SP (instanceRef RWMask_6)) @@ -2659,7 +2334,7 @@ (portRef Q (instanceRef RWMask_0)) (portRef C (instanceRef RWBank_3_0_0)) )) - (net N_398_i (joined + (net N_81_i (joined (portRef Z (instanceRef RWMask_RNO_1)) (portRef D (instanceRef RWMask_1)) )) @@ -2667,7 +2342,7 @@ (portRef Q (instanceRef RWMask_1)) (portRef C (instanceRef RWBank_3_0_1)) )) - (net N_397_i (joined + (net N_364_i (joined (portRef Z (instanceRef RWMask_RNO_2)) (portRef D (instanceRef RWMask_2)) )) @@ -2683,7 +2358,7 @@ (portRef Q (instanceRef RWMask_3)) (portRef C (instanceRef RWBank_3_0_3)) )) - (net N_253_i (joined + (net N_80_i (joined (portRef Z (instanceRef RWMask_RNO_4)) (portRef D (instanceRef RWMask_4)) )) @@ -2691,7 +2366,7 @@ (portRef Q (instanceRef RWMask_4)) (portRef C (instanceRef RWBank_3_0_4)) )) - (net N_268_i (joined + (net N_79_i (joined (portRef Z (instanceRef RWMask_RNO_5)) (portRef D (instanceRef RWMask_5)) )) @@ -2699,7 +2374,7 @@ (portRef Q (instanceRef RWMask_5)) (portRef C (instanceRef RWBank_3_0_5)) )) - (net N_283_i (joined + (net N_363_i (joined (portRef Z (instanceRef RWMask_RNO_6)) (portRef D (instanceRef RWMask_6)) )) @@ -2707,7 +2382,7 @@ (portRef Q (instanceRef RWMask_6)) (portRef C (instanceRef RWBank_3_0_6)) )) - (net N_396 (joined + (net (rename RWMask_RNO_7 "RWMask_RNO[7]") (joined (portRef Z (instanceRef RWMask_RNO_7)) (portRef D (instanceRef RWMask_7)) )) @@ -2719,318 +2394,481 @@ (portRef Z (instanceRef LEDEN_RNO)) (portRef D (instanceRef LEDEN)) )) - (net N_98 (joined + (net (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (joined (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) (portRef SP (instanceRef LEDEN)) )) (net LEDEN (joined (portRef Q (instanceRef LEDEN)) - (portRef A (instanceRef un1_LED_i)) - (portRef D (instanceRef RWBank10)) + (portRef C (instanceRef RWBank10)) + (portRef A (instanceRef LEDEN_RNI6G6M)) )) (net CmdExecMXO2_3 (joined - (portRef Z (instanceRef CmdExecMXO2_3)) + (portRef Z (instanceRef CmdExecMXO2_3_0_a5)) (portRef D (instanceRef CmdExecMXO2)) )) + (net CmdExecMXO2 (joined + (portRef Q (instanceRef CmdExecMXO2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef C (instanceRef wb_cyc_stb_RNO)) + (portRef C (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0)) + )) (net CmdBitbangMXO2_3 (joined - (portRef Z (instanceRef CmdBitbangMXO2_3)) + (portRef Z (instanceRef CmdBitbangMXO2_3_0_a5)) (portRef D (instanceRef CmdBitbangMXO2)) )) + (net CmdBitbangMXO2 (joined + (portRef Q (instanceRef CmdBitbangMXO2)) + (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_i_0_0)) + )) + (net (rename CS_0 "CS[0]") (joined + (portRef (member cs 2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef A (instanceRef CmdLEDGet_3_0_a2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef A (instanceRef CS_0_sqmuxa_0_RNIR0921)) + )) (net (rename CS_1 "CS[1]") (joined (portRef (member cs 1)) - (portRef A (instanceRef CS6_RNO)) - (portRef B (instanceRef CmdSetRWBankFFChip6)) - (portRef B (instanceRef CS6_0)) - (portRef B (instanceRef CS6_1_RNO)) - (portRef A (instanceRef CS6_1)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef B (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_o2)) + (portRef A (instanceRef CmdSetRWBankFF15_0_a2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) (net (rename CS_2 "CS[2]") (joined (portRef (member cs 0)) - (portRef C (instanceRef CmdSetRWBankFFChip6)) - (portRef B (instanceRef CS6_RNO_0)) - (portRef C (instanceRef CS6_0)) - (portRef A (instanceRef CS6_2)) - (portRef C (instanceRef CS6_1_RNO)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef B (instanceRef CS_0_sqmuxa_0_o2)) + (portRef B (instanceRef CmdSetRWBankFF15_0_a2)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef C (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) (net CS_0_sqmuxa (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2)) - (portRef C (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef D (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) + (portRef Z (instanceRef CS_0_sqmuxa_0)) + (portRef B (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef C (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef B (instanceRef CS12_RNI2SPL2)) + (portRef D (instanceRef CS_0_sqmuxa_0_RNIR0921)) )) - (net (rename CS_0_sqmuxa_0_a2_RNID1MT_1z "CS_0_sqmuxa_0_a2_RNID1MT") (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2_RNID1MT)) - (portRef CS_0_sqmuxa_0_a2_RNID1MT_1z) + (net (rename CS_0_sqmuxa_0_RNIR0921_1z "CS_0_sqmuxa_0_RNIR0921") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNIR0921)) + (portRef CS_0_sqmuxa_0_RNIR0921_1z) )) - (net (rename CS_0_sqmuxa_0_a2_RNID8OM_1z "CS_0_sqmuxa_0_a2_RNID8OM") (joined - (portRef Z (instanceRef CS_0_sqmuxa_0_a2_RNID8OM)) - (portRef CS_0_sqmuxa_0_a2_RNID8OM_1z) + (net (rename wb_dati_cnst_7 "wb_dati_cnst[7]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m49)) + (portRef C (instanceRef wb_dati_7_7)) )) - (net un1_CS_0_sqmuxa_i_a2_0_a2_0_1 (joined - (portRef Z (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef un1_CS_0_sqmuxa_i_a2_0)) + (net (rename wb_dati_cnst_2 "wb_dati_cnst[2]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m27)) + (portRef C (instanceRef wb_dati_7_5)) + (portRef C (instanceRef wb_dati_7_2)) )) - (net N_148 (joined - (portRef Z (instanceRef un1_CS_0_sqmuxa_i_a2_0)) - (portRef N_148) + (net (rename wb_dati_cnst_3 "wb_dati_cnst[3]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31)) + (portRef C (instanceRef wb_dati_7_3)) )) - (net N_537 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_3_4)) - (portRef C (instanceRef wb_adr_7_0_a2_6_0)) - (portRef C (instanceRef wb_dati_7_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_1)) - (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - (portRef C (instanceRef wb_dati_7_0_6)) - (portRef B (instanceRef wb_adr_7_0_0)) + (net (rename wb_dati_cnst_4 "wb_dati_cnst[4]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35)) + (portRef C (instanceRef wb_dati_7_4)) )) - (net (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_4_0)) - (portRef C (instanceRef wb_adr_7_0_0)) + (net CS12 (joined + (portRef Z (instanceRef CS12)) + (portRef A (instanceRef CS12_RNI2SPL2)) )) - (net (rename wb_adr_7_0_5_0 "wb_adr_7_0_5[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_5_0)) - (portRef D (instanceRef wb_adr_7_0_0)) + (net un1_CS_0_sqmuxa_i (joined + (portRef Z (instanceRef CS12_RNI2SPL2)) + (portRef un1_CS_0_sqmuxa_i) )) - (net N_241 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_2)) - (portRef A (instanceRef wb_dati_7_0_2)) - (portRef A (instanceRef wb_dati_7_0_5)) + (net (rename CS_0_sqmuxa_0_RNIR7BR_1z "CS_0_sqmuxa_0_RNIR7BR") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNIR7BR)) + (portRef CS_0_sqmuxa_0_RNIR7BR_1z) )) - (net N_341 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_7)) - (portRef A (instanceRef wb_dati_7_0_7)) + (net (rename wb_dati_cnst_6 "wb_dati_cnst[6]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m39)) + (portRef C (instanceRef wb_dati_7_6)) )) - (net N_344 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_7)) + (net N_46 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45)) + (portRef B (instanceRef wb_dati_cnst_7_0__m49)) )) - (net (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_RNO_7)) - (portRef C (instanceRef wb_dati_7_0_7)) + (net i4_mux (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m48)) + (portRef C (instanceRef wb_dati_cnst_7_0__m49)) )) - (net (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_0_7)) - (portRef D (instanceRef wb_dati_7_0_7)) + (net (rename wb_dati_cnst_1 "wb_dati_cnst[1]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18)) + (portRef C (instanceRef wb_dati_7_1)) )) - (net N_197 (joined - (portRef Z (instanceRef wb_adr_7_5_41_0_o2)) - (portRef A (instanceRef wb_adr_RNO_1_1)) - (portRef B (instanceRef wb_dati_7_0_1_3)) - (portRef B (instanceRef wb_dati_7_0_1)) - (portRef B (instanceRef wb_dati_7_0_6)) + (net N_26 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m25)) + (portRef C (instanceRef wb_dati_cnst_7_0__m26)) + (portRef B (instanceRef wb_dati_cnst_7_0__m31_am)) )) - (net (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_6)) - (portRef D (instanceRef wb_dati_7_0_6)) + (net N_30 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29)) + (portRef C (instanceRef wb_dati_cnst_7_0__m31_am)) )) - (net N_336 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_3_4)) - (portRef A (instanceRef wb_dati_7_0_4)) + (net m31_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m31)) )) - (net N_435 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4)) - (portRef B (instanceRef wb_dati_7_0_o2_2)) - (portRef B (instanceRef wb_dati_7_0_4)) + (net (rename FS_8 "FS[8]") (joined + (portRef (member fs 7)) + (portRef D (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef A (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef A (instanceRef Ready3_5_0_i_o5)) + (portRef A (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef D (instanceRef RA_33_9_47_i_0_o2)) + (portRef A (instanceRef wb_adr_7_i_a2_0_0)) + (portRef A (instanceRef wb_dati_cnst_7_0__m41)) + (portRef A (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m25)) + (portRef A (instanceRef wb_dati_cnst_7_0__m22_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m10)) + (portRef A (instanceRef wb_dati_cnst_7_0__m48)) + (portRef A (instanceRef wb_dati_cnst_7_0__m17)) + (portRef A (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef A (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net N_437 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1_4)) - (portRef B (instanceRef wb_dati_7_0_1_6)) - (portRef C (instanceRef wb_dati_7_0_4)) + (net (rename FS_9 "FS[9]") (joined + (portRef (member fs 6)) + (portRef C (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef B (instanceRef Ready3_5_0_i_o5)) + (portRef B (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef D (instanceRef RA_33_8_65_i_0_o2)) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef B (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m33)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m29)) + (portRef B (instanceRef wb_dati_cnst_7_0__m25)) + (portRef C0 (instanceRef wb_dati_cnst_7_0__m22)) + (portRef B (instanceRef wb_dati_cnst_7_0__m10)) + (portRef B (instanceRef wb_dati_cnst_7_0__m48)) + (portRef B (instanceRef wb_dati_cnst_7_0__m17)) + (portRef B (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef A (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef B (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (joined - (portRef Z (instanceRef wb_dati_7_0_0_4)) - (portRef D (instanceRef wb_dati_7_0_4)) + (net N_8 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m7)) + (portRef D (instanceRef wb_dati_cnst_7_0__m10)) + (portRef D (instanceRef wb_dati_cnst_7_0__m48)) + (portRef D (instanceRef wb_dati_cnst_7_0__m17)) + (portRef C (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m31_bm)) )) - (net N_352 (joined - (portRef Z (instanceRef CS6_RNO)) - (portRef A (instanceRef CS6)) + (net m31_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m31_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m31)) )) - (net CS6_1 (joined - (portRef Z (instanceRef CS6_1)) - (portRef B (instanceRef CS6)) + (net N_23 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22)) + (portRef B (instanceRef wb_dati_cnst_7_0__m26)) + (portRef B (instanceRef wb_dati_cnst_7_0__m35_am)) )) - (net CS6_2 (joined - (portRef Z (instanceRef CS6_2)) - (portRef C (instanceRef CS6)) + (net N_34 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33)) + (portRef C (instanceRef wb_dati_cnst_7_0__m35_am)) )) - (net CS6_182_0_a2_3 (joined - (portRef Z (instanceRef CS6_RNO_0)) - (portRef D (instanceRef CS6)) + (net m35_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m35)) )) - (net nCAS_0_i_0 (joined - (portRef Z (instanceRef nCAS_0_i_0)) - (portRef B (instanceRef nCAS_0_i_0_RNISBOI3)) + (net m35_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m35_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m35)) )) - (net N_424_i (joined - (portRef Z (instanceRef nCAS_0_i_0_RNISBOI3)) - (portRef N_424_i) + (net (rename wb_dati_cnst_0 "wb_dati_cnst[0]") (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11)) + (portRef C (instanceRef wb_dati_7_0)) )) - (net nCAS_0_i_a2_1_0 (joined - (portRef Z (instanceRef nCAS_0_i_a2_1_0)) - (portRef C (instanceRef nRAS_0_i_1_RNI8KIR2)) + (net (rename CS_0_sqmuxa_0_RNISFDK_1z "CS_0_sqmuxa_0_RNISFDK") (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_RNISFDK)) + (portRef CS_0_sqmuxa_0_RNISFDK_1z) + )) + (net N_11 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m10)) + (portRef B (instanceRef wb_dati_cnst_7_0__m39)) + )) + (net N_39 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38)) + (portRef C (instanceRef wb_dati_cnst_7_0__m39)) + )) + (net N_3_i (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m2)) + (portRef D (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef D (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef B (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef D (instanceRef wb_dati_cnst_7_0__m18_am)) + )) + (net m18_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m18)) + )) + (net m18_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m18_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m18)) + )) + (net N_42 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m45_am)) + )) + (net m45_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m45)) + )) + (net N_24 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m23)) + (portRef C (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m25)) + (portRef D (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m45_bm)) + )) + (net m45_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m45_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m45)) + )) + (net CS_0_sqmuxa_0_a3_2_5 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CS_0_sqmuxa_0)) + )) + (net CS_0_sqmuxa_0_a3_3 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef C (instanceRef CS_0_sqmuxa_0)) + )) + (net CS_0_sqmuxa_0_tz_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_tz_2)) + (portRef D (instanceRef CS_0_sqmuxa_0)) + )) + (net N_508 (joined + (portRef Z (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef wb_adr_RNO_0)) + )) + (net N_542 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef B (instanceRef wb_adr_7_i_0_0)) + (portRef D (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C (instanceRef wb_adr_RNO_1)) + (portRef B (instanceRef wb_adr_RNO_0)) + )) + (net (rename wb_adr_7_i_2_0 "wb_adr_7_i_2[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_2_0)) + (portRef C (instanceRef wb_adr_RNO_0)) + )) + (net (rename wb_adr_7_i_a5_1_0 "wb_adr_7_i_a5_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef D (instanceRef wb_adr_RNO_0)) + )) + (net m11_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m11)) + )) + (net m11_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m11_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m11)) + )) + (net N_27 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m26)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m27)) + )) + (net m38_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m38)) + )) + (net m38_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m38_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m38)) + )) + (net N_18 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m17)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m27)) + )) + (net nCAS_0_sqmuxa (joined + (portRef Z (instanceRef nCAS_0_sqmuxa)) + (portRef A (instanceRef nRWE_0_i_a3)) + (portRef A (instanceRef nRWE_0_i_0)) + (portRef A (instanceRef nRAS_0_i_1)) + (portRef A (instanceRef nCAS_0)) + (portRef A (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef A (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + )) + (net N_610_tz (joined + (portRef Z (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + )) + (net nRAS_0_i_0_tz (joined + (portRef Z (instanceRef nRAS_0_i_0_tz)) + (portRef C (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) )) (net nRAS_0_i_1 (joined (portRef Z (instanceRef nRAS_0_i_1)) - (portRef D (instanceRef nRAS_0_i_1_RNI8KIR2)) + (portRef D (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) )) - (net N_420_i (joined - (portRef Z (instanceRef nRAS_0_i_1_RNI8KIR2)) - (portRef N_420_i) - )) - (net N_447 (joined - (portRef Z (instanceRef nRAS_0_i_a2_1)) - (portRef A (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (net N_360_i (joined + (portRef Z (instanceRef nRAS_0_i_0_tz_RNIPQGV3)) + (portRef N_360_i) )) (net nRWE_0_i_0 (joined (portRef Z (instanceRef nRWE_0_i_0)) - (portRef C (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (portRef B (instanceRef nRWE_0_i_0_RNIE9LE2)) )) - (net nRWE_0_i_a2_1_1 (joined - (portRef Z (instanceRef nRWE_0_i_a2_1_1)) - (portRef D (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) + (net nRWE_0_i_a3_0_0 (joined + (portRef Z (instanceRef nRWE_0_i_a3_0_0)) + (portRef C (instanceRef nRWE_0_i_0_RNIE9LE2)) )) - (net N_421_i (joined - (portRef Z (instanceRef nRWE_0_i_a2_1_1_RNI2DGK2)) - (portRef N_421_i) - )) - (net N_552 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_0_0)) - (portRef A (instanceRef wb_dati_7_0_a2_1_4)) - (portRef D (instanceRef wb_dati_7_0_a2_7)) - (portRef D (instanceRef wb_adr_7_0_5_0)) - (portRef A (instanceRef wb_dati_7_0_0)) - )) - (net (rename wb_dati_7_0_a2_0_0 "wb_dati_7_0_a2_0[0]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_0_0)) - (portRef D (instanceRef wb_dati_7_0_0)) - )) - (net CmdRWMaskSet (joined - (portRef CmdRWMaskSet) - (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - )) - (net (rename un1_RWMask_0_sqmuxa_1_i_a2_0_0_0 "un1_RWMask_0_sqmuxa_1_i_a2_0_0[0]") (joined - (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) - )) - (net N_324 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_1)) - (portRef A (instanceRef wb_dati_7_0_1_1)) - (portRef C (instanceRef wb_dati_7_0_1_3)) - (portRef A (instanceRef wb_dati_7_0_o2_2)) - )) - (net N_329 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_3)) - (portRef A (instanceRef wb_dati_7_0_3)) - )) - (net (rename wb_dati_7_0_1_3 "wb_dati_7_0_1[3]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_3)) - (portRef D (instanceRef wb_dati_7_0_3)) - )) - (net (rename wb_dati_7_0_1_1 "wb_dati_7_0_1[1]") (joined - (portRef Z (instanceRef wb_dati_7_0_1_1)) - (portRef D (instanceRef wb_dati_7_0_1)) - )) - (net N_338 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_6)) - (portRef A (instanceRef wb_dati_7_0_1_6)) - )) - (net (rename wb_adr_7_0_2_0 "wb_adr_7_0_2[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_2_0)) - (portRef B (instanceRef wb_adr_7_0_4_0)) - )) - (net (rename wb_adr_7_0_a2_0_0_0 "wb_adr_7_0_a2_0_0[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_a2_0_1_0)) - (portRef C (instanceRef wb_adr_7_0_4_0)) + (net N_50_i (joined + (portRef Z (instanceRef nRWE_0_i_0_RNIE9LE2)) + (portRef N_50_i) )) (net N_501 (joined - (portRef Z (instanceRef wb_adr_7_0_a2_6_0)) - (portRef C (instanceRef wb_adr_7_0_5_0)) - )) - (net CmdLEDSet (joined - (portRef CmdLEDSet) - (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) - )) - (net N_542 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_3_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1)) - (portRef D (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_a3_0_0)) + (portRef Z (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + (portRef B (instanceRef wb_adr_7_i_m2_0)) + (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + (portRef C (instanceRef wb_dati_cnst_7_0__m23)) + (portRef C (instanceRef wb_dati_cnst_7_0__m20)) + (portRef C (instanceRef wb_dati_cnst_7_0__m7)) + (portRef C (instanceRef wb_dati_cnst_7_0__m2)) + (portRef D (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m22_am)) )) - (net (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (joined - (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + (net m22_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m22)) )) - (net N_193 (joined - (portRef Z (instanceRef wb_adr_7_0_o2_0)) - (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_dati_7_0_a2_1_7)) - (portRef B (instanceRef wb_dati_7_0_RNO_7)) + (net N_21 (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m20)) + (portRef B (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef D (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef C (instanceRef wb_dati_cnst_7_0__m25)) + (portRef B (instanceRef wb_dati_cnst_7_0__m22_bm)) )) - (net (rename wb_dati_7_0_a2_0_1_7 "wb_dati_7_0_a2_0_1[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_2_7)) - (portRef D (instanceRef wb_dati_7_0_RNO_7)) + (net m22_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m22_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m22)) )) - (net N_544 (joined - (portRef Z (instanceRef wb_dati_7_0_a3_4)) - (portRef B (instanceRef wb_dati_7_0_a2_1_4)) - (portRef C (instanceRef wb_dati_7_0_a2_7)) + (net (rename FS_12 "FS[12]") (joined + (portRef (member fs 3)) + (portRef A (instanceRef wb_adr_7_i_0_0)) + (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef C (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef wb_we_RNO_3)) + (portRef C (instanceRef wb_we_RNO_0)) + (portRef B (instanceRef wb_req_RNO)) + (portRef A (instanceRef wb_we_RNO_1)) + (portRef B (instanceRef wb_adr_7_i_a5_1_0_0)) + (portRef B (instanceRef wb_dati_cnst_7_0__m23)) + (portRef B (instanceRef wb_dati_cnst_7_0__m20)) + (portRef B (instanceRef wb_dati_cnst_7_0__m7)) + (portRef B (instanceRef wb_dati_cnst_7_0__m2)) + (portRef A (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef C (instanceRef wb_dati_cnst_7_0__m41)) + (portRef C (instanceRef wb_dati_cnst_7_0__m29_am)) )) - (net N_133 (joined - (portRef Z (instanceRef CS6_1_RNO)) - (portRef B (instanceRef CS6_1)) + (net m29_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m29)) )) - (net un1_CS_4_1 (joined - (portRef Z (instanceRef un1_CS_4_1)) - (portRef C (instanceRef CS6_1)) + (net m29_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m29_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m29)) )) - (net un1_CS_4_5 (joined - (portRef Z (instanceRef un1_CS_4_5)) - (portRef D (instanceRef CS6_2)) - (portRef D (instanceRef CS6_1)) + (net m33_am (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33_am)) + (portRef BLUT (instanceRef wb_dati_cnst_7_0__m33)) )) - (net N_327 (joined - (portRef Z (instanceRef wb_dati_7_0_a2_2_1)) - (portRef B (instanceRef wb_dati_7_0_1_1)) + (net m33_bm (joined + (portRef Z (instanceRef wb_dati_cnst_7_0__m33_bm)) + (portRef ALUT (instanceRef wb_dati_cnst_7_0__m33)) )) - (net (rename Din_c_0 "Din_c[0]") (joined - (portRef (member din_c 7)) - (portRef B (instanceRef un1_CS_6_3)) - (portRef A (instanceRef wb_we_RNO_2)) - (portRef A (instanceRef RDout_0)) - (portRef A (instanceRef LEDEN_RNO)) - (portRef A (instanceRef CS6_RNO_1)) - (portRef A (instanceRef un1_CS_7_1)) - (portRef A (instanceRef RWMask_RNO_0)) - (portRef A (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef A (instanceRef un1_CS_4_7_0_a2)) - (portRef B (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_0)) - (portRef B (instanceRef CmdBitbangMXO2_3)) - (portRef B (instanceRef CmdLEDSet_3_0_a2)) - (portRef A (instanceRef wb_adr_7_0_2_0)) + (net (rename Din_c_1 "Din_c[1]") (joined + (portRef (member din_c 6)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef wb_adr_RNO_0_1)) + (portRef A (instanceRef N_491_i)) + (portRef A (instanceRef RWMask_RNO_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) + (portRef A (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef B (instanceRef CmdExecMXO2_3_0_a5)) + (portRef B (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef B (instanceRef CmdLEDSet_3_0_a5)) + (portRef B (instanceRef CmdLEDGet_3_0_a5)) + (portRef A (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef A (instanceRef CS_0_sqmuxa_0_tz_2)) )) - (net N_499 (joined - (portRef Z (instanceRef wb_adr_7_0_a2_4_0)) - (portRef B (instanceRef wb_adr_7_0_2_0)) + (net N_390 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef B (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_2_5)) + (portRef B (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef B (instanceRef CS_0_sqmuxa_0_tz_2)) )) - (net (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (joined - (portRef Z (instanceRef wb_adr_7_0_1_0)) - (portRef D (instanceRef wb_adr_7_0_2_0)) + (net CS_0_sqmuxa_0_a3_0_3_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) + (portRef C (instanceRef CS_0_sqmuxa_0_tz_2)) + )) + (net CS_0_sqmuxa_0_tz_0 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_tz_0)) + (portRef D (instanceRef CS_0_sqmuxa_0_tz_2)) + )) + (net N_83 (joined + (portRef Z (instanceRef wb_adr_RNO_0_1)) + (portRef A (instanceRef wb_adr_RNO_1)) + )) + (net N_487 (joined + (portRef Z (instanceRef un1_wb_we54_1_2_i_a2)) + (portRef C (instanceRef wb_we_RNO_1)) + (portRef B (instanceRef wb_adr_RNO_1)) + )) + (net N_557 (joined + (portRef Z (instanceRef Ready3_5_0_i_o5_RNI0R091)) + (portRef A (instanceRef wb_adr_7_i_2_0)) + (portRef D (instanceRef wb_adr_RNO_1)) )) (net CKE_7_sm0 (joined - (portRef Z (instanceRef CKE_7s2_0_0)) + (portRef Z (instanceRef CKE_7s2_0)) (portRef A (instanceRef CKE_0)) )) - (net N_521 (joined - (portRef Z (instanceRef CKE_7_m1_i_a2)) - (portRef C (instanceRef CKE_0)) - )) - (net N_522 (joined - (portRef Z (instanceRef RDOE_2_0_a2)) + (net N_523 (joined + (portRef Z (instanceRef RDOE_2_0_a5)) (portRef A (instanceRef RDOE_2_0)) (portRef D (instanceRef CKE_0)) )) @@ -3038,246 +2876,113 @@ (portRef Z (instanceRef CKE_0)) (portRef CKE_0_1z) )) - (net N_208 (joined - (portRef Z (instanceRef CKE_7s2_0_0_o2)) - (portRef A (instanceRef CKE_7s2_0_0)) - (portRef B (instanceRef nCAS_0_i_0)) + (net (rename wb_adr_7_i_0_0 "wb_adr_7_i_0[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_0)) + (portRef B (instanceRef wb_adr_7_i_2_0)) )) - (net N_585_tz (joined - (portRef Z (instanceRef nCAS_0_i_0_RNO)) - (portRef C (instanceRef nCAS_0_i_0)) + (net (rename wb_adr_7_i_a5_1_1_0 "wb_adr_7_i_a5_1_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_a5_1_1_0)) + (portRef C (instanceRef wb_adr_7_i_2_0)) )) - (net (rename un1_CmdBitbangMXO212_1_i_0_0_0 "un1_CmdBitbangMXO212_1_i_0_0[0]") (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net nCAS_0_192_i_1_0 (joined + (portRef Z (instanceRef nCAS_0_RNO)) + (portRef B (instanceRef nCAS_0)) )) - (net wb_ack (joined - (portRef wb_ack (instanceRef ufmefb)) - (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_a2_0_0_0)) - (portRef D (instanceRef wb_cyc_stb_RNO_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_0_0)) + (net nCAS_0_192_i_1_tz (joined + (portRef Z (instanceRef nCAS_0_RNO_0)) + (portRef C (instanceRef nCAS_0)) )) - (net N_432 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_7)) - (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0)) - (portRef B (instanceRef wb_adr_RNO_1_1)) - (portRef C (instanceRef wb_dati_7_0_a2_1_7)) + (net (rename nCAS_0_1z "nCAS_0") (joined + (portRef Z (instanceRef nCAS_0)) + (portRef nCAS_0_1z) )) - (net N_429 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_1)) - (portRef B (instanceRef wb_dati_7_0_a2_1)) - )) - (net (rename wb_dati_7_0_a2_0_6 "wb_dati_7_0_a2_0[6]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_0_0_6)) - (portRef D (instanceRef wb_dati_7_0_a2_6)) - )) - (net CS6_182_0_a2_0_1 (joined - (portRef Z (instanceRef CS6_1_RNO_0)) - (portRef D (instanceRef CS6_1_RNO)) - )) - (net CS6_0 (joined - (portRef Z (instanceRef CS6_0)) - (portRef B (instanceRef CS6_2)) - )) - (net un1_CS_6_3 (joined - (portRef Z (instanceRef un1_CS_6_3)) - (portRef C (instanceRef CS6_2)) - )) - (net (rename wb_dati_7_0_a2_4_0_7 "wb_dati_7_0_a2_4_0[7]") (joined - (portRef Z (instanceRef wb_dati_7_0_a2_4_0_7)) - (portRef D (instanceRef wb_dati_7_0_0_7)) - )) - (net N_300 (joined - (portRef Z (instanceRef wb_adr_7_0_o2_1_0)) - (portRef B (instanceRef wb_adr_7_0_1_0)) - )) - (net (rename RA_0 "RA[0]") (joined - (portRef (member ra 11)) - (portRef A (instanceRef RWSel_2_0_a2)) - (portRef B (instanceRef N_215_i)) - )) - (net RA_33_10_29_i_0_0 (joined - (portRef Z (instanceRef RA_33_10_29_i_0_0)) - (portRef D (instanceRef N_215_i)) - )) - (net (rename N_215_i_1z "N_215_i") (joined - (portRef Z (instanceRef N_215_i)) - (portRef N_215_i_1z) - )) - (net (rename RA_1 "RA[1]") (joined - (portRef (member ra 10)) - (portRef B (instanceRef N_229_i)) - )) - (net RA_33_9_47_i_0_0 (joined - (portRef Z (instanceRef RA_33_9_47_i_0_0)) - (portRef D (instanceRef N_229_i)) - )) - (net (rename N_229_i_1z "N_229_i") (joined - (portRef Z (instanceRef N_229_i)) - (portRef N_229_i_1z) - )) - (net (rename RA_2 "RA[2]") (joined - (portRef (member ra 9)) - (portRef B (instanceRef N_243_i)) - )) - (net RA_33_8_65_i_0_0_0 (joined - (portRef Z (instanceRef RA_33_8_65_i_0_0_0)) - (portRef D (instanceRef N_243_i)) - )) - (net (rename N_243_i_1z "N_243_i") (joined - (portRef Z (instanceRef N_243_i)) - (portRef N_243_i_1z) - )) - (net (rename Ain_c_3 "Ain_c[3]") (joined - (portRef (member ain_c 4)) - (portRef A (instanceRef un6_RAout_i_m2_3)) - (portRef A (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net N_457 (joined - (portRef Z (instanceRef RA_33_7_83_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIRCQK3)) - )) - (net N_257_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIRCQK3)) - (portRef N_257_i) - )) - (net (rename Ain_c_4 "Ain_c[4]") (joined - (portRef (member ain_c 3)) - (portRef A (instanceRef un6_RAout_i_m2_4)) - (portRef A (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net N_400 (joined - (portRef Z (instanceRef RA_33_6_101_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNIUFQK3)) - )) - (net N_271_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNIUFQK3)) - (portRef N_271_i) - )) - (net (rename Ain_c_5 "Ain_c[5]") (joined - (portRef (member ain_c 2)) - (portRef A (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef A (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net N_468 (joined - (portRef Z (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef C (instanceRef nRWE_0_i_o3_RNI1JQK3)) - )) - (net N_285_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI1JQK3)) - (portRef N_285_i) - )) - (net (rename Ain_c_6 "Ain_c[6]") (joined - (portRef (member ain_c 1)) - (portRef A (instanceRef un6_RAout_i_m2_6)) - (portRef A (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_454 (joined - (portRef Z (instanceRef RA_33_4_137_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNI4MQK3)) - )) - (net N_299_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI4MQK3)) - (portRef N_299_i) - )) - (net (rename Ain_c_7 "Ain_c[7]") (joined - (portRef (member ain_c 0)) - (portRef A (instanceRef un6_RAout_i_m2_7)) - (portRef A (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net N_451 (joined - (portRef Z (instanceRef RA_33_3_155_i_0_a2)) - (portRef B (instanceRef nRWE_0_i_o3_RNI7PQK3)) - )) - (net N_313_i (joined - (portRef Z (instanceRef nRWE_0_i_o3_RNI7PQK3)) - (portRef N_313_i) - )) - (net (rename SZ0Z_1 "S_1") (joined - (portRef Z (instanceRef S_1)) - (portRef A (instanceRef S_0_0_0_0)) - (portRef A (instanceRef S_1_RNIP23H1)) - (portRef A (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef A (instanceRef S_1_RNIP23H1_0)) - )) - (net N_73_i (joined - (portRef Z (instanceRef S_1_RNIP23H1_0)) - (portRef N_73_i) - )) - (net N_506 (joined - (portRef Z (instanceRef wb_cyc_stb_RNO_0)) - (portRef A (instanceRef wb_cyc_stb_RNO)) - )) - (net (rename Din_c_1 "Din_c[1]") (joined - (portRef (member din_c 6)) - (portRef C (instanceRef CS6_RNO_2)) - (portRef B (instanceRef CS6_RNO)) - (portRef B (instanceRef un1_CS_4_5)) - (portRef B (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_392_i)) - (portRef A (instanceRef RWMask_RNO_1)) - (portRef B (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef A (instanceRef RWBank_3_0_1)) - (portRef B (instanceRef CmdExecMXO2_3)) - (portRef B (instanceRef CmdSetRWBankFFChip_3)) - (portRef A (instanceRef CS6_1_RNO_0)) - (portRef C (instanceRef CmdBitbangMXO2_3)) - (portRef A (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef A (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef A (instanceRef wb_adr_RNO_1)) - (portRef A (instanceRef CmdLEDSet_3_0_a3)) - )) - (net (rename Din_c_3 "Din_c[3]") (joined - (portRef (member din_c 4)) - (portRef B (instanceRef CS6_RNO_2)) - (portRef A (instanceRef RDout_3)) - (portRef A (instanceRef wb_adr_7_3)) - (portRef A (instanceRef un1_CS_7_4_i_o2)) - (portRef A (instanceRef RWMask_RNO_3)) - (portRef C (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef B (instanceRef un1_CS_6_2)) - (portRef D (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_3)) - (portRef A (instanceRef un1_CS_4_1)) - (portRef B (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef B (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef B (instanceRef CmdLEDSet_3_0_a3)) - )) - (net (rename Din_c_4 "Din_c[4]") (joined - (portRef (member din_c 3)) - (portRef A (instanceRef RDout_4)) - (portRef A (instanceRef wb_adr_RNO_4)) - (portRef A (instanceRef CmdExecMXO2_3_0)) - (portRef B (instanceRef CS6_RNO_1)) - (portRef A (instanceRef RWMask_RNO_4)) - (portRef D (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef B (instanceRef CmdBitbangMXO2_3_3)) - (portRef C (instanceRef un1_CS_6_2)) - (portRef A (instanceRef un1_CS_7_2)) - (portRef C (instanceRef un1_CS_4_7_0_a2)) - (portRef A (instanceRef RWBank_3_0_4)) - (portRef C (instanceRef CmdSetRWBankFFChip_3)) + (net N_392 (joined + (portRef Z (instanceRef CmdSetRWBankFF15_0_a2)) (portRef C (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef C (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef C (instanceRef CmdLEDSet_3_0_a3)) + (portRef C (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef A (instanceRef CS_0_sqmuxa_0_tz_0)) )) - (net N_95 (joined - (portRef Z (instanceRef CmdLEDSet_3_0_a2)) - (portRef D (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef D (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef D (instanceRef CmdLEDSet_3_0_a3)) + (net CS_0_sqmuxa_0_a3_3_7 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7)) + (portRef B (instanceRef CS_0_sqmuxa_0_tz_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_0_0_0 "un1_CmdBitbangMXO212_1_0_0_0[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) + (portRef A (instanceRef wb_cyc_stb_RNO_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_a5_1_0_0 "un1_CmdBitbangMXO212_1_0_a5_1_0[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef D (instanceRef wb_cyc_stb_RNO)) + (portRef B (instanceRef wb_cyc_stb_RNO_0)) + )) + (net (rename un1_CmdBitbangMXO212_1_0_a5_1_2_0 "un1_CmdBitbangMXO212_1_0_a5_1_2[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) + (portRef C (instanceRef wb_cyc_stb_RNO_0)) + )) + (net CmdSetRWBankFF_3_0_a3_1 (joined + (portRef Z (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CmdSetRWBankFF_3_0_a3)) + )) + (net CmdSetRWBankFF_3 (joined + (portRef Z (instanceRef CmdSetRWBankFF_3_0_a3)) + (portRef CmdSetRWBankFF_3) + )) + (net (rename Din_c_0 "Din_c[0]") (joined + (portRef (member din_c 7)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef LEDEN_RNO)) + (portRef A (instanceRef N_492_i)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef RWMask_RNO_0)) + (portRef A (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef A (instanceRef RWBank_3_0_0)) + (portRef A (instanceRef wb_we_RNO_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef A (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) + (portRef A (instanceRef wb_adr_7_i_m2_0)) + (portRef A (instanceRef CmdExecMXO2_3_0_a5)) + (portRef A (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef A (instanceRef CmdLEDSet_3_0_a5)) + (portRef A (instanceRef CmdLEDGet_3_0_a5)) + )) + (net N_552 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CmdExecMXO2_3_0_a5)) + (portRef C (instanceRef CmdBitbangMXO2_3_0_a5)) + (portRef C (instanceRef CmdLEDSet_3_0_a5)) + (portRef C (instanceRef CmdLEDGet_3_0_a5)) + )) + (net un1_CS_3_3 (joined + (portRef Z (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef D (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef D (instanceRef CmdLEDSet_3_0_a5)) + (portRef D (instanceRef CmdLEDGet_3_0_a5)) + )) + (net CmdLEDGet_3 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a5)) + (portRef CmdLEDGet_3) )) (net CmdLEDSet_3 (joined - (portRef Z (instanceRef CmdLEDSet_3_0_a3)) + (portRef Z (instanceRef CmdLEDSet_3_0_a5)) (portRef CmdLEDSet_3) )) - (net N_555 (joined - (portRef Z (instanceRef RA_33_1_a3_10)) - (portRef A (instanceRef CKE_7s2_0_0_o2)) + (net CKE20_m (joined + (portRef Z (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef nRAS_0_i_0_tz)) + (portRef A (instanceRef RA_33_1_10)) + )) + (net N_111 (joined + (portRef Z (instanceRef RA_33_1_a2_10)) + (portRef C (instanceRef nRWE_0_i_a3)) (portRef B (instanceRef RA_33_1_10)) )) + (net N_115 (joined + (portRef Z (instanceRef RA_33_1_a2_3_10)) + (portRef C (instanceRef RA_33_1_10)) + )) (net (rename RA_33_1_1_10 "RA_33_1_1[10]") (joined (portRef Z (instanceRef RA_33_1_1_10)) (portRef D (instanceRef RA_33_1_10)) @@ -3286,110 +2991,274 @@ (portRef Z (instanceRef RA_33_1_10)) (portRef (member ra_33 1)) )) - (net N_502 (joined - (portRef Z (instanceRef wb_adr_RNO_0_1)) - (portRef B (instanceRef wb_adr_RNO_1)) + (net CS_0_sqmuxa_0_a3_2_5_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_2_5)) )) - (net wb_adr_7_5_41_0_1 (joined - (portRef Z (instanceRef wb_adr_RNO_1_1)) - (portRef D (instanceRef wb_adr_RNO_1)) + (net (rename Din_c_6 "Din_c[6]") (joined + (portRef (member din_c 1)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_3)) + (portRef A (instanceRef wb_adr_RNO_6)) + (portRef A (instanceRef N_358_i)) + (portRef A (instanceRef RWMask_RNO_6)) + (portRef A (instanceRef RWBank_3_0_6)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef B (instanceRef CmdLEDGet_3_0_a2)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7)) )) - (net CmdSetRWBankFFLED_3 (joined - (portRef Z (instanceRef CmdSetRWBankFFLED_3_0_a3)) - (portRef CmdSetRWBankFFLED_3) + (net CS_0_sqmuxa_0_a3_3_7_5 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7)) )) - (net N_202 (joined - (portRef Z (instanceRef wb_we_RNO_1)) - (portRef B (instanceRef wb_we_RNO)) + (net N_120 (joined + (portRef Z (instanceRef nRWE_0_i_a3)) + (portRef B (instanceRef nRWE_0_i_0)) + (portRef B (instanceRef nRAS_0_i_1)) )) - (net N_540 (joined - (portRef Z (instanceRef wb_we_7_iv_0_0_i_i_a3_2)) - (portRef B (instanceRef wb_we_RNO_2)) - (portRef D (instanceRef wb_adr_RNO_0_1)) - (portRef C (instanceRef wb_adr_RNO_1_1)) - (portRef C (instanceRef wb_we_RNO)) + (net N_609_tz (joined + (portRef Z (instanceRef nRAS_0_i_1_RNO)) + (portRef C (instanceRef nRAS_0_i_1)) )) - (net wb_we_7_iv_0_0_111_i_i_1 (joined - (portRef Z (instanceRef wb_we_RNO_2)) - (portRef D (instanceRef wb_we_RNO)) - )) - (net CmdRWMaskSet_3 (joined - (portRef Z (instanceRef CmdRWMaskSet_3_0_a3)) - (portRef CmdRWMaskSet_3) - )) - (net un1_CS_7_2 (joined - (portRef Z (instanceRef un1_CS_7_2)) - (portRef D (instanceRef CS6_0)) - )) - (net N_481 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_0_0_0)) + (net RAT_2 (joined + (portRef Z (instanceRef RAT_2_0_a2_0_a2)) + (portRef A (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef nCAS_0_RNO)) + (portRef C (instanceRef nRWE_0_i_0)) )) (net nEN80_c (joined (portRef nEN80_c) + (portRef D (instanceRef RDOE_2_0_a5)) (portRef C (instanceRef un1_nDOE_i)) - (portRef D (instanceRef RDOE_2_0_a2)) - (portRef D (instanceRef CKE_7s2_0_0_1)) - (portRef A (instanceRef RA_33_1_a3_10)) - (portRef A (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef C (instanceRef nRAS_0_i_a2_0_1)) - (portRef C (instanceRef un1_LED_i)) - (portRef C (instanceRef nRWE_0_i_0)) + (portRef C (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef A (instanceRef un2_RDOE_1)) + (portRef A (instanceRef un1_nDOE_0_a2_0)) + (portRef C (instanceRef LEDEN_RNI6G6M)) + (portRef B (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef CKE_7s2_0)) + (portRef D (instanceRef nRWE_0_i_0)) )) - (net N_309 (joined - (portRef Z (instanceRef nCAS_0_i_o2)) - (portRef A (instanceRef nCAS_0_i_0_RNO)) + (net N_513 (joined + (portRef Z (instanceRef RA_33_7_83_i_o4_i_a5)) + (portRef D (instanceRef RA_33_5_119_i_0_a3)) + (portRef D (instanceRef RA_33_4_137_i_0_a3)) + (portRef D (instanceRef RA_33_3_155_i_0_a3)) + (portRef D (instanceRef RA_33_7_83_i_0_a3)) + (portRef D (instanceRef RA_33_6_101_i_0_a3)) + (portRef A (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef A (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef A (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef A (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef A (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef C (instanceRef RA_33_8_65_i_0_0)) + (portRef C (instanceRef RA_33_10_29_i_0_0)) + (portRef C (instanceRef RA_33_9_47_i_0_0)) + (portRef A (instanceRef N_242_i)) + (portRef A (instanceRef N_228_i)) + (portRef A (instanceRef N_214_i)) )) - (net N_545 (joined - (portRef Z (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef B (instanceRef RA_33_1_a2_3_10)) - (portRef C (instanceRef nCAS_0_i_0_RNO)) + (net (rename RA_0 "RA[0]") (joined + (portRef (member ra 11)) + (portRef A (instanceRef RWSel_2)) + (portRef B (instanceRef N_214_i)) )) - (net N_248 (joined - (portRef Z (instanceRef S_0_i_0_o2_1)) - (portRef B (instanceRef S_0_0_0_0)) - (portRef D (instanceRef S_0_i_0_o2_RNIFP961_1)) + (net RA_33_10_29_i_0_0 (joined + (portRef Z (instanceRef RA_33_10_29_i_0_0)) + (portRef D (instanceRef N_214_i)) )) - (net N_71_i (joined - (portRef Z (instanceRef S_0_i_0_o2_RNIFP961_1)) - (portRef N_71_i) + (net (rename N_214_i_1z "N_214_i") (joined + (portRef Z (instanceRef N_214_i)) + (portRef N_214_i_1z) )) - (net N_349_i (joined - (portRef Z (instanceRef S_1_RNIP23H1)) - (portRef N_349_i) + (net (rename RA_1 "RA[1]") (joined + (portRef (member ra 10)) + (portRef B (instanceRef N_228_i)) )) - (net CmdSetRWBankFFChip6 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip6)) - (portRef A (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef CmdBitbangMXO2_3)) - (portRef A (instanceRef CmdLEDGet_3_0_a3)) - (portRef A (instanceRef CmdLEDSet_3_0_a2)) + (net RA_33_9_47_i_0_0 (joined + (portRef Z (instanceRef RA_33_9_47_i_0_0)) + (portRef D (instanceRef N_228_i)) )) - (net (rename Din_c_2 "Din_c[2]") (joined - (portRef (member din_c 5)) - (portRef C (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_391_i)) - (portRef A (instanceRef wb_adr_RNO_2)) - (portRef A (instanceRef RWMask_RNO_2)) - (portRef A (instanceRef CmdBitbangMXO2_3_3)) - (portRef A (instanceRef un1_CS_6_2)) - (portRef B (instanceRef un1_CS_4_7_0_a2)) - (portRef C (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef RWBank_3_0_2)) - (portRef C (instanceRef CS6_RNO_0)) - (portRef B (instanceRef CmdLEDGet_3_0_a3)) - (portRef C (instanceRef CmdLEDSet_3_0_a2)) + (net (rename N_228_i_1z "N_228_i") (joined + (portRef Z (instanceRef N_228_i)) + (portRef N_228_i_1z) )) - (net N_412 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef D (instanceRef CmdSetRWBankFFChip_3)) - (portRef C (instanceRef CmdLEDGet_3_0_a3)) - (portRef D (instanceRef CmdLEDSet_3_0_a2)) + (net (rename RA_2 "RA[2]") (joined + (portRef (member ra 9)) + (portRef B (instanceRef N_242_i)) )) - (net N_272 (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_a2_0_0)) - (portRef C (instanceRef wb_cyc_stb_RNO_0)) + (net RA_33_8_65_i_0_0 (joined + (portRef Z (instanceRef RA_33_8_65_i_0_0)) + (portRef D (instanceRef N_242_i)) + )) + (net (rename N_242_i_1z "N_242_i") (joined + (portRef Z (instanceRef N_242_i)) + (portRef N_242_i_1z) + )) + (net (rename Ain_c_3 "Ain_c[3]") (joined + (portRef (member ain_c 4)) + (portRef A (instanceRef un6_RAout_i_m2_3)) + (portRef A (instanceRef N_256_i)) + )) + (net N_431 (joined + (portRef Z (instanceRef RA_33_7_83_i_0_a3)) + (portRef B (instanceRef N_256_i)) + )) + (net N_433 (joined + (portRef Z (instanceRef RA_33_7_83_i_0_a3_1)) + (portRef C (instanceRef N_256_i)) + )) + (net (rename N_256_i_1z "N_256_i") (joined + (portRef Z (instanceRef N_256_i)) + (portRef N_256_i_1z) + )) + (net (rename Ain_c_4 "Ain_c[4]") (joined + (portRef (member ain_c 3)) + (portRef A (instanceRef un6_RAout_i_m2_4)) + (portRef A (instanceRef N_270_i)) + )) + (net N_428 (joined + (portRef Z (instanceRef RA_33_6_101_i_0_a3)) + (portRef B (instanceRef N_270_i)) + )) + (net N_430 (joined + (portRef Z (instanceRef RA_33_6_101_i_0_a3_1)) + (portRef C (instanceRef N_270_i)) + )) + (net (rename N_270_i_1z "N_270_i") (joined + (portRef Z (instanceRef N_270_i)) + (portRef N_270_i_1z) + )) + (net (rename Ain_c_5 "Ain_c[5]") (joined + (portRef (member ain_c 2)) + (portRef A (instanceRef un6_RAout_i_m2_5)) + (portRef A (instanceRef N_284_i)) + )) + (net N_437 (joined + (portRef Z (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef N_284_i)) + )) + (net N_439 (joined + (portRef Z (instanceRef RA_33_5_119_i_0_a3_1)) + (portRef C (instanceRef N_284_i)) + )) + (net (rename N_284_i_1z "N_284_i") (joined + (portRef Z (instanceRef N_284_i)) + (portRef N_284_i_1z) + )) + (net (rename Ain_c_6 "Ain_c[6]") (joined + (portRef (member ain_c 1)) + (portRef A (instanceRef un6_RAout_i_m2_6)) + (portRef A (instanceRef N_298_i)) + )) + (net N_434 (joined + (portRef Z (instanceRef RA_33_4_137_i_0_a3)) + (portRef B (instanceRef N_298_i)) + )) + (net N_436 (joined + (portRef Z (instanceRef RA_33_4_137_i_0_a3_1)) + (portRef C (instanceRef N_298_i)) + )) + (net (rename N_298_i_1z "N_298_i") (joined + (portRef Z (instanceRef N_298_i)) + (portRef N_298_i_1z) + )) + (net (rename Ain_c_7 "Ain_c[7]") (joined + (portRef (member ain_c 0)) + (portRef A (instanceRef un6_RAout_i_m2_7)) + (portRef A (instanceRef N_312_i)) + )) + (net N_440 (joined + (portRef Z (instanceRef RA_33_3_155_i_0_a3)) + (portRef B (instanceRef N_312_i)) + )) + (net N_441 (joined + (portRef Z (instanceRef RA_33_3_155_i_0_a3_1)) + (portRef C (instanceRef N_312_i)) + )) + (net (rename N_312_i_1z "N_312_i") (joined + (portRef Z (instanceRef N_312_i)) + (portRef N_312_i_1z) + )) + (net N_73 (joined + (portRef Z (instanceRef nRWE_0_i_o2_2)) + (portRef B (instanceRef nRWE_0_i_a3)) + )) + (net CmdLEDSet (joined + (portRef CmdLEDSet) + (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + )) + (net (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_4[0]") (joined + (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0)) + )) + (net un1_FS_20 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef B (instanceRef un1_FS_20_0_a2_0_a5_RNIR1RL)) + (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) + )) + (net wb_ack (joined + (portRef wb_ack (instanceRef ufmefb)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0)) + )) + (net N_65 (joined + (portRef Z (instanceRef wb_we_RNO_0)) + (portRef B (instanceRef wb_we_RNO)) + )) + (net N_468 (joined + (portRef Z (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef D (instanceRef wb_we_RNO_3)) + (portRef B (instanceRef wb_we_RNO_1)) + (portRef C (instanceRef wb_we_RNO)) + )) + (net wb_we_7_iv_0_0_0_1 (joined + (portRef Z (instanceRef wb_we_RNO_1)) + (portRef D (instanceRef wb_we_RNO)) + )) + (net CmdExecMXO2_3_1 (joined + (portRef Z (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef D (instanceRef CmdExecMXO2_3_0_a5)) + (portRef D (instanceRef CmdBitbangMXO2_3_0_a5)) + )) + (net N_57 (joined + (portRef Z (instanceRef CKE_7s2_0_o2)) + (portRef A (instanceRef nCAS_0_RNO_1)) + (portRef A (instanceRef CKE_7s2_0)) + )) + (net (rename un9_VOE_0_a2_1z "un9_VOE_0_a2") (joined + (portRef Z (instanceRef un9_VOE_0_a2)) + (portRef D (instanceRef CKE_7s2_0)) + (portRef un9_VOE_0_a2_1z) + )) + (net N_555 (joined + (portRef Z (instanceRef wb_adr_7_i_a2_0_0)) + (portRef C (instanceRef wb_adr_7_i_a5_1_0_0)) + )) + (net (rename un1_CKE48_i_1z "un1_CKE48_i") (joined + (portRef Z (instanceRef un1_CKE48_i)) + (portRef un1_CKE48_i_1z) + )) + (net N_458_i (joined + (portRef Z (instanceRef un11_S_0_a2_RNIT9FP2)) + (portRef N_458_i) + )) + (net (rename S_0_0_0 "S_0_0[0]") (joined + (portRef Z (instanceRef S_0_0_0)) + (portRef S_0_0_0) + )) + (net N_369 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) + (portRef A (instanceRef wb_cyc_stb_RNO)) + )) + (net N_133 (joined + (portRef Z (instanceRef RA_33_2_173_a2_2_0_a2)) + (portRef B (instanceRef RA_33_0_1_9)) + (portRef A (instanceRef RA_33_1_1_10)) + (portRef C (instanceRef RA_33_2_173_0)) + (portRef A (instanceRef nRAS_0_i_208_tz)) + (portRef B (instanceRef RA_33_0_11)) )) (net (rename RA_11 "RA[11]") (joined (portRef (member ra 0)) @@ -3403,301 +3272,366 @@ (portRef Z (instanceRef RA_33_0_11)) (portRef (member ra_33 0)) )) - (net Ready3_0_a4_0_a2_3 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_3)) - (portRef B (instanceRef Ready3_0_a4_0_a2)) + (net CmdLEDGet_3_0_a2_0 (joined + (portRef Z (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef D (instanceRef CmdLEDGet_3_0_a2)) )) - (net Ready3_0_a4_0_a2_4 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_4)) - (portRef C (instanceRef Ready3_0_a4_0_a2)) + (net nCAS_7_sqmuxa_3 (joined + (portRef Z (instanceRef Ready3_0_a3_6)) + (portRef B (instanceRef nRWE_0_i_o2_2)) + (portRef A (instanceRef Ready3_0_a3)) )) - (net Ready3_0_a4_0_a2_5 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2_5)) - (portRef D (instanceRef Ready3_0_a4_0_a2)) + (net Ready3_0_a3_5 (joined + (portRef Z (instanceRef Ready3_0_a3_5)) + (portRef B (instanceRef Ready3_0_a3)) + )) + (net Ready3_0_a3_7 (joined + (portRef Z (instanceRef Ready3_0_a3_7)) + (portRef C (instanceRef Ready3_0_a3)) + )) + (net un1_FS_20_1 (joined + (portRef Z (instanceRef un1_FS_20_0_a2_0_a5_1)) + (portRef A (instanceRef un1_FS_20_0_a2_0_a5)) + (portRef D (instanceRef Ready3_0_a3)) )) (net Ready3 (joined - (portRef Z (instanceRef Ready3_0_a4_0_a2)) + (portRef Z (instanceRef Ready3_0_a3)) (portRef Ready3) )) - (net CmdLEDGet_3_0_a3_0_0 (joined - (portRef Z (instanceRef CmdLEDGet_3_0_a3_0_0)) - (portRef D (instanceRef CmdLEDGet_3_0_a3)) + (net CmdRWMaskSet_3_0_a3_2 (joined + (portRef Z (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef D (instanceRef CmdRWMaskSet_3_0_a3)) )) - (net CmdLEDGet_3 (joined - (portRef Z (instanceRef CmdLEDGet_3_0_a3)) - (portRef CmdLEDGet_3) + (net CmdRWMaskSet_3 (joined + (portRef Z (instanceRef CmdRWMaskSet_3_0_a3)) + (portRef CmdRWMaskSet_3) )) - (net N_314 (joined - (portRef Z (instanceRef S_0_0_0_a2_0)) - (portRef C (instanceRef S_0_0_0_0)) - )) - (net (rename S_0_0_0_0 "S_0_0_0[0]") (joined - (portRef Z (instanceRef S_0_0_0_0)) - (portRef S_0_0_0_0) - )) - (net (rename RA_9 "RA[9]") (joined - (portRef (member ra 2)) - (portRef B (instanceRef RA_33_0_9)) - )) - (net (rename RA_33_0_0_9 "RA_33_0_0[9]") (joined - (portRef Z (instanceRef RA_33_0_0_9)) - (portRef C (instanceRef RA_33_0_9)) - )) - (net (rename RA_33_9 "RA_33[9]") (joined - (portRef Z (instanceRef RA_33_0_9)) - (portRef (member ra_33 2)) - )) - (net CmdBitbangMXO2_3_3 (joined - (portRef Z (instanceRef CmdBitbangMXO2_3_3)) - (portRef D (instanceRef CmdBitbangMXO2_3)) + (net (rename Din_c_3 "Din_c[3]") (joined + (portRef (member din_c 4)) + (portRef B (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef B (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef A (instanceRef RDout_3)) + (portRef A (instanceRef wb_adr_7_3)) + (portRef C (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef RWMask_RNO_3)) + (portRef A (instanceRef RWBank_3_0_3)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) (net (rename Din_c_5 "Din_c[5]") (joined (portRef (member din_c 2)) - (portRef A (instanceRef CS6_RNO_2)) - (portRef A (instanceRef RDout_5)) - (portRef B (instanceRef un1_CS_7_4_i_o2)) + (portRef C (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef A (instanceRef CS_0_sqmuxa_0_a2_4)) (portRef A (instanceRef wb_adr_RNO_5)) - (portRef B (instanceRef CmdExecMXO2_3_0)) - (portRef A (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) + (portRef A (instanceRef N_489_i)) (portRef A (instanceRef RWMask_RNO_5)) - (portRef D (instanceRef un1_CS_6_2)) (portRef A (instanceRef RWBank_3_0_5)) - (portRef B (instanceRef un1_CS_4_1)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) - (net (rename Din_c_7 "Din_c[7]") (joined - (portRef (member din_c 0)) - (portRef C (instanceRef un1_CS_6_3)) - (portRef C (instanceRef CS6_RNO)) - (portRef B (instanceRef un1_CS_2_4_0_i)) - (portRef A (instanceRef RWMask_RNO_7)) - (portRef D (instanceRef un1_CS_7_1)) - (portRef A (instanceRef N_390_i)) - (portRef C (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef A (instanceRef wb_adr_RNO_7)) - (portRef A (instanceRef RWBank_3_0_7)) - (portRef C (instanceRef CmdExecMXO2_3)) - (portRef B (instanceRef CS6_1_RNO_0)) - (portRef C (instanceRef un1_CS_4_1)) + (net N_74 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_o2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_0_3_2)) )) - (net un1_CS_4_7 (joined - (portRef Z (instanceRef un1_CS_4_7_0_a2)) - (portRef D (instanceRef CS6_1_RNO_0)) - (portRef D (instanceRef un1_CS_4_1)) + (net CS_0_sqmuxa_0_a3_3_7_3 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_7_5)) )) - (net N_99 (joined - (portRef Z (instanceRef un1_CS_7_4_i_o2)) - (portRef C (instanceRef CmdBitbangMXO2_3_3)) - (portRef C (instanceRef un1_CS_7_2)) - (portRef C (instanceRef CS6_1_RNO_0)) + (net wb_we_7_iv_0_0_0_0 (joined + (portRef Z (instanceRef wb_we_RNO_2)) + (portRef D (instanceRef wb_we_RNO_1)) )) - (net CS6_182_0_a2_0 (joined - (portRef Z (instanceRef CS6_RNO_1)) - (portRef D (instanceRef CS6_RNO_0)) - )) - (net wb_adr_7_5_41_a2_2_0 (joined - (portRef Z (instanceRef wb_adr_RNO_3_1)) - (portRef D (instanceRef wb_adr_RNO_1_1)) - )) - (net N_557 (joined - (portRef Z (instanceRef RA_33_1_a2_3_10)) - (portRef D (instanceRef nRAS_0_i_1)) - (portRef B (instanceRef nRAS_0_i_a2_1)) - (portRef C (instanceRef RA_33_1_1_10)) - )) - (net (rename RA_10 "RA[10]") (joined - (portRef (member ra 1)) - (portRef D (instanceRef RA_33_1_1_10)) - )) - (net (rename Ain_c_0 "Ain_c[0]") (joined - (portRef (member ain_c 7)) - (portRef A (instanceRef un6_RAout_i_m2_0)) - (portRef A (instanceRef RA_33_10_29_i_0_0)) - )) - (net N_279 (joined - (portRef Z (instanceRef RA_33_10_29_i_0_o2)) - (portRef B (instanceRef RA_33_10_29_i_0_0)) - )) - (net (rename Ain_c_2 "Ain_c[2]") (joined - (portRef (member ain_c 5)) - (portRef A (instanceRef un6_RAout_i_m2_i_m2_2)) - (portRef A (instanceRef RA_33_8_65_i_0_0_0)) - )) - (net N_280 (joined - (portRef Z (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef RA_33_8_65_i_0_0_0)) + (net (rename un1_CmdBitbangMXO212_1_0_0_tz_0 "un1_CmdBitbangMXO212_1_0_0_tz[0]") (joined + (portRef Z (instanceRef un1_CmdBitbangMXO212_1_0_0_tz_0)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_0_0_0)) )) (net (rename Ain_c_1 "Ain_c[1]") (joined (portRef (member ain_c 6)) (portRef A (instanceRef un6_RAout_i_m2_1)) (portRef A (instanceRef RA_33_9_47_i_0_0)) )) - (net N_278 (joined + (net N_416 (joined (portRef Z (instanceRef RA_33_9_47_i_0_o2)) (portRef B (instanceRef RA_33_9_47_i_0_0)) )) - (net (rename CmdTout_0 "CmdTout[0]") (joined - (portRef (member cmdtout 2)) - (portRef A (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef A (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef A (instanceRef N_351_i)) - (portRef A (instanceRef N_350_i)) + (net (rename Ain_c_0 "Ain_c[0]") (joined + (portRef (member ain_c 7)) + (portRef A (instanceRef un6_RAout_i_m2_0)) + (portRef A (instanceRef RA_33_10_29_i_0_0)) )) - (net (rename CmdTout_1 "CmdTout[1]") (joined - (portRef (member cmdtout 1)) - (portRef B (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef B (instanceRef N_351_i)) - (portRef B (instanceRef N_350_i)) + (net N_103 (joined + (portRef Z (instanceRef RA_33_10_29_i_0_o2)) + (portRef B (instanceRef RA_33_10_29_i_0_0)) )) - (net (rename CmdTout_2 "CmdTout[2]") (joined - (portRef (member cmdtout 0)) - (portRef C (instanceRef un1_CS_0_sqmuxa_i_a2_0_a2_0_1)) - (portRef C (instanceRef N_350_i)) + (net (rename Ain_c_2 "Ain_c[2]") (joined + (portRef (member ain_c 5)) + (portRef A (instanceRef un6_RAout_i_m2_2)) + (portRef A (instanceRef RA_33_8_65_i_0_0)) )) - (net (rename N_350_i_1z "N_350_i") (joined - (portRef Z (instanceRef N_350_i)) - (portRef N_350_i_1z) + (net N_102 (joined + (portRef Z (instanceRef RA_33_8_65_i_0_o2)) + (portRef B (instanceRef RA_33_8_65_i_0_0)) )) - (net N_310 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_3)) - (portRef A (instanceRef wb_dati_7_0_a2_3)) - )) - (net N_190 (joined - (portRef Z (instanceRef wb_dati_7_0_o2_4)) - (portRef A (instanceRef wb_dati_7_0_a2_4)) + (net (rename RA_4 "RA[4]") (joined + (portRef (member ra 7)) + (portRef B (instanceRef RA_33_6_101_i_0_a3_1)) )) (net Ready (joined (portRef Ready) - (portRef B (instanceRef RDout_5)) (portRef B (instanceRef RDout_3)) - (portRef B (instanceRef RDout_0)) - (portRef B (instanceRef RDout_4)) - (portRef B (instanceRef RDout_6)) - (portRef B (instanceRef un1_LED_i)) (portRef C (instanceRef S_1)) - (portRef B (instanceRef N_392_i)) - (portRef B (instanceRef N_391_i)) - (portRef B (instanceRef N_390_i)) + (portRef B (instanceRef N_492_i)) + (portRef B (instanceRef N_491_i)) + (portRef B (instanceRef N_359_i)) + (portRef B (instanceRef N_490_i)) + (portRef B (instanceRef N_489_i)) + (portRef B (instanceRef N_358_i)) + (portRef B (instanceRef N_488_i)) + (portRef B (instanceRef LEDEN_RNI6G6M)) (portRef B (instanceRef RDOE_2_0)) )) (net RDOE_2 (joined (portRef Z (instanceRef RDOE_2_0)) (portRef RDOE_2) )) + (net N_138 (joined + (portRef Z (instanceRef un1_nDOE_0_a2_0)) + (portRef A (instanceRef CKE_7s2_0_o2)) + )) + (net (rename RA_5 "RA[5]") (joined + (portRef (member ra 6)) + (portRef B (instanceRef RA_33_5_119_i_0_a3_1)) + )) + (net (rename RA_3 "RA[3]") (joined + (portRef (member ra 8)) + (portRef B (instanceRef RWSel_2)) + (portRef B (instanceRef RA_33_7_83_i_0_a3_1)) + )) + (net (rename RA_7 "RA[7]") (joined + (portRef (member ra 4)) + (portRef B (instanceRef RA_33_3_155_i_0_a3_1)) + )) + (net (rename FS_14 "FS[14]") (joined + (portRef (member fs 1)) + (portRef A (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_0_0)) + (portRef A (instanceRef wb_rst6)) + (portRef A (instanceRef wb_req_1_0_127_a2_i_o5)) + (portRef B (instanceRef wb_adr_RNO_6)) + (portRef B (instanceRef wb_adr_RNO_5)) + (portRef B (instanceRef wb_adr_RNO_4)) + (portRef B (instanceRef wb_adr_RNO_0_1)) + (portRef D (instanceRef Ready3_0_a3_5)) + (portRef A (instanceRef wb_reqc_2)) + (portRef C (instanceRef RA_33_3_155_i_0_a3)) + (portRef A (instanceRef wb_adr_1_sqmuxa_0_a2_0_o2)) + )) + (net (rename RA_6 "RA[6]") (joined + (portRef (member ra 5)) + (portRef B (instanceRef RA_33_4_137_i_0_a3_1)) + )) + (net (rename FS_1 "FS[1]") (joined + (portRef (member fs 14)) + (portRef A (instanceRef Ready3_0_a3_6)) + (portRef A (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef RA_33_10_29_i_0_o2)) + (portRef A (instanceRef RA_33_1_a2_3_10)) + (portRef A (instanceRef un1_FS_32_i_i_o2)) + (portRef A (instanceRef nCAS_0_RNO_0)) + (portRef A (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_3 "FS[3]") (joined + (portRef (member fs 12)) + (portRef A (instanceRef un1_FS_32_i_i_o2_0)) + (portRef C (instanceRef Ready3_0_a3_6)) + (portRef C (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef RA_33_8_65_i_0_o2)) + (portRef B (instanceRef RA_33_10_29_i_0_o2)) + (portRef A (instanceRef RA_33_9_47_i_0_o2)) + (portRef B (instanceRef nRWE_0_i_a3_0_0)) + (portRef A (instanceRef RA_33_5_119_i_0_a3)) + (portRef A (instanceRef RA_33_4_137_i_0_a3)) + (portRef A (instanceRef RA_33_3_155_i_0_a3)) + (portRef A (instanceRef RA_33_7_83_i_0_a3)) + (portRef A (instanceRef RA_33_6_101_i_0_a3)) + (portRef C (instanceRef nCAS_0_RNO_0)) + (portRef B (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_4 "FS[4]") (joined + (portRef (member fs 11)) + (portRef A (instanceRef RA_33_0_9)) + (portRef B (instanceRef un1_FS_32_i_i_o2_0)) + (portRef D (instanceRef Ready3_0_a3_6)) + (portRef D (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef B (instanceRef RA_33_8_65_i_0_o2)) + (portRef C (instanceRef RA_33_10_29_i_0_o2)) + (portRef B (instanceRef RA_33_9_47_i_0_o2)) + (portRef C (instanceRef nRWE_0_i_a3_0_0)) + (portRef B (instanceRef RA_33_5_119_i_0_a3)) + (portRef B (instanceRef RA_33_1_a2_3_10)) + (portRef B (instanceRef RA_33_4_137_i_0_a3)) + (portRef B (instanceRef RA_33_3_155_i_0_a3)) + (portRef B (instanceRef RA_33_7_83_i_0_a3)) + (portRef B (instanceRef RA_33_6_101_i_0_a3)) + (portRef D (instanceRef nCAS_0_RNO_0)) + (portRef C (instanceRef nRAS_0_i_1_RNO)) + )) + (net (rename FS_2 "FS[2]") (joined + (portRef (member fs 13)) + (portRef B (instanceRef Ready3_0_a3_6)) + (portRef B (instanceRef un1_FS_32_i_i_o2_3)) + (portRef B (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef nRWE_0_i_a3_0_0)) + (portRef B (instanceRef nCAS_0_RNO_0)) + )) (net wb_reqc_2 (joined (portRef Z (instanceRef wb_reqc_2)) (portRef D (instanceRef wb_req_RNO)) )) - (net N_511 (joined - (portRef Z (instanceRef RA_33_2_173_1_a2)) - (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) + (net N_98 (joined + (portRef Z (instanceRef RA_33_2_173_0_a2)) + (portRef A (instanceRef RA_33_2_173_0)) + )) + (net N_99 (joined + (portRef Z (instanceRef RA_33_2_173_a2_0)) + (portRef B (instanceRef RA_33_2_173_0)) )) (net (rename RWBank_1 "RWBank[1]") (joined (portRef (member rwbank 6)) - (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) + (portRef D (instanceRef RA_33_2_173_0)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0)) - (portRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0) + (net (rename RA_33_2_173_0_1z "RA_33_2_173_0") (joined + (portRef Z (instanceRef RA_33_2_173_0)) + (portRef RA_33_2_173_0_1z) )) - (net CmdSetRWBankFFChip_3_5 (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3_5)) - (portRef A (instanceRef CmdExecMXO2_3)) - (portRef A (instanceRef CmdSetRWBankFFChip_3)) + (net un2_RDOE_1 (joined + (portRef Z (instanceRef un2_RDOE_1)) + (portRef D (instanceRef nCAS_0_RNO)) + (portRef D (instanceRef RA_33_1_a2_10)) )) - (net (rename CmdSetRWBankFFChip_3_1z "CmdSetRWBankFFChip_3") (joined - (portRef Z (instanceRef CmdSetRWBankFFChip_3)) - (portRef CmdSetRWBankFFChip_3_1z) + (net CS_0_sqmuxa_0_a3_3_8_2 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8_2)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8)) )) - (net CmdExecMXO2_3_0 (joined - (portRef Z (instanceRef CmdExecMXO2_3_0)) - (portRef D (instanceRef CmdExecMXO2_3)) + (net (rename Din_c_4 "Din_c[4]") (joined + (portRef (member din_c 3)) + (portRef A (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef B (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef A (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef A (instanceRef wb_adr_RNO_4)) + (portRef A (instanceRef N_490_i)) + (portRef A (instanceRef RWMask_RNO_4)) + (portRef B (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_4)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net (rename un1_CKE48_0_i_1z "un1_CKE48_0_i") (joined - (portRef Z (instanceRef un1_CKE48_0_i)) - (portRef un1_CKE48_0_i_1z) + (net N_391 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_4)) + (portRef B (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef C (instanceRef CmdRWMaskSet_3_0_a3_2)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net (rename RWBank_2 "RWBank[2]") (joined - (portRef (member rwbank 5)) - (portRef D (instanceRef RA_33_0_0_9)) + (net N_396 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef C (instanceRef CmdSetRWBankFF_3_0_a3_1)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_2_5_2)) )) - (net nRAS_0_i_210_tz_tz_0 (joined - (portRef Z (instanceRef nRAS_0_i_1_RNO_0)) - (portRef C (instanceRef nRAS_0_i_1_RNO)) + (net nCAS_0_sqmuxa_1_3 (joined + (portRef Z (instanceRef nCAS_0_sqmuxa_1_3_0_a5)) + (portRef A (instanceRef nRWE_0_i_o2_2)) + (portRef B (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) )) - (net nRAS_0_i_210_0 (joined - (portRef Z (instanceRef nRAS_0_i_1_RNO)) - (portRef B (instanceRef nRAS_0_i_1)) + (net un1_FS_33_3 (joined + (portRef Z (instanceRef un1_FS_33_3)) + (portRef C (instanceRef un1_CmdBitbangMXO212_1_0_a5_1_2_0)) )) - (net (rename FS_3 "FS[3]") (joined - (portRef (member fs 12)) - (portRef A (instanceRef Ready3_0_a4_0_a2_5)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef A (instanceRef nRWE_0_i_o3_0)) - (portRef A (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef RA_33_10_29_i_0_o2)) - (portRef A (instanceRef RA_33_9_47_i_0_o2)) - (portRef B (instanceRef nRWE_0_i_a2_1_1)) - (portRef A (instanceRef RA_33_5_119_i_0_0_a2)) - (portRef A (instanceRef RA_33_6_101_i_0_a2)) - (portRef A (instanceRef RA_33_7_83_i_0_a2)) - (portRef A (instanceRef RA_33_3_155_i_0_a2)) - (portRef A (instanceRef RA_33_4_137_i_0_a2)) - (portRef C (instanceRef nCAS_0_i_o2)) + (net (rename RA_10 "RA[10]") (joined + (portRef (member ra 1)) + (portRef B (instanceRef RA_33_1_1_10)) )) - (net N_200 (joined - (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_o2_0_0)) - (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0)) + (net (rename RWBankZ0Z_3 "RWBank[3]") (joined + (portRef (member rwbank 4)) + (portRef C (instanceRef RA_33_1_1_10)) + )) + (net (rename RA_33_1_0_tz_10 "RA_33_1_0_tz[10]") (joined + (portRef Z (instanceRef RA_33_1_0_tz_10)) + (portRef D (instanceRef RA_33_1_1_10)) + )) + (net N_481 (joined + (portRef Z (instanceRef wb_we_RNO_3)) + (portRef B (instanceRef wb_we_RNO_2)) )) (net (rename RWBank_0 "RWBank[0]") (joined (portRef (member rwbank 7)) - (portRef B (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef B (instanceRef N_443_i)) + (portRef B (instanceRef DQML_4_iv_0_17)) + (portRef B (instanceRef N_194_i)) )) - (net (rename N_443_i_1z "N_443_i") (joined - (portRef Z (instanceRef N_443_i)) - (portRef N_443_i_1z) + (net (rename N_194_i_1z "N_194_i") (joined + (portRef Z (instanceRef N_194_i)) + (portRef N_194_i_1z) )) - (net (rename N_389_i_1z "N_389_i") (joined - (portRef Z (instanceRef N_389_i)) - (portRef N_389_i_1z) + (net (rename N_346_i_1z "N_346_i") (joined + (portRef Z (instanceRef N_346_i)) + (portRef N_346_i_1z) )) - (net (rename N_351_i_1z "N_351_i") (joined - (portRef Z (instanceRef N_351_i)) - (portRef N_351_i_1z) - )) - (net (rename N_117_i_1z "N_117_i") (joined - (portRef Z (instanceRef N_117_i)) - (portRef N_117_i_1z) + (net (rename N_347_i_1z "N_347_i") (joined + (portRef Z (instanceRef N_347_i)) + (portRef N_347_i_1z) )) (net RWBank10 (joined (portRef Z (instanceRef RWBank10)) - (portRef B (instanceRef RWBank_3_0_4)) - (portRef B (instanceRef RWBank_3_0_2)) (portRef B (instanceRef RWBank_3_0_1)) - (portRef B (instanceRef RWBank_3_0_0)) + (portRef B (instanceRef RWBank_3_0_2)) (portRef B (instanceRef RWBank_3_0_3)) + (portRef B (instanceRef RWBank_3_0_4)) (portRef B (instanceRef RWBank_3_0_5)) (portRef B (instanceRef RWBank_3_0_6)) (portRef B (instanceRef RWBank_3_0_7)) + (portRef B (instanceRef RWBank_3_0_0)) + )) + (net (rename RWBank_3_0 "RWBank_3[0]") (joined + (portRef Z (instanceRef RWBank_3_0_0)) + (portRef (member rwbank_3 7)) + )) + (net (rename CmdTout_0 "CmdTout[0]") (joined + (portRef (member cmdtout 2)) + (portRef A (instanceRef CmdTout_3_0)) + (portRef A (instanceRef CmdTout_3_1)) + (portRef A (instanceRef CS12)) + (portRef A (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_1 "CmdTout[1]") (joined + (portRef (member cmdtout 1)) + (portRef B (instanceRef CmdTout_3_1)) + (portRef B (instanceRef CS12)) + (portRef B (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_2 "CmdTout[2]") (joined + (portRef (member cmdtout 0)) + (portRef C (instanceRef CS12)) + (portRef C (instanceRef CmdTout_3_2)) + )) + (net (rename CmdTout_3_2 "CmdTout_3[2]") (joined + (portRef Z (instanceRef CmdTout_3_2)) + (portRef (member cmdtout_3 0)) + )) + (net (rename Din_c_7 "Din_c[7]") (joined + (portRef (member din_c 0)) + (portRef B (instanceRef CmdLEDGet_3_0_a2_0)) + (portRef C (instanceRef CS_0_sqmuxa_0_o2)) + (portRef C (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_4)) + (portRef A (instanceRef RWMask_RNO_7)) + (portRef A (instanceRef N_488_i)) + (portRef A (instanceRef wb_adr_RNO_7)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_7_3)) + (portRef A (instanceRef RWBank_3_0_7)) )) (net (rename RWBank_3_7 "RWBank_3[7]") (joined (portRef Z (instanceRef RWBank_3_0_7)) (portRef (member rwbank_3 0)) )) - (net (rename Din_c_6 "Din_c[6]") (joined - (portRef (member din_c 1)) - (portRef D (instanceRef un1_CS_6_3)) - (portRef A (instanceRef un1_CS_2_4_0_i)) - (portRef A (instanceRef RDout_6)) - (portRef A (instanceRef wb_adr_RNO_6)) - (portRef C (instanceRef CmdExecMXO2_3_0)) - (portRef C (instanceRef CS6_RNO_1)) - (portRef B (instanceRef CmdSetRWBankFFChip_3_6_0_a2_1_a2)) - (portRef A (instanceRef RWMask_RNO_6)) - (portRef B (instanceRef un1_CS_7_2)) - (portRef D (instanceRef un1_CS_4_7_0_a2)) - (portRef A (instanceRef RWBank_3_0_6)) - )) (net (rename RWBank_3_6 "RWBank_3[6]") (joined (portRef Z (instanceRef RWBank_3_0_6)) (portRef (member rwbank_3 1)) @@ -3706,88 +3640,80 @@ (portRef Z (instanceRef RWBank_3_0_5)) (portRef (member rwbank_3 2)) )) + (net (rename RWBank_3_4 "RWBank_3[4]") (joined + (portRef Z (instanceRef RWBank_3_0_4)) + (portRef (member rwbank_3 3)) + )) (net (rename RWBank_3_3 "RWBank_3[3]") (joined (portRef Z (instanceRef RWBank_3_0_3)) (portRef (member rwbank_3 4)) )) - (net (rename RWBank_3_0 "RWBank_3[0]") (joined - (portRef Z (instanceRef RWBank_3_0_0)) - (portRef (member rwbank_3 7)) - )) - (net (rename RWBank_3_1 "RWBank_3[1]") (joined - (portRef Z (instanceRef RWBank_3_0_1)) - (portRef (member rwbank_3 6)) + (net (rename Din_c_2 "Din_c[2]") (joined + (portRef (member din_c 5)) + (portRef B (instanceRef CS_0_sqmuxa_0_a3_3_8)) + (portRef D (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef A (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef A (instanceRef CmdLEDGet_3_4_0_a2_0_a2)) + (portRef A (instanceRef CmdBitbangMXO2_3_0_a5_1)) + (portRef A (instanceRef N_359_i)) + (portRef B (instanceRef CS_0_sqmuxa_0_a2_5)) + (portRef A (instanceRef wb_adr_RNO_2)) + (portRef A (instanceRef RWMask_RNO_2)) + (portRef A (instanceRef RWBank_3_0_2)) )) (net (rename RWBank_3_2 "RWBank_3[2]") (joined (portRef Z (instanceRef RWBank_3_0_2)) (portRef (member rwbank_3 5)) )) - (net (rename RWBank_3_4 "RWBank_3[4]") (joined - (portRef Z (instanceRef RWBank_3_0_4)) - (portRef (member rwbank_3 3)) + (net (rename RWBank_3_1 "RWBank_3[1]") (joined + (portRef Z (instanceRef RWBank_3_0_1)) + (portRef (member rwbank_3 6)) )) - (net nC07X_c (joined - (portRef nC07X_c) - (portRef C (instanceRef RWSel_2_0_a2)) + (net (rename FS_0 "FS[0]") (joined + (portRef (member fs 15)) + (portRef A (instanceRef un1_FS_32_i_i_o2_3)) + (portRef A (instanceRef Ready3_0_a3_7)) + (portRef A (instanceRef nCAS_0_sqmuxa)) )) - (net nWE_c (joined - (portRef nWE_c) - (portRef B (instanceRef un1_nDOE_i)) - (portRef C (instanceRef RDOE_2_0_a2)) - (portRef B (instanceRef RA_33_1_a3_10)) - (portRef B (instanceRef CKE_7_m0_0_a2_0_a3)) - (portRef C (instanceRef nRAS_0_i_a2_0_2)) - (portRef D (instanceRef RWSel_2_0_a2)) - )) - (net RWSel_2 (joined - (portRef Z (instanceRef RWSel_2_0_a2)) - (portRef RWSel_2) - )) - (net (rename FS_5 "FS[5]") (joined - (portRef (member fs 10)) - (portRef B (instanceRef Ready3_0_a4_0_a2_3)) - (portRef C (instanceRef RA_33_9_47_i_0_o2)) - (portRef A (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) - )) - (net (rename FS_6 "FS[6]") (joined - (portRef (member fs 9)) - (portRef C (instanceRef Ready3_0_a4_0_a2_3)) - (portRef C (instanceRef RA_33_8_65_i_0_0_o2)) - (portRef B (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (net LED_c (joined + (portRef Z (instanceRef LEDEN_RNI6G6M)) + (portRef LED_c) )) (net (rename FS_7 "FS[7]") (joined (portRef (member fs 8)) - (portRef D (instanceRef Ready3_0_a4_0_a2_3)) + (portRef C (instanceRef Ready3_0_a3_5)) + (portRef C (instanceRef un1_FS_33_3)) (portRef D (instanceRef RA_33_10_29_i_0_o2)) - (portRef C (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (portRef B (instanceRef un1_FS_32_i_i_o2)) )) - (net (rename un1_CmdBitbangMXO212_1_i_o3_2_0 "un1_CmdBitbangMXO212_1_i_o3_2[0]") (joined - (portRef Z (instanceRef un1_CmdBitbangMXO212_1_i_o3_2_0_0)) - (portRef D (instanceRef un1_CmdBitbangMXO212_1_i_o3_0)) + (net N_362 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2_0)) + (portRef C (instanceRef un1_FS_32_i_i_o2)) )) - (net N_228_i (joined - (portRef Z (instanceRef wb_adr_RNO_2_1)) - (portRef C (instanceRef wb_adr_RNO_0_1)) + (net un1_FS_32_i_i_o2_3 (joined + (portRef Z (instanceRef un1_FS_32_i_i_o2_3)) + (portRef D (instanceRef un1_FS_32_i_i_o2)) )) - (net un1_CS_7_1 (joined - (portRef Z (instanceRef un1_CS_7_1)) - (portRef D (instanceRef un1_CS_7_2)) + (net nC07X_c (joined + (portRef nC07X_c) + (portRef C (instanceRef RWSel_2)) )) - (net un1_CS_6_2 (joined - (portRef Z (instanceRef un1_CS_6_2)) - (portRef A (instanceRef un1_CS_6_3)) + (net nWE_c (joined + (portRef nWE_c) + (portRef C (instanceRef RDOE_2_0_a5)) + (portRef B (instanceRef un1_nDOE_i)) + (portRef B (instanceRef RAT_2_0_a2_0_a2_RNI1J2I)) + (portRef B (instanceRef un2_RDOE_1)) + (portRef B (instanceRef un1_nDOE_0_a2_0)) + (portRef D (instanceRef RWSel_2)) )) - (net N_388 (joined - (portRef Z (instanceRef un1_CS_2_4_0_i)) - (portRef D (instanceRef CmdBitbangMXO2_3_3)) + (net (rename RWSel_2_1z "RWSel_2") (joined + (portRef Z (instanceRef RWSel_2)) + (portRef RWSel_2_1z) )) - (net nRAS_0_i_a2_0_1 (joined - (portRef Z (instanceRef nRAS_0_i_a2_0_1)) - (portRef D (instanceRef nRAS_0_i_a2_0_2)) - )) - (net nRAS_0_i_a2_0_2 (joined - (portRef Z (instanceRef nRAS_0_i_a2_0_2)) - (portRef A (instanceRef nRAS_0_i_1)) + (net (rename un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0 "un1_LEDEN_0_sqmuxa_1_i_a3_0_3[0]") (joined + (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_3_0)) + (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a3_0_4_0)) )) (net (rename wb_dato_0 "wb_dato[0]") (joined (portRef (member wb_dato 7) (instanceRef ufmefb)) @@ -3818,25 +3744,71 @@ (portRef (member wb_dato 1) (instanceRef ufmefb)) (portRef C (instanceRef RWMask_RNO_6)) )) - (net (rename DQMH_4_iv_0_0_i_0_i_a2_1z "DQMH_4_iv_0_0_i_0_i_a2") (joined - (portRef Z (instanceRef DQMH_4_iv_0_0_i_0_i_a2)) - (portRef DQMH_4_iv_0_0_i_0_i_a2_1z) + (net (rename FS_5 "FS[5]") (joined + (portRef (member fs 10)) + (portRef A (instanceRef Ready3_0_a3_5)) + (portRef C (instanceRef un1_FS_32_i_i_o2_3)) + (portRef A (instanceRef un1_FS_33_3)) + (portRef C (instanceRef RA_33_9_47_i_0_o2)) + )) + (net (rename FS_6 "FS[6]") (joined + (portRef (member fs 9)) + (portRef B (instanceRef Ready3_0_a3_5)) + (portRef D (instanceRef un1_FS_32_i_i_o2_3)) + (portRef B (instanceRef un1_FS_33_3)) + (portRef C (instanceRef RA_33_8_65_i_0_o2)) + )) + (net N_197 (joined + (portRef Z (instanceRef DQML_4_iv_0_17)) + (portRef N_197) + )) + (net (rename CmdTout_3_1 "CmdTout_3[1]") (joined + (portRef Z (instanceRef CmdTout_3_1)) + (portRef (member cmdtout_3 1)) + )) + (net CmdLEDGet (joined + (portRef CmdLEDGet) + (portRef A (instanceRef RWBank10)) + )) + (net CmdSetRWBankFF (joined + (portRef CmdSetRWBankFF) + (portRef B (instanceRef RWBank10)) )) (net (rename RA_8 "RA[8]") (joined (portRef (member ra 3)) - (portRef A (instanceRef RA_33_2_173_1_a2)) + (portRef A (instanceRef RA_33_2_173_0_a2)) )) - (net (rename N_390_i_1z "N_390_i") (joined - (portRef Z (instanceRef N_390_i)) - (portRef N_390_i_1z) + (net (rename N_488_i_1z "N_488_i") (joined + (portRef Z (instanceRef N_488_i)) + (portRef N_488_i_1z) )) - (net (rename N_391_i_1z "N_391_i") (joined - (portRef Z (instanceRef N_391_i)) - (portRef N_391_i_1z) + (net (rename N_358_i_1z "N_358_i") (joined + (portRef Z (instanceRef N_358_i)) + (portRef N_358_i_1z) )) - (net (rename N_392_i_1z "N_392_i") (joined - (portRef Z (instanceRef N_392_i)) - (portRef N_392_i_1z) + (net (rename N_489_i_1z "N_489_i") (joined + (portRef Z (instanceRef N_489_i)) + (portRef N_489_i_1z) + )) + (net (rename N_490_i_1z "N_490_i") (joined + (portRef Z (instanceRef N_490_i)) + (portRef N_490_i_1z) + )) + (net (rename N_359_i_1z "N_359_i") (joined + (portRef Z (instanceRef N_359_i)) + (portRef N_359_i_1z) + )) + (net (rename N_491_i_1z "N_491_i") (joined + (portRef Z (instanceRef N_491_i)) + (portRef N_491_i_1z) + )) + (net (rename N_492_i_1z "N_492_i") (joined + (portRef Z (instanceRef N_492_i)) + (portRef N_492_i_1z) + )) + (net (rename RWBank_7 "RWBank[7]") (joined + (portRef (member rwbank 0)) + (portRef A (instanceRef RA_33_2_173_a2_0)) )) (net PHI1_c (joined (portRef PHI1_c) @@ -3846,68 +3818,16 @@ (portRef PHI1r) (portRef B (instanceRef S_1)) )) - (net CmdLEDGet (joined - (portRef CmdLEDGet) - (portRef A (instanceRef RWBank10)) - )) - (net CmdSetRWBankFFChip (joined - (portRef CmdSetRWBankFFChip) - (portRef B (instanceRef RWBank10)) - )) - (net CmdSetRWBankFFLED (joined - (portRef CmdSetRWBankFFLED) - (portRef C (instanceRef RWBank10)) - )) - (net LED_c (joined - (portRef Z (instanceRef un1_LED_i)) - (portRef LED_c) - )) - (net (rename wb_dato_7 "wb_dato[7]") (joined - (portRef (member wb_dato 0) (instanceRef ufmefb)) - (portRef C (instanceRef RWMask_RNO_7)) - )) (net RAT (joined (portRef RAT) - (portRef B (instanceRef un6_RAout_i_m2_0)) + (portRef B (instanceRef un6_RAout_i_m2_7)) + (portRef B (instanceRef un6_RAout_i_m2_6)) + (portRef B (instanceRef un6_RAout_i_m2_5)) + (portRef B (instanceRef un6_RAout_i_m2_2)) (portRef B (instanceRef un6_RAout_i_m2_1)) + (portRef B (instanceRef un6_RAout_i_m2_0)) (portRef B (instanceRef un6_RAout_i_m2_3)) (portRef B (instanceRef un6_RAout_i_m2_4)) - (portRef B (instanceRef un6_RAout_i_m2_6)) - (portRef B (instanceRef un6_RAout_i_m2_7)) - (portRef B (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef B (instanceRef un6_RAout_i_m2_i_m2_2)) - )) - (net (rename RAr_2 "RAr[2]") (joined - (portRef (member rar 5)) - (portRef C (instanceRef un6_RAout_i_m2_i_m2_2)) - )) - (net (rename RAout_c_2 "RAout_c[2]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_i_m2_2)) - (portRef (member raout_c 5)) - )) - (net (rename RAr_5 "RAr[5]") (joined - (portRef (member rar 2)) - (portRef C (instanceRef un6_RAout_i_m2_i_m2_5)) - )) - (net (rename RAout_c_5 "RAout_c[5]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_i_m2_5)) - (portRef (member raout_c 2)) - )) - (net (rename RAr_7 "RAr[7]") (joined - (portRef (member rar 0)) - (portRef C (instanceRef un6_RAout_i_m2_7)) - )) - (net (rename RAout_c_7 "RAout_c[7]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_7)) - (portRef (member raout_c 0)) - )) - (net (rename RAr_6 "RAr[6]") (joined - (portRef (member rar 1)) - (portRef C (instanceRef un6_RAout_i_m2_6)) - )) - (net (rename RAout_c_6 "RAout_c[6]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_6)) - (portRef (member raout_c 1)) )) (net (rename RAr_4 "RAr[4]") (joined (portRef (member rar 3)) @@ -3925,13 +3845,9 @@ (portRef Z (instanceRef un6_RAout_i_m2_3)) (portRef (member raout_c 4)) )) - (net (rename RAr_1 "RAr[1]") (joined - (portRef (member rar 6)) - (portRef C (instanceRef un6_RAout_i_m2_1)) - )) - (net (rename RAout_c_1 "RAout_c[1]") (joined - (portRef Z (instanceRef un6_RAout_i_m2_1)) - (portRef (member raout_c 6)) + (net (rename wb_dato_7 "wb_dato[7]") (joined + (portRef (member wb_dato 0) (instanceRef ufmefb)) + (portRef C (instanceRef RWMask_RNO_7)) )) (net (rename RAr_0 "RAr[0]") (joined (portRef (member rar 7)) @@ -3941,37 +3857,45 @@ (portRef Z (instanceRef un6_RAout_i_m2_0)) (portRef (member raout_c 7)) )) - (net (rename RDout_6 "RDout[6]") (joined - (portRef Z (instanceRef RDout_6)) - (portRef RDout_6) + (net (rename RAr_1 "RAr[1]") (joined + (portRef (member rar 6)) + (portRef C (instanceRef un6_RAout_i_m2_1)) )) - (net (rename RDout_4 "RDout[4]") (joined - (portRef Z (instanceRef RDout_4)) - (portRef RDout_4) + (net (rename RAout_c_1 "RAout_c[1]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_1)) + (portRef (member raout_c 6)) )) - (net (rename RDout_0 "RDout[0]") (joined - (portRef Z (instanceRef RDout_0)) - (portRef RDout_0) + (net (rename RAr_2 "RAr[2]") (joined + (portRef (member rar 5)) + (portRef C (instanceRef un6_RAout_i_m2_2)) )) - (net (rename RDout_3 "RDout[3]") (joined - (portRef Z (instanceRef RDout_3)) - (portRef RDout_3) + (net (rename RAout_c_2 "RAout_c[2]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_2)) + (portRef (member raout_c 5)) )) - (net (rename RDout_5 "RDout[5]") (joined - (portRef Z (instanceRef RDout_5)) - (portRef RDout_5) + (net (rename RAr_5 "RAr[5]") (joined + (portRef (member rar 2)) + (portRef C (instanceRef un6_RAout_i_m2_5)) )) - (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined - (portRef Z (instanceRef CmdTout_3_0_a2_0_a2_0)) - (portRef CmdTout_3_0) + (net (rename RAout_c_5 "RAout_c[5]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_5)) + (portRef (member raout_c 2)) )) - (net (rename RWBank_6 "RWBank[6]") (joined - (portRef (member rwbank 1)) - (portRef A (instanceRef BA_3_1)) + (net (rename RAr_6 "RAr[6]") (joined + (portRef (member rar 1)) + (portRef C (instanceRef un6_RAout_i_m2_6)) )) - (net (rename BA_3_1 "BA_3[1]") (joined - (portRef Z (instanceRef BA_3_1)) - (portRef (member ba_3 0)) + (net (rename RAout_c_6 "RAout_c[6]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_6)) + (portRef (member raout_c 1)) + )) + (net (rename RAr_7 "RAr[7]") (joined + (portRef (member rar 0)) + (portRef C (instanceRef un6_RAout_i_m2_7)) + )) + (net (rename RAout_c_7 "RAout_c[7]") (joined + (portRef Z (instanceRef un6_RAout_i_m2_7)) + (portRef (member raout_c 0)) )) (net (rename RWBank_5 "RWBank[5]") (joined (portRef (member rwbank 2)) @@ -3981,13 +3905,62 @@ (portRef Z (instanceRef BA_3_0)) (portRef (member ba_3 1)) )) - (net CKE_7s2_0_0_1 (joined - (portRef Z (instanceRef CKE_7s2_0_0_1)) - (portRef D (instanceRef CKE_7s2_0_0)) + (net (rename RWBank_6 "RWBank[6]") (joined + (portRef (member rwbank 1)) + (portRef A (instanceRef BA_3_1)) )) - (net CS6_182_0_o2_1 (joined - (portRef Z (instanceRef CS6_RNO_2)) - (portRef D (instanceRef CS6_RNO)) + (net (rename BA_3_1 "BA_3[1]") (joined + (portRef Z (instanceRef BA_3_1)) + (portRef (member ba_3 0)) + )) + (net N_523_1 (joined + (portRef Z (instanceRef VOE_2_0_a2)) + (portRef B (instanceRef RDOE_2_0_a5)) + (portRef N_523_1) + )) + (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined + (portRef Z (instanceRef CmdTout_3_0)) + (portRef (member cmdtout_3 2)) + )) + (net (rename RDout_0 "RDout[3]") (joined + (portRef Z (instanceRef RDout_3)) + (portRef RDout_0) + )) + (net CS_0_sqmuxa_0_o2_1 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_o2_1)) + (portRef D (instanceRef CS_0_sqmuxa_0_o2)) + )) + (net CS_0_sqmuxa_0_a3_3_8_1 (joined + (portRef Z (instanceRef CS_0_sqmuxa_0_a3_3_8_1)) + (portRef C (instanceRef CS_0_sqmuxa_0_a3_3_8)) + )) + (net (rename RA_9 "RA[9]") (joined + (portRef (member ra 2)) + (portRef C (instanceRef RA_33_0_1_9)) + )) + (net (rename RWBank_2 "RWBank[2]") (joined + (portRef (member rwbank 5)) + (portRef D (instanceRef RA_33_0_1_9)) + )) + (net (rename RA_33_0_1_9 "RA_33_0_1[9]") (joined + (portRef Z (instanceRef RA_33_0_1_9)) + (portRef D (instanceRef RA_33_0_9)) + )) + (net (rename RA_33_9 "RA_33[9]") (joined + (portRef Z (instanceRef RA_33_0_9)) + (portRef (member ra_33 2)) + )) + (net (rename wb_adr_7_i_0_1_0 "wb_adr_7_i_0_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_1_0)) + (portRef C (instanceRef wb_adr_7_i_0_0)) + )) + (net (rename wb_adr_7_i_0_1_1_0 "wb_adr_7_i_0_1_1[0]") (joined + (portRef Z (instanceRef wb_adr_7_i_0_1_1_0)) + (portRef D (instanceRef wb_adr_7_i_0_1_0)) + )) + (net nCAS_0_192_i_1_0_1 (joined + (portRef Z (instanceRef nCAS_0_RNO_1)) + (portRef C (instanceRef nCAS_0_RNO)) )) (net DOEEN (joined (portRef DOEEN) @@ -3998,7 +3971,7 @@ (portRef nDOE_c) )) (net Vout3 (joined - (portRef Z (instanceRef Vout3_0_a2_1_a2)) + (portRef Z (instanceRef Vout3_0_a2)) (portRef Vout3) )) ) @@ -4040,6 +4013,9 @@ ) (instance (rename RC_RNO_0 "RC_RNO[0]") (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) (instance RDOE_RNIAM8C (viewRef PRIM (cellRef INV (libraryRef LUCENT))) ) + (instance DOEEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) + (property lut_function (string "(!C !A+C (!B !A))")) + ) (instance Ready_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(B+A)")) ) @@ -4179,18 +4155,18 @@ ) (instance (rename RC_2 "RC[2]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT))) ) - (instance (rename RAr_0 "RAr[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_0 "RA[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance (rename RAr_0 "RAr[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + ) (instance (rename RAr_1 "RAr[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RA_1 "RA[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance (rename RAr_2 "RAr[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_2 "RA[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) + (instance (rename RAr_2 "RAr[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) + ) (instance (rename RAr_3 "RAr[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RA_3 "RA[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) @@ -4199,14 +4175,14 @@ ) (instance (rename RAr_4 "RAr[4]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) - (instance (rename RAr_5 "RAr[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) - ) (instance (rename RA_5 "RA[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) - (instance (rename RA_6 "RA[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance (rename RAr_5 "RAr[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) (instance (rename RAr_6 "RAr[6]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) ) + (instance (rename RA_6 "RA[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + ) (instance (rename RA_7 "RA[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) ) (instance (rename RAr_7 "RAr[7]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT))) @@ -4264,11 +4240,9 @@ (instance (rename CmdTout_2 "CmdTout[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CmdSetRWBankFFLED (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) + (instance CmdSetRWBankFF (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) - (instance CmdSetRWBankFFChip (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) - ) (instance CmdRWMaskSet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT))) (property INIT (string "0")) ) @@ -4362,13 +4336,13 @@ ) (instance C14M_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) ) (instance VOE_i_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(!D (!C+B)+D A)")) + (property lut_function (string "(!D (!C+(!B+!A))+D (B+A))")) ) (instance (rename RC_RNO_2 "RC_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (B A)+C (!B+!A))")) ) (instance RATc (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) - (property lut_function (string "(C (B !A))")) + (property lut_function (string "(!C+(B+A))")) ) (instance RefReq_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT))) (property lut_function (string "(!C (!B !A))")) @@ -4441,25 +4415,30 @@ (portRef SP (instanceRef CmdTout_1)) (portRef SP (instanceRef CmdTout_0)) )) - (net un9_VOE_0_a2_0_a2 (joined - (portRef un9_VOE_0_a2_0_a2_1z (instanceRef ram2e_ufm)) + (net un9_VOE_0_a2 (joined + (portRef un9_VOE_0_a2_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef RWSel)) )) (net (rename S_0 "S[0]") (joined (portRef Q (instanceRef S_0)) (portRef (member s 3) (instanceRef ram2e_ufm)) (portRef A (instanceRef RATc)) + (portRef A (instanceRef VOE_i_0io_RNO)) + (portRef C (instanceRef DOEEN_RNO)) )) (net (rename S_1 "S[1]") (joined (portRef Q (instanceRef S_1)) (portRef (member s 2) (instanceRef ram2e_ufm)) - (portRef B (instanceRef RATc)) + (portRef B (instanceRef VOE_i_0io_RNO)) + (portRef D (instanceRef RAT)) + (portRef B (instanceRef DOEEN_RNO)) )) (net (rename S_2 "S[2]") (joined (portRef Q (instanceRef S_2)) (portRef (member s 1) (instanceRef ram2e_ufm)) + (portRef B (instanceRef RATc)) (portRef C (instanceRef VOE_i_0io_RNO)) - (portRef CD (instanceRef RAT)) + (portRef A (instanceRef DOEEN_RNO)) )) (net (rename S_3 "S[3]") (joined (portRef Q (instanceRef S_3)) @@ -4483,6 +4462,11 @@ (portRef (member fs 13) (instanceRef ram2e_ufm)) (portRef A1 (instanceRef FS_cry_0_1)) )) + (net (rename FS_3 "FS[3]") (joined + (portRef Q (instanceRef FS_3)) + (portRef (member fs 12) (instanceRef ram2e_ufm)) + (portRef A0 (instanceRef FS_cry_0_3)) + )) (net (rename FS_4 "FS[4]") (joined (portRef Q (instanceRef FS_4)) (portRef (member fs 11) (instanceRef ram2e_ufm)) @@ -4686,42 +4670,18 @@ (portRef SP (instanceRef Vout_0io_1)) (portRef SP (instanceRef Vout_0io_0)) )) - (net RWSel_2 (joined - (portRef RWSel_2 (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWSel)) - )) (net (rename RA_0 "RA[0]") (joined (portRef Q (instanceRef RA_0)) (portRef (member ra 11) (instanceRef ram2e_ufm)) (portRef D (instanceRef RAr_0)) )) - (net (rename RDout_0 "RDout[0]") (joined - (portRef RDout_0 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_0)) - )) (net (rename RDout_3 "RDout[3]") (joined - (portRef RDout_3 (instanceRef ram2e_ufm)) + (portRef RDout_0 (instanceRef ram2e_ufm)) (portRef I (instanceRef RD_pad_3)) )) - (net (rename RDout_4 "RDout[4]") (joined - (portRef RDout_4 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_4)) - )) - (net (rename RDout_5 "RDout[5]") (joined - (portRef RDout_5 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_5)) - )) - (net (rename RDout_6 "RDout[6]") (joined - (portRef RDout_6 (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_6)) - )) - (net CmdSetRWBankFFLED (joined - (portRef Q (instanceRef CmdSetRWBankFFLED)) - (portRef CmdSetRWBankFFLED (instanceRef ram2e_ufm)) - )) - (net CmdSetRWBankFFChip (joined - (portRef Q (instanceRef CmdSetRWBankFFChip)) - (portRef CmdSetRWBankFFChip (instanceRef ram2e_ufm)) + (net CmdSetRWBankFF (joined + (portRef Q (instanceRef CmdSetRWBankFF)) + (portRef CmdSetRWBankFF (instanceRef ram2e_ufm)) )) (net (rename BA_3_0 "BA_3[0]") (joined (portRef (member ba_3 1) (instanceRef ram2e_ufm)) @@ -4748,26 +4708,6 @@ (portRef Ready3 (instanceRef ram2e_ufm)) (portRef A (instanceRef Ready_0)) )) - (net RWBank14 (joined - (portRef RWBank14 (instanceRef ram2e_ufm)) - (portRef SP (instanceRef CmdLEDGet)) - (portRef SP (instanceRef CmdLEDSet)) - (portRef SP (instanceRef CmdRWMaskSet)) - (portRef SP (instanceRef CmdSetRWBankFFChip)) - (portRef SP (instanceRef CmdSetRWBankFFLED)) - (portRef SP (instanceRef RWBank_7)) - (portRef SP (instanceRef RWBank_6)) - (portRef SP (instanceRef RWBank_5)) - (portRef SP (instanceRef RWBank_4)) - (portRef SP (instanceRef RWBank_3)) - (portRef SP (instanceRef RWBank_2)) - (portRef SP (instanceRef RWBank_1)) - (portRef SP (instanceRef RWBank_0)) - )) - (net (rename RWBank_3_0 "RWBank_3[0]") (joined - (portRef (member rwbank_3 7) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_0)) - )) (net (rename RWBank_3_1 "RWBank_3[1]") (joined (portRef (member rwbank_3 6) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_1)) @@ -4776,10 +4716,26 @@ (portRef (member rwbank_3 5) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_2)) )) + (net (rename RWBank_3_3 "RWBank_3[3]") (joined + (portRef (member rwbank_3 4) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_3)) + )) (net (rename RWBank_3_4 "RWBank_3[4]") (joined (portRef (member rwbank_3 3) (instanceRef ram2e_ufm)) (portRef D (instanceRef RWBank_4)) )) + (net (rename RWBank_3_5 "RWBank_3[5]") (joined + (portRef (member rwbank_3 2) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_5)) + )) + (net (rename RWBank_3_6 "RWBank_3[6]") (joined + (portRef (member rwbank_3 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_6)) + )) + (net (rename RWBank_3_7 "RWBank_3[7]") (joined + (portRef (member rwbank_3 0) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_7)) + )) (net RDOE (joined (portRef Q (instanceRef RDOE)) (portRef A (instanceRef RDOE_RNIAM8C)) @@ -4792,17 +4748,9 @@ (portRef CmdLEDGet_3 (instanceRef ram2e_ufm)) (portRef D (instanceRef CmdLEDGet)) )) - (net CmdSetRWBankFFChip_3 (joined - (portRef CmdSetRWBankFFChip_3_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdSetRWBankFFChip)) - )) - (net CmdSetRWBankFFLED_3 (joined - (portRef CmdSetRWBankFFLED_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdSetRWBankFFLED)) - )) - (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined - (portRef CmdTout_3_0 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_0)) + (net CmdLEDSet_3 (joined + (portRef CmdLEDSet_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdLEDSet)) )) (net (rename RWBank_1 "RWBank[1]") (joined (portRef Q (instanceRef RWBank_1)) @@ -4824,6 +4772,10 @@ (portRef Q (instanceRef RWBank_7)) (portRef (member rwbank 0) (instanceRef ram2e_ufm)) )) + (net CmdSetRWBankFF_3 (joined + (portRef CmdSetRWBankFF_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdSetRWBankFF)) + )) (net (rename RA_1 "RA[1]") (joined (portRef Q (instanceRef RA_1)) (portRef (member ra 10) (instanceRef ram2e_ufm)) @@ -4885,20 +4837,24 @@ (portRef Q (instanceRef nRAS)) (portRef D (instanceRef nRASout_0io)) )) - (net (rename S_0_0_0_0 "S_0_0_0[0]") (joined - (portRef S_0_0_0_0 (instanceRef ram2e_ufm)) + (net nCAS_0 (joined + (portRef nCAS_0_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef nCAS)) + )) + (net (rename S_0_0_0 "S_0_0[0]") (joined + (portRef S_0_0_0 (instanceRef ram2e_ufm)) (portRef D (instanceRef S_0)) )) - (net CS6_RNIL59G2 (joined - (portRef CS6_RNIL59G2_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNISFDK (joined + (portRef CS_0_sqmuxa_0_RNISFDK_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_0)) )) - (net CS_0_sqmuxa_0_a2_RNID8OM (joined - (portRef CS_0_sqmuxa_0_a2_RNID8OM_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNIR7BR (joined + (portRef CS_0_sqmuxa_0_RNIR7BR_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_1)) )) - (net CS_0_sqmuxa_0_a2_RNID1MT (joined - (portRef CS_0_sqmuxa_0_a2_RNID1MT_1z (instanceRef ram2e_ufm)) + (net CS_0_sqmuxa_0_RNIR0921 (joined + (portRef CS_0_sqmuxa_0_RNIR0921_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef CS_2)) )) (net (rename RC_RNO_1 "RC_RNO[1]") (joined @@ -4913,31 +4869,129 @@ (portRef (member ra_33 2) (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_9)) )) - (net (rename RA_33_10 "RA_33[10]") (joined - (portRef (member ra_33 1) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RA_10)) - )) (net (rename RA_33_11 "RA_33[11]") (joined (portRef (member ra_33 0) (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_11)) )) - (net (rename un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 "un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934[0]") (joined - (portRef un1_wb_adr_0_sqmuxa_2_0_o2_RNIIR934_0 (instanceRef ram2e_ufm)) + (net RA_33_2_173_0 (joined + (portRef RA_33_2_173_0_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_8)) )) - (net N_148 (joined - (portRef N_148 (instanceRef ram2e_ufm)) - (portRef CD (instanceRef CS_2)) - (portRef CD (instanceRef CS_1)) - (portRef CD (instanceRef CS_0)) + (net RWBank14 (joined + (portRef RWBank14 (instanceRef ram2e_ufm)) + (portRef SP (instanceRef CmdLEDGet)) + (portRef SP (instanceRef CmdLEDSet)) + (portRef SP (instanceRef CmdRWMaskSet)) + (portRef SP (instanceRef CmdSetRWBankFF)) + (portRef SP (instanceRef RWBank_7)) + (portRef SP (instanceRef RWBank_6)) + (portRef SP (instanceRef RWBank_5)) + (portRef SP (instanceRef RWBank_4)) + (portRef SP (instanceRef RWBank_3)) + (portRef SP (instanceRef RWBank_2)) + (portRef SP (instanceRef RWBank_1)) + (portRef SP (instanceRef RWBank_0)) )) - (net (rename FS_3 "FS[3]") (joined - (portRef Q (instanceRef FS_3)) - (portRef (member fs 12) (instanceRef ram2e_ufm)) - (portRef A0 (instanceRef FS_cry_0_3)) + (net (rename ram2e_ufm_wb_rst13 "ram2e_ufm.wb_rst13") (joined + (portRef wb_rst13 (instanceRef ram2e_ufm)) + (portRef CD (instanceRef BA_0io_1)) + (portRef CD (instanceRef BA_0io_0)) )) - (net un1_CKE48_0_i (joined - (portRef un1_CKE48_0_i_1z (instanceRef ram2e_ufm)) + (net CKE_0 (joined + (portRef CKE_0_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef CKE)) + )) + (net (rename RA_33_10 "RA_33[10]") (joined + (portRef (member ra_33 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RA_10)) + )) + (net CmdRWMaskSet_3 (joined + (portRef CmdRWMaskSet_3 (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdRWMaskSet)) + )) + (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined + (portRef (member cmdtout_3 2) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_0)) + )) + (net (rename CmdTout_3_1 "CmdTout_3[1]") (joined + (portRef (member cmdtout_3 1) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_1)) + )) + (net (rename CmdTout_3_2 "CmdTout_3[2]") (joined + (portRef (member cmdtout_3 0) (instanceRef ram2e_ufm)) + (portRef D (instanceRef CmdTout_2)) + )) + (net N_197 (joined + (portRef N_197 (instanceRef ram2e_ufm)) + (portRef D (instanceRef DQML_0io)) + )) + (net (rename RWBank_3_0 "RWBank_3[0]") (joined + (portRef (member rwbank_3 7) (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWBank_0)) + )) + (net RWSel_2 (joined + (portRef RWSel_2_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef RWSel)) + )) + (net N_523_1 (joined + (portRef N_523_1 (instanceRef ram2e_ufm)) + (portRef CD (instanceRef VOE_i_0io)) + )) + (net N_488_i (joined + (portRef N_488_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_7)) + )) + (net N_358_i (joined + (portRef N_358_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_6)) + )) + (net N_489_i (joined + (portRef N_489_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_5)) + )) + (net N_490_i (joined + (portRef N_490_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_4)) + )) + (net N_359_i (joined + (portRef N_359_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_2)) + )) + (net N_491_i (joined + (portRef N_491_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_1)) + )) + (net N_492_i (joined + (portRef N_492_i_1z (instanceRef ram2e_ufm)) + (portRef I (instanceRef RD_pad_0)) + )) + (net N_50_i (joined + (portRef N_50_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef nRWE)) + )) + (net N_460_i (joined + (portRef N_460_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_3)) + )) + (net N_459_i (joined + (portRef N_459_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_2)) + )) + (net N_458_i (joined + (portRef N_458_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef S_1)) + )) + (net N_360_i (joined + (portRef N_360_i (instanceRef ram2e_ufm)) + (portRef D (instanceRef nRAS)) + )) + (net N_347_i (joined + (portRef N_347_i_1z (instanceRef ram2e_ufm)) + (portRef SP (instanceRef BA_0io_1)) + (portRef SP (instanceRef BA_0io_0)) + )) + (net un1_CKE48_i (joined + (portRef un1_CKE48_i_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef RA_11)) (portRef SP (instanceRef RA_10)) (portRef SP (instanceRef RA_9)) @@ -4951,140 +5005,53 @@ (portRef SP (instanceRef RA_1)) (portRef SP (instanceRef RA_0)) )) - (net DQMH_4_iv_0_0_i_0_i_a2 (joined - (portRef DQMH_4_iv_0_0_i_0_i_a2_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef DQMH_0io)) - )) - (net CKE_0 (joined - (portRef CKE_0_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CKE)) - )) - (net CmdLEDSet_3 (joined - (portRef CmdLEDSet_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdLEDSet)) - )) - (net CmdRWMaskSet_3 (joined - (portRef CmdRWMaskSet_3 (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdRWMaskSet)) - )) - (net (rename RWBank_3_3 "RWBank_3[3]") (joined - (portRef (member rwbank_3 4) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_3)) - )) - (net (rename RWBank_3_5 "RWBank_3[5]") (joined - (portRef (member rwbank_3 2) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_5)) - )) - (net (rename RWBank_3_6 "RWBank_3[6]") (joined - (portRef (member rwbank_3 1) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_6)) - )) - (net (rename RWBank_3_7 "RWBank_3[7]") (joined - (portRef (member rwbank_3 0) (instanceRef ram2e_ufm)) - (portRef D (instanceRef RWBank_7)) - )) - (net N_530 (joined - (portRef N_530 (instanceRef ram2e_ufm)) - (portRef CD (instanceRef VOE_i_0io)) - )) - (net N_188 (joined - (portRef N_188 (instanceRef ram2e_ufm)) - (portRef A (instanceRef VOE_i_0io_RNO)) - )) - (net N_194 (joined - (portRef N_194 (instanceRef ram2e_ufm)) - (portRef B (instanceRef VOE_i_0io_RNO)) - )) - (net N_390_i (joined - (portRef N_390_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_7)) - )) - (net N_391_i (joined - (portRef N_391_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_2)) - )) - (net N_392_i (joined - (portRef N_392_i_1z (instanceRef ram2e_ufm)) - (portRef I (instanceRef RD_pad_1)) - )) - (net N_424_i (joined - (portRef N_424_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nCAS)) - )) - (net N_421_i (joined - (portRef N_421_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nRWE)) - )) - (net N_349_i (joined - (portRef N_349_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_3)) - )) - (net N_73_i (joined - (portRef N_73_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_2)) - )) - (net N_71_i (joined - (portRef N_71_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef S_1)) - )) - (net N_420_i (joined - (portRef N_420_i (instanceRef ram2e_ufm)) - (portRef D (instanceRef nRAS)) - )) - (net N_117_i (joined - (portRef N_117_i_1z (instanceRef ram2e_ufm)) - (portRef SP (instanceRef BA_0io_1)) - (portRef SP (instanceRef BA_0io_0)) - )) - (net N_350_i (joined - (portRef N_350_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_2)) - )) - (net N_351_i (joined - (portRef N_351_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef CmdTout_1)) - )) - (net N_313_i (joined - (portRef N_313_i (instanceRef ram2e_ufm)) + (net N_312_i (joined + (portRef N_312_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_7)) )) - (net N_299_i (joined - (portRef N_299_i (instanceRef ram2e_ufm)) + (net N_298_i (joined + (portRef N_298_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_6)) )) - (net N_285_i (joined - (portRef N_285_i (instanceRef ram2e_ufm)) + (net N_284_i (joined + (portRef N_284_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_5)) )) - (net N_271_i (joined - (portRef N_271_i (instanceRef ram2e_ufm)) + (net N_270_i (joined + (portRef N_270_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_4)) )) - (net N_257_i (joined - (portRef N_257_i (instanceRef ram2e_ufm)) + (net N_256_i (joined + (portRef N_256_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_3)) )) - (net N_243_i (joined - (portRef N_243_i_1z (instanceRef ram2e_ufm)) + (net N_242_i (joined + (portRef N_242_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_2)) )) - (net N_229_i (joined - (portRef N_229_i_1z (instanceRef ram2e_ufm)) + (net N_228_i (joined + (portRef N_228_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_1)) )) - (net N_215_i (joined - (portRef N_215_i_1z (instanceRef ram2e_ufm)) + (net N_214_i (joined + (portRef N_214_i_1z (instanceRef ram2e_ufm)) (portRef D (instanceRef RA_0)) )) - (net N_443_i (joined - (portRef N_443_i_1z (instanceRef ram2e_ufm)) - (portRef D (instanceRef DQML_0io)) + (net un1_CS_0_sqmuxa_i (joined + (portRef un1_CS_0_sqmuxa_i (instanceRef ram2e_ufm)) + (portRef CD (instanceRef CS_2)) + (portRef CD (instanceRef CS_1)) + (portRef CD (instanceRef CS_0)) )) - (net N_389_i (joined - (portRef N_389_i_1z (instanceRef ram2e_ufm)) + (net N_346_i (joined + (portRef N_346_i_1z (instanceRef ram2e_ufm)) (portRef SP (instanceRef DQMH_0io)) (portRef SP (instanceRef DQML_0io)) )) + (net N_194_i (joined + (portRef N_194_i_1z (instanceRef ram2e_ufm)) + (portRef D (instanceRef DQMH_0io)) + )) (net (rename FS_cry_0 "FS_cry[0]") (joined (portRef COUT (instanceRef FS_cry_0_0)) (portRef CIN (instanceRef FS_cry_0_1)) @@ -5181,10 +5148,6 @@ (portRef S0 (instanceRef FS_s_0_15)) (portRef D (instanceRef FS_15)) )) - (net RATc (joined - (portRef Z (instanceRef RATc)) - (portRef D (instanceRef RAT)) - )) (net VOE_ic_i (joined (portRef Z (instanceRef VOE_i_0io_RNO)) (portRef D (instanceRef VOE_i_0io)) @@ -5338,8 +5301,7 @@ (portRef CK (instanceRef CmdLEDGet)) (portRef CK (instanceRef CmdLEDSet)) (portRef CK (instanceRef CmdRWMaskSet)) - (portRef CK (instanceRef CmdSetRWBankFFChip)) - (portRef CK (instanceRef CmdSetRWBankFFLED)) + (portRef CK (instanceRef CmdSetRWBankFF)) (portRef CK (instanceRef CmdTout_2)) (portRef CK (instanceRef CmdTout_1)) (portRef CK (instanceRef CmdTout_0)) @@ -5926,18 +5888,17 @@ (portRef B (instanceRef RD_pad_7)) (portRef (member rd 0)) )) - (net N_623_0 (joined + (net N_727_0 (joined + (portRef Z (instanceRef DOEEN_RNO)) + (portRef CD (instanceRef DOEEN)) + )) + (net N_659_0 (joined (portRef Z (instanceRef Ready_0)) (portRef D (instanceRef Ready)) )) - (net N_301_i (joined - (portRef N_301_i (instanceRef ram2e_ufm)) - (portRef CD (instanceRef DOEEN)) - )) - (net N_430_i (joined - (portRef N_430_i_1z (instanceRef ram2e_ufm)) - (portRef CD (instanceRef BA_0io_1)) - (portRef CD (instanceRef BA_0io_0)) + (net RATc_i (joined + (portRef Z (instanceRef RATc)) + (portRef CD (instanceRef RAT)) )) (net RDOE_i (joined (portRef Z (instanceRef RDOE_RNIAM8C)) diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.jed b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.jed index 417dc73..627cd84 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.jed +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.jed @@ -2,7 +2,7 @@ NOTE Diamond (64-bit) 3.11.3.469 JEDEC Compatible Fuse File.* NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation.* NOTE All Rights Reserved.* -NOTE DATE CREATED: Fri Jun 07 20:50:26 2024* +NOTE DATE CREATED: Fri Jul 12 16:08:52 2024* NOTE DESIGN NAME: RAM2E_LCMXO2_640HC_impl1.ncd* NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100* NOTE JEDEC FILE STATUS: Final Version 1.95* @@ -82,424 +82,424 @@ QF171904* G0* F0* L000000 -11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000001001000000110000 -00010001010100000000010100000110010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000 +11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000001001000000010001 +00000011001100000000010101010000010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000010001000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000010010000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000100010000000000000000100111000001000100000000000000000000000000000000000000000000000000000000000000000000 -10000000000001000010010000100000100001000000000000100100000001001000011000101011001111001100000000000000000000000000000000000000 -00000000000000000000000000000000000100011000001001011001010000001001110000000010011110010100001001110100100000001000000000010000 -10000001001000000000000000000000000000000000000000000000000000000000000000001001110000010010000000000010011100000100000000000010 -01100001001100100001000100110000010010000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -00000010001000100110000000000000000000100001110100000100001000001000001011000000000000000000000000000000000000000000000000000000 -00000000000000000100100100100000000000000000000000000000000000000001110000010000000000000000000000000000000000000000000000000000 -00000000000000000000000010000110010110011000000000100111000000100111010001100000100001000100100011000000110001001010001000101001 -01000000000000000000000000000000000000000000000000000000000000000000000000000000000100011000000000000000100111001001010000010001 -10000100000000010100100000000000000000000000000000000000000000000000000000000000000000000000000000001001110000000100110000000000 -10011100000000000011010011000001001100000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000 -01000101001110100001101001000000000001001001001011001010101010000010000010101100000000000000000000000000000000000000000000000000 -00000000000000000000000000000000010000100100011000101111000000000000101101000001000010000000000000000000000000000000000000000000 -00000000000000000000000000000000000000001010010001001110000100000000000100110000010000100000010011010001010010000000001001101001 -00000000000000000000000000000000000000000000000000000000000000000000100010000000000000000100111010011000000000000000000000000000 -10011000010001001000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000100010111100 -10000000000000000011000110010100011000001000100000000000000000000000000000000000000000000000000000001001110100101000000100111001 -00000000000000000010001100000000110000001100000000000010010000000010000001001000010000000000000000000000000000000000000000000000 -00000000000100010001001000010101100000000101100001010110000000000000010110000000000000000010010100010011000100010000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001001101001100000000000000000000000 -00000000000000000000000000000000000000000000000000100001100110000000010111000000000000000000000000010001100000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000101001110011100010010000000 -00000000000000000000000000000000000000000000000000000000000000100001100001000001000000000000000000000001001010000000000000100100 -01001010100011000000000000000000000000000000000000000000000000000000000000000000000000010011110011100001110000100000001000010000 -00000000000000000001001010000100100101001101001000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000001001100000100011010000110000110011001000010000000000000000000000000000000000000000000000000000000000000000 -00000000000010101010011100000100000000000000000100100000001000110000000111000010000100000100101000000000000000000000000000000000 -00000000000000000000000000000000101110000001001010000010000100001100001101110011100000000100010000100010100010100101000000000100 -01001001010000000000000000001010011001010000000000000000000000000000000000000000000000000000001000110100100001000011110000010110 -10010010000000000110011001010011000001100110110100110110010000100011001000101101000001001000110000000010000010010000000000000000 -00000100100000000010011000000000000000000000000000000000000000000000100110100111000001000110110010100000001001110010010010011010 -01110000100110100111010011001011101001100100110000000001001011101000100000000000000000000010011010001100000000000000000000000000 -00000000000000000000001100100001101010000000000000000010110110000000001011010001001000100000100010101111100100100101000000010000 -00000000000000000000010010010011000000000000000000000000000000000000000000001000100000001000100000101110010010100000000011001000 -01000001100100001100010000100010000010010000000100010000001001000000000000000000000000000110011110000000000000000000000000000000 -00000100000000000100000001000111000100001000011110000010100110100110100001100111001010110000100100010010101110001000011001000101 -10100010100001100010010100110001011000110100001010011000001000011001100000000000000000000000000001100111100000000000000000000000 -00000000000000000000100000110010100000100110100111000000000010000110010101100101000001001100010011001100010100100110010011011001 -01000001010010010001101001101001100100111000000000000000000000000011000011110000000001000101001110000000000000000000000000000000 -00000100001001100100001010111101000110001001000000100000100010000101101100010111011010000010110110000101001000011000101011000000 -01001100101011010000100010001000000000000000000000000000000000000000000000000000000000000000000000000001000001100110010001100010 -01010010001101001010110101110011110010001011110100000000100001100001010001110001010011111000100101000101010111000011100001100010 -01001010111100100100100111100111100110100101000000001101010010000000000000000000000000000110011110010010010000100000000000000000 -00000000000000000000000000000001001010001100001011001111000000011100100110100001100000111110101010011011100001000100000101110100 -10010011010011010011100100001110010000110010011000100110000010001100100001100010100010000001000100000000000000000110011110001000 -11000000000000000011001010000000000000000000000000000001000000011010010010101101001001100100010100011100001100101001000011000111 -00111101111011010000011010111110101000011100010000001000111000110110010100010011000000010001001000001001100000000000000000000110 -00011110000000000000000000000000000000000000000000000000100011001000000100010101101100110011100100101001111000011101101000100110 -01100000011010000110000010010011110100001000010010010000100111100010111100001000000000100101010000010000010010110110100000010011 -10000000000000000110011110000000000000000000000000000000000000100010001001100001000111000011001111101101010001001111010111011001 -10010100110011011010001011001010110100110011100000011010011001011010011001001101001101110000101011000100101110001000100110100100 -11000000111010011000110100111001001011100011010111001010010011110011001100001001010110100011000110000000100000000000000000000011 -00001111000000000000000000000000000000000000001001110100011000100110000000100110001010111000111001000010100111010000101110001000 -00010010111110000001000111001111001011000001000001001110010010001100100100100111001011000100110010000100000000000000000000000000 -00000000000000000000000000000000000000000000000100010110110000000100011001000011011000001001010100110011110000000100110100001110 -10000100100110001001101000101001111001101000101110000100011010001010000111100000000000001000110000000000000000000000000000000000 -00000000000000000000000000000000000000010000101110010100011001000010110100011011001001000000110000101010101100100100100010111000 -01101000110110101100010010110000111001010101000001101010100011011000000100001100000100011010001101100010110010011100000010011001 -00010000000000000000000000100111000000000000000000000000000000000000000000010100110111001001010010000111000010011110000010110100 -00010100101010010111100000100010010110010011010000011000001000100001101000010110000101010010100100000100000100101100110010010010 -01111000111001101101100000000100101000000001001000000000000001100001111000000000000000000000000000000000000000000000000100010010 -01110010000010011000100011001110000010110001100011100110001000110010001001110000001100011001000111001111001110010001000000100111 -10110100010010001000100000000000000000000000000000000000110010100000000000000000000000000000000000000000100010100101100101001000 -10110010100010010011011000100100110100001100011100001010011010011010010110011011001110000100101001101000101110100001000010000001 -00100100100101001001001010110110010010011110001000000000000000000000000000000000000000000000000000000000000000000000000100001010 -01100010000010000111010100011000111001100010000110011010011100011000010101110000001111011000000001000100001000101100101001110100 -00010010001101100001001100101010000101001111010010000000010011000000000000011000011110000000010001100000000000000000000000000000 -00000000000110100101010000011001000101001011001010110000101010101001101110101001000110111000010100010001110001110001001001100010 -10011000111001100001100001010110100100110010001000100010001101001101000000010010010011100000000000000000000000000000000100110000 -00000000000000000000000000000000000100000011000011001001111001110010011000100000001110000010100010111000100010001101001011100010 -01011011000010100111000100111100000000100101000001001111000010000010000010010000000000000000000000000000000000011000110000000000 -00000000000000000000000000000000010010110010110010001100100001110000100111110100000000010000010011110011000100000011000010100010 -00101110000010100001100100000100000100001001000101000010100000010010010001010100101001000000001000100000000000000000000000100100 -00110110010001001100000000000000000000000000000000000000001000011000001101000100000100100100000100111010011011010000101100100110 -10011010010100011010001001100001001100111100011000011100101000000101110100111000010010000000100000000000100000000000000000000000 -00000000000000000000000000000000000000000000011011111110001110010110100110101000111011111110000111100111111010000101101101000011 -01100000101000001010000101000111111110101111110011111111101010000110110111110011001001101110110001111000100010000111001100111110 -11001010011011111011101111111010000010110100010100010011000000000000000000000000000000000000000000000000000000000000000000000101 -00011000011110010100010001111111100111010000110110000011110111011000111011011111111110100100110110000011111111011000111100100010 -11111101111001001111101111111111110111000011000101100101110111101110111110000001100001100111011000111110011001011101011101110110 -01011000011001101000100010010100100010100000000111111000010001100000000000000000000000000000000000000000000000000000000000000000 -00000110111011110100010010101010001100001100100111111100100010010010011011111101110111101111110100001111011111101000010000011110 -11111101110101000101000110000110001110001101101110110100011111110011001100001100111011101111100011001011101100100011111111011011 -00001100110100010001001010010001010101100011111111001000110000000000000000000000000000000000000000000000000000000000000000000110 -00001111111001001001101100110100110110111111111110011001000011110111111101000010100011110111110101000110111111101011011010001000 -01111011111111111111011001101111011101111000110110000111010001111110001000100110101101111000110010000111001000111011111001101111 -10111010011100001100001111000111111110010011000000000000000000000000000000000000000000000000000000000000000010000001000111111000 -00000110001001000100111001000000010011100100000010001111110001000011000100111001001000111111000100001100010011100100100011111100 -01000011000100111001001000111111000000001100000011100100000010010000000000000000000000000000000000000000000000000000000000000010 -00010000000001001010010011101000001001011001000000000001000000110010100000100011100000000000000000000000110010001000000000000000 -00000100101000000000000000000000000000000000000000000010000000000000000100001000000000000010010010000100001100100010100110010001 -10001100001001000000001001100000000000000000000000010010000000000010011000000000000000000000000000000000000100000000001010010001 -11000100001010110011000011001000111001100001000110000011010001000000010001001001010000010001000000000001110001001000000000000000 -00010011010001100000000000000000000000000000000000000010001000000000100010111000100000001000010000010000000101110010110101001110 -00000000000100000000000100011000100011000000000000000000100100100110000000000000000000000000000000000000000000000010101101001000 -00100101000001001100000100001100101000000010010000100000010010110010100010111100000001000110000101001000000000000001001100000000 -01100111100000000000000100011000000000000000000000000010000111010001000000110010011010011000001000011101000100100011000110010001 -01001100100010001100110010100110000000001001000000100111000011011000000100110011010001101001100000000000000000100001100110000100 -01100000011001111000000000000000000000000000000000000011001010000000001001100100010110100100110111110001100001110001000000100100 -10001010010101001110100100100110001001100011010000100100100000110000101000000010011000000010011100000000000001000100000011000011 -11000000000000000000001001010000000000000000000000000010000000001011010100100100110000100000000000000010110100000110010100100000 -01000011101000100000001001000000010000100000000000000000000000000000000000000000000000000000000000000001011000100110100101001000 -00010010111010000011001100100100010000010101011011000001001100111100100010000011000011010000100011100001011001110000001000010100 -10001100010100110010000111010100010100111010011001001001001100010001110001111000010011001100000000010000000000000000000001100111 -10010010000000000000000000000000000000110000100100000000101010011011100110001010101001000001011101100011000010010111000010111000 -11011110000000111010000010001110010110010011010000101001000010000100100011000100110100010101100111000001010000010101010010011000 -10110110100001011000111000010010111010000011001111001010000000000001000000000001000110000110011110000000000000000100001100111000 -00000000000000000000100110100101000001100001001100100000010111010011000110100001001001101001001001010100011100011100011000100001 -11100110001001000001100100001100001100001101110000001001101111000000100111100110110010101010011010010000000000100001000000000011 -00001111000000000000000000000000000000001001010000000011000010010100110010000110101111010010011001011011111011001001110110100011 -01110000001010100101000111010101101000001100110010010111011000001101000001110110001010110010011010001000100001010011001100001101 -11000110000100111010101101100010101100110010010010010110001101010101001110000000000000000000000001100111100000000000000000000000 -00000000000010011100000000100101110000001101000101010111110100000100100100001001000001001010110010001011110010001000100100110011 -00010100100100100011010001011101000000010001110000010000000010001101001001010010010011010111001111001000010001110101110001110011 -10000001010010000000000001100001111000000000000000000000000000000000000000100010000010010001000001111000000100110101111100000010 -00001101100000001100010011011001001000111000110000100111110100000110000110001110111010011101001101100001001110000110001101000100 -11110000101101000001100011100001000110110000110000010011000111011001011010001101010101110100000011000100100001100011000000000000 -00000000000000000001001110001010110000000000000000000000000000000100110000100101010001101001101010101101100000011101000000100001 -10001110011001001100001011001001101000011001010101101010010010001110110110011111010000101000110001000001100110010100111100011100 -01000111000001011010000100110010100001101100010100110100110000000000001000100000000000000001001000000000000000000000000000000000 -00001101100000010000101101001100000110001010100100110110010010001100100100100110100000101110100001011000101101111010000010010000 -11000110011001101001111001111011011000101010011000111110000010100111001000111100100100100011100101001110001001010010100100110100 -01011010000100001011100000000010000001011000000000000000001001110100000000000000000000000000000000100011000000000110010111001001 -11010000111101010001000011101011100111010100010000010100111010001001000100010011101001001001010000100111001100101000010001110111 -01100100010100100010010110001110010011010001011100100001110010000110010110000101100100010100110111010000000100011100010110100110 -00000000000000000001001001100000111000000000000000000000000010001100000000000100110000000001000010100001100011001100100001000010 -01101011011100100110101111001011101010101010111101100000001110000010111000101011100010101011110100101010101000001001011010101000 -10111000001011000010010111000000111000010011110000100010010000011000100101100100101000000000000000000000000010000100101011000000 -00000010010100000000000000000000011001000100100110001000101100101000000000010001100100110110010100001000111000101000011001101000 -10001001000100010110010010110011001001100100111100001100101100100100010100010110011001010000011000010101001101001110100110110010 -00101001101000110000000000010011100000100101000000000000000000000000000000000000000000000100000000001000011100101001100110010010 -01000111010101100100001101111100110100110011000010101000011101100000100110001100010110110010001010110011000000110100110100000100 -01000010001011001010011001011001101001101001101000001000101001001010011001001001100110100100100111100000001011100000000010000100 -00000110000011100000010001001001100000000000000000000000000000000100011010001101001100001001011101000101111010000001000100011000 -10010110101110011100000101000001000101000001000010101100001100010100100001101011100110010010000010011110010101000111100011010111 -01100000110010110010011111011000001001000100101110000100101010101000001001000000000000000001000110000000000000000000000000000000 -00000000100110000000001011100000110111000010101000001001101101000010100110001001001000000110110110000100100010000111110000001000 -11100100100101001010100100001001001011001010010011101010111011011001111001001000000100100100111100110000000000000001000100000000 -00000100110010111100000000000000000000000000000010000110000000010010100100100010101011011000001010010000010100100100001001001000 -01001101100011000001101000001100101001000010001000001000011001110000011011000001001100100010110000100110110010110000101100000000 -00100010110010100000100101001000000000000111100000010010000101001010010000000000000000000000000010001100000011010100010100010011 -10001000000110100100110010100100100100011101011110100101010011110000110110000100000011001000101001001001111100001010100000100111 -10110010010000101101000000001001000101010111100001010001110110110110010000010011011000100100000000000001000000001001110010101000 -00000000000000000000000000000000000000010100011111001000111101110111110011110111111111011001011110111111011111101100110111101111 -11101110111011001010111111111001010100010100001101100000111111111001010001010000110010111011111110010111111110111111110100111001 -11110010100011110000000110011111111110011110101000111110111101010001111111100011000011011010000010100000000000000000000001011000 -00000000000000000000000000000000000000101010101000111110010010010010110111110000001100001100111111110010100010111011111101101010 -00110000111010100011111011101001001100101011111101100110001111111111101111111101100100110110000011111111101000111101111111111010 -10101001000111111100110001110100011111110101011101110011111001111111101111101000110010011001011111010001000111111101111101000100 -10011101111111101101100110000110011000011111111111100100100110100010011110110111000110000000000000000010001000000000000000000000 -00000000000000000000001010101010001111100100011100010001100001100100010101000101000100101111111011010100010101010000011111111100 -10110111110100001000101010001010000111011101111011111111000101110111111101000100101111100111111011111111000111100010011111110111 -01011101100011101001000001111101001011111101111111011101001111110001010100011110100001000101100010101001110011001111111101100000 -00000000000000000000000000000000000000000000000000000001010001111100100011101110111111111100011110011101100101111011111101111110 -11001101010111111011111011110111011111110000011111101111111011111011101110111101111111010100011111111010111110111010100010011011 -01011111110100010010000111000111010011000000111111111100011111101111111011111010110111110111100111111110111110111010110011111111 -11001000011100010011111101111000000000000000000000000000000000000000000000000000000000000000001100000011101110010011100110000001 -11001001000111111000000001100000011101110010011100110000001110010001001110011000000111001001000111111000000001011010100011111100 -01000010000000100111001000000010011100110000001110010001001110000000000000000000011000000111111000000000000000000000000000000000 -00000001000000000010011000001001011000010100010001001010000000000010010111000100100000000000000001010111001000001001000110010101 -00000000000010000100000000000000000000000000000000000000000000000000011000010010100001000000010011000000011100000101001101001010 -00000000110010011010011000000000000100001000000000010000111010010011000110001000110100110000000000000000000000000000100001100110 -00000000000000000000001000010000000010010001001100100110100011010011100100011100011000010011010101100000100011011101010000000101 -00100000000010001100001000111000111110000001000100110101001000000100000000000000000000000000000000000000000000000000010010100000 -00001100011000100000000000100001100101000110010100110001010011100000110010100010010100000000010000100000100001100110000010000100 -00100000100000100100000000000000000000000000000000000000000010001000000000000000010011110010110010101001000000000010000110010001 -00100000100101001001010100000100000000001000001000010000101100100100110011100000101011001001010000010010001000110000000100011100 -00100001000010000000000000000000000000000000100000000000000000001000101000000000000000000000011001010101101000001100100100001100 -11100110000110000000100000000000000000001001000000000110100001011010010011000110000100001111000100000000000000000000000000000000 -00000000000000000001000101000010001001100000000010000101100101000100101010001111010001001100010101100110000100110000000100010100 -11110011100010001110011010011000100110000000001001100001001101001110000100111000001000000000000000000000000000000000000000000000 -00001001111001011001000000000000010011000010110101001011001000110101100010000010000010001000001100001100111000010000000100110000 -11000110010110010100000000000000100001000010000001000000000000000000000000000000000000000000000000000000001000110001000010000000 -00011100000011001101101001001010001010010110000010000011010101001001111001111000010100000100000011000000110110001100110000110100 -10001000111000101001100111000001011100001000110010001011010000100100111100001100000111000001001001000110010010010001010101110000 -10010001110101110111110011000000000000000000000000000000000000000000000000100110000100111010000000000001100010010010011010010101 -01111011001010000000110100000111010010101000101000011000001000000000100011001100100010111000001001011100010101001011101000110110 -10000010001000000001000100101100101111100101100101110010000110111000000000000000000000000000000000000000000000000000001000110000 -00000010000101000010010010010001011011011000100101010001110001111010010101110001000100111001100010010111100011000001000011010111 -01111001000001000011001110100110001001001001011001010001011111100100001100011000100110100100000000000000000000000000000000000000 -00000000000000000000010000100000000001001100010011101000000110010000110000011100010001001001001000110100001010011010000101000100 -10001010001001001100011001001001001011001000100101011001110001100011001100110100111100101100011101010110000111010011010010101100 -01010011100101100110100000100101110101010100000100111000000000000000000000000000000000000000000000000001001110001000010000000000 -00100010000100110100001100001001001110010001010011101001000100000100101110010010111001000100100110010001011100001001101000010000 -11000000110100100110100001000010001110001010100100010001111000011011011001010010010101011010011000100111000000000000000000000000 -00000000000000000000001000100000101101001001111001100000000011100000010010001001011011000001101000010101010110010000100010000011 -10000110100110010010001001111100011000111010000001011101001111000001000101110001000100101011100000011101001010100000110000100101 -00010010011011100000100100110001001010110001010010001110010110011111011000001101000010101001000000000000000000000000000000000000 -00000000000100110000100100000000010001000001001001001101000101000101000011101010010000100001110100101010011101001010100011111000 -00100111101100011001001000100101101001100110100100100011100001100101110100010111010000100110000001101100100001011011000001001001 -00111011010001001000011001101001011000110100000010110000000000000000000000000000000000000000000000000000000110110000001000010000 -00001011000000010011101000001000011101100100001011000001000110100001110000110011010000100111010001001000110110010100110000010001 -11011000011000010011001101001011001111000010100011000100101010000110011001001111000110100011100000101010100111000001011100000000 -00000000000000000000000000000000000001000100000000001000000000100111010000111000110001000011101001100100111010010010100110000010 -11111010011001111000101001111000010110010001011001010001001000100000100110100111010000011011010001010101101100000100111110000110 -01000101100100010110000100101001010000111010010010000100100011011101000000000000000000000000000000000000000000100111000000000000 -00110100000110011110001100000010011000000011001010001100001001100001001000000000010001111000010011001101000011100100100101100100 -01110000001101001001000001000001111000000100001011001000010011100010001000110010011011100101101000011010111000011001010100000101 -11010001000000000000000000000000000000000000000000000000000001000110100110000000100100100010000001011001000101101000001110110000 -01001111010011000101101101000100000111000001010011010011010010000001011001001101001010101001101110000100000001000110001000000100 -10001001000110010110010001101001000110110000010011011011000000000000000000000000000000000000000000000100010000000000000000110001 -00101001010000000000001000101000001000101101000001100010100100100100011010000100011000110001000110010001110000111001100100010011 -00110001010111010010011101000100001000101000100011010000101001000111000010110010110011100100010110100010111001000011110000001000 -11000010101000000100111000000000000000000000000000001001100000000000000000000000001000000100110000000000010011100001010011100001 -01010011110010101001011100100100010110110010110001101100001010010011110110000101011100011010100100000100111100101011010010101001 -10011100000010110000110010100101110000010100111010001110000110000010010110011100000000000000000000000000000010001100000000000000 -00000000000100110100101000000000100001010011000100100001001011011110010000010110001001000100111100101010010010011100011011000011 -10110000000010101011001110101110000100100001100110111000010001101001101100001100101110010001001000001000000010010110010100110100 -00100000000000000000000000000001010110000000000000000000000010010000000001000100010011110111010000101000101001101000111101010100 -10011010001001000101001111000010100100011010000011100010010010010000101101010011100011101101010111010000000100000100001010010110 -01001000101000001101000100111101000001000000001100001101111000100000000000000000000000000000000000000000000000000000010000110011 -01001110000000010011110000001001100100101110100000110101011010000011000101110010001100110101111110100001010000010011010000011000 -10100010001111011000001000101001010010100111000100101100001010100111010001001100100001110000110010011110110000100000100110001001 -10100001101101110101110011100000011001101100101000110010011011110000000000000000000000000000000000000000000000000000000000011000 -01111111011101100101000000000000001111000100100110110101111111001011110101000111111110001010001100001111011111111011101101111011 -00111111111111011110000110010010100001010001001110111111000010101001100000111111111011101101110111110000001101111011111101101010 -10001011110110100010011001100111000011100010011110110011001010001111011111010111010011110011000000000000000000000000000000000000 -00000000001000101111110000111011101100111001100111001010000000000000100110110010110001010001100100100110111111111111100001000111 -10000111111001111111101110000101000110110111110001111001111111111110011100100101000111110111110001111111111010100100111111001011 -00100010100011110000001111011101111001001101111111110010001010001111110111111011011001001111111001101111100011111101010011011001 -10100100010100010001111011111111111001111100100101110010001100000000000000000000000000000000000000000000001000101010001010111001 -01010100010001100000000001101101111110000110001111010101101110111100111110100001101011111101000110110000011101110111010001000111 -11111011110011110010010100011100111110101000101000011111100101111110011010000011110010001001011010001111110011011000100111111100 -00001110111011101000100010110011000111101111111001001000001111110110001111101110010100011110011001000110000000000000000000000000 -00000000000000000000000000001111111010001101101111100110000000000011110111111011001100010101110101010110000110100011111001100110 -10111111111010011011101100001110111111100110111111011110011110000110010011100111110110011101011101111110111111000011100100010110 -01100111111001000011111100111110010001011010111111111101110011101110111110111111011001100111010001000011011011111111100011000100 -11111010111001111011111111100010010011000000000000000000000000000100111000000000000000000000000100001100101100010100110001001000 -00000000001100011011100100100011111100000000100000011000011001111000000001011010011100001000010000000100111001000000100011111100 -00000010000000100111001100000011100100011100001000010000000100111001001000000000000000000000000000000000000000000000000000000000 -00000000001100010101100100100100000100101000001001011000111001010000100001100101001010110100101110001100000000100101001001000000 -00101011000000000000000000000010000000000010011001000011001100000000000000000000000000000000000000101100000000100111000010011000 -10000110011010001101000110001001100100110010000110011011101000000000001001010000100011100000000000000000000000001101001010101001 -00000000001101100000001001100000000000000000000000000000000000000100110100110000110001100000100011010011000000010011110000000010 -00110101001100101000111000100010011100100001001001000000100111000000000000000000000101001100110001000001001110000010011101000000 -00000000000000000000000000000000000010011000000100110100010011010001001110000001010010001000110000010100100100001100100100011000 -01000010100011000000000010001000000000000000000000100000000010011000000000000001000100000000000000000000000000000000001000000000 -10001001100110101000001000101011010000000010010111000010011001000000010100100100001001001110000000000011001010000000000000000000 -00000000011001110000000010000000000000000000000000000000000000001001010000101011000000100101100111001000011001100001000011110000 -01010011000000000000000000000000000000000000000001101001010100110000110000111100011001111001001110010011000000000000000000000000 -00000000000011010001001001110100110001101000100100101010001100100110010010100000000100011100001000000100111010100100110100101100 -10011100100011000000000000000000000010100110011000011010011110000110000001110011101000000000000000000000000000000000000000010000 -00001001000101101011101000000100110010000001001101000100000000001000011001100000010001100011100000010010000100010000100000000000 -00000000000100000000011011100000010000100000100010000000000000000000000000000000000110010000101001100010010110000001101001010010 -01000110000101010001110100110011110001010011111011000001101001010100110001000101110001001100100000100001100011100001111000100000 -01001000010011010010001000100111000001001001000000000000000000010110010001100000011001110001100011000000001110000001000000000000 -00000000000100000000000000100110100101000110001010011010010100100011001001011110001001011000010011001000111000100100010001010011 -01011111101000010100110010001001101100000000101111110010110010001010001001000000100010110100001001001010100011011011001000010011 -00000000100010000100000000001010101100001111000001100111100100111000000000000000000000000000000000000001000100100100001001001000 -01010000001001011001101000001101000001100001100110100110000100100010000001001011100100110100101001000001000111011111000110010000 -01000110010010010011010101110001011011000001001100010011110001101000100000000000000000000110100111100000000000000000000000000000 -00000000000000000100001100110100111000110001010010011010110010011001000111100100100000110110000011001101001010101110010001001010 -11110000110010101001101100000010000011000000111000011011011001110011001010001001011000000001000001101000001010010110011110011100 -01000001000110000000010011100001001100000000000001100111100001001100000000000000000000000000000000000101100101001100000100110110 -10000111001101000011011111000111010101110001001010000110111101000011110001000011000000110110100100110101110110001010111000011100 -01100110010010011010011000110001100010101010011101111010000010010011000010100010010001000001100001010000010000010001110010000000 -00000000100111010011100011000011110000000011001110000100011000000000000000000000000000101100000000100001001000001100011010100100 -10000110010111010000011001011000101000111110010010011100000101000100010010000101111000100000011010000101100010100100011001101000 -01010011000001010110101001100110100110000000000100010000000000000001000100001100000111000010010101010010000000000000000000000000 -00000000010001001000011101000100110100000100100011100101100000100001001001010110100101010100101010010100110110100000100100111010 -01101011011000111011100100110001000100000101001100111111101000010011000100110110100010010011000001001101001110000100000000000010 -01000000100000000010011100000000000000000000000000000000000000010110100100001100101110000100111100010001011111110100000100001100 -01011001001000001101100001101011101010011100010000110010001101000110100111100111010010011000010011001101101011000100111100101011 -00101000100000110010001010010010010001000001101010001101101100100101100010000011110000010000001000010000000000000000000001000101 -11100100000001000000000000000000000000000000000000010100110000110010111100010000010010010001110000110011110000110000101100011000 -10000010000111100000010100001101011100110100110001000101101000010110000101010100110100110001001010110101100000110010100010010110 -00110000100000100111100110000111000100110000100000000000000000011100001001111000000000000000000100001000000000000000000000000000 -00000100111010011111001000010010010111001000101101101000001000110100111100010001001011000001001001011011100100100110000100100110 -00110000000010111011010000011000100001000011000011001110100001010000011100001001000100100001100110100000010001100000000000010011 -00000000000000000010000100011001010000100001100111000000000000000000000000010000100100011001001010100100100011110001001011100010 -10110000001110000110101110001001000011001001001110010000111011000001000110100100010001000100110110000100101100100100101011100011 -00010000111000010101001001001100100011010001100000000000001001000000000001000100000000010000000000000000000000000000000001001000 -10000011000010101001100010010110000011000010101110100000001100100100100011000100101100000110001011011001001001001111001011001100 -11100001001011011001111000001001000100001110100010011100010001110010001100110110010100001001001001100100100101110010011110111111 -10011000100100010000111010000011001111001110000000000000000100010000000000111110000000001110001000000000000000000000000000000000 -00100011110100100110010111100010000010010010000010000011010001001001110010010101000110101111100111100000110010001011101100001100 -10101011000010101001011101000001100100001000100110001100000100110100101010001100000110000100110010100001000110000000000000000010 -00100110101100010000011110000000001001110010011000000000000000000000000000001100000011000000001100000011011101000001001101100100 -10000100000001000111000101001111000111100100010110000001111001001001000101000100100100010001110000010011000011010000011000011001 -01001001101001011000010000100100100101111011000000010010000000000000000000100100000100110000000000000001001010000000000000000000 -00000000000000100110100101101001001001011001001101001100110010010010010100100010010001111110000001100010100001000110101001001101 -00011011001001000000100011110010010010011110110111100000011000110100001000010010100000110010010000000000000000000100101000000000 -00000100010010011000000000000000000000000000000000100110101010001000001001101000111000111000001101100000101111100110010011010000 -01101000010010011101100101000100000100111111000001010011010001101100100001110101100001001000100001111001000100101100011001010001 -10001100000100100100111101010010011100000000000000000000010001001001111010100000000000000000000000000000000000000000000011110111 -11011000011111101111111011111011111111111010011000010111110011000011000011111111111100010100000111111110111101100111000011111001 -11111000111110100000100010011011111111010100100000101000010100011110111010101000101000010100000010100001110011111111111000000111 -11101010110111011100000000000000000000100110000000000000000000000000000000000000000000001110111111100100101000101000100011111010 -11111111001000101110111011101101000110111111110010010011111110111011101000101111110000110011001110010011110111111001001000110101 -00010010010100011000000111000110111111111010010011101111111111011101100011111101110111111111101001001110111111000111010111110010 -01110111111100111100011011111101011001001010000000000000000000000000000000000000000000000000000000000000000000001100000011011110 -01101101000011101110111001111001011111110111111100000001010001100100010100101110110000010100011000011001111110100110001001010010 -01100001001110000111110001010100000110000001111101110110011001100111001000111111110101111110111101000100101110100011100000111111 -01111100111111001111111110011101101110000000000000000000000000000111100000000000000000000000000000000000000000000110000101001111 -00111111110111110111011101111100010000101000111111000001111111110110010001001101010001110000111110110011111101101101000110100001 -10000000100111111000100001100010011111011000001000001100110111100001110011001110100001010001100110011011010001100001111111101010 -10001110111111001111101111010100000000000000000000000000000000000000000000000000000000000000000010001111110000000010000001000111 -11100000000100000010001111110000000011000000111001000111000010000100001001001110010000000111000010000100000001001100000010011100 -00010011100000000000000000000110000001111110000000000000010011000100010010010100000000000000000000000000000000000000000010010000 -00001000110000010010100010001010010110100100000000001000010000000000000000000000000010010001001110000000010011101000101000011001 -10000000000000000000000000000000000010000111010010011101110000000011000010010000000010011000000100100010000110011001011110010000 -11001100000000000000000000000000000000000110000101010011000000000011011100000100110100110100101000000000000000000000000000000000 -01001101001111001101001100010011010010010010110011000000000100110000001001100000010011000100011000000000000000000000000000000011 -00101000100110001000001001110000001001110110100000100000000000000000000000000000000000100000001001001001000100000010011001001000 -00000010000000000100000000001000000001001100000100000000000000000000000000000001000001000110001001100000001001010100010000000000 -10011000000000000000000000000000001001001000110000000000010001100000000000110101100000000000000000000000000000010000100000000010 -01000000000000000110011110001000100000000000000000000000000000000000000100010001001100001000110000000100110000000010000110011000 -00100001100110000000010010110011000000000001001100000000000000011000010101001100001100001111000001100111100100111010011010011000 -00000010010000000000000000000000000000000000010011100000000000000001001101000100100101001001100000000010010000000000001001001100 -10100000000000000001100101000100110000110100111101000011001110110100000100000000000000000000000000000000000001001111001010000000 -00000000000001000000010011100100000000000001000110000000000000000100000000000000100000000011011100000000010000100100110000000000 -00000000000000000000000010001110000110011110000011000100101000110001000001000110100110010001110010000000000000001010110000000000 -00000000000000000000001000000000000000000110011110011000110001000011000110001000000000000000000000000000010000000000100110001001 -10011100010000001000101000001011001001001011110110001010010010001000000010011100000000000000000000100000000000000001001100000000 -00001000001000010000101010110000111100000110011110010011100000001000011001110000000000000000000000000000001001001001110001000101 -11100100100011001010001000101000010000000101001000000000000000010001000100110000001001100000000000010000100000000001101001111000 -00010000100000000000000000000000000000000000001000101110000001000011001100010101111101100010010100000110011001000000000000000000 -00010000000100100000001000110001000110000000000001000100000000000110011110000000100010000001000100000000000000000000000000001101 -00100100011111000000010101000011000010011001001000110000000000000000000110010100000001000010000000000000000000000000000000110000 -11110000001100111000000001001110000010011100000000000000000000000000010010111101000000100010011011001011011100000110100010011000 -01001000000100010000100111000000000000000000000000000000000000000000001100000111000000000010010100000000000000000000000000000000 -00000010011010001010010001100010010000100101001001010000000000010100100100010100111000000000000000010001000000000000000000000000 -01000000000000000000000000000000000000000000000001010101110000001100110000110000100111001000011001110011001100101000111000000000 -00010011101000100000000000000001001110000100000000000000000000000000000000000000001111101000000000000000000000000000000010001000 -00000010010011000010011100011000101101010000000100000100110100110001101000100000000001001100101100100010011100000100001000100100 -11100100101000000000000000000000000001000000000010000011000011001111000000000000000000000000000000000000000000010000000000001001 -01010011011001000011001100001001101000101001011100011001001001000000000010001110011001111000000010001100100111100110010001100000 -00000000000010000110011100000000001000011001100000100001101001000000100001100111000000000000000000000000000000000010010100111000 -00100010011000100100100101010011010001001010110011010001000001001001100010100010001101001100100100100010111000100001101000100111 -10010000000000000100010000000000000000000100100000000000010000000000000000010110000000000000000000000000100001001100010110111000 -00011000111000001011001000111010111101000101100111010011010001101100001010000110000100100010010110000110001010010001001011110000 -00110001101001000100101001001000000010111000100000000000000000000000000000100101000000000011110000000011001001000100100000000000 -00000000000000100010100111000000001101001001110010001010011000011000010011100100100110100001000001011010000000000100010010011101 -00111010001001001110000000000000000000000000000000001001010010000011110000000000001001110000101111000000000000000000000000000000 -00001001001001001000110001100110001001001100100011010000000000100011000100110010001101100001010010011000000000000000000000000000 -00000000010001101001100100110000000000010011100000000000000000000000000000000010010010011110001111010000100011010000100110000100 -10001111001000010000111001010000000100110000100011011010001001001111100010100101001100011010001010011100000000000000000000000000 -00000100110001100011000000000000001001000011010001000000000000000000000000000000000000010101010101110011101101010010011000101001 -00000111010000001001101000101001100000000001000111001111001000100101010000001001000100101000000000000000000000000000000001001001 -10100010001001111010100000000000000000000000000000000000000000011111011101010110101000101000011111111101111110000011110011011110 -00100101111001100001100000011000000111111111011000010011110111111111111110111100100101101111111111111111011010010011110111111111 -11111011110010010110111111111111111101010010000000000000000000000000000000000001001100000000000000000000000000000000000000000001 -11111111011110011011001001110011001111101111110001111111111101010001001001111001100101000100011110101010101001001100001100000000 -11001111101100001101111011110011001111011110110000110111110011001111101100001101111011110011001111011110110000110111110011001111 -10100011000000000000000000000000000000000000000000000000000000000000000000000000000000011111011111111111010100100101000110111011 -11000111100111011111100111101010001101111111100011101000001010000000001101111111110000110111101111001100111101111011000011011111 -00110011111011000011011110111100110011110111101100001101111100110011111110001100000000000000000000000000000000000000000000000000 -00000000000000000000000000000001111101110110011001001001011100110011011011111111110001111011111110001101110111011000000110011111 -11110000000111111110011010000011110111111111111110111100000101101111111111111111011010000011110111111111111110111100000101101111 -11110100000000000000000000000000000000000000000000000000000000000000000000000000000000100000001110000100001011010010011100101101 -00000000001000001111010011100100100011111100010011011010001000001111010011100100100011111100010011011010001000001111010000000000 -00000000000000000000000000000110000001111110000000000000000000000000000000000000000000000000000000100000101001101001000000000010 -10011001010000000000000000000010000000000000000000000000000000000000000000100110010000110011010010100000000000000000000001000010 -00000000000100001000000000000010010000000000000000000000001001101001010000000000100101100110000000000000000000000011011000000010 -01101001110000000000000000000000000000000000010001000000000000000000000000000000000000010110010010000000000001001001001000000000 -00000000000100111000000000101111010000000000000000000000000000010000100000000000010000000000000000000000000000000000000001000110 -10010000000000000000000000000000000000000000000000000000000000000000110010010000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000110011110000100001100110000000000000000000000010000110011000000000000000000000000000000 -00000000000000010011100000000000000100010000000000000000000000110000111101100111100100111001001100000000000000000000000010011000 -00000000000000000000000000000000000000000000000000000000000000000000000000000001100001111000000001100000011100111010000000000000 -00000000000000011001001010000000000000000000000000000000000000000000010001000000000000000010000000000000000000000000000001000010 -10010000000000000000000000000000000000000001101000100011100010000000000010000010001101110100000000000000000000000010010000000000 -00000000000000000000000000000000011001111001100011000000001000000000000000000000000000011001100010000000000000000000010011001000 -11000000001001100000000000100111110010100000000000000000000000000000000000010000111000011110000001100111100101111000000000000000 -00000000000000000000000101001010100100000000000000000000000000000000000000000000000000000000000000100110000011000011110000010011 -10000000000000000000000000000000000000001000011001110000000100010110001010001001110000000010010000000000000000000000000000000000 -00000000010010000000000001100111100000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000100 -11100000000000000000100111010011101000100110000111100000001100111000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000001000100010000000000000010010100000000000000000000000000000000000000000000000000000000 -00000000000000000000000000001001000000001000011001110011001010000000001001001011000010101100000000100111101100000000000010011000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100100000000000000000000000 -00000000000000000100000001000011001000000000000000100101000000000000000000000000000000000000000000000100110000001001101001100000 -00100100000000000000000000000000000000000000000000000000000010000000000000101011001000100000000000000000000000000000000000000000 -00000000000000001000110000000000000000000000000000000000000000001010110000100011000000000010000010010100000000000000000000000000 -00000000000000000000000000000000100101000000000000000000000000000000010001000100000100110000000011000000110010001010010101100010 -01100000000010010000000000000000000000000000000000000100011000001000110000111110000000100011010010000000000000000000000011010001 -00000000000000011100010000000000100000010010000000000000000000000000100010011010110000000000001000110100110000000000000000100101 -01001100000000000010011100001001100000000000000000000000010111100000000011001110000100101000000001000111000100101100000000000000 -00000000010010100000000000100101000000000000000001000110100101000010010100110110000001001000000000000000000000001000100000000001 -00010000000000001000101001010010001100000000000000000000000000000001010110001001000000000000000001100100100010010000000000110001 -10000010001001001110000000000000000000001001010000000000100111100011010011000000000011001010000100110000000000000000000000001001 -11000000000001011000000000000000000010011010000100000000001011110000000000000000000000000000000000000000000000000000000000000000 +10000010000000001111000000000000000001111000001000000000000000000001000000100110000100010000000000000000000000000000000000000000 +00000000000000000000000000000000010010000010001000000000000001001000000000000100100000001001000010001110010110001100000000000000 +00000000000000000000000000000000000000000000000000010001100010000101000110000100111000010000100101100001000100010110000000000000 +00000100000010010000000100100000000000000000000000000000000000000000000000000000000000000000100111001000000000010001010010000001 +00000000000100100000000000001001100000010011010001100000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000010000100100000110000101000000100011100110000000000000000000000000000000000000000000000000000 +00000000001000011001000001000010100100000000000000000100001100001100100000000000000000001000010010010110011100010000111100000101 +00011000000000000000000000000000000000000000000000000000000010000110010100000000000010001100000000000000010011100000000000000000 +10010110010100010010100000000000000000000000000000000000000000000000000000000000000000000000000010011110011110011000000000000000 +00000000000000000001000111000110000000000000000000000000000000000000000000000000000000000000000000000000001001110000000000100010 +00000000000010011100000000000000000000000010100101000010000000000000000000000000000000000000000000000000000000000000000000000001 +00001000000001000011001110000000000101001000000000000000010010100101011000001010111001100000000000000000000000000000000000000000 +00000000000000000100010000000001001010001001110101100000000001000100000010000000000000110011001000010010010010000000000010010100 +00000000000000000000000000000000000000000000000000000000000000001001110100100000000000000000001010100010001000000000010011100100 +10100000000010011000000000000000000000000000000000000000000000000000000000000000000010011000000000000000000000000000000000000000 +00001000010100110000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000010001011000101001001011010110100010010011000000000000000000000000000000000000000000000000000000000000000000000100011000100 +00001000110000000000000000000000000001001000000010010000100111000100001000010000000000000000000000000000000000000000000000000000 +00000000010101100000000100110001010110000000000000010101000101011000000100101000001001010000100100000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000100001000 -00000000000000000000000000000000000000000001000010000000000000000000000010000100000000000000000000000110011001000000000000000000 -00000011001100100000000000000000000000010000100000000000001111110000000000000000000000000000000000000000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100111110000100110010100000000000100101 -10000100000000001001011000010000000000000000000000100101100001000000000010010110000100000000000000000000000000000000000000000000 -00010001111011000000000000001000000100110000000000100000010011000000000000000000000000100110000000000001001100000000000000000000 -00000000000000000000000000000000000101101111000001010000000000000001001111000010000000000100111100001000000000000000000000000000 -00000000000000000000000000000000000000000000000000000000001000000100111000000000010000100010010100000001000010001001010000000000 -00000000000000000000000000000000000000000000000000000000000000000000000000000101101110100000110011000000001010101100011000100000 -11111110011111100000000000010101011000110001000001111111001111110000000000000000000000001000101001001100011001111010000111101000 -00000000010001010010011000110011110100001111010000000000000000000000000000000000000000000000000000000110001100111111000011111100 -00000000001010101100011000100000111111100111111000000000000101010110001100010000011111110011111100000000000000000000000000110001 -10011111100001111110000000000000011000110011111100001111110000000000000000000000000000000000000000000100111000000000000000001001 -11000000000000000000000000010101011000110001100011001111000000110011100000000000001010101100011000110001100111100000011001110000 -00000000000000000000000000000000000000000000000000000000000000000000000000000100001100011100011100111100111010101011000110001100 -01100111100000011001110101010110001100011000110011110000001100111000000000000010101011000110001100011001111000000110011100000000 +00000000000000000000000000000000000000000000000000000000000000000101001100110000000000000000000000000000000000000000000000000000 +00000000000000000000000000100001100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000 +00000000000000000000100010111000001000000100001000000000001000011001100000010011000000000100010100111000000000000000000000000000 +00000000000000000000000000000000000000000000001010110000010001010000000000101101000001100101000000001000001000110000000000000100 +10100101001101001000010010100000000000000000000000000000000000000000000000000000000000000000001000010000000000001000000000000000 +00000000100011000001001101000011000011001100100001000000000000000000000000000000000000000000000000000000000000000000001000000000 +01000000101001000000000000000000000000000001000110111000010000100000000001001000000000000000000000000000000000000000000000000000 +00000000000000000000100110000001011000000100011100110000100010000100011000000011001001000000000001001000000000000010100100000000 +00000000000000000000000000000000000000000000000000000100001100011000100100110000101110010000000001000110001001000001100100010100 +11001000111001100001001011001100010000111010000100000000000000000000000000100110000000000000000000000000000000000000000000000010 +10011100101000000100110000010011000000100110100111000001001110001011001001100110010010000010011010011000001101000001000000001001 +01000011001010000000010011010011000000000000000000000000000000000000000000000000000000000000010000100001011100100111001000101110 +00000111000001101001000100101001000001000110001000000001001110110010100100100011001100100101000000000000000000001001001001000000 +00000000000000000000000000000000000000000000000000011010110000000000100000000000100100000000000100100000000000001100100100001001 +01101001000001001000000000000000011001111000000000000000000000000000000000000000000000000000000000010010000000000000110011001010 +01100000110001001010011000000001001100010000110011001001000000000000000000000000011001111000000000000000000000000000000000000000 +00001100101000000000110010100000100110000001101001010010001000010100110011100010010010100100100111001001100000000000010011000000 +00010010100000000000011000011110000000000000000000000000000000000000000000000000000100110100000000001011101000010100000010001011 +10000001100001000010111010000001000010011000101010000000010001100110010010100000000000000000000000000000000000000000000000000000 +00000000000000000000000000000101001000010000110100111000001101101100101100110110010001010011010000011001000101010011100101000010 +00010100000010001101010111100000110101001011001010000010000010011110001101101000010001000111100011000000000000000000000000000000 +01100111100100100000000000000000000000000000000000000000000001000100001001010100110001011010100011100110000010001110100110001000 +01000001100000110010010110011010000101001100111011000010110101000010010011001100010010000111000010010011000001000100000000000000 +00000000011001111000000000000000000000000000000000000000000000001001010000010001100001000001000110100011010011010011111001010001 +10000100110001110100101000101001001101111000111000010100011000010011000011001110010000010000010011110001010011110001101000111001 +11010010000000000000000000011000011110000000000000000000000000000000000000000000000000000001001010000001001101000011101001010100 +11110001100001001110010000011000110101000000010000110001011110000001001010000000010000001100100010100001111000000100110010100000 +01001110000100001100111000000000011001111000000000010011000000000000000000000000000000000000001000000010001010011100100010111010 +00000010010110011110001010110001001001100001010010011001000111010011010111011000010001010111001001101110000101100100010001010011 +10000011000110001101100000100100110010100000000000000000000011000011110000000000001000110000000000000000000000000000000000000100 +01001001111001010100000100001100111000111000011011100000011001111001111100011010100101111010000010001010001110001110001010011110 +01001110000010101001010010110010100100011101100100101000000010000100000000000000000000000000000000000011001010000000000000000000 +00000000000000000110000101000100100010000011001001000100100010000110001010001010001110010011000010101000101010011100100001101010 +10010110000110000110011101010101100101000000101110100110000100111000001100010100000000010001000100110000000000000001010100000000 +00000000000000000000000000000000000000100010000011001100101001010001011101011101110001000100110100111010000110011100111100101001 +10001011011000010100011001000011000101000010100011100110100100100010000010101001000010110100001010011100001000000010010000100001 +10011100000000000010011100000000000000000000000000000000000000000000000100111100101100001100000100110000100011110001100001100100 +10100100100110001100101001101000010011100000011000111101000101000010000110011000000000100111110000101011010010011001110000000000 +00010011100000110000111100000000000000000000000000000000000000000000000000010011000110001101010011001001010111000000111010010101 +00111100000100101010011110010010001100100001110100010010000110010011010000101001000111011010010001010010100100010000011001010000 +00000000100010000000000000000000000010000110011100000000110010100000000000000000000000000000000000011000100101000010100100100000 +10000110011010010100110010001010010011100001000110000100110010010010110011010010110011000010010111110000011000111001000100010110 +00001101001010001000000001000000011001000011000011001000000000010011000001001000000000000000000000000000000000000000000000000000 +00000000000100111001000001010011000010010101110000110111110011001000011100000110111000001010001101001100110000101010010010011100 +00101011111010000000000001000111001110101100000000010011101001000000000000110000111100000000010010101001100000000000000000000000 +00000000000000000110011100000001000111101000100010000000100100110100000110011110001011110000000010100110000110011001001011000101 +00110100010010011010000101001011110000100010011110000001000001001010000110000011011010010011001100000000000000000000000000000100 +01101001010000000000000000000000000000000000000000000001001000100001001001011110001000100010011000110001110100000010011101001000 +10011101100001001110010000111101100001001001100011000100011100001100000000000001110100010000000000000000000000000000000000000100 +01101010100000000000000000000000000000000000000000000101111100101000000100100111000000110001110010110111110000111010001001100011 +01000001000001000100101001010010101110000100001000100100001100101100101010001001110000001100001000101010001010110000000000000000 +00100100001001100000000000000000000000000000000000000010000010011001000110000010001000001000101010110100111000001011110001001111 +10010001010011110110110011001010111000011000100000010011100100010100110101100100011000000000000000000000000000000000000000000000 +00000000000000000000000011001111110001010000101000001100110011101000010100011110111010101000111110101001100110011111111000010011 +01111110111101000011101111111111111001010100011001100110111011101111010011011001100000111101010010011011101110111111110101100001 +10100011111011101001100000000000000000000000000000000000000000000000000000000000000000000000000100100101100000111111100011011100 +00111111111000101101111101111110010011101111111111011101100011110010111111101010101001001010001111000011010100011111110111001001 +11010111010100011000011001101010101101000100100111011101111010011011000110000111101010011000011001011101110100000101100111001100 +11110001000100011000000000000000000000000000000000000000000000000000000000000000000000001011110001111111010110110000010100000101 +00011111011111001011010001111111011100011111110011110000010010101100110011110000110011011111111010001001011111100100101000100010 +10101011111011111011001010001101001101100011000011000010011100001100110010011111111001001011001110111011111101010010001100000000 +00000000000000000000000000000000000000000000000000000000000000001110011111000101000011111101110011111101111010000111111101110100 +01001101111110111111111111001111111011111100110010011010100011111111100111110010011111111010111111101010100010000111011111111101 +00110110011000001111111001100110110010011111111001001000011110111011111101010010011000000000000000000000000000000000000000000000 +00000000000000000000000000001100001100111100000000100000010001111110000000010111000100110001011011001001000111111000000001011011 +00100100011111100010000100100000001100010011100100100011111100010000100100000000000000000000000000000000000000000000000000000000 +00000000000000010000000001000100100101000001001010010001100000000100110000000100100010010001000010000000000000000000000000010010 +10000000000000000010000100000000000000000000000000000000000000000010001010010000011001010101101000100100100100101010100111001000 +10100110100101000001001010000100000000000110010010000000001001100000000000000000010010000010011000000000000000000000000000000000 +00000000000010001000001001011001101001000001000111001100001001101000111001100000001000110000100011010010100011000010011001100011 +11000000000000000000000000000100110000000000000000010010000000000000000000000000000000000000000001000001000011001100001011101000 +01010001100100000010010100000100101000011000010100001001100100011010011110000110010000001000110000000000000000100100000000000000 +00000100010000000000000000000000000000000010001101000101001011001000010010000100100000100011100100000100010010110000000100001000 +00000000101001000010001111110000000000000000000001001100000000000110011110000000000000100000000000000000000000000001000110000000 +10011001010110000100001110100010100001100101010100110000011001000101001100000000000110010011010011000000010010100000100011000000 +00000000100001100001100110000100011000000110011110000000000000000000000000000000000000100110000001100101000000100110010011010100 +10001001111001010010010010011001001100011001010001001100000000100111000000000100011000111100000001000001000110000000000001100101 +00000000001100001111000000000000000000000000000000000000000000000000010101010000001011110001000101000111110001000000101110100000 +10011010010000100000110000011010101000000000000000001000011001010100001100100010001110010100000000000000000000000000000000000000 +00000000000000000000000001001110000011000110101100100010001000011000101000001000011001111001111000101000000110001001010011001000 +01010000010001110011000100110011100001001001101000111000001001001100001001100000111001100000100100110100100110010010010100011000 +11001110100001011000100101001110000000000000000000000000011001111001001000000000000000000000000000000000000000100010000000011000 +01100000100000100100001011010010111010001010010111100010001001000001000100100010100011111001010110000110000010010101001101001101 +00100010000001001011001101000001001111001101100100010010011010100110011000000000000010000000000010001100011001111000000000000000 +00000000000000000000000000000000100100100111101001001110000100111000000111011000001000011010010100111001000010110100100100111100 +00000100111011010101001100001010101111100111010011110010101101100010100111000100011110111000010010110101010001001110000100010010 +01001110000101011000000000000011000011110000000000000000000000000000000000000000000000011000001101000010000100010110001100111101 +10000010000111100001001000111110000010101001100110100000010000110000011100110000100000010011010000011000111000110010001010001111 +00010110100010000010000010000110011010010010010111001100101000011100001001110100010000000000000000000000011001111000000000000000 +00000000000000000000000000000010011101100011000000110000101001000111000001001110010101011000110001000001110000101100110001100100 +00111011000101001110100011010001011100001000010010111010001001110000100110000100110000001101100100100010111000000110000110101010 +01111000010110011100110000110000100000000000000000001100001111000000000000000000000000000000000000000000000000101100000000010001 +01100100001100011001110000010111000010010010101010100100111010010101110100000010000011001001101001011000110100100110100000110010 +11010010001000100100100001000110101001100110000000000000000000000000000000000000000000000000000000000000000000010010010010000110 +01010010100011110000101011010100100001100010010110100101010101010010010011001000010101010010000001101100010001001101001100010000 +11101000010110010100010000101111000000110101100010000101000011001010100111100100100111000000000000000000000000000000000000000000 +00000000000000000000000000000100100010001010000000100000010110000100101010001010001000010010010001010001010010000110000101001000 +10100000100111000110001101010111110001101010011000101100010100100000100110000110000101011000010010000000000000000000000000100111 +00000000000000000000000000000000000000000010000001001001000010000101110110011001010011010011100010101110011001000011101010010011 +00000110110100000101110100000100100101100110000101010101010011010010101100100101010011110011101000111101000001100001001000101000 +11011010000101101100000000000000000000000011000001110000000000000000000000000000000000001001110000000100011000001001101000101000 +11000100011111001010010011110010001001100100111100100100100100000100011100000110100000101100100010100011010101110001001000001100 +00101001001111001111001010010000111001000101100011000010011000011010010011000100100011000000000000000000000000000000110001001010 +01110001001011000011001110000100001100111000000000000000000000000000000110001001000010010100100001010010010000110011010000000100 +10010000111100010001000100001001011100001010100111000100001110010100000100110010000001010010000100010100011000000000000000000000 +00001001010001000000000000000000000000000100010000000001001100000100100100011000001000011100001010010111110101000101011100110000 +01000011101100001111010000011010000101001100010111011100011001010011000110100001100101110000110010010010010001100000110110100100 +11110011000010001110011011000100101110000001101111100110000000000000000000110000011100000000011000110000111001100000000000000000 +00000000000000000000000100101000110110000000011000010101100100001100111100110010101001101000010100111100011001010110000100010101 +00111100110000001000110100100001000001001000110100001000100010011001010011000011101100010000100111000000000001100010100000000000 +00000000000000000000000000000000000000000000000110000100100100011001001011000101001010001110000100010010110010100111100000010010 +11100001001100011010000010111010000011010000111000110001000000000100011110000110011011000000000100010000100001000000000100000000 +00000000000001001000100110000000000000000000000000000000000000000001001011001100101001011001010101101010100100111101111000101010 +10000110010001100001001100010100001100101001000011110000001100011100110010010101011111000110010010100000001000110100111000000000 +00010010000001000000000001111000000100100001000110000000000000000000000000000000000000010110110101010011110011011001010101001110 +00110010011000100011010001011010000101000100001000101001001100100001100111100000100110010001001010010001100101001100000100110010 +01000110100000110000011100010000100011010100111001000011011110000000000000000001100000110000000000000000000000000000000000000000 +00000000000001111001111101000011110111011111110000011111100101101110111011111101101101110111100110110001001111110000001000011101 +10111111111100001001101010001101111111100001110110111111111111011001101001111111110000010100011111110010110000111110100001011011 +01101011101000101010000101000101000011111101111010000101000101000000000000000000000010101000000000000000000000000000000000000000 +00000000000001011011010001101110000101110111001100111000011001010001010001001001010001111110111110000110011000100111111110011101 +10011011000001111001100100011101000110101111110110011111101101111111101110010100011110100000100100100111111111110110001111000011 +10111111011110010010110110110110001111111000101101101101100100111111101111111100111000111110111111111101101110010011011111111111 +11101110001100000000000000000100010000000000000000000000000000000000000000000000000001100011101101000110110000011000111011111001 +10010001011111111001010000101000111011111111000011001100110011111000101110110011110010011100110011110000110011111010101100001111 +10110010100010100010001111001010101110101010011101100111110011001100010110011001111110010000101100100101011110011001110001000011 +00101011111101000101111110111111010101001101111111111111000000000000000000000000000000000000000000000000000000000000000000000110 +00111011010000110001110111111100000111111111011011101110111111110011001111111001101011011110001011100001111100100111100110011001 +10111011111111100011111000011101100110111101110010101011001111111110101011011001100111110011011011001100011111001000011111111001 +11111001001111010101111101110101100101011101000011111110111111110000010100011001100110000000000000000000000000000000000000000000 +00000000000000000000000000001000000110000110011110000000010110110010001001110011000100111001001000111111000100001100010011100100 +10001111110001000011000110010010011100101101100100010011100100000001001110010000000100111000000000000000000001011011111000000000 +00000000000000000000000000000000000001000110001000101001010000000000001010110010001010000010010000010001101000000000000000101011 +00000000000010000100000001001000000000000000000000000000000000000000000000000000000001001100001000000010000001110000010110100101 +10000000000000000110100001010011010000101001110001010100001000110100101000110010001011010000101001010000000000000000000000000000 +00001000011001100000000000000000000000000000000000010011000100000001000001010110000000001001101101000100100111010001100000000010 +01110000100110001001100100011000010011000100000000000000000000000000000000000000000000000000000000000000000000001000000100100011 +00000110100001000000000000100000110000011000100110000010001000001000110001011100100101010010000100000100101001001000000000000100 +10100000000000000000000000000000000000000000000000000100011000001001010001100001001110010100000000100010100111000010001010011100 +00000000101001100001100101000000000100101001001000100011100111100001000000000000000000000000000000000000000000000000000000000100 +11000100001100110010010000100001100110000011001100101001100000110010001010011000000010010100000001001000011000010011100001011000 +01000001000110000010001100000000000000000000000000000000000000000000000000000000000000000000100010000000010010010011000001001000 +00000100110000010001010100100100111000100111000010001111011000000010011000000000000000000000000000000000000000000000000000000000 +00000000000010000000110000101000001011100000000000011000110010100101000110010000110000100000000000110000101000000100011111010000 +01000000000000000000000000000000000000000000000000000000000000000000000100111110101001011100010011000011110001101001100001010100 +10110000110011100110000110010000110011110010100010000011001010011001111000111010111001011000001000010011101000101110000010110001 +10101100010010110011000111010110001001001110000010100011100110100110101001100010100000110110000110000111010000101001111000110101 +11010001011000100101011110000000000000000000000000000000000000000000000000000000100000000000101111010010010110000001000101001010 +10001100111001010011000010011001111011010010011010010101001101001011000010100101100110101100010000010011110011110011001100101000 +01001001100000110010000010010110110010001000011001001100100011110100001011100100100000000000000000000000000000000000000000000000 +00000000000000000110010000111000010101010010010000010001111000110011110001000100110011010001011001010000011000010011000111001010 +10001111100000111101001010100011001001100101110110100010010000100100000111010000011000010010001001111000111001011100010010000110 +00101000010011100000000000000000000000000000000000000000000000000000000000000001001010100010100101000100110110001001001000110010 +10011000110000101101010000111101000000100011101110010010111100110000100101100010100010100001100110100110101111100001101011010001 +10100100100010100001110010010001001111110100011001100001010100111100110000000000000000000000000000000000000000000000000000100011 +00000000000000011000100101000101001111000101001001100100101100010100011100111001001111000011101100000001100001010010011011100010 +00001001001001011010100010000110000011001100011110001010101010010001101011101000011100100001011100001001000011010111000001001110 +00101110111000001000000000000000000000000000000000000000000000000010011000000000000011010110000100011001010101011000011010000011 +00110001000011001000100000100011001000011000010100111100100110110000011000010101101010010001011111100101100100011000100110100110 +11100010001000101001110010000011011000001010101100100100000111100100000000000000000000000000000000000000000000000000000000000000 +00000001101001011011000110001001000001001011000111011101110001010000010011111001000101001100100000100000110100111001000111000111 +00011110010000100001110000010100011110010100010011010001101000111100010100110100001011101000101000111000111110000100010010110011 +10010001010000111100001011110100000000000000000000000000000000000000000000000000000000000000000100101010011010000000111010000101 +10100001010011000001100001001100010100111001001100010001010011010011110011110001010000000011001010001110000001100000100101100110 +11100010101110000100100001101010010011010010111101010011100001010110000011010010110011100100011100010000000000000000000000000000 +00000000000000000000000000000000000000010010010011110001011000100100010010110001010100110000010011010011101101110000110001100001 +11000100010011101001101000111101010001100000110100001101100101000010000110010100110000100101000110100000100101011000010101101100 +00001101001010010001010111010000010010010000110001010000010010010011000000000000000000000000000000000000000000000000000000000000 +00000010000110010101001100000001000101001010010001010000110011001000011001011100010010100110100100111000010010010010010101100111 +01001001110011001001001101000010110010000111100101001001100100111100100101110110100010010001110010101000100100110000101001110000 +10011110010100000000000000000000000000000000000000000000000000000000000000000010000110010001000111001000001000001101000110100101 +11000100101000010100000100100011100000100111000000111010000011000001000001100110010010101110001011100001101000011001011010010100 +00110001000110001001011000101000100101010110001001000011010001001001000100100000000000000000000000000000000000000000000000000000 +00000000000000000100100100000001110001000100111010101110011101001101000111000100100100010101010001111000110000100111100111100110 +10100101101111000100110001011001110000001110011000111100100010001100000110101110111000000110011010011101110000001110100010110011 +10100011100010110000011001010101001110000000000000000000000000000000000000000000000000000000000010101011000010101110000010100110 +11001010000110001001011000010010011100000011011001100010010011001000100100000111010000011100011001100000110100000111000010100010 +00101011101001000100010010011010000101001100101101110100101011000100101101110000110100000100110100001010000100100000011000100101 +00110100110100111000000000000000000000000000000000000000000000000000000000000011010000111001000100100100010000100101100101110000 +10100001100100001100001010001110010110001111001000010010010000100111100000100101100111001101101000100011000001001001001011000100 +10010101100011000110110100010011000010001101100000110000000000000000000000000000000000000000000000000000000000000000001001001001 +00011001000011001111001010101011100000110100011011011001001100001110100111101111111000010010011010010101001000010001110110010011 +01001011000100110100010101001100001001101000110100100010110110000101001101011110010000010000110010000011000101011000100100111000 +00000000000000000000000000000000000000000000000000000000010001010111011100010000000100000100111001000001100001001110000111010011 +10110101001010101111011000101110000101100100100100001001101100101010100011010010010010010001011000010010000100010110010101000001 +00010100011101111010010011001010000111000000111100110000000000000000000000000000000000000000000000000000000000000000000111100110 +10010100011011111111001101111110000110000110001011101110001000011111101011110001000011001111111111111011010101111111111000111111 +01101111100110100110110000011111101010100111111011010110101110101000100111011010001001100111111011011101111010000111111111011010 +00100011011111111111111101100001111111001110100001111111100101000011111011111010000000000000000000000000000000000000000000000000 +00000000000000100010111101110001001001110111111110111011111000011101111110001010001010010011100010001100001100011110011001011001 +10011111111111110111100001100110101010101001001010001101100110100011110000111111000011111011001010001100000110100011111010100001 +00100110100011111001111111000111100000111111011111110010011111110001111011101100011101000111100110010010011111101111101111111100 +01110100011111100001001001010001111111011100011000000000000000000000000000000000000000000000000000000000000000100010110100010100 +11101101001111110111110000111010100011111101111001001111011100111111000010001001111001100100101110011001111100110011000100111010 +11110110010011011001100111000111101110111110000111110110011111111101100001101011111110111110101000100101111110011011110000000110 +00001111111101110011011111111110011001100011111110111011101010011001001111110111101111011001101011100111111011111111001101000100 +00000000000000000000000000000000000000000000000000000000000000011010001000011111100001101110111100110111100011110100010000111110 +11101110111000001110111011111100000001010001010000111010111110100001100111111111011001110011010100011101010101000011010001111011 +10101010001111111100011011001101111000000011011101111111100000010100011111100001001101111101110110010000110000111111100111110011 +00101101010101111010101001010001111011101000000000000000000000000000000000000000000000000000000000000010110110010000001100010011 +10110001110000010001011011001001000111111000000001011011001000100111001011100100011111100010000100000010001111110000000010000000 +10011100101110001110000100001000000010011100000000000000000000000000000000000000000000000000000000000000000010010000001001010010 +01010000000000000101011001000101000110100101000000000000001001000100001000000000000000010001110000000000001001100100001100110000 +00000000000000000000000000000000001010101001110000000100001100110100011000000000011000010010000111100001011010010110000010000001 +00101000000100101000000000000000000011001000101010010000010011111011000000010011000000000000000000000000000000000000100110001001 +10000011001010000010001110001110011110001100010001100000000000010010100100001011000101000100100000000100100100110010001000000000 +00000000010011000100000100111000001001110100000000000000000000000000000000000000011010101001101000001001000100000010001100010000 +10100110000100001000000000001000000000110100000100000000000010001010011100000000000000011000110010000100110000000000000000000000 +00000000000000000000000000000000000010010100000000000010101100000000000000000100001100101001001000000000000000000001000110000000 +01100111000000000000000000000000000000000000000000001000110000000010000110011010011000000001001110100001110100010000001110000010 +11010000100100110001000011011100000000000000000000000000000110010001010011000011000011110110011110010011100110100100100000000000 +00000000000000000000000000000000000100011001001100000000000100111001000100001111001000010000101100010100101111100110000110000110 +11001010010011000000000000000000001001100001101001111000010110110011101000000000000000000000000000000000000000000010011000000000 +01010111001001001000001010110100010000100000100011000010000110000110001100110100000110001010011110010001010100000000000000000000 +00000110001100100001101110000000010000100000100010000000001001100000000000000000000000111000001010011110010001001010010001010001 +10010010110010011000101001001101000011101010001011100000101110010100110000100110000111011000010100010011000010101000011101000010 +01000100100011110001100001001010000100011100101100001100111010000101001100010000010010110000100000000000100010010101000000000000 +01100111000110001100000000111000000100000001000110000000000000001000000000000010001001001110100101011100000101001100001110100000 +11000110011001111000011000001011110100111010001100100100100110100011001011000100000100000111000010010010001100101000111100100001 +00111110001100101001001100011000100100001000010001001100000000000010000010000000000110000011011000011110011001111001001110000000 +00000000000000000000000000000001001000100101000100000100101100101100100001100000110100010101010001101100011100011110010110110000 +10000100110001001101110001000100100011100110001001110100010110010100000010011110010110010110000000100010001001011001001001110000 +00000000000000110100111100000000000000000000000000000000000000010010001000110100110010010010000111110000000100111011100000100100 +11111001001010010010110001111001100101001011001101010101000001001101100010100011100110010100101110010010111000101001100100001000 +01000011101001001101111100110100100010001010001001000110010000101010101010100000000000000000000001100111100000000000000000000000 +00000000000000000000000000010011001000101111100000100100100100010011110000010001110001110111110011101110000010110100010011001000 +10100011100111010000110000011100001001001001001101000011110100000110000100100101010000100001100001000100001000000000000000111000 +00010100111000110000111100110011100000000000000000000000000000000000000000000000000010011001010111110000001010011110010001000001 +00111110001001001000111000001111000010100100100111100011100011110100000111010001001110000101100111000100101100110010010010010010 +01111100011000110000110010011000011001001000100110000000000000001000100001100000111000000010010101010010000010000110011100000000 +00000000000000000000000000000001100001001010000110011010000101001000110000101001001100000011000010101001100100111100000011100001 +00101010000100100001100101000011001001000111000100010001100001100011000000000000000010010000001000000000001001110000000000000000 +00000000000000000000000000000001000111001010100010110000101010011101001111000101011001110000010000100001100011101011100110001000 +11110001010010000110001110111101000111100100100100001010011001100101000010000010001111101000000011001010011111000000100111000000 +00000001000010000000000001000101111001000000000000000000000000000000000000000000000100000101001010111000100101010100101001100100 +01110000010001111100010011001101000011001010110001101011010000101010101001111001010110000100111011001101001100100101000100111100 +10110000001100001001100011100001000100100010000100000000000000000010001011110000000000000000000000000000000000000000000100000000 +00010000110010100110000100100000000001101000001111000000110010110000010010110001010111000100011100111011110000001000101001000100 +00101001100100100001001100100001100011100100000100001111001100000100111100011100101111010000000000000000000000000000000000001000 +01100111000000000010000110011100000000000001000010000000000000000110001001000100100100001100001100110101010100110010000011001000 +10100111100100100110001100001010101001100001011110000000100000100000010000000011000110001001101001100010010010011110011110011110 +00100110001010101001001001110000000000000000000001000100000000000000000000000000000000000000000110000101000100100000010000010011 +11000001000111100001101011000100101101000100100010100010101110101111010011010001001000001001110101010011010001001000111011110010 +00110100001100101100100100100011010010101100100101000101011001001100000000000000000000000011111000000010010101001100000000000000 +00000000000000000011000010011001101000110000010011001110011000100110001010111001011100001100111010000010001001000011000011001011 +11001000110010101100100100110001001010001110000111000011000110010101001001100001101000010110001001010001100010001110000100000110 +00100101010010000000000001001010010001001101011000111000000111110000000000100111000010010000000000000000000000000000000000100011 +10011001001100001101001010110110000000010100101110000010100111100100010001110010010011111100000100001000001001011001110100000001 +11000010000001000110111000100000100110100101100011100010010011001000011001101000100000000000001001000010010000010011000000000100 +01101010010000000000000000000000000000000010011100101001000100000010011100110001010011100100101100011000110010010001001111000011 +00000110100010110010100011000010010010100110010001000101001101000110100000100001010010010011010011001000110000000100100111010000 +01001110000000000000100100100101000000000000000000000000000000000000000000000001001000110001010001001010001000100010001101001101 +10001010010000110011010011110101011010000011000101001001101000011100110001001101001010010010001011101000001110001011010010010000 +01100100100110010000101001000011001001001100001001010011110011001000001101000001000000000000000100101100010011100001001100000110 +00000000000000000000000000000000000000000000000000110110011010100000000111111000000101000100001110011111111001000100110101111110 +10000111110111011110100000101000110111111101001101010000111111001110100001000001010000110111011111111100000010100001111111110101 +00001010000011110111011111110000001011000000000000000000000100110000000000000000000000000000000000000000000000000100100111110111 +01100110011000100100110010001010111111000011001111111110111010000010010011000110011100101111100011110100110011111111011001001111 +10111010100010001111011111111010001001001100001001111111110110001111001100111111111110001101111111100011110111011111000011111011 +00111000100011110111011001011010001110111011100100111011111100101000111100110000110011100110001100000000000000000000000000000000 +00000000000000000000000000000000001110101010110011001110001100010100011110100001000100010010001011110101000101000010100011111100 +00100010011001100110110010010011100010001000101010000100100111111010011101110111000101111111110101000100101111110111110110110001 +11010001110101010011111100010011110111011110101010001001000000000000000000000000011110000000000000000000000000000000000000000000 +00011011001101010001010100001110011001111101110101100000111100111100001100000111001100001110111111110111111101111111000111101000 +00110011001111100010000111001010011100010000111111111010011110000111111100001110011001011111111100011110011101111111100100110111 +11011011111001100010100000101000111100111100000000000000000000000000000000000000000000000000000000000000000000000000000000101101 +10010001110000100001000000010011100101101100100010011100100001001001110010110110110010001010011000100000001001110010000000100111 +00000000000000000000101101111100000000000100110010000101000010000000000000000000000000000000000000000000100100000000100011000100 +10100000000000011001100010000000000000000100001000000000000000110010010001001110000000000100111001100001010100110000000000000000 +00000100011000000000000000000000000000001001100100001110100100100000000001000011001100110001001000100001100110000000000000000000 +00000000001000011101000010000000001101110000010011011010001000000000000000000000000000000000000000110010100000001001001001100000 +00000010001110011000010011010001010011100010011001100100100000100110000000000000000000000000000001001100010000010011100000100111 +10000010000000000000000000000000010010100000000000000000000010011000000000000010010000100000000001000000001001110100000000000000 +00001000000000000000000001100100101110000101000010011000001001011000010000000000000000000000000000000000000000110001100000000100 +10100001001011000110001011111100111000000101011000000100000000001000010000000000000000000000000001100100100000000110011110000100 +01100000000000000000000100011000000100011000000000010001110011001000011001101001110001000011001100010011000000001000011001100000 +10000110011010000101001110100001100110010011000000010011000001001100000000000000010000110011000011000011110000000110011110010011 +10100110100110000000000000000000010010100000000000000000010010100010001110011010100100000000100110011001000011001100001001001001 +10000100110100010000010011010000010010010001100000100100000010010000000000000000010011000011010011110000010000110011110000010000 +00000000000000000000000000001001010000000000100110000001001001000110000100011001000001000111001101000011001000001001011001000010 +00000011100001000010000000010011000000000000000010110100000000011001001010000110111000000100001000000000000001000000000000000000 +00000100111000000000010001010001000001110110000001001100100011010001010000110100110111100010000100000010101100000000000000000000 +00000000000000000000000001100111100110001100000000100000000000000000000000000000010001000000000001000011000001100100010111000001 +01010010100011001001110100000111000100001101100000100011000010011010001100000010001000000100010100000000000000001001100000000000 +01000001000010000110000011011000011110000110011110010011101000011001110000000000000000000000000000000000000001000100100011110100 +01001001110010110001000100001001111000110110100001000010001110000100000000000000000000000000000000000000000000110100111100000000 +00000000100010000000000000000000000000000000110010000111000001101001010001000001000011000110001000001001101100010011100101000100 +01100000001001110000010011100000000000100011000000000000100010000000000001100111100000000000000000000000000000000000000000000010 +00011001111001011000011001100011000100100010010001001110011011000001001100001000111001111101000001000000000000000000000000000000 +00000000000001100001111000110011100000000100111000000000000000000010001100000000000000001001010110000011010111110001100100000110 +01001101001100000110010010110000011000111000001101000001010010000000000000000000000000000000000000000000011000001110000000100101 +10011100000000000000000000000000000000000000000100100010010110011000010010100100111000110000100111000110001001100100000100110110 +01000101110000100100001001010010001001110100010100111001010010000000000000000000000000000010000000000000000100100000100010000000 +00000000000000100110000000000000000000101011101011111010000000101100110010100110011000100101010010110000001111010000100001100011 +10001110000110110100101011001000010000010110100000000000000000000000000000000000001111101000010010000000000000000000000000000000 +00000010010000010000100000010010011000101001000101000011000000010001011000010101000011010100001000001110000100010010000100010010 +01110100000010001001001110000000000000000000000000010010010011100111000010011110000000000000100101000000000000000000000000000000 +00000100101000000111001011011100100101010010001000011001101000100010000000010000110010101110101000101110101010011101000000100110 +01000110001001100110000110000000000000100001100111000000000001001100110010010000000000000000000011001010000100001100111000000000 +00000000000000000000000000100101110100000110011100111010000110010101000010010001110010110011110011100100010100000100101111000010 +00001000110100110100111100010010001101001101010010000000000000000000000010010000000000000100000000000000000101100000000000000000 +00000000000000010011010001010101110001010010100001100001110010011101001011100101010110011101010010110000010011001100101000100000 +10010011110000001000111110000101100100011001000100001001000100101100111000000000000000000000000000010001000000000011110000000000 +11010000010000001001000000000000000101001000000000001000100001000111001011001011010011000011001101000011011001010110000010010111 +00111010100001100111010011101011001011100000100010010011101000001010011000100100111000000001000000000000000000000001011000010000 +01111000000000000010011101000111100011000010000100000000000000000000000010011100000001000111001110011110000110010000111100011001 +00011000110010011011010000100100101001001110100011000100010010001110000010010101001100110000110010000000100110000000000000000000 +00000000000100010010011001001100000010000111100001000110001100000000010010100000000000000000000000001001100010000100010011010011 +00100000010011100010010001000101000100100111000100011010011001101010100100011100011010011001100010100100010111000100001000101111 +00100000000001000010000000000000010001000100110001100011000000000010010001001101001100100111000000000000000000000001000100000000 +01101001100100111000100110100011101011100000111010000001001101000101110101001101010100001100111010001110000011011100001000110100 +11000110000101010000110011110000111001001011001000100101100111001001000100101000000000000000000000001000000010010010001001001111 +10000011000000000000000000000000000000000000000000000000000001010001111111011011111111001111101111011100010001111111010010100011 +11011101010100011111101110110000110111110011000111000001110101101101100110111111111011000010011110111111111111110111100100101101 +11111111111111101101001001111011111111111111011110010010110111111111111111101010010000000000000000000000000000001001100000000000 +00000000000000000000000000000000000001111111110101000100100111001100010100010001101111111010100100111001100111110111011000111111 +11101101001001100001111110100010010001111100010001011011001000110011111011000011011110111100110011110111101100001101111100110011 +11101100001101111011110011001111011110110000110111110011001111101000110000000000000000000000000000000000000000000000000000000000 +00000000000000000000010001111110111110110110111011011111111000101100001100111111110001110011001111111100101010001100110011100100 +11011001101010000110101010111111101010101000110111111111000011011110111100110011110111101100001101111100110011111011000011011110 +11110011001111011110110000110111110011001111111000110000000000000000000000000000000000000000000000000000000000000000000000000000 +00000110000101111010111110110000110011011011110110000110011111111000101000111111100101100110011110011011101111111110111011111101 +00110110101111101111011111111111110011010000011110111111111111110111100000101101111111111111111011010000011110111111111111110111 +10000010110111111110100000000000000000000000000000000000000000000000000000000000000000000000000000001011011001000100111001011011 +00100010011100100000010001111110001000010110110010001001110100000111101001110010010001111110001001101101000100000111101001110010 +01000111111000100110110100010000011110100000000000000000000000000000000010110111110000000000010000000000000000000000000000000000 +00000001000001010011010010000000001001001010011001010000000000000000000010000000000000100111000000000000000000000000000000100110 +01000011001100000000000000000000000010000100000000000010000100000000000001001000000000000000000000000100110100101000000000010010 +11001100000000000000000000000000001101100000001101000100000000000000000000000000000000000000000000000000100010000000000000000000 +00000010111110010000001000010000010101010010000000000000000000010011100000101111010000000000000000000000000000010000100000000000 +00000000000001101000001000000000000000000000010001110000100000000000010010000000000000000000000000000000000000000000000000001100 +10010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111100001000011001100000000 +00000000000000010000110011000000000000000000000000000000000000000000000000000000000000100010000000000000000000000110000111100000 +01100111100100111001001100000000000000000000000010011000000000000010010000000000000000000000000000000000000000000000000000000000 +00000000000011000011110001011011001110100000000000000000000000000001100100101000000000000100010111010000000000000000000000000000 +00000000000000000000000100000000000000000000000000000000010000100000000000000000000000000000000000000010001101001100000000100100 +10001110011001001110000000000000000000100100000000000000000000000000000000000000011001111001100011000000001000000000000000000000 +00100001000010000000000000100101010010000000001000010100011010010100000000000000000001001111100101000000000000000000000000000000 +00000010000111000011110001100111100101111000000000000000000000000000000000000000000000000010010111010001000101010000000000000000 +00000000000000000000000000000000000100110000011000011110000000000000000000000000000100001000000000000011001001000101111000000011 +10000010000010110000000000000000000000000000000000000000000000000001001000000000011001111000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000010011100000000000000000100111010011101000100110000111100001100111000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100010000000000000010010100000000 +00000000000000000000000000000000000000000000000000000000000000000000000000001001000000001000011001110011001010000000001001001010 +10001010110000000010011100000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000 +00000000000000000011110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +01001010100010000100110100110000001001000000000000000000000000000000000000000000000000000000000000100010000100001100110000000100 +01000000000000000000000000000000010111100000000000000000000000000000000000000000000000000000000000010101100000000010000000000000 +00010000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000100111001000110000000001111100000001000110100110000000000000000000000110010100000000000000001 +00110000000010000001000110101010000000000000000000000100011011010110000000000001000110100110000000000000000001001100000000100111 +00000000000000000000000000001001110000000001101100100011000110000000000000001000110000000000000000000000000000000010010100000000 +00000000010001101001010000100101000010001100000000000000000000000100100000000010001000000000000100010010011000000000000000000000 +00000000000000000100100000000000000000100100010111100000001100011000001100111000011101000000000000000000000000001100010100000000 +00001001111000100100111000000000110100010001110100000100110000000000000000000001001000100111000000000001010100000000000000001010 +01001000010000000010111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000100001000000000000000000000001000010000000000000000000000000000000000000000000 +00010000100000000000000000000000100001000000000000000000000001100110010000000000000000000000001100110010000000000000000000000001 +00001000000000000011111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000010000001001111100001001100101000000000001001011000010000000000100101100001000000000000 +00000000001001011000010000000000100101100001000000000000000000000000000000000000000000000001000111101100000000000000100000010011 +00000000001000000100110000000000000000000000001001100000000000010011000000000000000000000000000000000000000000000000000000010111 +01110000010100000000000000010011110000100000000001001111000010000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000010000001001110000000000100001000100101000000010000100010010100000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000001011101101000001100110000000011000001101100011000100000111111100111111000000000000110000011 +01100011000100000111111100111111000000000000000000000000100010100100110001100111101000011110100000000000010001010010011000110011 +11010000111101000000000000000000000000000000000000000000000000000000011000110011111100001111110000000000001100000110110001100010 +00001111111001111110000000000001100000110110001100010000011111110011111100000000000000000000000000110001100111111000011111100000 +00000000011000110011111100001111110000000000000000000000000000000000000000000100111000000000000000001001110000000000000000000000 +00011000001101100011000110001100111100000011001110000000000000110000011011000110001100011001111000000110011100000000000000000000 +00000000000000000000000000000000000000000000000000000000000001000011000111000111001111001110110000011011000110001100011001111000 +00011001110110000011011000110001100011001111000000110011100000000000001100000110110001100011000110011110000001100111000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000100000100011000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000100001100011000000000000000000000000000000001001010000000000000001110001 -01000000111010011010011011111111111111111111111111111111111111111111111111111111111111111100001010000000000000000000000000000000 +01000000010010110000000111111111111111111111111111111111111111111111111111111111111111111100001010000000000000000000000000000000 00000000000000000000000000101010101001110010001000000000000000000000000001000000000000000000000000000000111111111111111111111111 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101011110000000000000000000000000 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 * NOTE END CONFIG DATA* -L53120 +L52352 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 @@ -1432,10 +1432,10 @@ L171648 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 * -CAE1F* +C863D* NOTE FEATURE_ROW* E0000000000000000000000000000000000000000000000000000000000000000 0000010001100000* NOTE User Electronic Signature Data* UH00000000* -571D +557C diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.mrp b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.mrp index 215f889..27e4f2a 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.mrp +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.mrp @@ -9,25 +9,26 @@ Command line: map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial RAM2E_LCMXO2_640HC_impl1.ngd -o RAM2E_LCMXO2_640HC_impl1_map.ncd -pr RAM2E_LCMXO2_640HC_impl1.prf -mp RAM2E_LCMXO2_640HC_impl1.mrp -lpf //Mac/iC loud/Repos/RAM2E/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify. - lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui + lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui -msgset + //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml Target Vendor: LATTICE Target Device: LCMXO2-640HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469 -Mapped on: 06/07/24 20:50:09 +Mapped on: 07/12/24 16:08:05 Design Summary -------------- - Number of registers: 125 out of 877 (14%) - PFU registers: 103 out of 640 (16%) + Number of registers: 124 out of 877 (14%) + PFU registers: 102 out of 640 (16%) PIO registers: 22 out of 237 (9%) - Number of SLICEs: 148 out of 320 (46%) - SLICEs as Logic/ROM: 148 out of 320 (46%) + Number of SLICEs: 145 out of 320 (45%) + SLICEs as Logic/ROM: 145 out of 320 (45%) SLICEs as RAM: 0 out of 240 (0%) SLICEs as Carry: 9 out of 320 (3%) - Number of LUT4s: 295 out of 640 (46%) - Number used as logic LUTs: 277 + Number of LUT4s: 288 out of 640 (45%) + Number used as logic LUTs: 270 Number used as distributed RAM: 0 Number used as ripple logic: 18 Number used as shift registers: 0 @@ -51,53 +52,54 @@ Design Summary 2. Number of logic LUT4s does not include count of distributed RAM and ripple logic. Number of clocks: 2 - Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M ) + Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M ) Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 ) Number of Clock Enables: 13 - Net N_117_i: 2 loads, 0 LSLICEs - Net RWBank14: 11 loads, 11 LSLICEs + Net N_347_i: 2 loads, 0 LSLICEs + Net RWBank14: 10 loads, 10 LSLICEs Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs - Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs - Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs Page 1 -Design: RAM2E Date: 06/07/24 20:50:09 +Design: RAM2E Date: 07/12/24 16:08:05 Design Summary (cont) --------------------- - Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs - Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs - Net un1_CKE48_0_i: 6 loads, 6 LSLICEs - Net N_389_i: 2 loads, 0 LSLICEs + Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs + Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs + Net un9_VOE_0_a2: 1 loads, 1 LSLICEs + Net un1_CKE48_i: 6 loads, 6 LSLICEs + Net N_346_i: 2 loads, 0 LSLICEs Net Vout3: 8 loads, 0 LSLICEs - Number of LSRs: 8 - Net N_148: 2 loads, 2 LSLICEs - Net N_430_i: 2 loads, 0 LSLICEs + Number of LSRs: 9 + Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs + Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs Net RC7: 2 loads, 2 LSLICEs - Net S[2]: 2 loads, 2 LSLICEs + Net S[1]: 1 loads, 1 LSLICEs Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs - Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs - Net N_530: 1 loads, 0 LSLICEs - Net N_301_i: 1 loads, 1 LSLICEs + Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs + Net N_523_1: 1 loads, 0 LSLICEs + Net N_727_0: 1 loads, 1 LSLICEs + Net RATc_i: 1 loads, 1 LSLICEs Number of nets driven by tri-state buffers: 0 Top 10 highest fanout non-clock nets: - Net S[2]: 60 loads - Net S[3]: 48 loads - Net S[1]: 46 loads - Net S[0]: 31 loads - Net FS[11]: 24 loads - Net FS[10]: 23 loads - Net FS[12]: 23 loads - Net FS[9]: 23 loads - Net FS[13]: 21 loads - Net FS[8]: 18 loads + Net S[2]: 51 loads + Net S[1]: 44 loads + Net S[3]: 40 loads + Net S[0]: 32 loads + Net FS[8]: 29 loads + Net FS[9]: 26 loads + Net FS[10]: 25 loads + Net FS[11]: 23 loads + Net FS[13]: 20 loads + Net ram2e_ufm.wb_rst13: 17 loads @@ -121,21 +123,22 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will WARNING - map: IO buffer missing for top level port nWE80...logic will be discarded. -IO (PIO) Attributes -------------------- -+---------------------+-----------+-----------+------------+ -| IO Name | Direction | Levelmode | IO | + + Page 2 -Design: RAM2E Date: 06/07/24 20:50:09 +Design: RAM2E Date: 07/12/24 16:08:05 -IO (PIO) Attributes (cont) --------------------------- +IO (PIO) Attributes +------------------- + ++---------------------+-----------+-----------+------------+ +| IO Name | Direction | Levelmode | IO | | | | IO_TYPE | Register | +---------------------+-----------+-----------+------------+ | RD[0] | BIDIR | LVCMOS33 | | @@ -189,19 +192,19 @@ IO (PIO) Attributes (cont) | BA[1] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ | BA[0] | OUTPUT | LVCMOS33 | OUT | -+---------------------+-----------+-----------+------------+ -| nRWEout | OUTPUT | LVCMOS33 | OUT | -+---------------------+-----------+-----------+------------+ Page 3 -Design: RAM2E Date: 06/07/24 20:50:09 +Design: RAM2E Date: 07/12/24 16:08:05 IO (PIO) Attributes (cont) -------------------------- ++---------------------+-----------+-----------+------------+ +| nRWEout | OUTPUT | LVCMOS33 | OUT | ++---------------------+-----------+-----------+------------+ | nCASout | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ | nRASout | OUTPUT | LVCMOS33 | OUT | @@ -255,19 +258,19 @@ IO (PIO) Attributes (cont) | Din[4] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[3] | INPUT | LVCMOS33 | | -+---------------------+-----------+-----------+------------+ -| Din[2] | INPUT | LVCMOS33 | | -+---------------------+-----------+-----------+------------+ Page 4 -Design: RAM2E Date: 06/07/24 20:50:09 +Design: RAM2E Date: 07/12/24 16:08:05 IO (PIO) Attributes (cont) -------------------------- ++---------------------+-----------+-----------+------------+ +| Din[2] | INPUT | LVCMOS33 | | ++---------------------+-----------+-----------+------------+ | Din[1] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[0] | INPUT | LVCMOS33 | | @@ -321,19 +324,19 @@ Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped. -Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped. -Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped. -Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped. Page 5 -Design: RAM2E Date: 06/07/24 20:50:09 +Design: RAM2E Date: 07/12/24 16:08:05 Removed logic (cont) -------------------- +Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped. +Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped. +Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN3 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN2 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN1 undriven or does not drive anything - clipped. @@ -388,15 +391,13 @@ Block ram2e_ufm/ufmefb/GND was optimized away. - - - Page 6 -Design: RAM2E Date: 06/07/24 20:50:09 +Design: RAM2E Date: 07/12/24 16:08:05 + Embedded Functional Block Connection Summary -------------------------------------------- @@ -446,7 +447,7 @@ Run Time and Memory Usage ------------------------- Total CPU Time: 0 secs - Total REAL Time: 0 secs + Total REAL Time: 3 secs Peak Memory Usage: 59 MB @@ -456,7 +457,6 @@ Run Time and Memory Usage - Page 7 diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.pad b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.pad index a54616e..046c390 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.pad +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.pad @@ -6,7 +6,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.39 -Fri Jun 07 20:50:19 2024 +Fri Jul 12 16:08:27 2024 Pinout by Port Name: +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+ @@ -283,5 +283,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:23 2024 +Fri Jul 12 16:08:36 2024 diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.prf b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.prf index 1183bd6..5da161c 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.prf +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.prf @@ -1,5 +1,5 @@ SCHEMATIC START ; -# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jun 07 20:50:09 2024 +# map: version Diamond (64-bit) 3.11.3.469 -- WARNING: Map write only section -- Fri Jul 12 16:08:06 2024 SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ; LOCATE COMP "RD[0]" SITE "36" ; diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.srr b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.srr index 397305b..7b809be 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.srr +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.srr @@ -3,7 +3,7 @@ #OS: Windows 8 6.2 #Hostname: ZANEMACWIN11 -# Fri Jun 7 20:50:00 2024 +# Fri Jul 12 16:07:07 2024 #Implementation: impl1 @@ -52,6 +52,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0 @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\REFB.v" (library work) @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-ON.v" (library work) Verilog syntax check successful! +File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling Selecting top level module RAM2E @N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work. Running optimization stage 1 on VHI ....... @@ -77,12 +78,12 @@ Running optimization stage 2 on EFB ....... Running optimization stage 2 on VLO ....... Running optimization stage 2 on VHI ....... -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) +At c_ver Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:04s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:00 2024 +# Fri Jul 12 16:07:12 2024 ###########################################################] @@ -102,13 +103,14 @@ Implementation : impl1 Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\layer0.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:00 2024 +# Fri Jul 12 16:07:12 2024 ###########################################################] @@ -118,12 +120,12 @@ For a summary of runtime and memory usage for all design units, please see file: @END -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) +At c_hdl Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:05s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:00 2024 +# Fri Jul 12 16:07:12 2024 ###########################################################] @@ -143,18 +145,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\|impl1 Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:02 2024 +# Fri Jul 12 16:07:15 2024 ###########################################################] -Premap Report - -# Fri Jun 7 20:50:02 2024 +# Fri Jul 12 16:07:17 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -183,26 +184,26 @@ Printing clock summary report in "\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\im @N: MF248 |Running in 64-bit mode. @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) +Design Input Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) +Mapper Initialization Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB) +Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB) +Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB) @N: MF284 |Setting synthesis effort to medium for the design @W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. @A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP. @N: MH105 |UMR3 is only supported for HAPS-80. @N: MH105 |UMR3 is only supported for HAPS-80. -@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. +@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. syn_allowed_resources : blockrams=2 set on top level netlist RAM2E -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) +Finished netlist restructuring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) @@ -212,7 +213,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -227,7 +228,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - @@ -247,14 +248,14 @@ Number of ICG latches not removed: 0 #### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[ -2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s) +2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s) 0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) 0 instances converted, 0 sequential instances remain driven by gated/generated clocks =========================== Non-Gated/Non-Generated Clocks ============================ Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------- -@KP:ckid0_0 C14M port 121 PHI1r +@KP:ckid0_0 C14M port 120 PHI1r @KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0] ======================================================================================= @@ -264,27 +265,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I @N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized. Finished Pre Mapping Phase. -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Starting constraint checker (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker preprocessing (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) None None -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) Pre-mapping successful! -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 58MB peak: 143MB) +At Mapper Exit (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:00s; Memory used current: 58MB peak: 143MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Jun 7 20:50:03 2024 +Process took 0h:00m:10s realtime, 0h:00m:01s cputime +# Fri Jul 12 16:07:28 2024 ###########################################################] -Map & Optimize Report - -# Fri Jun 7 20:50:03 2024 +# Fri Jul 12 16:07:31 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -324,7 +323,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h @N: MF284 |Setting synthesis effort to medium for the design -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) +Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) Available hyper_sources - for debug and ip models @@ -333,61 +332,61 @@ Available hyper_sources - for debug and ip models @N: FX493 |Applying initial value "00000000" on instance RWBank[7:0]. @N: FX493 |Applying initial value "0000" on instance S[3:0]. -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) +Finished RTL optimizations (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) @N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0] -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) +Starting factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) +Finished factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Starting Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished preparing to map (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB) -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology mapping (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------ - 1 0h:00m:01s 6.90ns 281 / 125 + 1 0h:00m:01s 6.90ns 280 / 124 -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished restoring hierarchy (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB) -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) +Start Writing Netlists (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:02s; Memory used current: 122MB peak: 160MB) Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB) +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB) Writing EDIF Netlist and constraint files @N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1.edi N-2018.03L-SP1-1 @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB) +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Start final timing analysis (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB) @W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-640hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @N: MT615 |Found clock C14M with period 69.84ns @@ -395,7 +394,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0 ##### START OF TIMING REPORT #####[ -# Timing Report written on Fri Jun 7 20:50:06 2024 +# Timing Report written on Fri Jul 12 16:07:45 2024 # @@ -420,9 +419,9 @@ Worst slack in design: 6.897 Requested Estimated Requested Estimated Clock Clock Starting Clock Frequency Frequency Period Period Slack Type Group ---------------------------------------------------------------------------------------------------------------------- -C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup +C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0 -System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup +System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup ====================================================================================================================== Estimated period and frequency reported as NA means no slack depends directly on the clock waveform @@ -437,9 +436,9 @@ Clocks | rise to rise | fall to fall | rise ---------------------------------------------------------------------------------------------------------------- Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------------- -System C14M | 69.841 67.088 | No paths - | No paths - | No paths - +System C14M | 69.841 66.719 | No paths - | No paths - | No paths - C14M System | 69.841 68.797 | No paths - | No paths - | No paths - -C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths - +C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths - RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp - RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths - ================================================================================================================ @@ -468,10 +467,10 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------- -S[2] C14M FD1S3AX Q S[2] 1.366 31.599 -S[3] C14M FD1S3AX Q S[3] 1.345 31.619 -S[1] C14M FD1S3AX Q S[1] 1.344 31.800 -S[0] C14M FD1S3AX Q S[0] 1.305 31.838 +S[2] C14M FD1S3AX Q S[2] 1.353 31.675 +S[3] C14M FD1S3AX Q S[3] 1.337 31.691 +S[0] C14M FD1S3AX Q S[0] 1.319 32.182 +S[1] C14M FD1S3AX Q S[1] 1.344 32.656 RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707 RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707 RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771 @@ -484,21 +483,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771 Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599 -VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800 -Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634 -=================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +---------------------------------------------------------------------------------- +VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675 +RAT C14M FD1S3IX CD RATc_i 34.118 32.147 +Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647 +================================================================================== @@ -512,9 +511,9 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 34.118 - - Propagation time: 2.519 + - Propagation time: 2.442 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 31.599 + = Slack (non-critical) : 31.675 Number of logic level(s): 1 Starting point: S[2] / Q @@ -522,16 +521,16 @@ Path information for path number 1: The start point is clocked by C14M [rising] on pin CK The end point is clocked by C14M [falling] on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------- -S[2] FD1S3AX Q Out 1.366 1.366 - -S[2] Net - - - - 58 -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 - -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 - -N_530 Net - - - - 3 -VOE_i_0io OFS1P3IX CD In 0.000 2.519 - -============================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------- +S[2] FD1S3AX Q Out 1.353 1.353 - +S[2] Net - - - - 50 +ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 - +ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 - +N_523_1 Net - - - - 2 +VOE_i_0io OFS1P3IX CD In 0.000 2.442 - +======================================================================================= @@ -620,7 +619,7 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313 @@ -635,21 +634,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7] Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------- -ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736 -ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736 -======================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719 +ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736 +ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +=============================================================================================================== @@ -663,30 +662,33 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 69.369 - - Propagation time: 2.282 + - Propagation time: 2.650 - Clock delay at starting point: 0.000 (ideal) - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 67.088 + = Slack (non-critical) : 66.719 - Number of logic level(s): 2 + Number of logic level(s): 3 Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO - Ending point: ram2e_ufm.RWMask[0] / SP + Ending point: ram2e_ufm.wb_cyc_stb / SP The start point is clocked by System [rising] The end point is clocked by C14M [rising] on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ----------------------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - -wb_ack Net - - - - 5 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 - -un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 - -N_104 Net - - - - 8 -ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 - -================================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - +wb_ack Net - - - - 4 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 - +un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 - +un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 - +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 - +un1_CmdBitbangMXO212_1_i[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 - +=============================================================================================================== @@ -695,16 +697,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In Timing exceptions that could not be applied None -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Finished final timing analysis (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Finished timing report (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) --------------------------------------- Resource Usage Report Part: lcmxo2_640hc-4 -Register bits: 125 of 640 (20%) +Register bits: 124 of 640 (19%) PIC Latch: 0 I/O cells: 70 @@ -713,7 +715,7 @@ Details: BB: 8 CCU2D: 9 EFB: 1 -FD1P3AX: 58 +FD1P3AX: 57 FD1P3IX: 1 FD1S3AX: 31 FD1S3AY: 4 @@ -726,15 +728,16 @@ OB: 41 OFS1P3BX: 6 OFS1P3DX: 12 OFS1P3IX: 3 -ORCALUT4: 275 +ORCALUT4: 268 +PFUMX: 10 PUR: 1 VHI: 3 VLO: 3 Mapper successful! -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 164MB) +At Mapper Exit (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 163MB) -Process took 0h:00m:03s realtime, 0h:00m:03s cputime -# Fri Jun 7 20:50:07 2024 +Process took 0h:00m:15s realtime, 0h:00m:03s cputime +# Fri Jul 12 16:07:49 2024 ###########################################################] diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html new file mode 100644 index 0000000..36b4344 --- /dev/null +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html @@ -0,0 +1,152 @@ + +Bitgen Report + + +
BITGEN: Bitstream Generator Diamond (64-bit) 3.11.3.469
+Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
+Copyright (c) 1995 AT&T Corp.   All rights reserved.
+Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
+Copyright (c) 2001 Agere Systems   All rights reserved.
+Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
+Fri Jun 07 20:50:26 2024
+
+
+Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC -w -jedec -gui RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf 
+
+Loading design for application Bitgen from file RAM2E_LCMXO2_640HC_impl1.ncd.
+Design name: RAM2E
+NCD version: 3.3
+Vendor:      LATTICE
+Device:      LCMXO2-640HC
+Package:     TQFP100
+Performance: 4
+Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.11_x64/ispfpga.
+Package Status:                     Final          Version 1.39.
+Performance Hardware Data Status:   Final          Version 34.4.
+
+Running DRC.
+DRC detected 0 errors and 0 warnings.
+Reading Preference File from RAM2E_LCMXO2_640HC_impl1.prf.
+
+
+Preference Summary:
+
++---------------------------------+---------------------------------+
+|  Preference                     |  Current Setting                |
++---------------------------------+---------------------------------+
+|                         RamCfg  |                        Reset**  |
++---------------------------------+---------------------------------+
+|                     MCCLK_FREQ  |                         2.08**  |
++---------------------------------+---------------------------------+
+|                  CONFIG_SECURE  |                          OFF**  |
++---------------------------------+---------------------------------+
+|                          INBUF  |                           ON**  |
++---------------------------------+---------------------------------+
+|                      JTAG_PORT  |                       ENABLE**  |
++---------------------------------+---------------------------------+
+|                       SDM_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                 SLAVE_SPI_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                MASTER_SPI_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                       I2C_PORT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|        MUX_CONFIGURATION_PORTS  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                  CONFIGURATION  |                          CFG**  |
++---------------------------------+---------------------------------+
+|                COMPRESS_CONFIG  |                           ON**  |
++---------------------------------+---------------------------------+
+|                        MY_ASSP  |                          OFF**  |
++---------------------------------+---------------------------------+
+|               ONE_TIME_PROGRAM  |                          OFF**  |
++---------------------------------+---------------------------------+
+|                 ENABLE_TRANSFR  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|                  SHAREDEBRINIT  |                      DISABLE**  |
++---------------------------------+---------------------------------+
+|            BACKGROUND_RECONFIG  |                          OFF**  |
++---------------------------------+---------------------------------+
+ *  Default setting.
+ ** The specified setting matches the default setting.
+
+
+Creating bit map...
+ 
+Bitstream Status: Final           Version 1.95.
+ 
+Saving bit stream in "RAM2E_LCMXO2_640HC_impl1.jed".
+ 
+===========
+UFM Summary.
+===========
+UFM Size:        191 Pages (128*191 Bits).
+UFM Utilization: General Purpose Flash Memory.
+ 
+Available General Purpose Flash Memory:  191 Pages (Page 0 to Page 190).
+Initialized UFM Pages:                     1 Page (Page 190).
+ 
+Total CPU Time: 3 secs 
+Total REAL Time: 3 secs 
+Peak Memory Usage: 267 MB
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt index 0571d7f..43c3176 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt @@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11 Implementation : impl1 -# Written on Fri Jun 7 20:50:03 2024 +# Written on Fri Jul 12 16:07:24 2024 ##### DESIGN INFO ####################################################### diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html index 4535da7..3df1a66 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html @@ -17,24 +17,25 @@ Command line: map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial RAM2E_LCMXO2_640HC_impl1.ngd -o RAM2E_LCMXO2_640HC_impl1_map.ncd -pr RAM2E_LCMXO2_640HC_impl1.prf -mp RAM2E_LCMXO2_640HC_impl1.mrp -lpf //Mac/iC loud/Repos/RAM2E/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify. - lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui + lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui -msgset + //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml Target Vendor: LATTICE Target Device: LCMXO2-640HCTQFP100 Target Performance: 4 Mapper: xo2c00, version: Diamond (64-bit) 3.11.3.469 -Mapped on: 06/07/24 20:50:09 +Mapped on: 07/12/24 16:08:05 Design Summary - Number of registers: 125 out of 877 (14%) - PFU registers: 103 out of 640 (16%) + Number of registers: 124 out of 877 (14%) + PFU registers: 102 out of 640 (16%) PIO registers: 22 out of 237 (9%) - Number of SLICEs: 148 out of 320 (46%) - SLICEs as Logic/ROM: 148 out of 320 (46%) + Number of SLICEs: 145 out of 320 (45%) + SLICEs as Logic/ROM: 145 out of 320 (45%) SLICEs as RAM: 0 out of 240 (0%) SLICEs as Carry: 9 out of 320 (3%) - Number of LUT4s: 295 out of 640 (46%) - Number used as logic LUTs: 277 + Number of LUT4s: 288 out of 640 (45%) + Number used as logic LUTs: 270 Number used as distributed RAM: 0 Number used as ripple logic: 18 Number used as shift registers: 0 @@ -58,44 +59,45 @@ Mapped on: 06/07/24 20:50:09 2. Number of logic LUT4s does not include count of distributed RAM and ripple logic. Number of clocks: 2 - Net C14M_c: 85 loads, 63 rising, 22 falling (Driver: PIO C14M ) + Net C14M_c: 84 loads, 62 rising, 22 falling (Driver: PIO C14M ) Net PHI1_c: 3 loads, 0 rising, 3 falling (Driver: PIO PHI1 ) Number of Clock Enables: 13 - Net N_117_i: 2 loads, 0 LSLICEs - Net RWBank14: 11 loads, 11 LSLICEs + Net N_347_i: 2 loads, 0 LSLICEs + Net RWBank14: 10 loads, 10 LSLICEs Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs - Net un9_VOE_0_a2_0_a2: 1 loads, 1 LSLICEs Net un6_DOEEN_0_a2_0_a2: 2 loads, 2 LSLICEs - Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/N_63: 1 loads, 1 LSLICEs - Net ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_111: 1 loads, 1 LSLICEs - Net ram2e_ufm/N_104: 4 loads, 4 LSLICEs - Net ram2e_ufm/N_98: 1 loads, 1 LSLICEs - Net un1_CKE48_0_i: 6 loads, 6 LSLICEs - Net N_389_i: 2 loads, 0 LSLICEs + Net ram2e_ufm/un1_wb_adr_0_sqmuxa_2_i_0[0]: 8 loads, 8 LSLICEs + Net ram2e_ufm/un1_CmdBitbangMXO212_1_i[0]: 1 loads, 1 LSLICEs + Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0[0]: 4 loads, 4 LSLICEs + Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs + Net un9_VOE_0_a2: 1 loads, 1 LSLICEs + Net un1_CKE48_i: 6 loads, 6 LSLICEs + Net N_346_i: 2 loads, 0 LSLICEs Net Vout3: 8 loads, 0 LSLICEs - Number of LSRs: 8 - Net N_148: 2 loads, 2 LSLICEs - Net N_430_i: 2 loads, 0 LSLICEs + Number of LSRs: 9 + Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs + Net ram2e_ufm.wb_rst13: 2 loads, 0 LSLICEs Net RC7: 2 loads, 2 LSLICEs - Net S[2]: 2 loads, 2 LSLICEs + Net S[1]: 1 loads, 1 LSLICEs Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs - Net ram2e_ufm/nRWE_0_i_o3_RNIP8E61: 1 loads, 1 LSLICEs - Net N_530: 1 loads, 0 LSLICEs - Net N_301_i: 1 loads, 1 LSLICEs + Net ram2e_ufm/wb_rst6_i: 1 loads, 1 LSLICEs + Net N_523_1: 1 loads, 0 LSLICEs + Net N_727_0: 1 loads, 1 LSLICEs + Net RATc_i: 1 loads, 1 LSLICEs Number of nets driven by tri-state buffers: 0 Top 10 highest fanout non-clock nets: - Net S[2]: 60 loads - Net S[3]: 48 loads - Net S[1]: 46 loads - Net S[0]: 31 loads - Net FS[11]: 24 loads - Net FS[10]: 23 loads - Net FS[12]: 23 loads - Net FS[9]: 23 loads - Net FS[13]: 21 loads - Net FS[8]: 18 loads + Net S[2]: 51 loads + Net S[1]: 44 loads + Net S[3]: 40 loads + Net S[0]: 32 loads + Net FS[8]: 29 loads + Net FS[9]: 26 loads + Net FS[10]: 25 loads + Net FS[11]: 23 loads + Net FS[13]: 20 loads + Net ram2e_ufm.wb_rst13: 17 loads @@ -123,11 +125,14 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be + + + + IO (PIO) Attributes +---------------------+-----------+-----------+------------+ | IO Name | Direction | Levelmode | IO | - | | | IO_TYPE | Register | +---------------------+-----------+-----------+------------+ | RD[0] | BIDIR | LVCMOS33 | | @@ -181,10 +186,10 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be | BA[1] | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ | BA[0] | OUTPUT | LVCMOS33 | OUT | + +---------------------+-----------+-----------+------------+ | nRWEout | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ - | nCASout | OUTPUT | LVCMOS33 | OUT | +---------------------+-----------+-----------+------------+ | nRASout | OUTPUT | LVCMOS33 | OUT | @@ -238,10 +243,10 @@ WARNING - map: IO buffer missing for top level port nWE80...logic will be | Din[4] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[3] | INPUT | LVCMOS33 | | + +---------------------+-----------+-----------+------------+ | Din[2] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ - | Din[1] | INPUT | LVCMOS33 | | +---------------------+-----------+-----------+------------+ | Din[0] | INPUT | LVCMOS33 | | @@ -296,10 +301,10 @@ Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped. + Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped. - Signal ram2e_ufm/ufmefb/SPIMCSN3 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN2 undriven or does not drive anything - clipped. Signal ram2e_ufm/ufmefb/SPIMCSN1 undriven or does not drive anything - clipped. @@ -357,8 +362,6 @@ Block ram2e_ufm/ufmefb/GND was optimized away. - - Embedded Functional Block Connection Summary Desired WISHBONE clock frequency: 14.4 MHz @@ -410,7 +413,7 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0 ------------------------- Total CPU Time: 0 secs - Total REAL Time: 0 secs + Total REAL Time: 3 secs Peak Memory Usage: 59 MB @@ -422,7 +425,6 @@ Instance Name: ram2e_ufm/ufmefb/EFBInst_0 - Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html index b5d3a43..e43905d 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html @@ -14,7 +14,7 @@ Performance Grade: 4 PACKAGE: TQFP100 Package Status: Final Version 1.39 -Fri Jun 07 20:50:19 2024 +Fri Jul 12 16:08:27 2024 Pinout by Port Name: +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+ @@ -292,7 +292,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:23 2024 +Fri Jul 12 16:08:36 2024 diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_par.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_par.html index 5c474e3..86f1c74 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_par.html +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_par.html @@ -12,11 +12,12 @@ Copyright (c) 1995 AT&T Corp. All rights reserved. Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. Copyright (c) 2001 Agere Systems All rights reserved. Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. -Fri Jun 07 20:50:10 2024 +Fri Jul 12 16:08:09 2024 C:/lscc/diamond/3.11_x64/ispfpga\bin\nt64\par -f RAM2E_LCMXO2_640HC_impl1.p2t RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir -RAM2E_LCMXO2_640HC_impl1.prf -gui +RAM2E_LCMXO2_640HC_impl1.prf -gui -msgset +//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml Preference file: RAM2E_LCMXO2_640HC_impl1.prf. @@ -25,22 +26,22 @@ Preference file: RAM2E_LCMXO2_640HC_impl1.prf. Level/ Number Worst Timing Worst Timing Run NCD Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status ---------- -------- ----- ------ ----------- ----------- ---- ------ -5_1 * 0 55.718 0 0.379 0 16 Completed +5_1 * 0 57.398 0 0.379 0 35 Completed * : Design saved. -Total (real) run time for 1-seed: 16 secs +Total (real) run time for 1-seed: 38 secs par done! Note: user must run 'Trace' for timing closure signoff. Lattice Place and Route Report for Design "RAM2E_LCMXO2_640HC_impl1_map.ncd" -Fri Jun 07 20:50:10 2024 +Fri Jul 12 16:08:10 2024 Best Par Run PAR: Place And Route Diamond (64-bit) 3.11.3.469. -Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_640HC_impl1.prf +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_640HC_impl1.prf Preference file: RAM2E_LCMXO2_640HC_impl1.prf. Placement level-cost: 5-1. Routing Iterations: 6 @@ -66,39 +67,39 @@ Ignore Preference Error(s): True 70+4(JTAG)/79 94% bonded IOLOGIC 22/80 27% used - SLICE 148/320 46% used + SLICE 145/320 45% used EFB 1/1 100% used -Number of Signals: 464 -Number of Connections: 1330 +Number of Signals: 446 +Number of Connections: 1292 Pin Constraint Summary: 70 out of 70 pins locked (100% locked). The following 1 signal is selected to use the primary clock routing resources: - C14M_c (driver: C14M, clk load #: 85) + C14M_c (driver: C14M, clk load #: 84) WARNING - par: Signal "C14M_c" is selected to use Primary clock resources. However, its driver comp "C14M" is located at "62", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew. The following 1 signal is selected to use the secondary clock routing resources: - RWBank14 (driver: ram2e_ufm/SLICE_82, clk load #: 0, sr load #: 0, ce load #: 11) + RWBank14 (driver: ram2e_ufm/SLICE_89, clk load #: 0, sr load #: 0, ce load #: 10) No signal is selected as Global Set/Reset. Starting Placer Phase 0. -............ -Finished Placer Phase 0. REAL time: 0 secs +............. +Finished Placer Phase 0. REAL time: 3 secs Starting Placer Phase 1. .................... -Placer score = 70995. -Finished Placer Phase 1. REAL time: 9 secs +Placer score = 68344. +Finished Placer Phase 1. REAL time: 13 secs Starting Placer Phase 2. . -Placer score = 70831 -Finished Placer Phase 2. REAL time: 9 secs +Placer score = 68130 +Finished Placer Phase 2. REAL time: 14 secs @@ -111,8 +112,8 @@ Global Clock Resources: DCC : 0 out of 8 (0%) Global Clocks: - PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 85 - SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_82" on site "R2C9D", clk load = 0, ce load = 11, sr load = 0 + PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 84 + SECONDARY "RWBank14" from F0 on comp "ram2e_ufm/SLICE_89" on site "R6C8B", clk load = 0, ce load = 10, sr load = 0 PRIMARY : 1 out of 8 (12%) SECONDARY: 1 out of 8 (12%) @@ -136,20 +137,20 @@ I/O Bank Usage Summary: | 3 | 20 / 20 (100%) | 3.3V | - | +----------+----------------+------------+-----------+ -Total placer CPU time: 7 secs +Total placer CPU time: 8 secs Dumping design to file RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd. -0 connections routed; 1330 unrouted. +0 connections routed; 1292 unrouted. Starting router resource preassignment WARNING - par: The driver of primary clock net C14M_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew. WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. Signal=PHI1_c loads=5 clock_loads=3 -Completed router resource preassignment. Real time: 14 secs +Completed router resource preassignment. Real time: 26 secs -Start NBR router at 20:50:24 06/07/24 +Start NBR router at 16:08:36 07/12/24 ***************************************************************** Info: NBR allows conflicts(one node used by more than one signal) @@ -164,35 +165,41 @@ Note: NBR uses a different method to calculate timing slacks. The your design. ***************************************************************** -Start NBR special constraint process at 20:50:24 06/07/24 +Start NBR special constraint process at 16:08:36 07/12/24 -Start NBR section for initial routing at 20:50:24 06/07/24 +Start NBR section for initial routing at 16:08:36 07/12/24 Level 4, iteration 1 -15(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 55.730ns/0.000ns; real time: 14 secs +19(0.04%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 57.410ns/0.000ns; real time: 33 secs Info: Initial congestion level at 75% usage is 0 Info: Initial congestion area at 75% usage is 0 (0.00%) -Start NBR section for normal routing at 20:50:25 06/07/24 +Start NBR section for normal routing at 16:08:43 07/12/24 Level 4, iteration 1 -5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 55.718ns/0.000ns; real time: 15 secs +11(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 57.410ns/0.000ns; real time: 33 secs Level 4, iteration 2 -1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 55.718ns/0.000ns; real time: 15 secs +3(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 57.398ns/0.000ns; real time: 33 secs Level 4, iteration 3 +1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 57.398ns/0.000ns; real time: 33 secs +Level 4, iteration 4 +2(0.00%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack<setup>: 57.398ns/0.000ns; real time: 33 secs +Level 4, iteration 5 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 55.718ns/0.000ns; real time: 15 secs +Estimated worst slack/total negative slack<setup>: 57.398ns/0.000ns; real time: 33 secs -Start NBR section for setup/hold timing optimization with effort level 3 at 20:50:25 06/07/24 +Start NBR section for setup/hold timing optimization with effort level 3 at 16:08:43 07/12/24 -Start NBR section for re-routing at 20:50:25 06/07/24 +Start NBR section for re-routing at 16:08:43 07/12/24 Level 4, iteration 1 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; -Estimated worst slack/total negative slack<setup>: 55.718ns/0.000ns; real time: 15 secs +Estimated worst slack/total negative slack<setup>: 57.398ns/0.000ns; real time: 33 secs -Start NBR section for post-routing at 20:50:25 06/07/24 +Start NBR section for post-routing at 16:08:43 07/12/24 End NBR router with 0 unrouted connection @@ -200,7 +207,7 @@ NBR Summary ----------- Number of unrouted connections : 0 (0.00%) Number of connections with timing violations : 0 (0.00%) - Estimated worst slack<setup> : 55.718ns + Estimated worst slack<setup> : 57.398ns Timing score<setup> : 0 ----------- Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. @@ -211,9 +218,9 @@ WARNING - par: The following clock signals will be routed by using generic routi Signal=PHI1_c loads=5 clock_loads=3 Total CPU time 13 secs -Total REAL time: 16 secs +Total REAL time: 34 secs Completely routed. -End of route. 1330 routed (100.00%); 0 unrouted. +End of route. 1292 routed (100.00%); 0 unrouted. Hold time timing score: 0, hold timing errors: 0 @@ -227,14 +234,14 @@ All signals are completely routed. PAR_SUMMARY::Run status = Completed PAR_SUMMARY::Number of unrouted conns = 0 -PAR_SUMMARY::Worst slack<setup/<ns>> = 55.718 +PAR_SUMMARY::Worst slack<setup/<ns>> = 57.398 PAR_SUMMARY::Timing score<setup/<ns>> = 0.000 PAR_SUMMARY::Worst slack<hold /<ns>> = 0.379 PAR_SUMMARY::Timing score<hold /<ns>> = 0.000 PAR_SUMMARY::Number of errors = 0 Total CPU time to completion: 13 secs -Total REAL time to completion: 16 secs +Total REAL time to completion: 37 secs par done! diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt index 5d89389..16ac7eb 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt @@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11 Implementation : impl1 -# Written on Fri Jun 7 20:50:02 2024 +# Written on Fri Jul 12 16:07:19 2024 ##### FILES SYNTAX CHECKED ############################################## Constraint File(s): "\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc" @@ -33,7 +33,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -47,7 +47,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html index 6ccd381..7d90e3a 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html @@ -62,7 +62,7 @@ Updated: -2024/06/07 20:50:30 +2024/07/12 16:08:57 Implementation Location: diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html index 763d33b..8c63208 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html @@ -12,7 +12,7 @@ #OS: Windows 8 6.2 #Hostname: ZANEMACWIN11 -# Fri Jun 7 20:50:00 2024 +# Fri Jul 12 16:07:07 2024 #Implementation: impl1 @@ -61,6 +61,7 @@ Synopsys Verilog Compiler, Version comp2018q2p1, Build 461R, Built Apr 1 2019 0 @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\REFB.v" (library work) @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\DHGR-ON.v" (library work) Verilog syntax check successful! +File \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v changed - recompiling Selecting top level module RAM2E @N: CG364 :"C:\lscc\diamond\3.11_x64\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work. Running optimization stage 1 on VHI ....... @@ -86,12 +87,12 @@ Running optimization stage 2 on EFB ....... Running optimization stage 2 on VLO ....... Running optimization stage 2 on VHI ....... -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) +At c_ver Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:00s; Memory used current: 73MB peak: 74MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:04s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:00 2024 +# Fri Jul 12 16:07:12 2024 ###########################################################] @@ -111,13 +112,14 @@ Implementation : impl1 Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\layer0.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:00 2024 +# Fri Jul 12 16:07:12 2024 ###########################################################] @@ -127,12 +129,12 @@ For a summary of runtime and memory usage for all design units, please see file: @END -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) +At c_hdl Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:00s; Memory used current: 3MB peak: 4MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime +Process took 0h:00m:05s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:00 2024 +# Fri Jul 12 16:07:12 2024 ###########################################################] @@ -152,18 +154,17 @@ Database state : \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\|impl1 Synopsys Synopsys Netlist Linker, Version comp2018q2p1, Build 461R, Built Apr 1 2019 09:17:43 @N|Running in 64-bit mode +File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 68MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Fri Jun 7 20:50:02 2024 +# Fri Jul 12 16:07:15 2024 ###########################################################] -Premap Report - -# Fri Jun 7 20:50:02 2024 +# Fri Jul 12 16:07:17 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -192,26 +193,26 @@ Printing clock summary report in "\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\im @N: MF248 |Running in 64-bit mode. @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) +Design Input Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) +Mapper Initialization Complete (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 102MB) -Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB) +Start loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 112MB peak: 113MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB) +Finished loading timing files (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 113MB peak: 115MB) @N: MF284 |Setting synthesis effort to medium for the design @W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. @A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP. @N: MH105 |UMR3 is only supported for HAPS-80. @N: MH105 |UMR3 is only supported for HAPS-80. -@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":140:9:140:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. +@N: BN115 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":136:9:136:12|Removing instance dhgr (in view: work.RAM2E(verilog)) of type view:work.DHGR(verilog) because it does not drive other instances. syn_allowed_resources : blockrams=2 set on top level netlist RAM2E -Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) +Finished netlist restructuring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 143MB) @@ -221,7 +222,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ----------------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 121 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 120 0 - System 100.0 MHz 10.000 system system_clkgroup 0 @@ -236,7 +237,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example -------------------------------------------------------------------------------------------- -C14M 121 C14M(port) RAT.C - un1_C14M.I[0](inv) +C14M 120 C14M(port) RAT.C - un1_C14M.I[0](inv) System 0 - - - - @@ -256,14 +257,14 @@ Number of ICG latches not removed: 0 #### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[ -2 non-gated/non-generated clock tree(s) driving 125 clock pin(s) of sequential element(s) +2 non-gated/non-generated clock tree(s) driving 124 clock pin(s) of sequential element(s) 0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) 0 instances converted, 0 sequential instances remain driven by gated/generated clocks =========================== Non-Gated/Non-Generated Clocks ============================ Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------- -@KP:ckid0_0 C14M port 121 PHI1r +@KP:ckid0_0 C14M port 120 PHI1r @KP:ckid0_1 PHI1 Unconstrained_port 4 RC[2:0] ======================================================================================= @@ -273,27 +274,25 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I @N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized. Finished Pre Mapping Phase. -Starting constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Starting constraint checker (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker preprocessing (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) None None -Finished constraint checker (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) +Finished constraint checker (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 143MB) Pre-mapping successful! -At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 58MB peak: 143MB) +At Mapper Exit (Real Time elapsed 0h:00m:09s; CPU Time elapsed 0h:00m:00s; Memory used current: 58MB peak: 143MB) -Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Fri Jun 7 20:50:03 2024 +Process took 0h:00m:10s realtime, 0h:00m:01s cputime +# Fri Jul 12 16:07:28 2024 ###########################################################] -Map & Optimize Report - -# Fri Jun 7 20:50:03 2024 +# Fri Jul 12 16:07:31 2024 Copyright (C) 1994-2018 Synopsys, Inc. @@ -333,7 +332,7 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h @N: MF284 |Setting synthesis effort to medium for the design -Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) +Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 140MB peak: 142MB) Available hyper_sources - for debug and ip models @@ -342,61 +341,61 @@ Available hyper_sources - for debug and ip models @N: FX493 |Applying initial value "00000000" on instance RWBank[7:0]. @N: FX493 |Applying initial value "0000" on instance S[3:0]. -Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) +Finished RTL optimizations (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:00s; Memory used current: 141MB peak: 142MB) @N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0] -Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) +Starting factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 142MB peak: 142MB) -Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) +Finished factoring (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 145MB) -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Starting Early Timing Optimization (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Starting Early Timing Optimization (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished Early Timing Optimization (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 146MB peak: 146MB) +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) -Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 146MB) +Finished preparing to map (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:01s; Memory used current: 146MB peak: 147MB) -Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology mapping (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------ - 1 0h:00m:01s 6.90ns 281 / 125 + 1 0h:00m:01s 6.90ns 280 / 124 -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:01s; Memory used current: 157MB peak: 160MB) @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":171:4:171:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":168:4:168:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -Finished restoring hierarchy (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 158MB peak: 160MB) +Finished restoring hierarchy (Real Time elapsed 0h:00m:07s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB) -Start Writing Netlists (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 122MB peak: 160MB) +Start Writing Netlists (Real Time elapsed 0h:00m:08s; CPU Time elapsed 0h:00m:02s; Memory used current: 122MB peak: 160MB) Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 158MB peak: 160MB) +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:10s; CPU Time elapsed 0h:00m:02s; Memory used current: 157MB peak: 160MB) Writing EDIF Netlist and constraint files @N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1.edi N-2018.03L-SP1-1 @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 162MB peak: 164MB) +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Start final timing analysis (Real Time elapsed 0h:00m:13s; CPU Time elapsed 0h:00m:03s; Memory used current: 160MB peak: 163MB) @W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-640hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @N: MT615 |Found clock C14M with period 69.84ns @@ -404,7 +403,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0 ##### START OF TIMING REPORT #####[ -# Timing Report written on Fri Jun 7 20:50:06 2024 +# Timing Report written on Fri Jul 12 16:07:45 2024 # @@ -429,9 +428,9 @@ Worst slack in design: 6.897 Requested Estimated Requested Estimated Clock Clock Starting Clock Frequency Frequency Period Period Slack Type Group ---------------------------------------------------------------------------------------------------------------------- -C14M 14.3 MHz 112.1 MHz 69.841 8.918 31.599 declared default_clkgroup +C14M 14.3 MHz 120.3 MHz 69.841 8.314 31.675 declared default_clkgroup RAM2E|PHI1 100.0 MHz 322.2 MHz 10.000 3.103 6.897 inferred Inferred_clkgroup_0 -System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup +System 100.0 MHz NA 10.000 NA 66.719 system system_clkgroup ====================================================================================================================== Estimated period and frequency reported as NA means no slack depends directly on the clock waveform @@ -446,9 +445,9 @@ Clocks | rise to rise | fall to fall | rise ---------------------------------------------------------------------------------------------------------------- Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack ---------------------------------------------------------------------------------------------------------------- -System C14M | 69.841 67.088 | No paths - | No paths - | No paths - +System C14M | 69.841 66.719 | No paths - | No paths - | No paths - C14M System | 69.841 68.797 | No paths - | No paths - | No paths - -C14M C14M | 69.841 60.923 | No paths - | 34.920 31.599 | No paths - +C14M C14M | 69.841 61.527 | No paths - | 34.920 31.675 | No paths - RAM2E|PHI1 C14M | No paths - | No paths - | No paths - | Diff grp - RAM2E|PHI1 RAM2E|PHI1 | No paths - | 10.000 6.897 | No paths - | No paths - ================================================================================================================ @@ -477,10 +476,10 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------- -S[2] C14M FD1S3AX Q S[2] 1.366 31.599 -S[3] C14M FD1S3AX Q S[3] 1.345 31.619 -S[1] C14M FD1S3AX Q S[1] 1.344 31.800 -S[0] C14M FD1S3AX Q S[0] 1.305 31.838 +S[2] C14M FD1S3AX Q S[2] 1.353 31.675 +S[3] C14M FD1S3AX Q S[3] 1.337 31.691 +S[0] C14M FD1S3AX Q S[0] 1.319 32.182 +S[1] C14M FD1S3AX Q S[1] 1.344 32.656 RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707 RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707 RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771 @@ -493,21 +492,21 @@ RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771 Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------ -VOE_i_0io C14M OFS1P3IX CD N_530 34.118 31.599 -VOE_i_0io C14M OFS1P3IX D VOE_ic_i 35.009 31.800 -Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.634 -Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.634 -=================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +---------------------------------------------------------------------------------- +VOE_i_0io C14M OFS1P3IX CD N_523_1 34.118 31.675 +RAT C14M FD1S3IX CD RATc_i 34.118 32.147 +Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[2] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[3] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[4] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[5] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[6] C14M OFS1P3DX SP Vout3 34.449 32.647 +Vout_0io[7] C14M OFS1P3DX SP Vout3 34.449 32.647 +================================================================================== @@ -521,9 +520,9 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 34.118 - - Propagation time: 2.519 + - Propagation time: 2.442 - Clock delay at starting point: 0.000 (ideal) - = Slack (non-critical) : 31.599 + = Slack (non-critical) : 31.675 Number of logic level(s): 1 Starting point: S[2] / Q @@ -531,16 +530,16 @@ Path information for path number 1: The start point is clocked by C14M [rising] on pin CK The end point is clocked by C14M [falling] on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------------- -S[2] FD1S3AX Q Out 1.366 1.366 - -S[2] Net - - - - 58 -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 A In 0.000 1.366 - -ram2e_ufm.un1_wb_adr_0_sqmuxa_2_0_a2_0[0] ORCALUT4 Z Out 1.153 2.519 - -N_530 Net - - - - 3 -VOE_i_0io OFS1P3IX CD In 0.000 2.519 - -============================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------- +S[2] FD1S3AX Q Out 1.353 1.353 - +S[2] Net - - - - 50 +ram2e_ufm.VOE_2_0_a2 ORCALUT4 A In 0.000 1.353 - +ram2e_ufm.VOE_2_0_a2 ORCALUT4 Z Out 1.089 2.442 - +N_523_1 Net - - - - 2 +VOE_i_0io OFS1P3IX CD In 0.000 2.442 - +======================================================================================= @@ -629,7 +628,7 @@ Starting Points with Worst Slack Instance Reference Type Pin Net Time Slack Clock --------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 66.719 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313 ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313 @@ -644,21 +643,21 @@ ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7] Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------- -ram2e_ufm.RWMask[0] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[1] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[2] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[3] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[4] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[5] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[6] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.RWMask[7] System FD1P3AX SP N_104 69.369 67.088 -ram2e_ufm.LEDEN System FD1P3AX SP N_98 69.369 67.736 -ram2e_ufm.wb_cyc_stb System FD1P3AX SP N_111 69.369 67.736 -======================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdBitbangMXO212_1_i[0] 69.369 66.719 +ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736 +ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0[0] 69.369 68.105 +=============================================================================================================== @@ -672,30 +671,33 @@ Path information for path number 1: + Clock delay at ending point: 0.000 (ideal) = Required time: 69.369 - - Propagation time: 2.282 + - Propagation time: 2.650 - Clock delay at starting point: 0.000 (ideal) - Estimated clock delay at start point: -0.000 - = Slack (non-critical) : 67.088 + = Slack (non-critical) : 66.719 - Number of logic level(s): 2 + Number of logic level(s): 3 Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO - Ending point: ram2e_ufm.RWMask[0] / SP + Ending point: ram2e_ufm.wb_cyc_stb / SP The start point is clocked by System [rising] The end point is clocked by C14M [rising] on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ----------------------------------------------------------------------------------------------------------------- -ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - -wb_ack Net - - - - 5 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 B In 0.000 0.000 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] ORCALUT4 Z Out 1.017 1.017 - -un1_RWMask_0_sqmuxa_1_i_a2_0_0[0] Net - - - - 1 -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 - -ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 - -N_104 Net - - - - 8 -ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 - -================================================================================================================ +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------------------------------------- +ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 - +wb_ack Net - - - - 4 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 B In 0.000 0.000 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_tz[0] ORCALUT4 Z Out 1.017 1.017 - +un1_CmdBitbangMXO212_1_0_0_tz[0] Net - - - - 1 +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 C In 0.000 1.017 - +ram2e_ufm.un1_CmdBitbangMXO212_1_0_0_0[0] ORCALUT4 Z Out 1.017 2.034 - +un1_CmdBitbangMXO212_1_0_0_0[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 A In 0.000 2.034 - +ram2e_ufm.wb_cyc_stb_RNO_0 ORCALUT4 Z Out 0.617 2.650 - +un1_CmdBitbangMXO212_1_i[0] Net - - - - 1 +ram2e_ufm.wb_cyc_stb FD1P3AX SP In 0.000 2.650 - +=============================================================================================================== @@ -704,16 +706,16 @@ ram2e_ufm.RWMask[0] FD1P3AX SP In Timing exceptions that could not be applied None -Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Finished final timing analysis (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) -Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 164MB) +Finished timing report (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 161MB peak: 163MB) --------------------------------------- Resource Usage Report Part: lcmxo2_640hc-4 -Register bits: 125 of 640 (20%) +Register bits: 124 of 640 (19%) PIC Latch: 0 I/O cells: 70 @@ -722,7 +724,7 @@ Details: BB: 8 CCU2D: 9 EFB: 1 -FD1P3AX: 58 +FD1P3AX: 57 FD1P3IX: 1 FD1S3AX: 31 FD1S3AY: 4 @@ -735,16 +737,17 @@ OB: 41 OFS1P3BX: 6 OFS1P3DX: 12 OFS1P3IX: 3 -ORCALUT4: 275 +ORCALUT4: 268 +PFUMX: 10 PUR: 1 VHI: 3 VLO: 3 Mapper successful! -At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 164MB) +At Mapper Exit (Real Time elapsed 0h:00m:15s; CPU Time elapsed 0h:00m:03s; Memory used current: 33MB peak: 163MB) -Process took 0h:00m:03s realtime, 0h:00m:03s cputime -# Fri Jun 7 20:50:07 2024 +Process took 0h:00m:15s realtime, 0h:00m:03s cputime +# Fri Jul 12 16:07:49 2024 ###########################################################] diff --git a/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html index e29b75a..6b2e399 100644 --- a/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html +++ b/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html @@ -2,17 +2,19 @@ Starting: parse design source files (VERI-1482) Analyzing Verilog file C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v +WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(140,9-140,25) (VERI-1362) CmdRWMaskSet is already implicitly declared on line 131 +WARNING - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(141,9-141,22) (VERI-1362) CmdLEDSet is already implicitly declared on line 131 (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/REFB.v (VERI-1482) Analyzing Verilog file //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-ON.v INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,8-1,13) (VERI-1018) compiling module RAM2E -INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-479,10) (VERI-9000) elaborating module 'RAM2E' +INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-476,10) (VERI-9000) elaborating module 'RAM2E' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v(1,1-335,10) (VERI-9000) elaborating module 'RAM2E_UFM_uniq_1' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/DHGR-ON.v(1,1-1,68) (VERI-9000) elaborating module 'DHGR_uniq_1' INFO - //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1' INFO - C:/lscc/diamond/3.11_x64/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1' -Done: design load finished with (0) errors, and (0) warnings +Done: design load finished with (0) errors, and (2) warnings \ No newline at end of file diff --git a/CPLD/LCMXO2-640HC/promote.xml b/CPLD/LCMXO2-640HC/promote.xml index 3897366..fb0bbca 100644 --- a/CPLD/LCMXO2-640HC/promote.xml +++ b/CPLD/LCMXO2-640HC/promote.xml @@ -1,3 +1,3 @@ - + diff --git a/CPLD/MAXII-NODHGR/output_files/RAM2E.asm.rpt b/CPLD/MAXII-NODHGR/output_files/RAM2E.asm.rpt index 585e0c7..e8f3cc8 100644 --- a/CPLD/MAXII-NODHGR/output_files/RAM2E.asm.rpt +++ b/CPLD/MAXII-NODHGR/output_files/RAM2E.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2E -Sat Jun 08 01:44:21 2024 +Fri Jul 12 16:09:17 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -39,7 +39,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sat Jun 08 01:44:21 2024 ; +; Assembler Status ; Successful - Fri Jul 12 16:09:17 2024 ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX II ; @@ -69,8 +69,8 @@ https://fpgasoftware.intel.com/eula. +----------------+----------------------------------------------------------------+ ; Option ; Setting ; +----------------+----------------------------------------------------------------+ -; JTAG usercode ; 0x00164439 ; -; Checksum ; 0x00164839 ; +; JTAG usercode ; 0x001661D2 ; +; Checksum ; 0x00166552 ; +----------------+----------------------------------------------------------------+ @@ -89,13 +89,13 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Jun 08 01:44:20 2024 + Info: Processing started: Fri Jul 12 16:09:16 2024 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E-MAXII -c RAM2E Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 13099 megabytes - Info: Processing ended: Sat Jun 08 01:44:21 2024 + Info: Peak virtual memory: 13106 megabytes + Info: Processing ended: Fri Jul 12 16:09:17 2024 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXII-NODHGR/output_files/RAM2E.done b/CPLD/MAXII-NODHGR/output_files/RAM2E.done index 9ee1d0f..2211b29 100644 --- a/CPLD/MAXII-NODHGR/output_files/RAM2E.done +++ b/CPLD/MAXII-NODHGR/output_files/RAM2E.done @@ -1 +1 @@ -Sat Jun 08 01:44:26 2024 +Fri Jul 12 16:09:22 2024 diff --git a/CPLD/MAXII-NODHGR/output_files/RAM2E.fit.rpt b/CPLD/MAXII-NODHGR/output_files/RAM2E.fit.rpt index 5473467..d357a07 100644 --- a/CPLD/MAXII-NODHGR/output_files/RAM2E.fit.rpt +++ b/CPLD/MAXII-NODHGR/output_files/RAM2E.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2E -Sat Jun 08 01:44:18 2024 +Fri Jul 12 16:09:14 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -57,14 +57,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Sat Jun 08 01:44:18 2024 ; +; Fitter Status ; Successful - Fri Jul 12 16:09:14 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 238 / 240 ( 99 % ) ; +; Total logic elements ; 233 / 240 ( 97 % ) ; ; Total pins ; 71 / 80 ( 89 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -134,8 +134,8 @@ https://fpgasoftware.intel.com/eula. ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 1.0% ; -; Processors 3-4 ; 0.9% ; +; Processor 2 ; 1.1% ; +; Processors 3-4 ; 1.0% ; +----------------------------+-------------+ @@ -150,27 +150,27 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM +---------------------------------------------+-----------------------+ ; Resource ; Usage ; +---------------------------------------------+-----------------------+ -; Total logic elements ; 238 / 240 ( 99 % ) ; -; -- Combinational with no register ; 112 ; +; Total logic elements ; 233 / 240 ( 97 % ) ; +; -- Combinational with no register ; 108 ; ; -- Register only ; 19 ; -; -- Combinational with a register ; 107 ; +; -- Combinational with a register ; 106 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 116 ; -; -- 3 input functions ; 53 ; -; -- 2 input functions ; 46 ; +; -- 4 input functions ; 111 ; +; -- 3 input functions ; 56 ; +; -- 2 input functions ; 43 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 224 ; +; -- normal mode ; 219 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 14 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 26 ; +; -- synchronous clear/load mode ; 25 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 126 / 240 ( 53 % ) ; +; Total registers ; 125 / 240 ( 52 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 15 ; ; Virtual pins ; 0 ; @@ -185,12 +185,12 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM ; Global signals ; 2 ; ; -- Global clocks ; 2 / 4 ( 50 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 30.7% / 34.1% / 27.1% ; -; Peak interconnect usage (total/H/V) ; 30.7% / 34.1% / 27.1% ; -; Maximum fan-out ; 122 ; +; Average interconnect usage (total/H/V) ; 27.3% / 28.5% / 26.1% ; +; Peak interconnect usage (total/H/V) ; 27.3% / 28.5% / 26.1% ; +; Maximum fan-out ; 121 ; ; Highest non-global fan-out ; 34 ; -; Total fan-out ; 992 ; -; Average fan-out ; 3.20 ; +; Total fan-out ; 973 ; +; Average fan-out ; 3.19 ; +---------------------------------------------+-----------------------+ @@ -207,16 +207,16 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM ; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 122 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 121 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 15 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 6 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nC07X ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; @@ -233,7 +233,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM ; BA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; CKEout ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; DQMH ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[0] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[1] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[2] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -255,15 +255,15 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM ; RAout[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RAout[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RAout[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nCSout ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nDHGROE ; 87 ; 2 ; 5 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -279,7 +279,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; RD[0] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; -; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; +; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; RDOE ; - ; ; RD[2] ; 99 ; 2 ; 2 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[4] ; 91 ; 2 ; 4 ; 5 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; @@ -429,7 +429,7 @@ Note: User assignments will override these defaults. The user specified values a +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 238 (182) ; 126 ; 1 ; 71 ; 0 ; 112 (88) ; 19 (16) ; 107 (78) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 233 (177) ; 125 ; 1 ; 71 ; 0 ; 108 (84) ; 19 (16) ; 106 (77) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ; ; |RAM2E_UFM:ram2e_ufm| ; 56 (56) ; 32 ; 1 ; 0 ; 0 ; 24 (24) ; 3 (3) ; 29 (29) ; 0 (0) ; 5 (5) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ; @@ -510,8 +510,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Din[5] ; Input ; (0) ; ; Din[7] ; Input ; (0) ; ; Din[4] ; Input ; (0) ; -; Din[2] ; Input ; (0) ; ; Din[3] ; Input ; (0) ; +; Din[2] ; Input ; (0) ; ; nC07X ; Input ; (0) ; +-----------+----------+---------------+ @@ -521,22 +521,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ -; BA[0]~0 ; LC_X2_Y3_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -; BA[0]~1 ; LC_X3_Y3_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -; C14M ; PIN_12 ; 122 ; Clock ; yes ; Global Clock ; GCLK0 ; -; CS[0]~2 ; LC_X4_Y1_N1 ; 3 ; Clock enable ; no ; -- ; -- ; -; DQML~0 ; LC_X2_Y4_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -; Equal1~1 ; LC_X2_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal1~2 ; LC_X5_Y3_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; Mux14~0 ; LC_X5_Y2_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -; PHI1 ; PIN_37 ; 5 ; Clock ; yes ; Global Clock ; GCLK3 ; -; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X2_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X7_Y4_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X4_Y1_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -; RA[1]~2 ; LC_X5_Y3_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -; RDOE ; LC_X7_Y3_N9 ; 8 ; Output enable ; no ; -- ; -- ; -; S[0] ; LC_X4_Y4_N4 ; 32 ; Sync. clear ; no ; -- ; -- ; -; S[3] ; LC_X4_Y4_N7 ; 34 ; Sync. clear ; no ; -- ; -- ; +; BA[0]~0 ; LC_X2_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ; +; BA[0]~1 ; LC_X4_Y2_N1 ; 3 ; Clock enable ; no ; -- ; -- ; +; C14M ; PIN_12 ; 121 ; Clock ; yes ; Global Clock ; GCLK0 ; +; CS[0]~2 ; LC_X6_Y1_N5 ; 3 ; Clock enable ; no ; -- ; -- ; +; DQMH~0 ; LC_X2_Y4_N0 ; 2 ; Clock enable ; no ; -- ; -- ; +; Equal1~1 ; LC_X2_Y2_N0 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal1~2 ; LC_X7_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; Mux14~0 ; LC_X2_Y3_N9 ; 2 ; Clock enable ; no ; -- ; -- ; +; PHI1 ; PIN_37 ; 6 ; Clock ; yes ; Global Clock ; GCLK3 ; +; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X4_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X2_Y1_N0 ; 8 ; Clock enable ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X5_Y1_N3 ; 15 ; Clock enable ; no ; -- ; -- ; +; RA[2]~2 ; LC_X2_Y3_N8 ; 6 ; Clock enable ; no ; -- ; -- ; +; RDOE ; LC_X3_Y2_N4 ; 8 ; Output enable ; no ; -- ; -- ; +; S[0] ; LC_X3_Y4_N8 ; 32 ; Sync. clear ; no ; -- ; -- ; +; S[3] ; LC_X3_Y4_N7 ; 34 ; Sync. clear ; no ; -- ; -- ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ @@ -545,8 +545,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+----------+---------+----------------------+------------------+ -; C14M ; PIN_12 ; 122 ; Global Clock ; GCLK0 ; -; PHI1 ; PIN_37 ; 5 ; Global Clock ; GCLK3 ; +; C14M ; PIN_12 ; 121 ; Global Clock ; GCLK0 ; +; PHI1 ; PIN_37 ; 6 ; Global Clock ; GCLK3 ; +------+----------+---------+----------------------+------------------+ @@ -555,86 +555,85 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 169 / 784 ( 22 % ) ; -; Direct links ; 50 / 888 ( 6 % ) ; +; C4s ; 158 / 784 ( 20 % ) ; +; Direct links ; 61 / 888 ( 7 % ) ; ; Global clocks ; 2 / 4 ( 50 % ) ; ; LAB clocks ; 7 / 32 ( 22 % ) ; -; LUT chains ; 11 / 216 ( 5 % ) ; -; Local interconnects ; 353 / 888 ( 40 % ) ; -; R4s ; 190 / 704 ( 27 % ) ; +; LUT chains ; 8 / 216 ( 4 % ) ; +; Local interconnects ; 335 / 888 ( 38 % ) ; +; R4s ; 157 / 704 ( 22 % ) ; +-----------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.92) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.71) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 0 ; +; 4 ; 1 ; ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 23 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 22 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.38) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.58) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Clock ; 22 ; -; 1 Clock enable ; 8 ; +; 1 Clock ; 24 ; +; 1 Clock enable ; 13 ; ; 1 Sync. clear ; 1 ; -; 2 Clock enables ; 2 ; +------------------------------------+------------------------------+ -+-----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.13) ; Number of LABs (Total = 24) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 22 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 1 ; -+----------------------------------------------+------------------------------+ ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 9.96) ; Number of LABs (Total = 24) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 20 ; +; 11 ; 1 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 1 ; ++---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.50) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.08) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 1 ; +; 2 ; 2 ; ; 3 ; 1 ; ; 4 ; 1 ; ; 5 ; 2 ; -; 6 ; 3 ; -; 7 ; 2 ; -; 8 ; 5 ; -; 9 ; 3 ; -; 10 ; 5 ; +; 6 ; 1 ; +; 7 ; 6 ; +; 8 ; 3 ; +; 9 ; 5 ; +; 10 ; 2 ; ; 11 ; 0 ; ; 12 ; 1 ; +-------------------------------------------------+------------------------------+ @@ -643,7 +642,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 12.75) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 12.25) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; @@ -651,24 +650,25 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 3 ; 0 ; ; 4 ; 2 ; ; 5 ; 0 ; -; 6 ; 0 ; +; 6 ; 1 ; ; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 2 ; +; 8 ; 1 ; +; 9 ; 3 ; ; 10 ; 1 ; -; 11 ; 8 ; +; 11 ; 1 ; ; 12 ; 1 ; -; 13 ; 0 ; +; 13 ; 5 ; ; 14 ; 2 ; -; 15 ; 1 ; -; 16 ; 0 ; -; 17 ; 1 ; -; 18 ; 2 ; -; 19 ; 0 ; +; 15 ; 0 ; +; 16 ; 2 ; +; 17 ; 0 ; +; 18 ; 1 ; +; 19 ; 2 ; ; 20 ; 0 ; ; 21 ; 0 ; -; 22 ; 2 ; -; 23 ; 1 ; +; 22 ; 0 ; +; 23 ; 0 ; +; 24 ; 1 ; +----------------------------------------------+------------------------------+ @@ -716,7 +716,8 @@ Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186216): Automatically promoted some destinations of signal "PHI1" to use Global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186217): Destination "PHI1r" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 20 - Info (186217): Destination "S~0" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19 + Info (186217): Destination "S~2" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19 + Info (186217): Destination "S[3]~9" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 21 Info (186228): Pin "PHI1" drives global clock, but is not placed in a dedicated clock pin position File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing @@ -732,27 +733,27 @@ Warning (186473): Ignored the FAST_OUTPUT_REGISTER assignment made to the follow Warning (186484): Ignored assignment to node "RAout[7]" because node "RAr[7]", which is feeding it, is not a register File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 85 Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:01 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170089): 5e+01 ns of routing delay (approximately 3.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. -Info (170195): Router estimated average interconnect usage is 27% of the available device resources - Info (170196): Router estimated peak interconnect usage is 27% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170089): 6e+01 ns of routing delay (approximately 3.8% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. +Info (170195): Router estimated average interconnect usage is 24% of the available device resources + Info (170196): Router estimated peak interconnect usage is 24% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170202): The Fitter performed an Auto Fit compilation. No optimizations were skipped because the design's timing and routability requirements required full optimization. -Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 1.33 seconds. +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.64 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM2E.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 11 warnings - Info: Peak virtual memory: 13775 megabytes - Info: Processing ended: Sat Jun 08 01:44:18 2024 - Info: Elapsed time: 00:00:07 - Info: Total CPU time (on all processors): 00:00:05 + Info: Peak virtual memory: 13773 megabytes + Info: Processing ended: Fri Jul 12 16:09:14 2024 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:04 +----------------------------+ diff --git a/CPLD/MAXII-NODHGR/output_files/RAM2E.fit.summary b/CPLD/MAXII-NODHGR/output_files/RAM2E.fit.summary index 84cc242..91792d9 100644 --- a/CPLD/MAXII-NODHGR/output_files/RAM2E.fit.summary +++ b/CPLD/MAXII-NODHGR/output_files/RAM2E.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Sat Jun 08 01:44:18 2024 +Fitter Status : Successful - Fri Jul 12 16:09:14 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 238 / 240 ( 99 % ) +Total logic elements : 233 / 240 ( 97 % ) Total pins : 71 / 80 ( 89 % ) Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII-NODHGR/output_files/RAM2E.flow.rpt b/CPLD/MAXII-NODHGR/output_files/RAM2E.flow.rpt index 9d21a51..a7cdf69 100644 --- a/CPLD/MAXII-NODHGR/output_files/RAM2E.flow.rpt +++ b/CPLD/MAXII-NODHGR/output_files/RAM2E.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2E -Sat Jun 08 01:44:25 2024 +Fri Jul 12 16:09:21 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -41,14 +41,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Sat Jun 08 01:44:21 2024 ; +; Flow Status ; Successful - Fri Jul 12 16:09:17 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 238 / 240 ( 99 % ) ; +; Total logic elements ; 233 / 240 ( 97 % ) ; ; Total pins ; 71 / 80 ( 89 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 06/08/2024 01:43:24 ; +; Start date & time ; 07/12/2024 16:07:46 ; ; Main task ; Compilation ; ; Revision Name ; RAM2E ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------+------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +---------------------------------------+------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 121380219419.171782540305852 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121380219419.172081486509296 ; -- ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ; @@ -86,11 +86,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:47 ; 1.0 ; 13152 MB ; 00:00:39 ; -; Fitter ; 00:00:07 ; 1.0 ; 13775 MB ; 00:00:05 ; -; Assembler ; 00:00:01 ; 1.0 ; 13098 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:02 ; 1.0 ; 13094 MB ; 00:00:02 ; -; Total ; 00:00:57 ; -- ; -- ; 00:00:47 ; +; Analysis & Synthesis ; 00:01:27 ; 1.0 ; 13151 MB ; 00:00:40 ; +; Fitter ; 00:00:04 ; 1.0 ; 13773 MB ; 00:00:04 ; +; Assembler ; 00:00:01 ; 1.0 ; 13105 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:02 ; 1.0 ; 13093 MB ; 00:00:01 ; +; Total ; 00:01:34 ; -- ; -- ; 00:00:46 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/CPLD/MAXII-NODHGR/output_files/RAM2E.map.rpt b/CPLD/MAXII-NODHGR/output_files/RAM2E.map.rpt index 7877a42..a6d0baa 100644 --- a/CPLD/MAXII-NODHGR/output_files/RAM2E.map.rpt +++ b/CPLD/MAXII-NODHGR/output_files/RAM2E.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2E -Sat Jun 08 01:44:10 2024 +Fri Jul 12 16:09:09 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -46,12 +46,12 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sat Jun 08 01:44:10 2024 ; +; Analysis & Synthesis Status ; Successful - Fri Jul 12 16:09:09 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX II ; -; Total logic elements ; 252 ; +; Total logic elements ; 247 ; ; Total pins ; 71 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -164,34 +164,34 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 252 ; -; -- Combinational with no register ; 126 ; +; Total logic elements ; 247 ; +; -- Combinational with no register ; 122 ; ; -- Register only ; 33 ; -; -- Combinational with a register ; 93 ; +; -- Combinational with a register ; 92 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 116 ; -; -- 3 input functions ; 53 ; -; -- 2 input functions ; 46 ; +; -- 4 input functions ; 111 ; +; -- 3 input functions ; 56 ; +; -- 2 input functions ; 43 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 238 ; +; -- normal mode ; 233 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 3 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 126 ; +; Total registers ; 125 ; ; Total logic cells in carry chains ; 15 ; ; I/O pins ; 71 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; -; Maximum fan-out ; 122 ; -; Total fan-out ; 1001 ; -; Average fan-out ; 3.09 ; +; Maximum fan-out ; 121 ; +; Total fan-out ; 982 ; +; Average fan-out ; 3.08 ; +---------------------------------------------+-------+ @@ -200,7 +200,7 @@ https://fpgasoftware.intel.com/eula. +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 252 (191) ; 126 ; 1 ; 71 ; 0 ; 126 (97) ; 33 (25) ; 93 (69) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 247 (186) ; 125 ; 1 ; 71 ; 0 ; 122 (93) ; 33 (25) ; 92 (68) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; ; |RAM2E_UFM:ram2e_ufm| ; 61 (61) ; 32 ; 1 ; 0 ; 0 ; 29 (29) ; 8 (8) ; 24 (24) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ; @@ -222,12 +222,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 126 ; +; Total registers ; 125 ; ; Number of registers using Synchronous Clear ; 3 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 59 ; +; Number of registers using Clock Enable ; 58 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -256,13 +256,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[2] ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[3] ; ; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[1] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[5] ; +; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[4] ; ; 16:1 ; 2 bits ; 20 LEs ; 2 LEs ; 18 LEs ; Yes ; |RAM2E|BA[0]~reg0 ; -; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[6] ; -; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[1] ; -; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQML~reg0 ; +; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[7] ; +; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[2] ; +; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQMH~reg0 ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ @@ -283,7 +283,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Jun 08 01:43:23 2024 + Info: Processing started: Fri Jul 12 16:07:42 2024 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXII -c RAM2E Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2e/cpld/ram2e.v @@ -296,12 +296,12 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v Info (12023): Found entity 1: UFM_altufm_none_lbr File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII-NODHGR/UFM.v Line: 47 Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII-NODHGR/UFM.v Line: 166 Info (12127): Elaborating entity "RAM2E" for the top level hierarchy -Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136 +Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 132 Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2E/CPLD/UFM-MAX.v Line: 77 Info (12128): Elaborating entity "UFM_altufm_none_lbr" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component" File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII-NODHGR/UFM.v Line: 217 -Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 140 +Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136 Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nDHGROE" is stuck at VCC File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 139 + Warning (13410): Pin "nDHGROE" is stuck at VCC File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 135 Warning (13410): Pin "nCSout" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 75 Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 @@ -313,17 +313,17 @@ Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 Warning (21074): Design contains 1 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "nWE80" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 11 -Info (21057): Implemented 324 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 319 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins Info (21059): Implemented 41 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 252 logic cells + Info (21061): Implemented 247 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM2E.map.smsg Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 13 warnings - Info: Peak virtual memory: 13152 megabytes - Info: Processing ended: Sat Jun 08 01:44:10 2024 - Info: Elapsed time: 00:00:47 + Info: Peak virtual memory: 13151 megabytes + Info: Processing ended: Fri Jul 12 16:09:09 2024 + Info: Elapsed time: 00:01:27 Info: Total CPU time (on all processors): 00:00:40 diff --git a/CPLD/MAXII-NODHGR/output_files/RAM2E.map.summary b/CPLD/MAXII-NODHGR/output_files/RAM2E.map.summary index 4c1fb52..13f5eb5 100644 --- a/CPLD/MAXII-NODHGR/output_files/RAM2E.map.summary +++ b/CPLD/MAXII-NODHGR/output_files/RAM2E.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Sat Jun 08 01:44:10 2024 +Analysis & Synthesis Status : Successful - Fri Jul 12 16:09:09 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX II -Total logic elements : 252 +Total logic elements : 247 Total pins : 71 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII-NODHGR/output_files/RAM2E.pof b/CPLD/MAXII-NODHGR/output_files/RAM2E.pof index e9bc2e592b32cd8e1d9d401e974891bcb4282cf8..818dd7741b961c89b4ea175bf52cb165a836176f 100644 GIT binary patch literal 7861 zcmeHMe{@vUogaQAU{}B%+dW}-1Hn`6{^)^ViU=6Ss#V*AfZK}O-KK1}5)Rd5jzBV! zFz@1SrS7S+wiRl`B*(gFT{nrlEN00#b8T60JtdjC9zaOmTtu0v#Cb0mXC9fCd%yd+ z_e}!W`v0D@5@zmu_xt^RzCZ5w`@Q$QS-a-;P$)DdG{bz~h3~tYzPovA)0V2Wn>RIV zz;|Th=I=H%Y}j12Zo}p+n<9->i z%Uz6&BfK#w=M}y3tfS!dm6WH+WNqT`$A>l z(f>Xhy7G_Ah5q`DHc1hY40mQbh**A`B1Nfef0jsX??_3|4Bcf>CBWyIdy!LKFb}$e zk0e7^lDy7=aeY7kT_d;oU1mn`n2}?|1^7vMX(>m?Cvh(Fd7ADz8sE_MC}qr*AHx@y(1{XIbWyLygPina9%F&qQa01&_;k6vR3E2ny+n{_ ziV@j>Ujlp9q&n8TtF@IBnQ5@>M-&y?v*Qh z&aLmJb6h6KX+}&Wr|u-^_i*sDxUm=F4Cav%jBI7o9$ed01iqWpW`hn~Tok1*yGs7E zEa-#CcUL%W5_ImTHD8eLB>9_;Vl_XLuMS3DVSkLwn*ZRg{V^}mX&bxy;l1U;KY@TF zZ()D8rTTn1s+x^HhPcvDPDV1F1BLOh6h5hbm+Br^VdP`U>7mR&v^-H8dhPZ4iaSGP z7jC{;g=l2fA6E3}__xQWhB80g{F~YxXXeeWm6iVDzM$3dS{UNIB&1yeFJt4Aw$Oi{Xib2N1H z_KmlFbl10ku!1EzVA!$n)pyoI5e(ggRTz& zJ`#!&z#BF6JYuTxq^sq%9`pf^nK6lLlRsY6j1C3(=mWs_sT*|3bUp@hXN7ze>T#Wkh$0~*0^QiF z^_Tjv!7us8SznHLzJN@W_meXCMO=7_YyD}9M1%ATR*&W%?b(C5c2br@DT)c=1(I=_ z5C>1Hx?zGnM0HpI=yi|fg{$7;DyMo;A6_2%7o|a$)WsDiw+?lmq+$5QJ>_CP;bUDf zGRi929+x?r!yo}Wk}7U_v5ER(RCGM)=W$COXJjE3v~eoi=d;p%bqLG_1~KY2K) zzok3&7Wllc9jF+cUw_TaIcI6X-15h&M=JLO@;Ue9(7+umWA{JiHrI!1+RwM0+;zj& z_w2V18~g+v9eDox8K?h&g@-eZ9X0l|eQoJ~tNF&`Z9#q7*+Hp%=1CzuT^EV$5EU$? z`mqQ>{5-ed7P;gZQlp$BHHykj7SZnlx^h^+XX0@JdEuG3jVm6^`$Bm|y^i2#9P5Ws zA^(E-*;r-pWBx4g5l`gaU)6mak3yPJw8D<``p)~cz z62wlVV~|6R8hlp-b%;Lg)S_=du@Y&Fy37rqP~ecT)`9Jyqn?`4FYG{`{f!!y8uSX}_U58`|C7E=J#-vMvcPZ;d`s8YIO0ao$I!?8xK+eFXj(r` zA`11Tr0WQ$00czum1Mk__;oyx=aIcQ56RuacAFXMOG>Vy+q@XxE`s}o`{n&>SEv~JSFSXW&pM$-y zGu(uI&hBh_`efvW$o*UHp_9wkxhL|{p`iZgR7$b$TXxh-rhD6k>`9yg8%FGMBsU}qFRoJ#|A>TjmEC}ijkM$dP6UpJ{~UL@#ing zu?7Fx@!z0_?lATz+`EnrY^=}J{nrl$ZvO)Izp=KqbTpc+-D@-BAAR8LJm)z5jg7mV zBd;#A_uY7~qo(H8u9~3!Vy+NsOBDM9_6bg2!gEquu3yJf#|Iy$M|ruTPsy|!>{lDn zf83rD-H8~!2ysQPB0ngWrdTo2f;=?xi2EYM0sVL-rTqgM_a#!`3*?~yd>-U6@O42)bKXn9Tq+ch|FAC5k9&<{>HV+Z z+hFK+VW10KgCF>r)Ai2hnlv z4Ckfm9!Igt6q){m*;U&?>jC*U7yx*KZ%`+XHpss!2+^q{Y*yu!DQbCBipWF5{R zSP={EmHf{hP(qM>j8vMen;PQzK1(EIWjyddMn#<`T&mYouQd0dIEUS&YHpV4;dQ3| zLBVmQbzjdoHU3EY2V|#xe2G6S8Rsw&Mn!N@4-@Gpwc^O$XG!Tt?b&owZP``O4 zYd>$>1JR{kW&6p1PMKXhues*u{f+nSZhY^Vn*M!HwRP0|^wzFrLH(INj>zH2OT$Fek2S8hG(V_Q|M>?)Sy^2!a zMFbrqTibHQa@(0JgF1@&k2c?@)>_1Pu+44&p2BiAyIZ(5#VzX;?@abPx(3cNSaKaBG(@e+Pe zf3c15r%_-Yb->^UY}EQ8FLBRuW7z-DAE1v1cIkeg?ISbBA{e<9+}{sAE=+#!L*9dr zb>VDi?N>aeQ`IY=4+ztr5kICpl)aGpyy_)@fc@Q*>+=TpYzyQW?9%7Z!A{*+IPAf> zfSLT-ZS?z0qHY?oDzN86{eHkMPV7HXQui7^fT!g9=!Jcbe!dLs#^@mN&$BJt&Yzj~i%xmskXQfXma+}MofsXRe%jEuR0bt9pE7?v!%0Q^b)_ zZyUFIm5)BN`nu@+r3cTqx1FommThmVH2zEe=%YK&UH9`hZd>)pE%nKtw*T{uH3RV# zw|2!3PQ-_bIQJ#u6ipgDL>cja!zL4-$v7jU74Z(~>%7PQ!6)jEVP5b}9>_JI{w&%^C^Kq-=`u>B*T>)s&mZ&2E$M?^_y?M{ zzl6hs`=9B4B9*`wFf?69Mel!k#G}xhZahioFZ+3S=E;y8b5z36uskAVyvmcU0p$LBfTU$htU zb?f_${kx4k`c58ntR3wC(DF^48~G++s3eqf9BJ{M=OhVn4y6kJ(wFwX_fhc0FqjZO zm2C~q8IXmYCJ)C@mw!#Z7t?qoqSBtMKTT&i7l)hA3;5SLc;ug2K3aBcwOLQtjSbGH zI&7kj8yTM~C|fM<_HBOfV*eE`z1T#lzIkzR7HPUtzmI^^_)l*Z!{dE#5j*_N*bHm! z?7x)m=PJwBF3kVg^w6TGLElH=aqHd-p#O4q`P!Ll@4oQ)=~F{xFIP^lAh@mf^>LN@ zAswz)uJu>5e`3?<{PNI(3+>-qxiAg7EkIwhgB`x_S93CV-uc9m3s>)$H|>e@bEj1q zzwvx`^hk7LrhlkCRbPM8gYBd3dw12;WZO&oUpqBAd;Tutynpn{-DloQuIPXEse|eG zUhIE+js0T+`RPNC?qkFdU+jNT59d#P1^Y0M_<6Dy`N6HO^TbPa5cm%|-fL*S;q_?m z7+ph;G2&Vt>#g%o%e&`za9_eT_@HNj54kK;jjT>m?}Xlxo+R$QARk+eJ*X3Y@% z4{-H)u}Cjq)b)L_ek3KRD2yC%jvKn7xUQcGKbXch??WVT;ZI=Cq<^3@BUdzhn4iQ8 zaL>^MzQ&o9o51JrU;UnW*fSv)jFWmz9DfJr6Zl#D6LCHXA8T~c9;Vm#lKbD$%QZgg zjGw|7oCn?*t3}T)%_F|pH<2^A7ucN5{3JepA9y;Ye;-)csed1M1Mf|W48;blOYNTM wHy@w>^#1ZV{a=blMd-@ulfMX6>0gAfsGt7(GyKGc_~l?z`ul-#Pc(^YwO@E?FFjM9Ly#&EF^R_q(l6Z`jnjv3lu-^-XK> zw`JXir<!#0~UQ_+e*7eVu z<;aA4%m@AF9c_{#B3a)#u%C!!+Y~A488|;cq@La1BSABCk1-{{=bLAdQGU1&nSSs{ zVo}hQB)>CN)X&qdDDsrtaAkxqFgP6Y_yAm`d`WU+Fhak*0$Y+G7r2%$n32Qh+pDIN zukcq&kL5ta^KE=Gbg1#9q{8RrnZ_B`{GKA=TU_3OEARxm^dUzqMuD_Fq7uI51y zwY(oAKbS9qJL!7=PCSy35A7D=nsc~*&VUiC(u(vsC2@n3Wh4|a20sQlFx zin=MQ&o3xhz5MuEONW{m+eRFF$$qPbyv*KVf@*TUo`!pAI*b z9XxE}&!0TG@h6ei+24G7Mx~+uu&lzXe9MX)H1bUgCf4+i-S$xVFZ0tXcGk_?p8umM z6=Q~mtryA;f1vd*7(Ea4XYW2Br&Wy8^dC-HyymqbEAmVswD0oe#p@bRE&AS%cQ0=+J}s&|!?5v%7A>EE-wOuE&=C)u)vvOImuu)IsT#PVC<0#KUbViM#*uO`V5;bxkilR(;#Jwu zs_Ay1`MkgapyJ)Em*|sFj)nRWGC3-c9CRs7egU}D$WVZQoHqCfEE*(vJ@hLMLdy~T z`WPC=LUQ5!(!%E?=bO7oe5U<@I5YWYXS1HmqP`;wv;ea9`vIj_2$J1A{t9FqqT|X7 zgd{;qKriFkuDAwFg1)NYam52^9U{l|l1V@a<;Am-hU00_VJGT2R_TA=oeMk3?@?fq zfhA0T;E4g$tz%Nh1^56POH(^JbKnmnuk$AzG!ZeS5{J&q#Gu-&aW9nUA4%m8{L)%? zhUIeYM~@CywW@#Zwq;+z(7RX+^(77a$xXJvP{)tEP$Jh=ttiFcAG=HHf?dV@tIc~a zw>Ystqb*ryUIq#KY)E1_P39@&W|Fq zNBw(GMfsLF9l^z_%F5@4I?C=b@>aI0sA%)T@-^^FZozQJjeTvY$msr#@uxz0D*twl zEnK*LE%o$&W5MW-{J!>7#pql|*{M*!_la|6UE{#%%e#6S8)H#Ba5{D`T=$u?Xpf;g zf@0;isYBUunb%>F0|i_O*S;Wb{omx?@~O^o0wmL+^+2Aw7K#txxOq z(Pu>f@~jW>$Eml^BbSkF0}y_K0v77mayq^TGx|J92ak%9fvNLazH=Y=9EN?0 zdAg5@xB@uLG4j~I=2Am=Ztz%K_kCmyC!Qwop(yJ5(93)2Skw_2qEC|-upcZh$vdQ? zu!li$k+mc6k&lVMCNFtg9{x=WG(InrEzW63dz+K80r#NsQE}7?$tC3}EfTmt%r7{P zdX{ogY3&a^2f`)7=c+@E@TV)M3%W#u=^ z?x}cbC||W>r;)em+{Gu?Z9aSc@;^Lp@^83v@9vjsuQ>Z0rtuwd?%ct@ZgiVc6M7q) zb^iV8NIEh1(Ot*G{Ie1By6-tCd?rpPEii`>?lJTa5zpy< zJdmE5tK};4p|?ZX!@BO5=sF$;Adfuj1vvJRCnbCYn(LXqMXDYAXp}DIL>Y|8oB5|* zCW)SekPdIi7m&X!%s=VpFkcxvd>xqxa-%m<(jUW$dCDix<(rK>@&xxs960)UFo$tJ z8-v_+VdU`<{h=?E2NUv%S|PKVx|zn$t%e@n6W$-@@i33kAL19hjO0o9F_3Uzh#$(! zZmdWYoO#>;e5BYptBtYy(%e{u|<|F>3Wo#&wEUL z&N9wG$MumH10V8ApiiS-hWH1z3Lp!n2UzsgDEc$%1J11iBj2j$9xaECo%0WQm{$t> zJqDbXQvYG31d=QnG$aeE+X}jwD&zz7U*Ky0>G=Tlfcmr@%HiZ~=CD72oTjtYCzKGB z*(yMf)nDcNDCsXK$e(C;5H)dwQ@^aMpYLA3x8Di;ucc8PR~09f^n0)`2&A9y*_@+3 zyV#O#oOlKHhIReu*0SICzs{$v`rg$Q$!Sw2Zda>|?hig2x@$_rAGKijf) z>FLKRrcJqbd-_igJW%#o@$P#gM!&ta@*cHh>4y)_D|)f9<4145SzE7eOc<)#JIVM* z(!|fylI8c6pDgyID#k^SpJf$gHA7W<%8a~hKbKBLqyFhO1Z`NJ!{9Q-?m!ls! z9i{$8&AWGe^{cYy+8}u3Z zY^gs=UZ5E60`)w|eIJvit?Rt83w%DJqofaDD$aC$6`_8L=Qyb>^eH89@7PzQTZqAz zWTB%IbC`^)Y!ucK*N($Fh()g~C;XzeH-`Tb@8GI|;q|yAFZ7BIrSZ7mr}_G+)DJ^> zb57t;k{YQCfe2jmzmmL`LkHCLED7I9$$6T!Ydb^x#2tJ*)^`*ph4~!ruPxlByTp&T zO3%Fa*?|i45B4ix>HITzHjbZzk4K)@Zq@sUe;0Y_Di?F7{Gg@XmqHl%-jZC%?@_i- zUW&<>jdEOy|0wz&F?k|ra1#mM2L6ICd?#v!_Urr=l3iSRiT`Eo;DEvLa#A`ihMp(l z1+R}~-W;5ke6w(FQNXPIXD`#mWlfkcFds99_fg_Hj$De*&D)v8RvAV7jOa&DHmKm; zWf!~+%T{5yI+DsI4sEd$Nqvp<^Q~<+J|6wgo%5gTAG>4JO2~ak>fy-H_(<8|_dw6G zw)v`j`j)Bxb>{DPjB1#)?6*fNR!7SIr7AMc$akH1d4f8-I5X|MdDN^J;g!vFF9ziNvG3_J;Wf|4^Zn zfBHOlEpcNMrE7ZM;Fs>-HmhgLqYQkA@1BzX{V1X{Xd5W-OEy2=g!{OZ6v2ECaSr(# zAx9(UWIH+MnoGR1kVK_UNf7 z_*76k;++?uFx7~~zo6GGFe2+J z^oa``bV~{F1-x{A!hFjq%RM^Wjyi#Iq~jfY=nLTE=y$|?c^LC2W-{zkj$!T7-w2Vm z^J0Nz&5B+l#Mkz*^7m0123pq!ho0DYW{Mkz!{mkYPO2hdDbd?S) zgssN^=?Q6VM*m0t$I=q=*o)ySj8%OJp2p`^R2|HtNP2W9zo@R^yO>XpBY%-qVf_;V zIN~^X3!E@Da@dV*u|xbk!-&s(KQDamH{j9unDcXz&Kv*anT&0vYo65`9M%EbC7DR# zWup%Bl=ipHInK8~(WQtrM=6^p!Qg)>pMR(!)W7;X>f?nxN%4=niuj8GX~WCIa*Jcm z_wGYO55M`;kH#)K_3WJ33v}bI-%lBPc+{91<99};{|xvC>T4c$SKu4gQzz>yw$Y98 zH>Zw$^JHY`?nt#tYI_Smn9#rEg+E_(s>ow|)Q!79-yeBr$ogpNbnTy2(`rDEL{6VQ zS-<$T68+@Kp|%gp!u(^8pF0z69B|Hc;Qeo2ZO3rcRJZoX6}$9)=TNHgm5(1!J=*{H z>5qSxJbwO-UmZy$_C5AsB4PZ~CP-Wld&v=3%0w9mM zi;-_59`(K?T(pqXMm`l7>M-^S$W6U!d8~)928ci08@{(O`&{pr=y;P80oe#zyxAIg z6ThH`_-v(-ry%6V1MXklmJj`+pEZ8CuOn~--=pjJ96pcG!{-p+U-!d&2=T@L#9=r$?78JnZMV3?eip^IMfZ*q!IU14qk`$bGSX p-jDwW{V^pnVa%;xgsSx~LNM}+e_wdu3lIE1^}smuTk)zV{u?62XBGee diff --git a/CPLD/MAXII-NODHGR/output_files/RAM2E.sta.rpt b/CPLD/MAXII-NODHGR/output_files/RAM2E.sta.rpt index e1239d4..f810661 100644 --- a/CPLD/MAXII-NODHGR/output_files/RAM2E.sta.rpt +++ b/CPLD/MAXII-NODHGR/output_files/RAM2E.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for RAM2E -Sat Jun 08 01:44:25 2024 +Fri Jul 12 16:09:21 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -17,11 +17,11 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition 9. Recovery Summary 10. Removal Summary 11. Minimum Pulse Width Summary - 12. Setup: 'ram2e_ufm|DRCLK|regout' - 13. Setup: 'ram2e_ufm|ARCLK|regout' + 12. Setup: 'ram2e_ufm|ARCLK|regout' + 13. Setup: 'ram2e_ufm|DRCLK|regout' 14. Setup: 'C14M' - 15. Hold: 'ram2e_ufm|ARCLK|regout' - 16. Hold: 'ram2e_ufm|DRCLK|regout' + 15. Hold: 'ram2e_ufm|DRCLK|regout' + 16. Hold: 'ram2e_ufm|ARCLK|regout' 17. Hold: 'C14M' 18. Setup Transfers 19. Hold Transfers @@ -80,10 +80,11 @@ https://fpgasoftware.intel.com/eula. ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; -; Maximum used ; 1 ; +; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; +; Processor 2 ; 0.0% ; +----------------------------+-------------+ @@ -92,8 +93,8 @@ https://fpgasoftware.intel.com/eula. +------------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +------------------+--------+--------------------------+ -; ../RAM2E.sdc ; OK ; Sat Jun 08 01:44:25 2024 ; -; ../RAM2E-MAX.sdc ; OK ; Sat Jun 08 01:44:25 2024 ; +; ../RAM2E.sdc ; OK ; Fri Jul 12 16:09:20 2024 ; +; ../RAM2E-MAX.sdc ; OK ; Fri Jul 12 16:09:20 2024 ; +------------------+--------+--------------------------+ @@ -115,7 +116,7 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------------------+------+ ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|ARCLK|regout ; ; ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|DRCLK|regout ; ; -; 52.57 MHz ; 52.57 MHz ; C14M ; ; +; 65.96 MHz ; 65.96 MHz ; C14M ; ; +-----------+-----------------+------------------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -125,9 +126,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ +; ram2e_ufm|ARCLK|regout ; -23.743 ; -23.743 ; ; ram2e_ufm|DRCLK|regout ; -23.723 ; -23.723 ; -; ram2e_ufm|ARCLK|regout ; -22.545 ; -22.545 ; -; C14M ; -8.511 ; -94.827 ; +; C14M ; -8.564 ; -94.357 ; +------------------------+---------+---------------+ @@ -136,9 +137,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ -; ram2e_ufm|ARCLK|regout ; -17.454 ; -17.454 ; -; ram2e_ufm|DRCLK|regout ; -16.286 ; -16.286 ; -; C14M ; 1.400 ; 0.000 ; +; ram2e_ufm|DRCLK|regout ; -16.306 ; -16.306 ; +; ram2e_ufm|ARCLK|regout ; -16.256 ; -16.256 ; +; C14M ; 1.408 ; 0.000 ; +------------------------+---------+---------------+ @@ -165,261 +166,261 @@ No paths to report. +------------------------+--------+---------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'ram2e_ufm|DRCLK|regout' ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -23.723 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -1.629 ; 2.095 ; -; -23.713 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -1.629 ; 2.085 ; -; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000 ; 0.000 ; 80.000 ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ - - +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Setup: 'ram2e_ufm|ARCLK|regout' ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -22.545 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -0.997 ; 1.549 ; +; -23.743 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -2.195 ; 1.549 ; ; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 200.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'ram2e_ufm|DRCLK|regout' ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; -23.723 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -2.165 ; 1.559 ; +; -23.693 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -2.165 ; 1.529 ; +; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000 ; 0.000 ; 80.000 ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ + + +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Setup: 'C14M' ; +--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ -; -8.511 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.808 ; -; -8.511 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.808 ; -; -8.511 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.808 ; -; -8.297 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.594 ; -; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ; -; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ; -; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ; -; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ; -; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ; -; -7.739 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.036 ; -; -6.971 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 8.268 ; -; -5.922 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 7.219 ; -; 25.409 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.178 ; -; 25.409 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.178 ; -; 25.426 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.161 ; -; 25.426 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.161 ; -; 25.426 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.161 ; -; 25.574 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.013 ; -; 25.574 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.013 ; -; 25.591 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.996 ; -; 25.591 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.996 ; -; 25.591 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.996 ; -; 26.100 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.487 ; -; 26.100 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.487 ; -; 26.100 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.487 ; -; 26.265 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.322 ; -; 26.265 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.322 ; -; 26.265 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.322 ; -; 27.658 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.929 ; -; 27.658 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.929 ; -; 27.675 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.912 ; -; 27.675 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.912 ; -; 27.675 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.912 ; -; 27.916 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.671 ; -; 27.916 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.671 ; -; 27.933 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.654 ; -; 27.933 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.654 ; -; 27.933 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.654 ; -; 28.161 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 6.426 ; -; 28.349 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.238 ; -; 28.349 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.238 ; -; 28.349 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.238 ; -; 28.607 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.980 ; -; 28.607 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.980 ; -; 28.607 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.980 ; -; 28.892 ; S[1] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 5.695 ; -; 30.205 ; S[0] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 4.382 ; -; 30.358 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 4.229 ; -; 30.475 ; S[2] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 4.112 ; -; 30.866 ; S[3] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 3.721 ; -; 31.113 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.474 ; -; 31.289 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.298 ; -; 31.450 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.137 ; -; 31.653 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.934 ; -; 31.895 ; RA[3] ; RAr[3] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.692 ; -; 31.969 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.618 ; -; 32.053 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.534 ; -; 32.477 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.110 ; -; 32.499 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.088 ; -; 32.563 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.024 ; -; 32.583 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.004 ; -; 32.584 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.003 ; -; 32.594 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 1.993 ; -; 32.965 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.622 ; -; 32.971 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.616 ; -; 32.973 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.614 ; -; 32.980 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.607 ; -; 32.981 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.606 ; -; 55.470 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 14.038 ; -; 55.780 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 13.728 ; -; 55.931 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.577 ; -; 55.942 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.566 ; -; 56.030 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 13.478 ; -; 56.222 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.286 ; -; 56.331 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 13.177 ; -; 56.411 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.097 ; -; 56.411 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.097 ; -; 56.411 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.097 ; -; 56.609 ; S[0] ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 12.899 ; -; 56.626 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.882 ; -; 56.641 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.867 ; -; 56.652 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.856 ; -; 56.660 ; FS[3] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.848 ; -; 56.718 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.790 ; -; 56.718 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.790 ; -; 56.718 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.790 ; -; 56.729 ; FS[15] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.779 ; -; 56.748 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.760 ; -; 56.777 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.731 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ; -; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ; -; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ; -; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ; +; -8.564 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 10.397 ; +; -8.231 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 10.064 ; +; -8.230 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 10.063 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -7.387 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.220 ; +; -5.350 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 7.183 ; +; 27.340 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.247 ; +; 27.340 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.247 ; +; 27.340 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.247 ; +; 27.358 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.229 ; +; 27.358 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.229 ; +; 27.440 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.147 ; +; 27.440 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.147 ; +; 27.440 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.147 ; +; 27.458 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.129 ; +; 27.458 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.129 ; +; 27.666 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.921 ; +; 27.666 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.921 ; +; 27.666 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.921 ; +; 27.684 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.903 ; +; 27.684 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.903 ; +; 28.345 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.242 ; +; 28.345 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.242 ; +; 28.345 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.242 ; +; 28.363 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.224 ; +; 28.363 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.224 ; +; 28.412 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.175 ; +; 28.412 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.175 ; +; 28.412 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.175 ; +; 28.512 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.075 ; +; 28.512 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.075 ; +; 28.512 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.075 ; +; 28.738 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.849 ; +; 28.738 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.849 ; +; 28.738 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.849 ; +; 29.047 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 5.540 ; +; 29.369 ; S[1] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 5.218 ; +; 29.417 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.170 ; +; 29.417 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.170 ; +; 29.417 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.170 ; +; 30.326 ; S[3] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 4.261 ; +; 30.506 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 4.081 ; +; 30.779 ; S[2] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 3.808 ; +; 30.863 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.724 ; +; 31.286 ; S[0] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 3.301 ; +; 31.310 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.277 ; +; 31.398 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.189 ; +; 31.898 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.689 ; +; 31.906 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.681 ; +; 32.039 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.548 ; +; 32.047 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.540 ; +; 32.481 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.106 ; +; 32.530 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.057 ; +; 32.548 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.039 ; +; 32.961 ; RA[3] ; RAr[3] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.626 ; +; 32.963 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.624 ; +; 32.965 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.622 ; +; 32.965 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.622 ; +; 32.969 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.618 ; +; 32.973 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.614 ; +; 32.984 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.603 ; +; 32.986 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.601 ; +; 55.361 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 14.147 ; +; 55.363 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 14.145 ; +; 56.330 ; S[3] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.178 ; +; 56.332 ; S[3] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.176 ; +; 56.744 ; S[2] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.764 ; +; 56.746 ; S[2] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.762 ; +; 57.090 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.418 ; +; 57.090 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.418 ; +; 57.092 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.416 ; +; 57.138 ; FS[3] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.370 ; +; 57.138 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.370 ; +; 57.140 ; FS[3] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.368 ; +; 57.222 ; FS[15] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.286 ; +; 57.222 ; FS[15] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.286 ; +; 57.224 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.284 ; +; 57.224 ; FS[15] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.284 ; +; 57.359 ; S[0] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.149 ; +; 57.361 ; S[0] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.147 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'ram2e_ufm|ARCLK|regout' ; -+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -17.454 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -0.997 ; 1.549 ; -; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 0.000 ; 0.000 ; 80.000 ; -+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ - - +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Hold: 'ram2e_ufm|DRCLK|regout' ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -16.286 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -1.629 ; 2.085 ; -; -16.276 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -1.629 ; 2.095 ; +; -16.306 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -2.165 ; 1.529 ; +; -16.276 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -2.165 ; 1.559 ; ; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 0.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'ram2e_ufm|ARCLK|regout' ; ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; -16.256 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -2.195 ; 1.549 ; +; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 0.000 ; 0.000 ; 80.000 ; ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ + + +----------------------------------------------------------------------------------------------------------------------------------------------------+ ; Hold: 'C14M' ; +-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; 1.400 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.621 ; -; 1.409 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.630 ; -; 1.676 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.897 ; -; 1.685 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.906 ; -; 1.685 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.906 ; -; 1.689 ; Ready ; RDOE ; C14M ; C14M ; 0.000 ; 0.000 ; 1.910 ; -; 1.706 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.927 ; -; 1.708 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.929 ; -; 1.708 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.929 ; -; 1.709 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.930 ; -; 1.722 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 1.943 ; -; 1.759 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.980 ; -; 1.855 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.076 ; -; 1.898 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.119 ; -; 1.906 ; RWBank[1] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.127 ; -; 1.909 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.130 ; -; 1.955 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.176 ; -; 1.971 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.192 ; -; 1.981 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.202 ; -; 1.998 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.219 ; -; 2.014 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.235 ; -; 2.043 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.264 ; -; 2.087 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.308 ; -; 2.095 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.316 ; -; 2.107 ; PHI1r ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.328 ; -; 2.108 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.329 ; -; 2.109 ; RWBank[7] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.330 ; -; 2.115 ; PHI1r ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.336 ; -; 2.115 ; PHI1r ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.336 ; -; 2.116 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.337 ; -; 2.117 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 2.338 ; -; 2.118 ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 2.339 ; -; 2.127 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.348 ; +; 1.408 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.629 ; +; 1.412 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.633 ; +; 1.428 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.649 ; +; 1.429 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.650 ; +; 1.659 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 1.880 ; +; 1.677 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.898 ; +; 1.686 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.907 ; +; 1.704 ; S[0] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.925 ; +; 1.718 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 1.939 ; +; 1.720 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 1.941 ; +; 1.723 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.944 ; +; 1.725 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.946 ; +; 1.732 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.953 ; +; 1.899 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.120 ; +; 1.909 ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.130 ; +; 1.959 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.180 ; +; 1.971 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.192 ; +; 1.972 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.193 ; +; 1.980 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.201 ; +; 1.981 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.202 ; +; 2.012 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.233 ; +; 2.036 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.257 ; +; 2.107 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.328 ; +; 2.112 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.333 ; +; 2.121 ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 2.342 ; +; 2.127 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.348 ; +; 2.134 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.355 ; ; 2.144 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.365 ; +; 2.151 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.372 ; ; 2.153 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.374 ; -; 2.159 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.380 ; -; 2.174 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.395 ; -; 2.212 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.433 ; -; 2.221 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 2.442 ; -; 2.221 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.442 ; -; 2.225 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.446 ; -; 2.227 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.448 ; -; 2.232 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.453 ; +; 2.154 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.375 ; +; 2.212 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 2.433 ; +; 2.233 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.454 ; ; 2.239 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.460 ; ; 2.239 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.460 ; -; 2.240 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ; -; 2.240 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ; -; 2.242 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.463 ; +; 2.240 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ; +; 2.242 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 2.463 ; +; 2.248 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.469 ; +; 2.249 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.470 ; +; 2.249 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.470 ; ; 2.250 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.471 ; -; 2.252 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.473 ; -; 2.260 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.481 ; -; 2.261 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 2.482 ; -; 2.272 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.493 ; -; 2.319 ; RWBank[6] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 2.540 ; -; 2.333 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.554 ; -; 2.342 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.563 ; -; 2.352 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.573 ; -; 2.380 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.601 ; -; 2.521 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.742 ; -; 2.523 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.744 ; -; 2.603 ; RAM2E_UFM:ram2e_ufm|CmdBitbangMAX ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 2.824 ; -; 2.604 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.825 ; -; 2.660 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.881 ; -; 2.727 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 2.948 ; -; 2.775 ; FS[11] ; RA[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.996 ; -; 2.788 ; S[2] ; CKE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.009 ; -; 2.805 ; S[2] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.026 ; -; 2.809 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.030 ; -; 2.889 ; S[3] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.110 ; -; 2.890 ; RWBank[5] ; BA[0]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.111 ; -; 2.891 ; S[3] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.112 ; -; 2.909 ; FS[13] ; RA[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.130 ; -; 2.948 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.169 ; -; 2.969 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 3.190 ; +; 2.262 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.483 ; +; 2.270 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.491 ; +; 2.271 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.492 ; +; 2.273 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.494 ; +; 2.275 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.496 ; +; 2.282 ; S[0] ; nCAS ; C14M ; C14M ; 0.000 ; 0.000 ; 2.503 ; +; 2.308 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.529 ; +; 2.351 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.572 ; +; 2.357 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.578 ; +; 2.478 ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; RWBank[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.699 ; +; 2.532 ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.753 ; +; 2.536 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.757 ; +; 2.541 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.762 ; +; 2.547 ; RWBank[6] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 2.768 ; +; 2.564 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.785 ; +; 2.566 ; PHI1r ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.787 ; +; 2.573 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.794 ; +; 2.600 ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.821 ; +; 2.607 ; S[1] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.828 ; +; 2.609 ; PHI1r ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.830 ; +; 2.614 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.835 ; +; 2.616 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.837 ; +; 2.635 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.856 ; +; 2.651 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.872 ; +; 2.663 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.884 ; +; 2.666 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.887 ; +; 2.667 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.888 ; +; 2.673 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.894 ; +; 2.691 ; RAM2E_UFM:ram2e_ufm|CmdBitbangMAX ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 2.912 ; +; 2.710 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 2.931 ; +; 2.721 ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.942 ; +; 2.764 ; FS[14] ; RA[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.985 ; +; 2.774 ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.995 ; +; 2.798 ; RWSel ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.019 ; +; 2.804 ; RWSel ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.025 ; +; 2.809 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.030 ; +; 2.818 ; FS[4] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.039 ; +; 2.833 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.054 ; +; 2.899 ; FS[13] ; RA[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.120 ; +; 2.966 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.187 ; ; 2.976 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.197 ; +; 2.976 ; FS[11] ; RA[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.197 ; +; 2.983 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.204 ; ; 2.985 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.206 ; -; 2.985 ; FS[4] ; RA[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.206 ; -; 2.991 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.212 ; -; 3.008 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.229 ; -; 3.028 ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.249 ; -; 3.049 ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.270 ; -; 3.052 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.273 ; -; 3.059 ; FS[8] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.280 ; -; 3.075 ; RWBank[2] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.296 ; +; 2.991 ; S[3] ; CKE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.212 ; +; 3.009 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 3.230 ; +; 3.013 ; FS[15] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.234 ; +; 3.015 ; FS[15] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.236 ; +; 3.017 ; S[1] ; nRWE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.238 ; +; 3.020 ; FS[10] ; RA[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.241 ; +; 3.060 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.281 ; +; 3.077 ; FS[8] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.298 ; ; 3.087 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.308 ; +; 3.094 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.315 ; ; 3.096 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.317 ; -; 3.098 ; S[2] ; nRWE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.319 ; -; 3.099 ; RWSel ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 3.320 ; -; 3.102 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.323 ; -; 3.112 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.333 ; -; 3.120 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.341 ; -; 3.145 ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; RWBank[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.366 ; -; 3.156 ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.377 ; -; 3.170 ; FS[8] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.391 ; -; 3.172 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.393 ; -; 3.179 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.400 ; -; 3.179 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.400 ; -; 3.179 ; FS[13] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.400 ; -; 3.180 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.401 ; +; 3.124 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.345 ; +; 3.136 ; S[0] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.357 ; +; 3.142 ; S[0] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.363 ; +; 3.145 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.366 ; +-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ @@ -428,7 +429,7 @@ No paths to report. +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1539 ; 0 ; 56 ; 0 ; +; C14M ; C14M ; 1532 ; 0 ; 56 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -443,7 +444,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1539 ; 0 ; 56 ; 0 ; +; C14M ; C14M ; 1532 ; 0 ; 56 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -473,7 +474,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 1 ; 1 ; ; Unconstrained Input Ports ; 28 ; 28 ; -; Unconstrained Input Port Paths ; 169 ; 169 ; +; Unconstrained Input Port Paths ; 161 ; 161 ; ; Unconstrained Output Ports ; 47 ; 47 ; ; Unconstrained Output Port Paths ; 83 ; 83 ; +---------------------------------+-------+------+ @@ -679,7 +680,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Jun 08 01:44:23 2024 + Info: Processing started: Fri Jul 12 16:09:19 2024 Info: Command: quartus_sta RAM2E-MAXII -c RAM2E Info: qsta_default_script.tcl version: #1 Info (20032): Parallel compilation is enabled and will use up to 4 processors @@ -696,18 +697,18 @@ Info: Can't run Report Timing Closure Recommendations. The current device family Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|ARCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|DRCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -23.723 +Info (332146): Worst-case setup slack is -23.743 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== + Info (332119): -23.743 -23.743 ram2e_ufm|ARCLK|regout Info (332119): -23.723 -23.723 ram2e_ufm|DRCLK|regout - Info (332119): -22.545 -22.545 ram2e_ufm|ARCLK|regout - Info (332119): -8.511 -94.827 C14M -Info (332146): Worst-case hold slack is -17.454 + Info (332119): -8.564 -94.357 C14M +Info (332146): Worst-case hold slack is -16.306 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -17.454 -17.454 ram2e_ufm|ARCLK|regout - Info (332119): -16.286 -16.286 ram2e_ufm|DRCLK|regout - Info (332119): 1.400 0.000 C14M + Info (332119): -16.306 -16.306 ram2e_ufm|DRCLK|regout + Info (332119): -16.256 -16.256 ram2e_ufm|ARCLK|regout + Info (332119): 1.408 0.000 C14M Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 34.654 @@ -720,9 +721,9 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 13094 megabytes - Info: Processing ended: Sat Jun 08 01:44:25 2024 + Info: Peak virtual memory: 13093 megabytes + Info: Processing ended: Fri Jul 12 16:09:21 2024 Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 + Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXII-NODHGR/output_files/RAM2E.sta.summary b/CPLD/MAXII-NODHGR/output_files/RAM2E.sta.summary index acf936a..50752d2 100644 --- a/CPLD/MAXII-NODHGR/output_files/RAM2E.sta.summary +++ b/CPLD/MAXII-NODHGR/output_files/RAM2E.sta.summary @@ -2,28 +2,28 @@ Timing Analyzer Summary ------------------------------------------------------------ +Type : Setup 'ram2e_ufm|ARCLK|regout' +Slack : -23.743 +TNS : -23.743 + Type : Setup 'ram2e_ufm|DRCLK|regout' Slack : -23.723 TNS : -23.723 -Type : Setup 'ram2e_ufm|ARCLK|regout' -Slack : -22.545 -TNS : -22.545 - Type : Setup 'C14M' -Slack : -8.511 -TNS : -94.827 - -Type : Hold 'ram2e_ufm|ARCLK|regout' -Slack : -17.454 -TNS : -17.454 +Slack : -8.564 +TNS : -94.357 Type : Hold 'ram2e_ufm|DRCLK|regout' -Slack : -16.286 -TNS : -16.286 +Slack : -16.306 +TNS : -16.306 + +Type : Hold 'ram2e_ufm|ARCLK|regout' +Slack : -16.256 +TNS : -16.256 Type : Hold 'C14M' -Slack : 1.400 +Slack : 1.408 TNS : 0.000 Type : Minimum Pulse Width 'C14M' diff --git a/CPLD/MAXII-NODHGR/output_files/RAM2E.svf b/CPLD/MAXII-NODHGR/output_files/RAM2E.svf index 043031b..60691ac 100644 --- a/CPLD/MAXII-NODHGR/output_files/RAM2E.svf +++ b/CPLD/MAXII-NODHGR/output_files/RAM2E.svf @@ -15,11 +15,11 @@ ! !Quartus Prime SVF converter 19.1 ! -!Device #1: EPM240 - /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM2E.pof Sat Jun 08 01:44:21 2024 +!Device #1: EPM240 - /Repos/RAM2E/CPLD/MAXII-NODHGR/output_files/RAM2E.pof Fri Jul 12 16:09:17 2024 ! -!NOTE "USERCODE" "00164439"; +!NOTE "USERCODE" "001661D2"; ! -!NOTE "CHECKSUM" "00164839"; +!NOTE "CHECKSUM" "00166552"; ! ! ! @@ -127,7 +127,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (FEFE); +SDR 16 TDI (FEEE); RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; @@ -151,9 +151,9 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (67FF); +SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -179,11 +179,11 @@ SDR 16 TDI (F8C7); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F77F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BF4E); RUNTEST 1800 TCK; -SDR 16 TDI (C7FF); +SDR 16 TDI (C7FE); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -191,7 +191,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -207,15 +207,15 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FEFF); RUNTEST 1800 TCK; SDR 16 TDI (7FEF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7BBF); RUNTEST 1800 TCK; -SDR 16 TDI (BFCE); +SDR 16 TDI (BFCF); RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (3FFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -233,23 +233,23 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FEF7); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDE); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -265,20 +265,20 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; SDR 16 TDI (F7FE); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); @@ -287,7 +287,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -303,45 +303,13 @@ SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (CFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFD); +SDR 16 TDI (BEF7); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; @@ -355,7 +323,39 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFD); +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; @@ -365,18 +365,18 @@ SDR 16 TDI (7FBF); RUNTEST 1800 TCK; SDR 16 TDI (EFBF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDF7); +SDR 16 TDI (AFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (6FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF6E); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -387,89 +387,73 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (AFFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFEF); RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BCFB); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF6F); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFFD); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -479,191 +463,111 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FF6F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7D); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFA); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7DE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; SDR 16 TDI (FEFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFF9); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (FFDF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; SDR 16 TDI (DEFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFE); -RUNTEST 1800 TCK; -SDR 16 TDI (F7DF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFCD); -RUNTEST 1800 TCK; -SDR 16 TDI (F5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FD7F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (BBE7); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (B7F7); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7D); +SDR 16 TDI (FBFF); RUNTEST 1800 TCK; -SDR 16 TDI (7EF7); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEDF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF5); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DD7F); +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFE6); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -675,61 +579,157 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FF9); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BBFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A55F); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BB7B); +SDR 16 TDI (FEDF); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (AFBB); +SDR 16 TDI (DFF7); RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (6F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7DF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7D7B); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFD); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (777F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFB3); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A55F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FB7); +RUNTEST 1800 TCK; +SDR 16 TDI (77EF); +RUNTEST 1800 TCK; +SDR 16 TDI (F77F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB35); +RUNTEST 1800 TCK; +SDR 16 TDI (76F9); +RUNTEST 1800 TCK; +SDR 16 TDI (DDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BCDE); RUNTEST 1800 TCK; SDR 16 TDI (FFF5); RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (6DFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -739,409 +739,185 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (65FF); +SDR 16 TDI (BFBF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A55F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5DF); -RUNTEST 1800 TCK; -SDR 16 TDI (77BE); -RUNTEST 1800 TCK; -SDR 16 TDI (777F); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FED); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBF5); -RUNTEST 1800 TCK; -SDR 16 TDI (75FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DF7D); -RUNTEST 1800 TCK; -SDR 16 TDI (A77D); -RUNTEST 1800 TCK; -SDR 16 TDI (E7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (73FB); -RUNTEST 1800 TCK; -SDR 16 TDI (3FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7EDB); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FD7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7B7D); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (F57F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; SDR 16 TDI (7F7F); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AEFD); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFF7); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7BF7); +SDR 16 TDI (7F7F); RUNTEST 1800 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (DD7F); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (79FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (A55F); RUNTEST 1800 TCK; -SDR 16 TDI (2363); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (7F76); -RUNTEST 1800 TCK; -SDR 16 TDI (9EC8); -RUNTEST 1800 TCK; -SDR 16 TDI (B8AE); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (6D7A); -RUNTEST 1800 TCK; -SDR 16 TDI (9CFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFB); -RUNTEST 1800 TCK; -SDR 16 TDI (F83F); -RUNTEST 1800 TCK; -SDR 16 TDI (7096); -RUNTEST 1800 TCK; -SDR 16 TDI (BB7E); -RUNTEST 1800 TCK; -SDR 16 TDI (BDD7); -RUNTEST 1800 TCK; -SDR 16 TDI (09FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7F7F); RUNTEST 1800 TCK; SDR 16 TDI (7FF7); RUNTEST 1800 TCK; SDR 16 TDI (BFF5); RUNTEST 1800 TCK; +SDR 16 TDI (57FA); +RUNTEST 1800 TCK; +SDR 16 TDI (75FF); +RUNTEST 1800 TCK; +SDR 16 TDI (57FD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBD); +RUNTEST 1800 TCK; +SDR 16 TDI (FF77); +RUNTEST 1800 TCK; +SDR 16 TDI (6EDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FF75); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AAFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (DDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (69FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F77D); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; SDR 16 TDI (FF7F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F5F); RUNTEST 1800 TCK; SDR 16 TDI (BFDF); RUNTEST 1800 TCK; +SDR 16 TDI (BFB5); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7F79); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (E5EF); +SDR 16 TDI (E7FF); RUNTEST 1800 TCK; -SDR 16 TDI (BF6F); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEEE); +SDR 16 TDI (DBF3); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FB); +RUNTEST 1800 TCK; +SDR 16 TDI (77F7); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDDF); RUNTEST 1800 TCK; SDR 16 TDI (7BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (A7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (27F7); -RUNTEST 1800 TCK; -SDR 16 TDI (73EF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEF9); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B55F); -RUNTEST 1800 TCK; -SDR 16 TDI (2828); -RUNTEST 1800 TCK; -SDR 16 TDI (67C1); -RUNTEST 1800 TCK; -SDR 16 TDI (40DE); -RUNTEST 1800 TCK; -SDR 16 TDI (A003); -RUNTEST 1800 TCK; -SDR 16 TDI (E3E4); -RUNTEST 1800 TCK; -SDR 16 TDI (6009); -RUNTEST 1800 TCK; -SDR 16 TDI (9030); -RUNTEST 1800 TCK; -SDR 16 TDI (A19F); -RUNTEST 1800 TCK; -SDR 16 TDI (0180); -RUNTEST 1800 TCK; -SDR 16 TDI (6002); -RUNTEST 1800 TCK; -SDR 16 TDI (4FCF); -RUNTEST 1800 TCK; -SDR 16 TDI (B680); -RUNTEST 1800 TCK; -SDR 16 TDI (6575); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFEF); RUNTEST 1800 TCK; -SDR 16 TDI (2828); -RUNTEST 1800 TCK; -SDR 16 TDI (66E1); -RUNTEST 1800 TCK; -SDR 16 TDI (00AE); -RUNTEST 1800 TCK; -SDR 16 TDI (AC42); -RUNTEST 1800 TCK; -SDR 16 TDI (C3F4); -RUNTEST 1800 TCK; -SDR 16 TDI (7009); -RUNTEST 1800 TCK; -SDR 16 TDI (9030); -RUNTEST 1800 TCK; -SDR 16 TDI (A19F); -RUNTEST 1800 TCK; -SDR 16 TDI (8180); -RUNTEST 1800 TCK; -SDR 16 TDI (60F7); -RUNTEST 1800 TCK; -SDR 16 TDI (700D); -RUNTEST 1800 TCK; -SDR 16 TDI (BE00); -RUNTEST 1800 TCK; -SDR 16 TDI (657F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B67C); +SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (F00C); +SDR 16 TDI (8B77); RUNTEST 1800 TCK; -SDR 16 TDI (67E0); +SDR 16 TDI (7FFE); RUNTEST 1800 TCK; -SDR 16 TDI (015C); +SDR 16 TDI (CCE9); RUNTEST 1800 TCK; -SDR 16 TDI (A025); +SDR 16 TDI (BF3D); RUNTEST 1800 TCK; -SDR 16 TDI (9FF8); +SDR 16 TDI (DBF6); RUNTEST 1800 TCK; -SDR 16 TDI (6011); +SDR 16 TDI (6DFE); RUNTEST 1800 TCK; -SDR 16 TDI (9C3C); +SDR 16 TDI (D8FF); RUNTEST 1800 TCK; -SDR 16 TDI (A19F); +SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (8418); +SDR 16 TDI (F88E); RUNTEST 1800 TCK; -SDR 16 TDI (6020); +SDR 16 TDI (71CD); RUNTEST 1800 TCK; -SDR 16 TDI (0FCF); +SDR 16 TDI (BEEF); RUNTEST 1800 TCK; -SDR 16 TDI (BE09); -RUNTEST 1800 TCK; -SDR 16 TDI (8075); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7C); -RUNTEST 1800 TCK; -SDR 16 TDI (C03C); -RUNTEST 1800 TCK; -SDR 16 TDI (67E0); -RUNTEST 1800 TCK; -SDR 16 TDI (013E); -RUNTEST 1800 TCK; -SDR 16 TDI (A8A5); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF8); -RUNTEST 1800 TCK; -SDR 16 TDI (6010); -RUNTEST 1800 TCK; -SDR 16 TDI (9C3C); -RUNTEST 1800 TCK; -SDR 16 TDI (A19F); -RUNTEST 1800 TCK; -SDR 16 TDI (8118); -RUNTEST 1800 TCK; -SDR 16 TDI (6226); -RUNTEST 1800 TCK; -SDR 16 TDI (390F); -RUNTEST 1800 TCK; -SDR 16 TDI (BE00); -RUNTEST 1800 TCK; -SDR 16 TDI (365F); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (3B3B); -RUNTEST 1800 TCK; -SDR 16 TDI (62E6); -RUNTEST 1800 TCK; -SDR 16 TDI (6623); -RUNTEST 1800 TCK; -SDR 16 TDI (A23A); -RUNTEST 1800 TCK; -SDR 16 TDI (2171); -RUNTEST 1800 TCK; -SDR 16 TDI (6266); -RUNTEST 1800 TCK; -SDR 16 TDI (38CE); -RUNTEST 1800 TCK; -SDR 16 TDI (B98B); -RUNTEST 1800 TCK; -SDR 16 TDI (9999); -RUNTEST 1800 TCK; -SDR 16 TDI (73EE); -RUNTEST 1800 TCK; -SDR 16 TDI (ECC7); -RUNTEST 1800 TCK; -SDR 16 TDI (BCC8); +SDR 16 TDI (BDF9); RUNTEST 1800 TCK; SDR 16 TDI (BBFF); RUNTEST 1800 TCK; @@ -1149,31 +925,223 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7E); +SDR 16 TDI (B3DF); RUNTEST 1800 TCK; -SDR 16 TDI (7333); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (6547); +SDR 16 TDI (7EF7); RUNTEST 1800 TCK; -SDR 16 TDI (6777); +SDR 16 TDI (F77F); RUNTEST 1800 TCK; -SDR 16 TDI (B773); +SDR 16 TDI (BFFB); RUNTEST 1800 TCK; -SDR 16 TDI (76AB); +SDR 16 TDI (FFBF); RUNTEST 1800 TCK; -SDR 16 TDI (7673); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7D9C); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (B995); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (19CC); +SDR 16 TDI (FF75); RUNTEST 1800 TCK; -SDR 16 TDI (79CC); +SDR 16 TDI (7E7F); RUNTEST 1800 TCK; -SDR 16 TDI (CCC2); +SDR 16 TDI (5DDF); RUNTEST 1800 TCK; -SDR 16 TDI (B5DD); +SDR 16 TDI (BFCF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F6E); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3BB7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF6); +RUNTEST 1800 TCK; +SDR 16 TDI (67F9); +RUNTEST 1800 TCK; +SDR 16 TDI (736F); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFC); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FB3); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BA36); +RUNTEST 1800 TCK; +SDR 16 TDI (DCFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE7D); +RUNTEST 1800 TCK; +SDR 16 TDI (2000); +RUNTEST 1800 TCK; +SDR 16 TDI (67C1); +RUNTEST 1800 TCK; +SDR 16 TDI (5E09); +RUNTEST 1800 TCK; +SDR 16 TDI (BC00); +RUNTEST 1800 TCK; +SDR 16 TDI (03E3); +RUNTEST 1800 TCK; +SDR 16 TDI (6618); +RUNTEST 1800 TCK; +SDR 16 TDI (1200); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (0202); +RUNTEST 1800 TCK; +SDR 16 TDI (7F00); +RUNTEST 1800 TCK; +SDR 16 TDI (FF0F); +RUNTEST 1800 TCK; +SDR 16 TDI (B068); +RUNTEST 1800 TCK; +SDR 16 TDI (18F5); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9DD); +RUNTEST 1800 TCK; +SDR 16 TDI (4000); +RUNTEST 1800 TCK; +SDR 16 TDI (67E1); +RUNTEST 1800 TCK; +SDR 16 TDI (4E0B); +RUNTEST 1800 TCK; +SDR 16 TDI (BC01); +RUNTEST 1800 TCK; +SDR 16 TDI (4778); +RUNTEST 1800 TCK; +SDR 16 TDI (7018); +RUNTEST 1800 TCK; +SDR 16 TDI (1000); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (82F2); +RUNTEST 1800 TCK; +SDR 16 TDI (7000); +RUNTEST 1800 TCK; +SDR 16 TDI (8F0F); +RUNTEST 1800 TCK; +SDR 16 TDI (A808); +RUNTEST 1800 TCK; +SDR 16 TDI (18FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7C); +RUNTEST 1800 TCK; +SDR 16 TDI (3800); +RUNTEST 1800 TCK; +SDR 16 TDI (67E0); +RUNTEST 1800 TCK; +SDR 16 TDI (5C3D); +RUNTEST 1800 TCK; +SDR 16 TDI (BF30); +RUNTEST 1800 TCK; +SDR 16 TDI (03FD); +RUNTEST 1800 TCK; +SDR 16 TDI (7A18); +RUNTEST 1800 TCK; +SDR 16 TDI (900C); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (8100); +RUNTEST 1800 TCK; +SDR 16 TDI (6F09); +RUNTEST 1800 TCK; +SDR 16 TDI (F0CF); +RUNTEST 1800 TCK; +SDR 16 TDI (B885); +RUNTEST 1800 TCK; +SDR 16 TDI (80F5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDC); +RUNTEST 1800 TCK; +SDR 16 TDI (1800); +RUNTEST 1800 TCK; +SDR 16 TDI (67E0); +RUNTEST 1800 TCK; +SDR 16 TDI (DE3F); +RUNTEST 1800 TCK; +SDR 16 TDI (BF30); +RUNTEST 1800 TCK; +SDR 16 TDI (03F0); +RUNTEST 1800 TCK; +SDR 16 TDI (6018); +RUNTEST 1800 TCK; +SDR 16 TDI (100C); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (8480); +RUNTEST 1800 TCK; +SDR 16 TDI (6710); +RUNTEST 1800 TCK; +SDR 16 TDI (0CCF); +RUNTEST 1800 TCK; +SDR 16 TDI (B801); +RUNTEST 1800 TCK; +SDR 16 TDI (807F); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (3B33); +RUNTEST 1800 TCK; +SDR 16 TDI (62E6); +RUNTEST 1800 TCK; +SDR 16 TDI (222F); +RUNTEST 1800 TCK; +SDR 16 TDI (B23A); +RUNTEST 1800 TCK; +SDR 16 TDI (2171); +RUNTEST 1800 TCK; +SDR 16 TDI (6266); +RUNTEST 1800 TCK; +SDR 16 TDI (78CE); +RUNTEST 1800 TCK; +SDR 16 TDI (B98B); +RUNTEST 1800 TCK; +SDR 16 TDI (9998); +RUNTEST 1800 TCK; +SDR 16 TDI (718C); +RUNTEST 1800 TCK; +SDR 16 TDI (FEE7); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBB); RUNTEST 1800 TCK; SDR 16 TDI (99FF); RUNTEST 1800 TCK; @@ -1183,33 +1151,65 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFD); +SDR 16 TDI (7333); RUNTEST 1800 TCK; -SDR 16 TDI (7FDC); +SDR 16 TDI (6547); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (7767); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (B773); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (76AB); +RUNTEST 1800 TCK; +SDR 16 TDI (7672); +RUNTEST 1800 TCK; +SDR 16 TDI (7D9C); +RUNTEST 1800 TCK; +SDR 16 TDI (B995); +RUNTEST 1800 TCK; +SDR 16 TDI (19CD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDD); +RUNTEST 1800 TCK; +SDR 16 TDI (CCC2); +RUNTEST 1800 TCK; +SDR 16 TDI (B199); +RUNTEST 1800 TCK; +SDR 16 TDI (CDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BADF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBEF); RUNTEST 1800 TCK; SDR 16 TDI (7FDF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (AEFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (77BF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B3FB); +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FBFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -1217,277 +1217,221 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7E); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EDF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEBF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (3F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFB6); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFB); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (BDFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; +SDR 16 TDI (CFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7E); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (EEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; SDR 16 TDI (DFDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFDF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (76FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (75FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7B); -RUNTEST 1800 TCK; -SDR 16 TDI (7B9B); -RUNTEST 1800 TCK; -SDR 16 TDI (DDEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BB4B); +SDR 16 TDI (BFDF); RUNTEST 1800 TCK; SDR 16 TDI (DFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; SDR 16 TDI (75FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDEF); +SDR 16 TDI (FFF7); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BF5D); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7B7F); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDF7); +SDR 16 TDI (B7DF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3AFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFC); +SDR 16 TDI (7FEB); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; SDR 16 TDI (BFDB); RUNTEST 1800 TCK; SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F6FF); +SDR 16 TDI (DBFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDD); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7E7B); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFC); -RUNTEST 1800 TCK; -SDR 16 TDI (BABE); -RUNTEST 1800 TCK; -SDR 16 TDI (FBF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFA); -RUNTEST 1800 TCK; -SDR 16 TDI (EDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FAFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFD7); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7F3F); -RUNTEST 1800 TCK; -SDR 16 TDI (BB5D); +SDR 16 TDI (FDDF); RUNTEST 1800 TCK; SDR 16 TDI (BFDF); RUNTEST 1800 TCK; -SDR 16 TDI (D7DE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BDEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); +SDR 16 TDI (BDFD); RUNTEST 1800 TCK; -SDR 16 TDI (FBDF); +SDR 16 TDI (FFEF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7DF); +SDR 16 TDI (F7EF); RUNTEST 1800 TCK; -SDR 16 TDI (BEBF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BBF7); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (EDFE); +SDR 16 TDI (CEBF); RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); +SDR 16 TDI (AF7F); RUNTEST 1800 TCK; -SDR 16 TDI (FF37); +SDR 16 TDI (FBEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5DDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -1495,264 +1439,136 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FED); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7CFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDF); +RUNTEST 1800 TCK; SDR 16 TDI (FEFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FDBF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFD7); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (66FE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F5FF); +SDR 16 TDI (BEFD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (D7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF57); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6AFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFAF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BE6F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFAF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FD6E); -RUNTEST 1800 TCK; -SDR 16 TDI (B5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB2F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDAF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (77FE); -RUNTEST 1800 TCK; -SDR 16 TDI (EEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7CBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDCB); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BEA); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFED); -RUNTEST 1800 TCK; -SDR 16 TDI (FDF5); -RUNTEST 1800 TCK; -SDR 16 TDI (69FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF9D); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BA5F); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BED5); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBB); -RUNTEST 1800 TCK; -SDR 16 TDI (77F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7D7D); +SDR 16 TDI (EFBE); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (F5AF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (CFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFD6); -RUNTEST 1800 TCK; -SDR 16 TDI (77DC); -RUNTEST 1800 TCK; -SDR 16 TDI (D7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFF3); +SDR 16 TDI (FFDE); RUNTEST 1800 TCK; SDR 16 TDI (7FBF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFB); +SDR 16 TDI (FEFF); RUNTEST 1800 TCK; -SDR 16 TDI (67FF); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (DDE7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ED6D); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BEFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7E); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; -SDR 16 TDI (DEFF); +SDR 16 TDI (EDEF); RUNTEST 1800 TCK; -SDR 16 TDI (BBEC); +SDR 16 TDI (B7BF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6AFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (76ED); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -1761,123 +1577,243 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (7BFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FE5D); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDAF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFD6); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7BDF); -RUNTEST 1800 TCK; -SDR 16 TDI (B6FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (DDD5); -RUNTEST 1800 TCK; -SDR 16 TDI (B3BF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDD7); -RUNTEST 1800 TCK; -SDR 16 TDI (7F6E); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF5F); RUNTEST 1800 TCK; -SDR 16 TDI (EBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (87BF); +SDR 16 TDI (D6FF); RUNTEST 1800 TCK; SDR 16 TDI (7FEF); RUNTEST 1800 TCK; -SDR 16 TDI (E725); -RUNTEST 1800 TCK; -SDR 16 TDI (BEE6); -RUNTEST 1800 TCK; -SDR 16 TDI (63FE); -RUNTEST 1800 TCK; -SDR 16 TDI (6F6F); -RUNTEST 1800 TCK; -SDR 16 TDI (DEAD); -RUNTEST 1800 TCK; -SDR 16 TDI (ADCF); -RUNTEST 1800 TCK; -SDR 16 TDI (B8AE); -RUNTEST 1800 TCK; -SDR 16 TDI (7F4D); -RUNTEST 1800 TCK; -SDR 16 TDI (EDBD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5FE); -RUNTEST 1800 TCK; -SDR 16 TDI (7E7B); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFB); +SDR 16 TDI (7FFD); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF77); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7DF6); +SDR 16 TDI (7B7E); RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); +SDR 16 TDI (FFFB); RUNTEST 1800 TCK; -SDR 16 TDI (BFBB); +SDR 16 TDI (B6BF); RUNTEST 1800 TCK; -SDR 16 TDI (EFF5); +SDR 16 TDI (DF6F); RUNTEST 1800 TCK; -SDR 16 TDI (77BE); +SDR 16 TDI (7EF5); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFE6); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B8EA); +SDR 16 TDI (CFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (69FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7E); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (F3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDD); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BAD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BAAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBF7); +RUNTEST 1800 TCK; +SDR 16 TDI (ABAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FCB); +RUNTEST 1800 TCK; +SDR 16 TDI (FD7F); +RUNTEST 1800 TCK; +SDR 16 TDI (B77F); +RUNTEST 1800 TCK; +SDR 16 TDI (EDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFF4); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B5FD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BAFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (D7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBD); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFB); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3EDD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFB7); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7F6F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFC4); +SDR 16 TDI (FF7D); RUNTEST 1800 TCK; -SDR 16 TDI (77F2); +SDR 16 TDI (7FDD); RUNTEST 1800 TCK; -SDR 16 TDI (9CDF); +SDR 16 TDI (7EEF); RUNTEST 1800 TCK; -SDR 16 TDI (B13F); +SDR 16 TDI (BEEF); RUNTEST 1800 TCK; -SDR 16 TDI (9FE9); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7699); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (3BF6); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BB7E); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (DFFB); +SDR 16 TDI (FF5F); RUNTEST 1800 TCK; -SDR 16 TDI (7BF3); +SDR 16 TDI (77EF); RUNTEST 1800 TCK; -SDR 16 TDI (F2D7); +SDR 16 TDI (BFDF); RUNTEST 1800 TCK; -SDR 16 TDI (BBBD); +SDR 16 TDI (AFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFA); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFA); +RUNTEST 1800 TCK; +SDR 16 TDI (AFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (77EF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (6EFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FBB3); +RUNTEST 1800 TCK; +SDR 16 TDI (B8FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7AFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF9F); RUNTEST 1800 TCK; SDR 16 TDI (E7FF); RUNTEST 1800 TCK; @@ -1885,189 +1821,253 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF5E); +SDR 16 TDI (B5FE); RUNTEST 1800 TCK; -SDR 16 TDI (2EF7); +SDR 16 TDI (D13B); RUNTEST 1800 TCK; -SDR 16 TDI (77DB); +SDR 16 TDI (6FF6); RUNTEST 1800 TCK; -SDR 16 TDI (E00A); +SDR 16 TDI (F5A1); RUNTEST 1800 TCK; -SDR 16 TDI (AC40); +SDR 16 TDI (B7A7); RUNTEST 1800 TCK; -SDR 16 TDI (4BCF); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (60E6); +SDR 16 TDI (773B); RUNTEST 1800 TCK; -SDR 16 TDI (1EEF); +SDR 16 TDI (DDDF); RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (A7DF); RUNTEST 1800 TCK; -SDR 16 TDI (1B98); +SDR 16 TDI (BDC3); RUNTEST 1800 TCK; -SDR 16 TDI (7028); +SDR 16 TDI (6FFD); RUNTEST 1800 TCK; -SDR 16 TDI (C00F); +SDR 16 TDI (6E5E); RUNTEST 1800 TCK; -SDR 16 TDI (B180); +SDR 16 TDI (B87B); RUNTEST 1800 TCK; -SDR 16 TDI (C0F5); +SDR 16 TDI (9BEA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7EE4); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (9AFE); +RUNTEST 1800 TCK; +SDR 16 TDI (B85B); +RUNTEST 1800 TCK; +SDR 16 TDI (FBF3); +RUNTEST 1800 TCK; +SDR 16 TDI (79E5); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFC); +RUNTEST 1800 TCK; +SDR 16 TDI (BF3D); +RUNTEST 1800 TCK; +SDR 16 TDI (C67D); +RUNTEST 1800 TCK; +SDR 16 TDI (7FC3); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFE7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAC); +RUNTEST 1800 TCK; +SDR 16 TDI (C3C3); +RUNTEST 1800 TCK; +SDR 16 TDI (7FC3); +RUNTEST 1800 TCK; +SDR 16 TDI (BA08); +RUNTEST 1800 TCK; +SDR 16 TDI (A950); +RUNTEST 1800 TCK; +SDR 16 TDI (C3E4); +RUNTEST 1800 TCK; +SDR 16 TDI (6E07); +RUNTEST 1800 TCK; +SDR 16 TDI (F5AE); +RUNTEST 1800 TCK; +SDR 16 TDI (B61E); +RUNTEST 1800 TCK; +SDR 16 TDI (39D9); +RUNTEST 1800 TCK; +SDR 16 TDI (700D); +RUNTEST 1800 TCK; +SDR 16 TDI (8C0F); +RUNTEST 1800 TCK; +SDR 16 TDI (B029); +RUNTEST 1800 TCK; +SDR 16 TDI (89F5); RUNTEST 1800 TCK; SDR 16 TDI (6BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B7FE); +SDR 16 TDI (B9FC); RUNTEST 1800 TCK; -SDR 16 TDI (0ED2); +SDR 16 TDI (4284); RUNTEST 1800 TCK; -SDR 16 TDI (77BB); +SDR 16 TDI (67A3); RUNTEST 1800 TCK; -SDR 16 TDI (4100); +SDR 16 TDI (F90A); RUNTEST 1800 TCK; -SDR 16 TDI (AC40); +SDR 16 TDI (A000); RUNTEST 1800 TCK; -SDR 16 TDI (43F3); +SDR 16 TDI (C3D4); RUNTEST 1800 TCK; -SDR 16 TDI (60A0); +SDR 16 TDI (6606); RUNTEST 1800 TCK; -SDR 16 TDI (1EEC); +SDR 16 TDI (95AA); RUNTEST 1800 TCK; -SDR 16 TDI (B9DF); +SDR 16 TDI (A1FB); RUNTEST 1800 TCK; -SDR 16 TDI (9BB8); +SDR 16 TDI (9A91); RUNTEST 1800 TCK; -SDR 16 TDI (7070); +SDR 16 TDI (7008); RUNTEST 1800 TCK; -SDR 16 TDI (C10F); +SDR 16 TDI (0C0D); RUNTEST 1800 TCK; -SDR 16 TDI (B980); +SDR 16 TDI (BC09); RUNTEST 1800 TCK; -SDR 16 TDI (58FF); +SDR 16 TDI (81FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEAF); +SDR 16 TDI (BF5C); RUNTEST 1800 TCK; -SDR 16 TDI (B83F); +SDR 16 TDI (F3D3); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (3C12); +RUNTEST 1800 TCK; +SDR 16 TDI (AD90); +RUNTEST 1800 TCK; +SDR 16 TDI (CFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (6641); +RUNTEST 1800 TCK; +SDR 16 TDI (F0FC); +RUNTEST 1800 TCK; +SDR 16 TDI (B71F); +RUNTEST 1800 TCK; +SDR 16 TDI (C83F); +RUNTEST 1800 TCK; +SDR 16 TDI (7F37); +RUNTEST 1800 TCK; +SDR 16 TDI (0C4F); +RUNTEST 1800 TCK; +SDR 16 TDI (B849); +RUNTEST 1800 TCK; +SDR 16 TDI (81F5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDEC); +RUNTEST 1800 TCK; +SDR 16 TDI (01D9); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7892); +RUNTEST 1800 TCK; +SDR 16 TDI (AD18); +RUNTEST 1800 TCK; +SDR 16 TDI (CFF8); +RUNTEST 1800 TCK; +SDR 16 TDI (6644); +RUNTEST 1800 TCK; +SDR 16 TDI (10F0); +RUNTEST 1800 TCK; +SDR 16 TDI (A7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (8498); +RUNTEST 1800 TCK; +SDR 16 TDI (6031); +RUNTEST 1800 TCK; +SDR 16 TDI (0C0F); +RUNTEST 1800 TCK; +SDR 16 TDI (B869); +RUNTEST 1800 TCK; +SDR 16 TDI (81FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (6EC2); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A026); +SDR 16 TDI (B7F7); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (9B3B); RUNTEST 1800 TCK; -SDR 16 TDI (7F6F); +SDR 16 TDI (73E2); RUNTEST 1800 TCK; -SDR 16 TDI (7CCF); +SDR 16 TDI (FE67); +RUNTEST 1800 TCK; +SDR 16 TDI (BBB3); +RUNTEST 1800 TCK; +SDR 16 TDI (31F7); +RUNTEST 1800 TCK; +SDR 16 TDI (6E66); +RUNTEST 1800 TCK; +SDR 16 TDI (FCCC); RUNTEST 1800 TCK; SDR 16 TDI (B98F); RUNTEST 1800 TCK; -SDR 16 TDI (D99E); +SDR 16 TDI (999B); RUNTEST 1800 TCK; -SDR 16 TDI (7300); +SDR 16 TDI (716C); RUNTEST 1800 TCK; -SDR 16 TDI (C067); +SDR 16 TDI (2E27); RUNTEST 1800 TCK; -SDR 16 TDI (B990); +SDR 16 TDI (BB1B); RUNTEST 1800 TCK; -SDR 16 TDI (23F5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (A012); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (C2C2); -RUNTEST 1800 TCK; -SDR 16 TDI (A1A6); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF3); -RUNTEST 1800 TCK; -SDR 16 TDI (676C); -RUNTEST 1800 TCK; -SDR 16 TDI (7CC0); -RUNTEST 1800 TCK; -SDR 16 TDI (B99F); -RUNTEST 1800 TCK; -SDR 16 TDI (D9DE); -RUNTEST 1800 TCK; -SDR 16 TDI (7360); -RUNTEST 1800 TCK; -SDR 16 TDI (C06F); -RUNTEST 1800 TCK; -SDR 16 TDI (B980); -RUNTEST 1800 TCK; -SDR 16 TDI (23FF); +SDR 16 TDI (A3FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BDFF); RUNTEST 1800 TCK; -SDR 16 TDI (37BB); +SDR 16 TDI (3373); RUNTEST 1800 TCK; -SDR 16 TDI (63E6); +SDR 16 TDI (6556); RUNTEST 1800 TCK; -SDR 16 TDI (66E7); +SDR 16 TDI (6777); RUNTEST 1800 TCK; -SDR 16 TDI (A33B); +SDR 16 TDI (B336); RUNTEST 1800 TCK; -SDR 16 TDI (B1F3); +SDR 16 TDI (74A3); RUNTEST 1800 TCK; -SDR 16 TDI (6666); +SDR 16 TDI (6776); RUNTEST 1800 TCK; -SDR 16 TDI (7EEE); +SDR 16 TDI (79CC); RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); +SDR 16 TDI (BB85); RUNTEST 1800 TCK; -SDR 16 TDI (BBB9); +SDR 16 TDI (5D99); RUNTEST 1800 TCK; -SDR 16 TDI (71C2); +SDR 16 TDI (7B8D); RUNTEST 1800 TCK; -SDR 16 TDI (E62F); -RUNTEST 1800 TCK; -SDR 16 TDI (BBA2); -RUNTEST 1800 TCK; -SDR 16 TDI (19FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7733); -RUNTEST 1800 TCK; -SDR 16 TDI (6957); -RUNTEST 1800 TCK; -SDR 16 TDI (7677); -RUNTEST 1800 TCK; -SDR 16 TDI (B733); -RUNTEST 1800 TCK; -SDR 16 TDI (30AB); -RUNTEST 1800 TCK; -SDR 16 TDI (7766); -RUNTEST 1800 TCK; -SDR 16 TDI (7CCC); -RUNTEST 1800 TCK; -SDR 16 TDI (B985); -RUNTEST 1800 TCK; -SDR 16 TDI (1999); -RUNTEST 1800 TCK; -SDR 16 TDI (7BCC); -RUNTEST 1800 TCK; -SDR 16 TDI (C8CA); +SDR 16 TDI (CCCA); RUNTEST 1800 TCK; SDR 16 TDI (B199); RUNTEST 1800 TCK; @@ -2077,354 +2077,74 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BBE7); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77CE); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDD); -RUNTEST 1800 TCK; -SDR 16 TDI (FDE7); -RUNTEST 1800 TCK; -SDR 16 TDI (6EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFE7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (B7B7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFB7); -RUNTEST 1800 TCK; -SDR 16 TDI (97FB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDF6); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFF2); -RUNTEST 1800 TCK; -SDR 16 TDI (7B7E); -RUNTEST 1800 TCK; -SDR 16 TDI (DEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEF6); -RUNTEST 1800 TCK; -SDR 16 TDI (D7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7DF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF9F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (F7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5FE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77B7); +SDR 16 TDI (DBBF); RUNTEST 1800 TCK; SDR 16 TDI (7FDF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (FEEF); RUNTEST 1800 TCK; -SDR 16 TDI (EFBF); +SDR 16 TDI (BFFB); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (FBEF); RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (E7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFEE); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (77F5); -RUNTEST 1800 TCK; -SDR 16 TDI (EDEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7E); -RUNTEST 1800 TCK; -SDR 16 TDI (ADFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ADFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (73F7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7EF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FB7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DCEB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (7EDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (B9EF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (EFDA); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB7D); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (6DFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBEB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FB9); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (EFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB7B); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ABDB); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBD); -RUNTEST 1800 TCK; -SDR 16 TDI (BDBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (7EEF); RUNTEST 1800 TCK; SDR 16 TDI (FEFF); RUNTEST 1800 TCK; +SDR 16 TDI (BDE7); +RUNTEST 1800 TCK; +SDR 16 TDI (3BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6F7E); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (B37F); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (6FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FCF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FF5F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7D5F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (77FE); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -2433,413 +2153,565 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (CFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFB); +RUNTEST 1800 TCK; SDR 16 TDI (7FEF); RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FCF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (DF5F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FE7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFA); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (76FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FCF); +RUNTEST 1800 TCK; +SDR 16 TDI (76BE); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (DFEB); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFD7); RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (77FE); RUNTEST 1800 TCK; -SDR 16 TDI (FF7D); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (B57E); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF3); +RUNTEST 1800 TCK; +SDR 16 TDI (ED7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DEFD); +RUNTEST 1800 TCK; +SDR 16 TDI (777F); +RUNTEST 1800 TCK; +SDR 16 TDI (FE6F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF6); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE7); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7DDF); +SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6EBE); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FD); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF9); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (D9FF); +SDR 16 TDI (DDFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EF7); -RUNTEST 1800 TCK; SDR 16 TDI (7FF7); RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBF5); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (EDBE); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEB); +RUNTEST 1800 TCK; +SDR 16 TDI (7BBE); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (FF97); +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (77BC); +RUNTEST 1800 TCK; +SDR 16 TDI (EFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (EF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; -SDR 16 TDI (FAEF); +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (6EFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7DF); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFD); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6DEE); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFB); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF4F); -RUNTEST 1800 TCK; -SDR 16 TDI (7DEB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6AFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFDB); RUNTEST 1800 TCK; SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FEE); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (DAFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF6); RUNTEST 1800 TCK; SDR 16 TDI (BFFE); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7F3F); +SDR 16 TDI (7BDB); RUNTEST 1800 TCK; -SDR 16 TDI (FEDE); -RUNTEST 1800 TCK; -SDR 16 TDI (BDBF); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (DDDF); +SDR 16 TDI (7F6E); RUNTEST 1800 TCK; SDR 16 TDI (BDFF); RUNTEST 1800 TCK; -SDR 16 TDI (F77D); +SDR 16 TDI (FF2D); +RUNTEST 1800 TCK; +SDR 16 TDI (7BB5); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (EEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DBEF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7ED); +RUNTEST 1800 TCK; +SDR 16 TDI (B7EE); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF6F); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFD); RUNTEST 1800 TCK; SDR 16 TDI (7BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BF7E); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFF); +RUNTEST 1800 TCK; SDR 16 TDI (BF7F); RUNTEST 1800 TCK; -SDR 16 TDI (FDFD); +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7E5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AD7B); +SDR 16 TDI (BBBF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (BF7F); RUNTEST 1800 TCK; -SDR 16 TDI (7F7E); +SDR 16 TDI (7FFD); RUNTEST 1800 TCK; -SDR 16 TDI (F7B7); +SDR 16 TDI (FF7F); RUNTEST 1800 TCK; -SDR 16 TDI (AF3F); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (ECFA); +SDR 16 TDI (77FB); RUNTEST 1800 TCK; -SDR 16 TDI (7BF7); +SDR 16 TDI (776F); RUNTEST 1800 TCK; -SDR 16 TDI (6E7D); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFA); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEA); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (7EFF); RUNTEST 1800 TCK; -SDR 16 TDI (7BFB); +SDR 16 TDI (6D7D); +RUNTEST 1800 TCK; +SDR 16 TDI (DD5F); +RUNTEST 1800 TCK; +SDR 16 TDI (BDD3); RUNTEST 1800 TCK; SDR 16 TDI (DDFD); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EEF); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEEF); +SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (F6D9); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFB7); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFB5); -RUNTEST 1800 TCK; -SDR 16 TDI (6FCB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7FEF); RUNTEST 1800 TCK; SDR 16 TDI (FFBF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (A9FB); +RUNTEST 1800 TCK; +SDR 16 TDI (BBF7); +RUNTEST 1800 TCK; +SDR 16 TDI (6EFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEE); +RUNTEST 1800 TCK; +SDR 16 TDI (9EEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFD); +RUNTEST 1800 TCK; +SDR 16 TDI (3EFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (CDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BF5D); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BAF7); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFA3); -RUNTEST 1800 TCK; -SDR 16 TDI (B33B); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6767); -RUNTEST 1800 TCK; -SDR 16 TDI (D8E8); -RUNTEST 1800 TCK; -SDR 16 TDI (B1FB); -RUNTEST 1800 TCK; -SDR 16 TDI (F9F7); -RUNTEST 1800 TCK; -SDR 16 TDI (71ED); -RUNTEST 1800 TCK; -SDR 16 TDI (5EDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BCDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (74BD); -RUNTEST 1800 TCK; -SDR 16 TDI (77F7); -RUNTEST 1800 TCK; -SDR 16 TDI (62DE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (E3F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7EDC); -RUNTEST 1800 TCK; -SDR 16 TDI (3F9F); -RUNTEST 1800 TCK; -SDR 16 TDI (BE7F); -RUNTEST 1800 TCK; -SDR 16 TDI (CF39); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF3); -RUNTEST 1800 TCK; -SDR 16 TDI (AFBE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFE9); -RUNTEST 1800 TCK; -SDR 16 TDI (FF6A); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; +SDR 16 TDI (FB7E); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (7FED); RUNTEST 1800 TCK; -SDR 16 TDI (EF56); +SDR 16 TDI (F9DB); RUNTEST 1800 TCK; -SDR 16 TDI (6FFB); +SDR 16 TDI (BEFB); RUNTEST 1800 TCK; -SDR 16 TDI (BD7F); +SDR 16 TDI (FCDF); RUNTEST 1800 TCK; -SDR 16 TDI (AEFD); +SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (3FBE); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (79BB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (AF9F); -RUNTEST 1800 TCK; -SDR 16 TDI (FECE); -RUNTEST 1800 TCK; -SDR 16 TDI (7EDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF6); -RUNTEST 1800 TCK; -SDR 16 TDI (64FF); +SDR 16 TDI (EDFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BAFD); +SDR 16 TDI (BEFF); RUNTEST 1800 TCK; -SDR 16 TDI (C883); +SDR 16 TDI (F7DF); RUNTEST 1800 TCK; -SDR 16 TDI (6FC5); +SDR 16 TDI (67EB); RUNTEST 1800 TCK; -SDR 16 TDI (0082); +SDR 16 TDI (EDB7); RUNTEST 1800 TCK; -SDR 16 TDI (AC30); +SDR 16 TDI (B5B7); RUNTEST 1800 TCK; -SDR 16 TDI (3FEC); +SDR 16 TDI (77FB); RUNTEST 1800 TCK; -SDR 16 TDI (607C); +SDR 16 TDI (777F); RUNTEST 1800 TCK; -SDR 16 TDI (1201); +SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (A01F); +SDR 16 TDI (BD5E); RUNTEST 1800 TCK; -SDR 16 TDI (2078); +SDR 16 TDI (F7BB); RUNTEST 1800 TCK; -SDR 16 TDI (7002); +SDR 16 TDI (7F7D); RUNTEST 1800 TCK; -SDR 16 TDI (0C0F); +SDR 16 TDI (D5DE); RUNTEST 1800 TCK; -SDR 16 TDI (B576); +SDR 16 TDI (BCFC); RUNTEST 1800 TCK; -SDR 16 TDI (C575); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B65D); -RUNTEST 1800 TCK; -SDR 16 TDI (C8C3); -RUNTEST 1800 TCK; -SDR 16 TDI (7FA5); -RUNTEST 1800 TCK; -SDR 16 TDI (1982); -RUNTEST 1800 TCK; -SDR 16 TDI (A032); -RUNTEST 1800 TCK; -SDR 16 TDI (2370); -RUNTEST 1800 TCK; -SDR 16 TDI (6600); -RUNTEST 1800 TCK; -SDR 16 TDI (5000); -RUNTEST 1800 TCK; -SDR 16 TDI (A01F); -RUNTEST 1800 TCK; -SDR 16 TDI (A428); -RUNTEST 1800 TCK; -SDR 16 TDI (7000); -RUNTEST 1800 TCK; -SDR 16 TDI (0C0D); -RUNTEST 1800 TCK; -SDR 16 TDI (BD20); -RUNTEST 1800 TCK; -SDR 16 TDI (907F); +SDR 16 TDI (C57F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -2847,93 +2719,221 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFC); RUNTEST 1800 TCK; -SDR 16 TDI (1873); +SDR 16 TDI (AE6E); RUNTEST 1800 TCK; -SDR 16 TDI (77F4); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (1100); +SDR 16 TDI (BBF9); RUNTEST 1800 TCK; -SDR 16 TDI (A470); +SDR 16 TDI (AE59); RUNTEST 1800 TCK; -SDR 16 TDI (3FFC); +SDR 16 TDI (9BF6); RUNTEST 1800 TCK; -SDR 16 TDI (616D); +SDR 16 TDI (6CF6); RUNTEST 1800 TCK; -SDR 16 TDI (F080); +SDR 16 TDI (D6CE); RUNTEST 1800 TCK; -SDR 16 TDI (A51F); +SDR 16 TDI (AAFF); RUNTEST 1800 TCK; -SDR 16 TDI (8078); +SDR 16 TDI (9B5C); RUNTEST 1800 TCK; -SDR 16 TDI (61C9); +SDR 16 TDI (6AF7); RUNTEST 1800 TCK; -SDR 16 TDI (1F9F); +SDR 16 TDI (EBAF); RUNTEST 1800 TCK; -SDR 16 TDI (B867); +SDR 16 TDI (BF77); RUNTEST 1800 TCK; -SDR 16 TDI (2275); +SDR 16 TDI (FFEA); RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); +SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBF8); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (1803); +SDR 16 TDI (5BF3); RUNTEST 1800 TCK; -SDR 16 TDI (67F4); +SDR 16 TDI (7FFE); RUNTEST 1800 TCK; -SDR 16 TDI (1000); +SDR 16 TDI (567E); RUNTEST 1800 TCK; -SDR 16 TDI (A473); +SDR 16 TDI (BBEE); RUNTEST 1800 TCK; -SDR 16 TDI (C3F0); +SDR 16 TDI (EF7D); RUNTEST 1800 TCK; -SDR 16 TDI (7601); +SDR 16 TDI (7BED); RUNTEST 1800 TCK; -SDR 16 TDI (F080); +SDR 16 TDI (B9FB); RUNTEST 1800 TCK; -SDR 16 TDI (A01F); +SDR 16 TDI (BFF5); RUNTEST 1800 TCK; -SDR 16 TDI (8058); +SDR 16 TDI (FCFF); RUNTEST 1800 TCK; -SDR 16 TDI (60C9); +SDR 16 TDI (7D8A); RUNTEST 1800 TCK; -SDR 16 TDI (0F9F); +SDR 16 TDI (3EFF); RUNTEST 1800 TCK; -SDR 16 TDI (B861); +SDR 16 TDI (BFCB); RUNTEST 1800 TCK; -SDR 16 TDI (117F); +SDR 16 TDI (BAFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (B9AC); RUNTEST 1800 TCK; -SDR 16 TDI (339B); +SDR 16 TDI (5C32); RUNTEST 1800 TCK; -SDR 16 TDI (72E6); +SDR 16 TDI (7FC5); RUNTEST 1800 TCK; -SDR 16 TDI (6EE3); +SDR 16 TDI (3F6A); RUNTEST 1800 TCK; -SDR 16 TDI (B33B); +SDR 16 TDI (AE24); RUNTEST 1800 TCK; -SDR 16 TDI (31F1); +SDR 16 TDI (1BEC); RUNTEST 1800 TCK; -SDR 16 TDI (6666); +SDR 16 TDI (619F); RUNTEST 1800 TCK; -SDR 16 TDI (7EEE); +SDR 16 TDI (F83C); RUNTEST 1800 TCK; -SDR 16 TDI (BDCF); +SDR 16 TDI (BF5F); RUNTEST 1800 TCK; -SDR 16 TDI (F999); +SDR 16 TDI (6087); RUNTEST 1800 TCK; -SDR 16 TDI (71C9); +SDR 16 TDI (7D55); RUNTEST 1800 TCK; -SDR 16 TDI (CCC7); +SDR 16 TDI (00AF); RUNTEST 1800 TCK; -SDR 16 TDI (BBD9); +SDR 16 TDI (B680); +RUNTEST 1800 TCK; +SDR 16 TDI (5075); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB5C); +RUNTEST 1800 TCK; +SDR 16 TDI (C022); +RUNTEST 1800 TCK; +SDR 16 TDI (7FA4); +RUNTEST 1800 TCK; +SDR 16 TDI (3B60); +RUNTEST 1800 TCK; +SDR 16 TDI (A020); +RUNTEST 1800 TCK; +SDR 16 TDI (3BFC); +RUNTEST 1800 TCK; +SDR 16 TDI (6998); +RUNTEST 1800 TCK; +SDR 16 TDI (D03E); +RUNTEST 1800 TCK; +SDR 16 TDI (A79B); +RUNTEST 1800 TCK; +SDR 16 TDI (E000); +RUNTEST 1800 TCK; +SDR 16 TDI (6C75); +RUNTEST 1800 TCK; +SDR 16 TDI (FFAD); +RUNTEST 1800 TCK; +SDR 16 TDI (BE00); +RUNTEST 1800 TCK; +SDR 16 TDI (57FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFC); +RUNTEST 1800 TCK; +SDR 16 TDI (3E7C); +RUNTEST 1800 TCK; +SDR 16 TDI (67E5); +RUNTEST 1800 TCK; +SDR 16 TDI (EDF3); +RUNTEST 1800 TCK; +SDR 16 TDI (AC02); +RUNTEST 1800 TCK; +SDR 16 TDI (0FF4); +RUNTEST 1800 TCK; +SDR 16 TDI (6007); +RUNTEST 1800 TCK; +SDR 16 TDI (DC0C); +RUNTEST 1800 TCK; +SDR 16 TDI (BF3F); +RUNTEST 1800 TCK; +SDR 16 TDI (FC9F); +RUNTEST 1800 TCK; +SDR 16 TDI (7300); +RUNTEST 1800 TCK; +SDR 16 TDI (22CF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE08); +RUNTEST 1800 TCK; +SDR 16 TDI (8275); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (667C); +RUNTEST 1800 TCK; +SDR 16 TDI (67E5); +RUNTEST 1800 TCK; +SDR 16 TDI (EDF3); +RUNTEST 1800 TCK; +SDR 16 TDI (A020); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFC); +RUNTEST 1800 TCK; +SDR 16 TDI (6001); +RUNTEST 1800 TCK; +SDR 16 TDI (540D); +RUNTEST 1800 TCK; +SDR 16 TDI (BF9F); +RUNTEST 1800 TCK; +SDR 16 TDI (841D); +RUNTEST 1800 TCK; +SDR 16 TDI (7913); +RUNTEST 1800 TCK; +SDR 16 TDI (22CF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE00); +RUNTEST 1800 TCK; +SDR 16 TDI (E27F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDF7); +RUNTEST 1800 TCK; +SDR 16 TDI (B233); +RUNTEST 1800 TCK; +SDR 16 TDI (73E6); +RUNTEST 1800 TCK; +SDR 16 TDI (6667); +RUNTEST 1800 TCK; +SDR 16 TDI (BA23); +RUNTEST 1800 TCK; +SDR 16 TDI (2173); +RUNTEST 1800 TCK; +SDR 16 TDI (6224); +RUNTEST 1800 TCK; +SDR 16 TDI (78CE); +RUNTEST 1800 TCK; +SDR 16 TDI (B99F); +RUNTEST 1800 TCK; +SDR 16 TDI (9999); +RUNTEST 1800 TCK; +SDR 16 TDI (7BEE); +RUNTEST 1800 TCK; +SDR 16 TDI (EEE7); +RUNTEST 1800 TCK; +SDR 16 TDI (BCD9); RUNTEST 1800 TCK; SDR 16 TDI (BBFE); RUNTEST 1800 TCK; @@ -2941,31 +2941,31 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (3333); +SDR 16 TDI (3777); RUNTEST 1800 TCK; -SDR 16 TDI (6546); +SDR 16 TDI (6157); RUNTEST 1800 TCK; -SDR 16 TDI (6677); +SDR 16 TDI (7777); RUNTEST 1800 TCK; -SDR 16 TDI (B673); +SDR 16 TDI (B376); RUNTEST 1800 TCK; -SDR 16 TDI (30AB); +SDR 16 TDI (76A9); RUNTEST 1800 TCK; -SDR 16 TDI (6676); +SDR 16 TDI (7763); RUNTEST 1800 TCK; -SDR 16 TDI (7CCC); +SDR 16 TDI (3D9C); RUNTEST 1800 TCK; -SDR 16 TDI (B985); +SDR 16 TDI (BBA5); RUNTEST 1800 TCK; -SDR 16 TDI (1DCD); +SDR 16 TDI (1C9D); RUNTEST 1800 TCK; -SDR 16 TDI (7BDD); +SDR 16 TDI (79CC); RUNTEST 1800 TCK; -SDR 16 TDI (DC92); +SDR 16 TDI (CCC2); RUNTEST 1800 TCK; -SDR 16 TDI (B19D); +SDR 16 TDI (B5CC); RUNTEST 1800 TCK; SDR 16 TDI (99FF); RUNTEST 1800 TCK; @@ -2973,96 +2973,64 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BEF7); RUNTEST 1800 TCK; -SDR 16 TDI (FFDB); +SDR 16 TDI (FBFB); RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FCE); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EEEF); RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFEF); RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDEF); +RUNTEST 1800 TCK; +SDR 16 TDI (77F3); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77F5); +RUNTEST 1800 TCK; SDR 16 TDI (7FEF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (77DF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF77); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (B75F); RUNTEST 1800 TCK; SDR 16 TDI (DFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7D7B); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FE6); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (F5DF); +SDR 16 TDI (DFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B97F); -RUNTEST 1800 TCK; -SDR 16 TDI (BDCF); -RUNTEST 1800 TCK; SDR 16 TDI (F5FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); @@ -3071,154 +3039,10 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (77F6); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFE7); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (AB7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (77F7); -RUNTEST 1800 TCK; -SDR 16 TDI (F6FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (EDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDE); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7D); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (72BD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (77FD); -RUNTEST 1800 TCK; -SDR 16 TDI (6EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (77FE); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFF6); @@ -3227,65 +3051,401 @@ SDR 16 TDI (FFFD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3DDF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7D7); +SDR 16 TDI (FFBE); RUNTEST 1800 TCK; -SDR 16 TDI (6FF7); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (BE5F); RUNTEST 1800 TCK; -SDR 16 TDI (AF7E); +SDR 16 TDI (BD5E); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7D57); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFAF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFF); +SDR 16 TDI (FFDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FB); +RUNTEST 1800 TCK; +SDR 16 TDI (77F4); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7CFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDF); +RUNTEST 1800 TCK; +SDR 16 TDI (ADFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FD5); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (AEFE); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7AFF); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; -SDR 16 TDI (EDFE); +SDR 16 TDI (EFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BED7); +RUNTEST 1800 TCK; +SDR 16 TDI (EDFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7EF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD7D); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7F77); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E7FD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (CEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFB); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7B7D); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7EEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BD5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B5F7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6EAF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFBD); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (6F7A); +SDR 16 TDI (6F6F); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7E); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (75F7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (F6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7E76); +RUNTEST 1800 TCK; +SDR 16 TDI (EEDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEB6); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (75EE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBE); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF3); +RUNTEST 1800 TCK; +SDR 16 TDI (EF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A55F); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE79); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFD); +RUNTEST 1800 TCK; +SDR 16 TDI (77D7); +RUNTEST 1800 TCK; +SDR 16 TDI (AFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7F7); +RUNTEST 1800 TCK; +SDR 16 TDI (6BBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF3); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF5D); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDE); RUNTEST 1800 TCK; SDR 16 TDI (F37F); RUNTEST 1800 TCK; @@ -3293,225 +3453,65 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A75F); RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF5); -RUNTEST 1800 TCK; -SDR 16 TDI (EBF7); -RUNTEST 1800 TCK; -SDR 16 TDI (B7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (FD7D); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFB7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EF9F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7BF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (DD7F); RUNTEST 1800 TCK; -SDR 16 TDI (BFED); +SDR 16 TDI (BCFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F5FF); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7EFD); RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFDF); RUNTEST 1800 TCK; SDR 16 TDI (BF7F); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (57F5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (ACFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7BED); -RUNTEST 1800 TCK; -SDR 16 TDI (F6FE); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FAFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FE6); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFAF); -RUNTEST 1800 TCK; -SDR 16 TDI (F57F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFC); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A65F); +SDR 16 TDI (AFDB); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (FFFB); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FB7); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFE9); +SDR 16 TDI (DFDF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (73B7); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFB); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (77F7); -RUNTEST 1800 TCK; -SDR 16 TDI (FF6F); -RUNTEST 1800 TCK; -SDR 16 TDI (BCFD); -RUNTEST 1800 TCK; -SDR 16 TDI (F3F5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (EDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFB7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A55E); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (DFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (D5F7); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (79F7); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F6EA); +SDR 16 TDI (DFEA); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; @@ -3519,863 +3519,191 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B77D); +SDR 16 TDI (BF5F); RUNTEST 1800 TCK; -SDR 16 TDI (DFFA); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7D5F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FED); -RUNTEST 1800 TCK; -SDR 16 TDI (BEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (EDFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7F5F); -RUNTEST 1800 TCK; -SDR 16 TDI (9FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFED); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (75EF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBDF); +SDR 16 TDI (FFDF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FDD); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7D7F); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (BDDD); RUNTEST 1800 TCK; SDR 16 TDI (FF7F); RUNTEST 1800 TCK; -SDR 16 TDI (BBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6EFD); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (7A5D); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B75E); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); +SDR 16 TDI (7FAF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7EFC); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (A7FD); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (D9FF); +SDR 16 TDI (B7FB); RUNTEST 1800 TCK; -SDR 16 TDI (7DEF); +SDR 16 TDI (7EDF); RUNTEST 1800 TCK; -SDR 16 TDI (7C5F); +SDR 16 TDI (FD7D); RUNTEST 1800 TCK; -SDR 16 TDI (BFE1); +SDR 16 TDI (BAFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7E); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B6FD); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (E7AD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBAB); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7E3C); +RUNTEST 1800 TCK; +SDR 16 TDI (B6DD); +RUNTEST 1800 TCK; +SDR 16 TDI (AF5D); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (B8DD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFC); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (AAB5); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (67FD); +SDR 16 TDI (BEFF); RUNTEST 1800 TCK; -SDR 16 TDI (7196); +SDR 16 TDI (5FDF); RUNTEST 1800 TCK; -SDR 16 TDI (9967); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (EF54); +SDR 16 TDI (BF7F); RUNTEST 1800 TCK; -SDR 16 TDI (7BBF); +SDR 16 TDI (E3F3); RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (6D6E); RUNTEST 1800 TCK; -SDR 16 TDI (BA3F); +SDR 16 TDI (F5F7); RUNTEST 1800 TCK; -SDR 16 TDI (C8EA); +SDR 16 TDI (BB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (D7F7); +RUNTEST 1800 TCK; +SDR 16 TDI (7D7F); +RUNTEST 1800 TCK; +SDR 16 TDI (6E6E); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7B); +RUNTEST 1800 TCK; +SDR 16 TDI (D76A); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7EF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FF3); -RUNTEST 1800 TCK; -SDR 16 TDI (6E6F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (BD3F); -RUNTEST 1800 TCK; -SDR 16 TDI (F6AF); -RUNTEST 1800 TCK; -SDR 16 TDI (66F0); -RUNTEST 1800 TCK; -SDR 16 TDI (C7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AD5E); -RUNTEST 1800 TCK; -SDR 16 TDI (8017); -RUNTEST 1800 TCK; -SDR 16 TDI (67C5); -RUNTEST 1800 TCK; -SDR 16 TDI (FDF8); -RUNTEST 1800 TCK; -SDR 16 TDI (BA3A); -RUNTEST 1800 TCK; -SDR 16 TDI (A7EA); -RUNTEST 1800 TCK; -SDR 16 TDI (7DF8); -RUNTEST 1800 TCK; -SDR 16 TDI (18F0); -RUNTEST 1800 TCK; -SDR 16 TDI (A17F); -RUNTEST 1800 TCK; -SDR 16 TDI (7A3F); -RUNTEST 1800 TCK; -SDR 16 TDI (778E); -RUNTEST 1800 TCK; -SDR 16 TDI (2F0F); -RUNTEST 1800 TCK; -SDR 16 TDI (B018); -RUNTEST 1800 TCK; -SDR 16 TDI (0075); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFC); -RUNTEST 1800 TCK; -SDR 16 TDI (002C); -RUNTEST 1800 TCK; -SDR 16 TDI (7FA4); -RUNTEST 1800 TCK; -SDR 16 TDI (1C1E); -RUNTEST 1800 TCK; -SDR 16 TDI (A60A); -RUNTEST 1800 TCK; -SDR 16 TDI (A3D2); -RUNTEST 1800 TCK; -SDR 16 TDI (60F8); -RUNTEST 1800 TCK; -SDR 16 TDI (1838); -RUNTEST 1800 TCK; -SDR 16 TDI (A17B); -RUNTEST 1800 TCK; -SDR 16 TDI (D4A5); -RUNTEST 1800 TCK; -SDR 16 TDI (6480); -RUNTEST 1800 TCK; -SDR 16 TDI (2F3F); -RUNTEST 1800 TCK; -SDR 16 TDI (A838); -RUNTEST 1800 TCK; -SDR 16 TDI (007F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BD5E); -RUNTEST 1800 TCK; -SDR 16 TDI (7058); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF2); -RUNTEST 1800 TCK; -SDR 16 TDI (0A07); -RUNTEST 1800 TCK; -SDR 16 TDI (B43C); -RUNTEST 1800 TCK; -SDR 16 TDI (3FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7EE0); -RUNTEST 1800 TCK; -SDR 16 TDI (90C0); -RUNTEST 1800 TCK; -SDR 16 TDI (A11F); -RUNTEST 1800 TCK; -SDR 16 TDI (CCFC); -RUNTEST 1800 TCK; -SDR 16 TDI (7F8F); -RUNTEST 1800 TCK; -SDR 16 TDI (300F); -RUNTEST 1800 TCK; -SDR 16 TDI (B899); -RUNTEST 1800 TCK; -SDR 16 TDI (C8F5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F02F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF2); -RUNTEST 1800 TCK; -SDR 16 TDI (6A78); -RUNTEST 1800 TCK; -SDR 16 TDI (A003); -RUNTEST 1800 TCK; -SDR 16 TDI (3FF3); -RUNTEST 1800 TCK; -SDR 16 TDI (7360); -RUNTEST 1800 TCK; -SDR 16 TDI (1000); -RUNTEST 1800 TCK; -SDR 16 TDI (A51F); -RUNTEST 1800 TCK; -SDR 16 TDI (8080); -RUNTEST 1800 TCK; -SDR 16 TDI (6080); -RUNTEST 1800 TCK; -SDR 16 TDI (0C0F); -RUNTEST 1800 TCK; -SDR 16 TDI (B819); -RUNTEST 1800 TCK; -SDR 16 TDI (807F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDE); -RUNTEST 1800 TCK; -SDR 16 TDI (337B); -RUNTEST 1800 TCK; -SDR 16 TDI (73EE); -RUNTEST 1800 TCK; -SDR 16 TDI (EEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (A33E); -RUNTEST 1800 TCK; -SDR 16 TDI (31F1); -RUNTEST 1800 TCK; -SDR 16 TDI (6622); -RUNTEST 1800 TCK; -SDR 16 TDI (784C); -RUNTEST 1800 TCK; -SDR 16 TDI (B00B); -RUNTEST 1800 TCK; -SDR 16 TDI (91B9); -RUNTEST 1800 TCK; -SDR 16 TDI (6788); -RUNTEST 1800 TCK; -SDR 16 TDI (EE87); -RUNTEST 1800 TCK; -SDR 16 TDI (BBB9); -RUNTEST 1800 TCK; -SDR 16 TDI (99FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7671); -RUNTEST 1800 TCK; -SDR 16 TDI (6144); -RUNTEST 1800 TCK; -SDR 16 TDI (4445); -RUNTEST 1800 TCK; -SDR 16 TDI (B773); -RUNTEST 1800 TCK; -SDR 16 TDI (64AB); -RUNTEST 1800 TCK; -SDR 16 TDI (7777); -RUNTEST 1800 TCK; -SDR 16 TDI (3D8C); -RUNTEST 1800 TCK; -SDR 16 TDI (BB95); -RUNTEST 1800 TCK; -SDR 16 TDI (0D98); -RUNTEST 1800 TCK; -SDR 16 TDI (73DD); -RUNTEST 1800 TCK; -SDR 16 TDI (CCD2); -RUNTEST 1800 TCK; -SDR 16 TDI (B19C); -RUNTEST 1800 TCK; -SDR 16 TDI (DCFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (73FF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7D7B); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BCFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF6); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FBDD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBD); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDA5); -RUNTEST 1800 TCK; -SDR 16 TDI (B7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDCF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDD); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; SDR 16 TDI (BF7F); RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FD6); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFDF); +SDR 16 TDI (DFDE); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A5F5); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF5); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (76D1); RUNTEST 1800 TCK; SDR 16 TDI (BFDF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (ADBF); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (F9BB); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (6BAC); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (DFD7); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFB6); +RUNTEST 1800 TCK; +SDR 16 TDI (ACFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A95F); RUNTEST 1800 TCK; -SDR 16 TDI (BEE7); +SDR 16 TDI (2817); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (67C5); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FDF9); RUNTEST 1800 TCK; -SDR 16 TDI (BBFE); +SDR 16 TDI (B837); RUNTEST 1800 TCK; -SDR 16 TDI (BFF5); +SDR 16 TDI (E3E0); RUNTEST 1800 TCK; -SDR 16 TDI (7FAF); +SDR 16 TDI (63EE); RUNTEST 1800 TCK; -SDR 16 TDI (EEFF); +SDR 16 TDI (B000); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A01F); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (07E6); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (60EC); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (400F); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B098); RUNTEST 1800 TCK; -SDR 16 TDI (FFD7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (EF3F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF3F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFA); -RUNTEST 1800 TCK; -SDR 16 TDI (FEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (EFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (67FF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (66FF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5BF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFE); -RUNTEST 1800 TCK; -SDR 16 TDI (DEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7EDB); -RUNTEST 1800 TCK; -SDR 16 TDI (ADFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A65F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FD9D); -RUNTEST 1800 TCK; -SDR 16 TDI (AEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7F5); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB7F); -RUNTEST 1800 TCK; -SDR 16 TDI (6FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A65E); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B777); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FB); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (CFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (6BF6); -RUNTEST 1800 TCK; -SDR 16 TDI (DEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FBF5); +SDR 16 TDI (27F5); RUNTEST 1800 TCK; SDR 16 TDI (6BFF); RUNTEST 1800 TCK; @@ -4383,6 +3711,190 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (ABFF); RUNTEST 1800 TCK; +SDR 16 TDI (002C); +RUNTEST 1800 TCK; +SDR 16 TDI (7FA4); +RUNTEST 1800 TCK; +SDR 16 TDI (1C1E); +RUNTEST 1800 TCK; +SDR 16 TDI (B034); +RUNTEST 1800 TCK; +SDR 16 TDI (E3F0); +RUNTEST 1800 TCK; +SDR 16 TDI (6384); +RUNTEST 1800 TCK; +SDR 16 TDI (B020); +RUNTEST 1800 TCK; +SDR 16 TDI (A0BB); +RUNTEST 1800 TCK; +SDR 16 TDI (8000); +RUNTEST 1800 TCK; +SDR 16 TDI (6ACC); +RUNTEST 1800 TCK; +SDR 16 TDI (004D); +RUNTEST 1800 TCK; +SDR 16 TDI (B818); +RUNTEST 1800 TCK; +SDR 16 TDI (72FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BD5F); +RUNTEST 1800 TCK; +SDR 16 TDI (E718); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF2); +RUNTEST 1800 TCK; +SDR 16 TDI (0A07); +RUNTEST 1800 TCK; +SDR 16 TDI (BC1B); +RUNTEST 1800 TCK; +SDR 16 TDI (03F3); +RUNTEST 1800 TCK; +SDR 16 TDI (727F); +RUNTEST 1800 TCK; +SDR 16 TDI (700C); +RUNTEST 1800 TCK; +SDR 16 TDI (B11F); +RUNTEST 1800 TCK; +SDR 16 TDI (87FF); +RUNTEST 1800 TCK; +SDR 16 TDI (70DE); +RUNTEST 1800 TCK; +SDR 16 TDI (0C07); +RUNTEST 1800 TCK; +SDR 16 TDI (BA19); +RUNTEST 1800 TCK; +SDR 16 TDI (04F5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (3F2F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF2); +RUNTEST 1800 TCK; +SDR 16 TDI (6A78); +RUNTEST 1800 TCK; +SDR 16 TDI (A318); +RUNTEST 1800 TCK; +SDR 16 TDI (03F3); +RUNTEST 1800 TCK; +SDR 16 TDI (6001); +RUNTEST 1800 TCK; +SDR 16 TDI (7C00); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (967F); +RUNTEST 1800 TCK; +SDR 16 TDI (70CE); +RUNTEST 1800 TCK; +SDR 16 TDI (0C0F); +RUNTEST 1800 TCK; +SDR 16 TDI (B878); +RUNTEST 1800 TCK; +SDR 16 TDI (34FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF6); +RUNTEST 1800 TCK; +SDR 16 TDI (323B); +RUNTEST 1800 TCK; +SDR 16 TDI (73EE); +RUNTEST 1800 TCK; +SDR 16 TDI (EEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BA31); +RUNTEST 1800 TCK; +SDR 16 TDI (3173); +RUNTEST 1800 TCK; +SDR 16 TDI (664E); +RUNTEST 1800 TCK; +SDR 16 TDI (7CFE); +RUNTEST 1800 TCK; +SDR 16 TDI (B98F); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBB); +RUNTEST 1800 TCK; +SDR 16 TDI (73EE); +RUNTEST 1800 TCK; +SDR 16 TDI (ECEF); +RUNTEST 1800 TCK; +SDR 16 TDI (B8B9); +RUNTEST 1800 TCK; +SDR 16 TDI (9BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6771); +RUNTEST 1800 TCK; +SDR 16 TDI (6144); +RUNTEST 1800 TCK; +SDR 16 TDI (4445); +RUNTEST 1800 TCK; +SDR 16 TDI (B376); +RUNTEST 1800 TCK; +SDR 16 TDI (26A9); +RUNTEST 1800 TCK; +SDR 16 TDI (7627); +RUNTEST 1800 TCK; +SDR 16 TDI (39CC); +RUNTEST 1800 TCK; +SDR 16 TDI (B3A5); +RUNTEST 1800 TCK; +SDR 16 TDI (1999); +RUNTEST 1800 TCK; +SDR 16 TDI (79CC); +RUNTEST 1800 TCK; +SDR 16 TDI (C9C2); +RUNTEST 1800 TCK; +SDR 16 TDI (B59C); +RUNTEST 1800 TCK; +SDR 16 TDI (99FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF9); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); @@ -4393,21 +3905,509 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF5); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF6); RUNTEST 1800 TCK; SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (AFDB); +SDR 16 TDI (AFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF5B); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (7EBF); +SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (7EBD); +SDR 16 TDI (5FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEF7); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFEA); +SDR 16 TDI (BBFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7EE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7B7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDB); +RUNTEST 1800 TCK; +SDR 16 TDI (BB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (CEBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EF6); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (ABFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7F7); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (AF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BB77); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FB); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF9F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7EEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (EDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FD7); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEE6); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F76); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (B79F); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7777); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEF6); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FD6); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A55F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (B5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (D7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7ECD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FBD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FB75); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (777F); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFA); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A75E); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDB); +RUNTEST 1800 TCK; +SDR 16 TDI (F9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FEF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (76EF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF4F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEA); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; @@ -4419,27 +4419,27 @@ SDR 16 TDI (EEFF); RUNTEST 1800 TCK; SDR 16 TDI (7FEA); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF5D); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFA); -RUNTEST 1800 TCK; -SDR 16 TDI (77DD); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (9EFF); +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FEF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBEF); +SDR 16 TDI (7FBF); RUNTEST 1800 TCK; -SDR 16 TDI (BBEE); +SDR 16 TDI (97FF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5D77); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4451,27 +4451,27 @@ SDR 16 TDI (FFEF); RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (9BDA); -RUNTEST 1800 TCK; -SDR 16 TDI (5BFD); -RUNTEST 1800 TCK; -SDR 16 TDI (5ACC); -RUNTEST 1800 TCK; -SDR 16 TDI (D7E7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (9FAD); RUNTEST 1800 TCK; -SDR 16 TDI (6FBF); +SDR 16 TDI (BFF6); RUNTEST 1800 TCK; -SDR 16 TDI (BFBB); +SDR 16 TDI (5BFF); RUNTEST 1800 TCK; -SDR 16 TDI (F6FF); +SDR 16 TDI (D5E7); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (67F6); +RUNTEST 1800 TCK; +SDR 16 TDI (F9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4481,29 +4481,29 @@ SDR 16 TDI (9FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (5FF7); +SDR 16 TDI (5FF6); RUNTEST 1800 TCK; -SDR 16 TDI (DBFE); +SDR 16 TDI (BBFE); RUNTEST 1800 TCK; -SDR 16 TDI (B755); +SDR 16 TDI (BDDF); RUNTEST 1800 TCK; -SDR 16 TDI (D7F8); +SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (7D55); +SDR 16 TDI (657F); RUNTEST 1800 TCK; -SDR 16 TDI (5F33); +SDR 16 TDI (FCFD); RUNTEST 1800 TCK; -SDR 16 TDI (A2DF); +SDR 16 TDI (BEBF); RUNTEST 1800 TCK; -SDR 16 TDI (FEBE); +SDR 16 TDI (FDFB); RUNTEST 1800 TCK; -SDR 16 TDI (79E3); +SDR 16 TDI (7F7E); RUNTEST 1800 TCK; -SDR 16 TDI (3BFF); +SDR 16 TDI (BCDF); RUNTEST 1800 TCK; -SDR 16 TDI (9BAA); +SDR 16 TDI (9FD5); RUNTEST 1800 TCK; -SDR 16 TDI (B6FF); +SDR 16 TDI (9EFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -4515,27 +4515,27 @@ SDR 16 TDI (FEDF); RUNTEST 1800 TCK; SDR 16 TDI (7FED); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (7FFD); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A37D); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (5BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FB7); +RUNTEST 1800 TCK; +SDR 16 TDI (D7C7); +RUNTEST 1800 TCK; +SDR 16 TDI (99FF); +RUNTEST 1800 TCK; +SDR 16 TDI (9EE7); +RUNTEST 1800 TCK; +SDR 16 TDI (4381); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; -SDR 16 TDI (F5DC); +SDR 16 TDI (BBEF); RUNTEST 1800 TCK; -SDR 16 TDI (9FBD); -RUNTEST 1800 TCK; -SDR 16 TDI (B7DD); -RUNTEST 1800 TCK; -SDR 16 TDI (5EBF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEA); +SDR 16 TDI (FBEA); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; @@ -4545,29 +4545,29 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFA); +SDR 16 TDI (7FFB); RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (DFDF); RUNTEST 1800 TCK; -SDR 16 TDI (8BAA); +SDR 16 TDI (9FA7); RUNTEST 1800 TCK; -SDR 16 TDI (EBF7); +SDR 16 TDI (A773); RUNTEST 1800 TCK; -SDR 16 TDI (4EAA); +SDR 16 TDI (5EC8); RUNTEST 1800 TCK; -SDR 16 TDI (BAEF); +SDR 16 TDI (3BBF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; -SDR 16 TDI (CD73); +SDR 16 TDI (EF9D); RUNTEST 1800 TCK; -SDR 16 TDI (6FDD); +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; -SDR 16 TDI (D51E); +SDR 16 TDI (DB7D); RUNTEST 1800 TCK; -SDR 16 TDI (BDDD); +SDR 16 TDI (BEBF); RUNTEST 1800 TCK; -SDR 16 TDI (7D7F); +SDR 16 TDI (757F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4581,25 +4581,25 @@ SDR 16 TDI (47C0); RUNTEST 1800 TCK; SDR 16 TDI (15F9); RUNTEST 1800 TCK; -SDR 16 TDI (BCC1); +SDR 16 TDI (BFEB); RUNTEST 1800 TCK; -SDR 16 TDI (67E0); +SDR 16 TDI (C3EF); RUNTEST 1800 TCK; -SDR 16 TDI (6140); +SDR 16 TDI (6689); RUNTEST 1800 TCK; -SDR 16 TDI (DAEF); +SDR 16 TDI (5EA0); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A617); RUNTEST 1800 TCK; -SDR 16 TDI (07FF); +SDR 16 TDI (4000); RUNTEST 1800 TCK; -SDR 16 TDI (7F02); +SDR 16 TDI (6300); RUNTEST 1800 TCK; -SDR 16 TDI (000F); +SDR 16 TDI (030F); RUNTEST 1800 TCK; -SDR 16 TDI (9000); +SDR 16 TDI (9080); RUNTEST 1800 TCK; -SDR 16 TDI (8075); +SDR 16 TDI (60F5); RUNTEST 1800 TCK; SDR 16 TDI (4BFF); RUNTEST 1800 TCK; @@ -4611,27 +4611,27 @@ SDR 16 TDI (9EBC); RUNTEST 1800 TCK; SDR 16 TDI (7FA0); RUNTEST 1800 TCK; -SDR 16 TDI (741E); +SDR 16 TDI (141E); RUNTEST 1800 TCK; -SDR 16 TDI (98D3); +SDR 16 TDI (80FC); RUNTEST 1800 TCK; -SDR 16 TDI (63D1); +SDR 16 TDI (EBFC); RUNTEST 1800 TCK; -SDR 16 TDI (6140); +SDR 16 TDI (7E88); RUNTEST 1800 TCK; -SDR 16 TDI (FAC8); +SDR 16 TDI (10A0); RUNTEST 1800 TCK; -SDR 16 TDI (AA1B); +SDR 16 TDI (A61E); RUNTEST 1800 TCK; -SDR 16 TDI (AD60); +SDR 16 TDI (C000); RUNTEST 1800 TCK; -SDR 16 TDI (7A00); +SDR 16 TDI (6340); RUNTEST 1800 TCK; -SDR 16 TDI (808D); +SDR 16 TDI (00FF); RUNTEST 1800 TCK; -SDR 16 TDI (B800); +SDR 16 TDI (B880); RUNTEST 1800 TCK; -SDR 16 TDI (107F); +SDR 16 TDI (007F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4641,29 +4641,29 @@ SDR 16 TDI (B55D); RUNTEST 1800 TCK; SDR 16 TDI (2930); RUNTEST 1800 TCK; -SDR 16 TDI (7FE3); +SDR 16 TDI (7FE2); RUNTEST 1800 TCK; -SDR 16 TDI (F206); +SDR 16 TDI (3207); RUNTEST 1800 TCK; -SDR 16 TDI (9C01); +SDR 16 TDI (8327); RUNTEST 1800 TCK; -SDR 16 TDI (83F3); +SDR 16 TDI (33F3); RUNTEST 1800 TCK; -SDR 16 TDI (4082); +SDR 16 TDI (47FE); RUNTEST 1800 TCK; -SDR 16 TDI (DC98); +SDR 16 TDI (FC4F); RUNTEST 1800 TCK; -SDR 16 TDI (B31F); +SDR 16 TDI (A5FF); RUNTEST 1800 TCK; -SDR 16 TDI (9C98); +SDR 16 TDI (848E); RUNTEST 1800 TCK; -SDR 16 TDI (7910); +SDR 16 TDI (6418); RUNTEST 1800 TCK; -SDR 16 TDI (04FF); +SDR 16 TDI (F20F); RUNTEST 1800 TCK; -SDR 16 TDI (BE57); +SDR 16 TDI (B8C7); RUNTEST 1800 TCK; -SDR 16 TDI (0375); +SDR 16 TDI (87F5); RUNTEST 1800 TCK; SDR 16 TDI (6BFF); RUNTEST 1800 TCK; @@ -4675,27 +4675,27 @@ SDR 16 TDI (290F); RUNTEST 1800 TCK; SDR 16 TDI (5FE0); RUNTEST 1800 TCK; -SDR 16 TDI (7278); +SDR 16 TDI (1279); RUNTEST 1800 TCK; -SDR 16 TDI (B403); +SDR 16 TDI (A000); RUNTEST 1800 TCK; -SDR 16 TDI (A3F1); +SDR 16 TDI (37F0); RUNTEST 1800 TCK; -SDR 16 TDI (66C1); +SDR 16 TDI (6110); RUNTEST 1800 TCK; -SDR 16 TDI (F008); +SDR 16 TDI (7C40); RUNTEST 1800 TCK; -SDR 16 TDI (A11F); +SDR 16 TDI (A41F); RUNTEST 1800 TCK; -SDR 16 TDI (D800); +SDR 16 TDI (8480); RUNTEST 1800 TCK; -SDR 16 TDI (7010); +SDR 16 TDI (6404); RUNTEST 1800 TCK; -SDR 16 TDI (008F); +SDR 16 TDI (B10F); RUNTEST 1800 TCK; -SDR 16 TDI (9E50); +SDR 16 TDI (9880); RUNTEST 1800 TCK; -SDR 16 TDI (107F); +SDR 16 TDI (607F); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -4709,25 +4709,25 @@ SDR 16 TDI (73E6); RUNTEST 1800 TCK; SDR 16 TDI (2EEF); RUNTEST 1800 TCK; -SDR 16 TDI (B323); +SDR 16 TDI (B811); RUNTEST 1800 TCK; -SDR 16 TDI (21F3); +SDR 16 TDI (3972); RUNTEST 1800 TCK; -SDR 16 TDI (6262); +SDR 16 TDI (64EE); RUNTEST 1800 TCK; -SDR 16 TDI (7EEE); +SDR 16 TDI (F8EC); RUNTEST 1800 TCK; -SDR 16 TDI (89CB); +SDR 16 TDI (9D8F); RUNTEST 1800 TCK; -SDR 16 TDI (B813); +SDR 16 TDI (B999); RUNTEST 1800 TCK; -SDR 16 TDI (52C9); +SDR 16 TDI (57CC); RUNTEST 1800 TCK; -SDR 16 TDI (CCC7); +SDR 16 TDI (9EE7); RUNTEST 1800 TCK; -SDR 16 TDI (BBBB); +SDR 16 TDI (B999); RUNTEST 1800 TCK; -SDR 16 TDI (89FF); +SDR 16 TDI (B8FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4737,29 +4737,29 @@ SDR 16 TDI (BFFD); RUNTEST 1800 TCK; SDR 16 TDI (1111); RUNTEST 1800 TCK; -SDR 16 TDI (6153); +SDR 16 TDI (6157); RUNTEST 1800 TCK; SDR 16 TDI (6445); RUNTEST 1800 TCK; -SDR 16 TDI (8676); +SDR 16 TDI (9322); RUNTEST 1800 TCK; -SDR 16 TDI (74A9); +SDR 16 TDI (32A1); RUNTEST 1800 TCK; -SDR 16 TDI (5737); +SDR 16 TDI (4266); RUNTEST 1800 TCK; -SDR 16 TDI (3CCC); +SDR 16 TDI (7DC9); RUNTEST 1800 TCK; -SDR 16 TDI (B195); +SDR 16 TDI (B925); RUNTEST 1800 TCK; -SDR 16 TDI (1989); +SDR 16 TDI (1CCC); RUNTEST 1800 TCK; -SDR 16 TDI (71DD); +SDR 16 TDI (73DD); RUNTEST 1800 TCK; -SDR 16 TDI (DD92); +SDR 16 TDI (DCC2); RUNTEST 1800 TCK; -SDR 16 TDI (B199); +SDR 16 TDI (B5DD); RUNTEST 1800 TCK; -SDR 16 TDI (DCFF); +SDR 16 TDI (9DFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4769,27 +4769,27 @@ SDR 16 TDI (9FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FDF); +SDR 16 TDI (5FCF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEE); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (97FF); +SDR 16 TDI (93BB); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -4805,25 +4805,25 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BDBF); -RUNTEST 1800 TCK; -SDR 16 TDI (ADFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (BF7F); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F3FF); +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD79); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4831,31 +4831,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFEE); +SDR 16 TDI (FEFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); +SDR 16 TDI (FBFD); RUNTEST 1800 TCK; -SDR 16 TDI (9EE7); +SDR 16 TDI (96FF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFD); +SDR 16 TDI (F7FD); RUNTEST 1800 TCK; -SDR 16 TDI (5BBD); +SDR 16 TDI (5FBB); RUNTEST 1800 TCK; -SDR 16 TDI (FD9D); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (B6FF); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (DFCF); RUNTEST 1800 TCK; -SDR 16 TDI (5B7D); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBDF); +SDR 16 TDI (F6EF); RUNTEST 1800 TCK; -SDR 16 TDI (BCF7); +SDR 16 TDI (BF77); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (DBFD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4867,27 +4867,27 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (BFB6); +SDR 16 TDI (B77F); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EF9); +RUNTEST 1800 TCK; +SDR 16 TDI (BF3F); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (77FF); RUNTEST 1800 TCK; -SDR 16 TDI (FFD5); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BB3F); +SDR 16 TDI (9F75); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FF6F); -RUNTEST 1800 TCK; -SDR 16 TDI (9FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -4903,23 +4903,23 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFBF); RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (D5FF); +SDR 16 TDI (BFDB); RUNTEST 1800 TCK; -SDR 16 TDI (5BBF); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (D7FF); RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFBF); +SDR 16 TDI (CFBF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -4927,7 +4927,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (5DFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4937,176 +4961,16 @@ SDR 16 TDI (9FFE); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (4BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; SDR 16 TDI (5FFB); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7DF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7DF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BDF); -RUNTEST 1800 TCK; -SDR 16 TDI (4FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (5F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFB); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (757B); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (97FF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (4BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F9FF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (8F7E); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FF77); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEA7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (EBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (75DE); -RUNTEST 1800 TCK; -SDR 16 TDI (EFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FBFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); @@ -5115,21 +4979,45 @@ SDR 16 TDI (FFDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (DFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFD); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEEF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF75); +SDR 16 TDI (B6FF); RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (77EF); +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (5EF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (4BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -5137,13 +5025,29 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFE); +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (EFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFDB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -5151,31 +5055,127 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF9B); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ADDF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7DDA); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBEE); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF3F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (E9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (6F6E); +RUNTEST 1800 TCK; +SDR 16 TDI (AFDE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFC); +RUNTEST 1800 TCK; +SDR 16 TDI (7F6F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (77F3); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (AFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (FB5F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFE); +RUNTEST 1800 TCK; +SDR 16 TDI (F6EF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBD); +RUNTEST 1800 TCK; +SDR 16 TDI (6FD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -5185,27 +5185,27 @@ SDR 16 TDI (AD5F); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (6EEF); +RUNTEST 1800 TCK; +SDR 16 TDI (F9BF); RUNTEST 1800 TCK; SDR 16 TDI (BDFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (6EDC); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFD); RUNTEST 1800 TCK; @@ -5215,63 +5215,63 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (ABFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7BDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEEF); -RUNTEST 1800 TCK; SDR 16 TDI (FF7F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (A95D); +SDR 16 TDI (BDFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBE); +SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (6EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7E); -RUNTEST 1800 TCK; -SDR 16 TDI (7BBF); +SDR 16 TDI (7FDD); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FF75); +RUNTEST 1800 TCK; +SDR 16 TDI (7EB9); +RUNTEST 1800 TCK; +SDR 16 TDI (6FAF); +RUNTEST 1800 TCK; +SDR 16 TDI (BAFA); +RUNTEST 1800 TCK; +SDR 16 TDI (B3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (A95F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF4F); +RUNTEST 1800 TCK; SDR 16 TDI (7EDF); RUNTEST 1800 TCK; -SDR 16 TDI (DF7F); +SDR 16 TDI (7EFF); RUNTEST 1800 TCK; -SDR 16 TDI (BADB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DDFD); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; SDR 16 TDI (7BFF); RUNTEST 1800 TCK; @@ -5279,31 +5279,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (AEFF); RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7F7D); RUNTEST 1800 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF6F); +SDR 16 TDI (BDFD); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFBF); RUNTEST 1800 TCK; -SDR 16 TDI (7EEF); +SDR 16 TDI (6FEF); RUNTEST 1800 TCK; -SDR 16 TDI (3F7F); +SDR 16 TDI (F77F); RUNTEST 1800 TCK; -SDR 16 TDI (97FF); +SDR 16 TDI (9BFF); RUNTEST 1800 TCK; -SDR 16 TDI (DF7D); +SDR 16 TDI (FBFB); RUNTEST 1800 TCK; -SDR 16 TDI (4F9D); +SDR 16 TDI (57FF); RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (F77F); RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (FF7B); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; @@ -5311,49 +5311,753 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFB); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (97BF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFB); RUNTEST 1800 TCK; -SDR 16 TDI (9DFF); +SDR 16 TDI (5FFC); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBD); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (7FE7); +RUNTEST 1800 TCK; +SDR 16 TDI (DEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9FFE); +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5AFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBEE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (6E8F); +RUNTEST 1800 TCK; +SDR 16 TDI (FBEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F9DB); +RUNTEST 1800 TCK; +SDR 16 TDI (63F1); +RUNTEST 1800 TCK; +SDR 16 TDI (5E2F); +RUNTEST 1800 TCK; +SDR 16 TDI (9CAB); +RUNTEST 1800 TCK; +SDR 16 TDI (72FF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); RUNTEST 1800 TCK; SDR 16 TDI (FFBF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFE); +SDR 16 TDI (7F7F); RUNTEST 1800 TCK; -SDR 16 TDI (EFEF); +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BA7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (77F7); +RUNTEST 1800 TCK; +SDR 16 TDI (F63F); +RUNTEST 1800 TCK; +SDR 16 TDI (98FB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE7); +RUNTEST 1800 TCK; +SDR 16 TDI (5F5F); +RUNTEST 1800 TCK; +SDR 16 TDI (A9DF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFD); +RUNTEST 1800 TCK; +SDR 16 TDI (9DFA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (95AE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF3); +RUNTEST 1800 TCK; +SDR 16 TDI (5D78); +RUNTEST 1800 TCK; +SDR 16 TDI (DDFB); +RUNTEST 1800 TCK; +SDR 16 TDI (A7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (EE7D); +RUNTEST 1800 TCK; +SDR 16 TDI (7CEF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FE); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (8B5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FC3F); +RUNTEST 1800 TCK; +SDR 16 TDI (5DCB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (A32A); +RUNTEST 1800 TCK; +SDR 16 TDI (BEE0); +RUNTEST 1800 TCK; +SDR 16 TDI (7E0A); +RUNTEST 1800 TCK; +SDR 16 TDI (704A); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (28B8); +RUNTEST 1800 TCK; +SDR 16 TDI (600A); +RUNTEST 1800 TCK; +SDR 16 TDI (74AF); +RUNTEST 1800 TCK; +SDR 16 TDI (9420); +RUNTEST 1800 TCK; +SDR 16 TDI (00F4); +RUNTEST 1800 TCK; +SDR 16 TDI (59FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FC3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7EEA); +RUNTEST 1800 TCK; +SDR 16 TDI (1FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (A32A); +RUNTEST 1800 TCK; +SDR 16 TDI (8370); +RUNTEST 1800 TCK; +SDR 16 TDI (600A); +RUNTEST 1800 TCK; +SDR 16 TDI (100A); +RUNTEST 1800 TCK; +SDR 16 TDI (8A1F); +RUNTEST 1800 TCK; +SDR 16 TDI (A820); +RUNTEST 1800 TCK; +SDR 16 TDI (4000); +RUNTEST 1800 TCK; +SDR 16 TDI (A00D); +RUNTEST 1800 TCK; +SDR 16 TDI (B820); +RUNTEST 1800 TCK; +SDR 16 TDI (18FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FF3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF3); +RUNTEST 1800 TCK; +SDR 16 TDI (E1FF); +RUNTEST 1800 TCK; +SDR 16 TDI (A366); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7E1A); +RUNTEST 1800 TCK; +SDR 16 TDI (7049); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (CFF8); +RUNTEST 1800 TCK; +SDR 16 TDI (6000); +RUNTEST 1800 TCK; +SDR 16 TDI (841F); +RUNTEST 1800 TCK; +SDR 16 TDI (B9C6); +RUNTEST 1800 TCK; +SDR 16 TDI (7C74); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FF3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF2); +RUNTEST 1800 TCK; +SDR 16 TDI (01FE); +RUNTEST 1800 TCK; +SDR 16 TDI (A326); +RUNTEST 1800 TCK; +SDR 16 TDI (43F0); +RUNTEST 1800 TCK; +SDR 16 TDI (6712); +RUNTEST 1800 TCK; +SDR 16 TDI (1209); +RUNTEST 1800 TCK; +SDR 16 TDI (AC1F); +RUNTEST 1800 TCK; +SDR 16 TDI (C801); +RUNTEST 1800 TCK; +SDR 16 TDI (78C0); +RUNTEST 1800 TCK; +SDR 16 TDI (098F); +RUNTEST 1800 TCK; +SDR 16 TDI (98C0); +RUNTEST 1800 TCK; +SDR 16 TDI (047F); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3333); +RUNTEST 1800 TCK; +SDR 16 TDI (63EE); +RUNTEST 1800 TCK; +SDR 16 TDI (E667); +RUNTEST 1800 TCK; +SDR 16 TDI (B33B); +RUNTEST 1800 TCK; +SDR 16 TDI (B9F3); +RUNTEST 1800 TCK; +SDR 16 TDI (6666); +RUNTEST 1800 TCK; +SDR 16 TDI (3C8E); +RUNTEST 1800 TCK; +SDR 16 TDI (B9CF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9D9); +RUNTEST 1800 TCK; +SDR 16 TDI (71CC); +RUNTEST 1800 TCK; +SDR 16 TDI (CCE7); +RUNTEST 1800 TCK; +SDR 16 TDI (B9B9); +RUNTEST 1800 TCK; +SDR 16 TDI (9BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7677); +RUNTEST 1800 TCK; +SDR 16 TDI (6946); +RUNTEST 1800 TCK; +SDR 16 TDI (6777); +RUNTEST 1800 TCK; +SDR 16 TDI (9733); +RUNTEST 1800 TCK; +SDR 16 TDI (30A9); +RUNTEST 1800 TCK; +SDR 16 TDI (4727); +RUNTEST 1800 TCK; +SDR 16 TDI (7DDC); +RUNTEST 1800 TCK; +SDR 16 TDI (B385); +RUNTEST 1800 TCK; +SDR 16 TDI (199D); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDD); +RUNTEST 1800 TCK; +SDR 16 TDI (D9C2); +RUNTEST 1800 TCK; +SDR 16 TDI (B59C); +RUNTEST 1800 TCK; +SDR 16 TDI (D9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9FFB); RUNTEST 1800 TCK; -SDR 16 TDI (EFF7); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (53FF); +SDR 16 TDI (5FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77EE); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFED); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7EB5); +RUNTEST 1800 TCK; +SDR 16 TDI (8FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (D7FA); +RUNTEST 1800 TCK; +SDR 16 TDI (77F7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFED); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (57FD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E7FE); +RUNTEST 1800 TCK; +SDR 16 TDI (7F76); +RUNTEST 1800 TCK; +SDR 16 TDI (FEBA); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FDE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (57FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -5363,420 +6067,124 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (EF6F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B3FF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7E); -RUNTEST 1800 TCK; -SDR 16 TDI (5EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (97F7); -RUNTEST 1800 TCK; -SDR 16 TDI (BEAB); -RUNTEST 1800 TCK; -SDR 16 TDI (DF75); -RUNTEST 1800 TCK; -SDR 16 TDI (7F5F); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B5BF); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEFB); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7DB7); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F77F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9CEF); +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (79DD); -RUNTEST 1800 TCK; -SDR 16 TDI (B57F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (76EE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFAF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF1); -RUNTEST 1800 TCK; -SDR 16 TDI (5CFA); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7AB3); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEB); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (49B1); -RUNTEST 1800 TCK; -SDR 16 TDI (3FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F4E); -RUNTEST 1800 TCK; -SDR 16 TDI (29CF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF1E); -RUNTEST 1800 TCK; -SDR 16 TDI (BB7F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (8B5C); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (0F02); -RUNTEST 1800 TCK; -SDR 16 TDI (55CA); -RUNTEST 1800 TCK; -SDR 16 TDI (41E0); -RUNTEST 1800 TCK; -SDR 16 TDI (A223); -RUNTEST 1800 TCK; -SDR 16 TDI (3EEC); -RUNTEST 1800 TCK; -SDR 16 TDI (7E09); -RUNTEST 1800 TCK; -SDR 16 TDI (FF8F); -RUNTEST 1800 TCK; -SDR 16 TDI (B017); -RUNTEST 1800 TCK; -SDR 16 TDI (18E0); -RUNTEST 1800 TCK; -SDR 16 TDI (7540); -RUNTEST 1800 TCK; -SDR 16 TDI (208F); -RUNTEST 1800 TCK; -SDR 16 TDI (9011); -RUNTEST 1800 TCK; -SDR 16 TDI (0074); -RUNTEST 1800 TCK; -SDR 16 TDI (59FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A5FC); -RUNTEST 1800 TCK; -SDR 16 TDI (0C02); -RUNTEST 1800 TCK; -SDR 16 TDI (77EA); -RUNTEST 1800 TCK; -SDR 16 TDI (0000); -RUNTEST 1800 TCK; -SDR 16 TDI (A223); -RUNTEST 1800 TCK; -SDR 16 TDI (03FC); -RUNTEST 1800 TCK; -SDR 16 TDI (6001); -RUNTEST 1800 TCK; -SDR 16 TDI (FF8F); -RUNTEST 1800 TCK; -SDR 16 TDI (901F); -RUNTEST 1800 TCK; -SDR 16 TDI (98E0); -RUNTEST 1800 TCK; -SDR 16 TDI (5503); -RUNTEST 1800 TCK; -SDR 16 TDI (000F); -RUNTEST 1800 TCK; -SDR 16 TDI (A800); -RUNTEST 1800 TCK; -SDR 16 TDI (047F); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (8E30); -RUNTEST 1800 TCK; -SDR 16 TDI (67F2); -RUNTEST 1800 TCK; -SDR 16 TDI (09E2); -RUNTEST 1800 TCK; -SDR 16 TDI (A223); -RUNTEST 1800 TCK; -SDR 16 TDI (3FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7E19); -RUNTEST 1800 TCK; -SDR 16 TDI (7F8F); -RUNTEST 1800 TCK; -SDR 16 TDI (B01F); -RUNTEST 1800 TCK; -SDR 16 TDI (98E0); -RUNTEST 1800 TCK; -SDR 16 TDI (7900); -RUNTEST 1800 TCK; -SDR 16 TDI (0B0F); -RUNTEST 1800 TCK; -SDR 16 TDI (BE87); -RUNTEST 1800 TCK; -SDR 16 TDI (9874); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BAF8); -RUNTEST 1800 TCK; -SDR 16 TDI (0C30); -RUNTEST 1800 TCK; -SDR 16 TDI (67F2); -RUNTEST 1800 TCK; -SDR 16 TDI (0000); -RUNTEST 1800 TCK; -SDR 16 TDI (A3E3); -RUNTEST 1800 TCK; -SDR 16 TDI (03FC); -RUNTEST 1800 TCK; -SDR 16 TDI (6239); -RUNTEST 1800 TCK; -SDR 16 TDI (7F8F); -RUNTEST 1800 TCK; -SDR 16 TDI (B01F); -RUNTEST 1800 TCK; -SDR 16 TDI (98E0); -RUNTEST 1800 TCK; -SDR 16 TDI (7903); -RUNTEST 1800 TCK; -SDR 16 TDI (030F); -RUNTEST 1800 TCK; -SDR 16 TDI (9E07); -RUNTEST 1800 TCK; -SDR 16 TDI (807F); +SDR 16 TDI (FEEF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (3233); -RUNTEST 1800 TCK; -SDR 16 TDI (63EE); -RUNTEST 1800 TCK; -SDR 16 TDI (66E7); -RUNTEST 1800 TCK; -SDR 16 TDI (BB33); -RUNTEST 1800 TCK; -SDR 16 TDI (B9F3); -RUNTEST 1800 TCK; -SDR 16 TDI (6666); -RUNTEST 1800 TCK; -SDR 16 TDI (3CCC); -RUNTEST 1800 TCK; -SDR 16 TDI (B9CF); -RUNTEST 1800 TCK; -SDR 16 TDI (B898); -RUNTEST 1800 TCK; -SDR 16 TDI (77CC); -RUNTEST 1800 TCK; -SDR 16 TDI (CDC7); -RUNTEST 1800 TCK; -SDR 16 TDI (BD99); -RUNTEST 1800 TCK; -SDR 16 TDI (99FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7366); -RUNTEST 1800 TCK; -SDR 16 TDI (6947); -RUNTEST 1800 TCK; -SDR 16 TDI (3273); -RUNTEST 1800 TCK; -SDR 16 TDI (9267); -RUNTEST 1800 TCK; -SDR 16 TDI (32A3); -RUNTEST 1800 TCK; -SDR 16 TDI (4727); -RUNTEST 1800 TCK; -SDR 16 TDI (7D9D); -RUNTEST 1800 TCK; -SDR 16 TDI (B395); -RUNTEST 1800 TCK; -SDR 16 TDI (1DCD); -RUNTEST 1800 TCK; -SDR 16 TDI (73DD); -RUNTEST 1800 TCK; -SDR 16 TDI (D9D2); -RUNTEST 1800 TCK; -SDR 16 TDI (B4DD); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9EFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (57DF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFE); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FB); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BB76); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFC); -RUNTEST 1800 TCK; -SDR 16 TDI (FEF7); -RUNTEST 1800 TCK; -SDR 16 TDI (9FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (57FA); -RUNTEST 1800 TCK; -SDR 16 TDI (77F7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (D57F); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF9F); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7D); -RUNTEST 1800 TCK; -SDR 16 TDI (5FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (57F5); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFF7); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -5789,32 +6197,8 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDF7); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F57F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); @@ -5823,394 +6207,10 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFD5); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BD7F); -RUNTEST 1800 TCK; SDR 16 TDI (FFFD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEDA); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (57FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (57FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7EF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEEE); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9FFF); @@ -6227,7 +6227,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (9B9F); +SDR 16 TDI (939F); RUNTEST 1800 TCK; SDR 16 TDI (BCCF); RUNTEST 1800 TCK; @@ -6243,15 +6243,15 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFB); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFEE); RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 1800 TCK; SDR 16 TDI (BF7F); RUNTEST 1800 TCK; @@ -7805,7 +7805,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (FEEE); SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFEF); @@ -7817,8 +7817,8 @@ SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FEEE); @@ -7831,13 +7831,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (F8C7); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BF4E); -SDR 16 TDI (FFFF) TDO (C7FF); +SDR 16 TDI (FFFF) TDO (C7FE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); @@ -7845,11 +7845,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFCE); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (BFCF); +SDR 16 TDI (FFFF) TDO (3FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -7858,15 +7858,15 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FEF7); SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFDE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEEF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -7874,18 +7874,18 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (F7FE); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); @@ -7893,2250 +7893,2250 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7BF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBEF); -SDR 16 TDI (FFFF) TDO (BEFD); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BEF7); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BF6E); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (BCFB); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF6F); -SDR 16 TDI (FFFF) TDO (BBFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFE); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (6F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BF7D); -SDR 16 TDI (FFFF) TDO (FBFA); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFD); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (F7DE); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (EFF9); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (DEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7DFE); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (BFCD); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBE7); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FD7F); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (B7F7); -SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FF7D); -SDR 16 TDI (FFFF) TDO (7EF7); -SDR 16 TDI (FFFF) TDO (FEDF); -SDR 16 TDI (FFFF) TDO (BFF5); -SDR 16 TDI (FFFF) TDO (DD7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFE6); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (BB7B); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (AFBB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (DFF7); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6F7F); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (DFF7); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (7D7B); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (BEFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (BFB3); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BB35); +SDR 16 TDI (FFFF) TDO (76F9); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (BCDE); SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (6DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (65FF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5DF); -SDR 16 TDI (FFFF) TDO (77BE); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FED); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (BBF5); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AAFF); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DF7D); -SDR 16 TDI (FFFF) TDO (A77D); -SDR 16 TDI (FFFF) TDO (E7FF); -SDR 16 TDI (FFFF) TDO (73FB); -SDR 16 TDI (FFFF) TDO (3FEF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7EDB); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (BFEB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FD7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7B7D); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (F57F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFDF); SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AEFD); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DD7F); +SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (2363); -SDR 16 TDI (FFFF) TDO (7F76); -SDR 16 TDI (FFFF) TDO (9EC8); -SDR 16 TDI (FFFF) TDO (B8AE); -SDR 16 TDI (FFFF) TDO (DBFD); -SDR 16 TDI (FFFF) TDO (6D7A); -SDR 16 TDI (FFFF) TDO (9CFE); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (F83F); -SDR 16 TDI (FFFF) TDO (7096); -SDR 16 TDI (FFFF) TDO (BB7E); -SDR 16 TDI (FFFF) TDO (BDD7); -SDR 16 TDI (FFFF) TDO (09FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (7FF7); SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (57FA); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (57FD); +SDR 16 TDI (FFFF) TDO (BFBD); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (6EDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AAFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F77D); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F5F); SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFB5); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F79); -SDR 16 TDI (FFFF) TDO (E5EF); -SDR 16 TDI (FFFF) TDO (BF6F); -SDR 16 TDI (FFFF) TDO (FEEE); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DBF3); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDDF); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7BF); -SDR 16 TDI (FFFF) TDO (A7FF); -SDR 16 TDI (FFFF) TDO (27F7); -SDR 16 TDI (FFFF) TDO (73EF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (BEF9); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); -SDR 16 TDI (FFFF) TDO (2828); -SDR 16 TDI (FFFF) TDO (67C1); -SDR 16 TDI (FFFF) TDO (40DE); -SDR 16 TDI (FFFF) TDO (A003); -SDR 16 TDI (FFFF) TDO (E3E4); -SDR 16 TDI (FFFF) TDO (6009); -SDR 16 TDI (FFFF) TDO (9030); -SDR 16 TDI (FFFF) TDO (A19F); -SDR 16 TDI (FFFF) TDO (0180); -SDR 16 TDI (FFFF) TDO (6002); -SDR 16 TDI (FFFF) TDO (4FCF); -SDR 16 TDI (FFFF) TDO (B680); -SDR 16 TDI (FFFF) TDO (6575); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (2828); -SDR 16 TDI (FFFF) TDO (66E1); -SDR 16 TDI (FFFF) TDO (00AE); -SDR 16 TDI (FFFF) TDO (AC42); -SDR 16 TDI (FFFF) TDO (C3F4); -SDR 16 TDI (FFFF) TDO (7009); -SDR 16 TDI (FFFF) TDO (9030); -SDR 16 TDI (FFFF) TDO (A19F); -SDR 16 TDI (FFFF) TDO (8180); -SDR 16 TDI (FFFF) TDO (60F7); -SDR 16 TDI (FFFF) TDO (700D); -SDR 16 TDI (FFFF) TDO (BE00); -SDR 16 TDI (FFFF) TDO (657F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B67C); -SDR 16 TDI (FFFF) TDO (F00C); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (015C); -SDR 16 TDI (FFFF) TDO (A025); -SDR 16 TDI (FFFF) TDO (9FF8); -SDR 16 TDI (FFFF) TDO (6011); -SDR 16 TDI (FFFF) TDO (9C3C); -SDR 16 TDI (FFFF) TDO (A19F); -SDR 16 TDI (FFFF) TDO (8418); -SDR 16 TDI (FFFF) TDO (6020); -SDR 16 TDI (FFFF) TDO (0FCF); -SDR 16 TDI (FFFF) TDO (BE09); -SDR 16 TDI (FFFF) TDO (8075); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7C); -SDR 16 TDI (FFFF) TDO (C03C); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (013E); -SDR 16 TDI (FFFF) TDO (A8A5); -SDR 16 TDI (FFFF) TDO (BFF8); -SDR 16 TDI (FFFF) TDO (6010); -SDR 16 TDI (FFFF) TDO (9C3C); -SDR 16 TDI (FFFF) TDO (A19F); -SDR 16 TDI (FFFF) TDO (8118); -SDR 16 TDI (FFFF) TDO (6226); -SDR 16 TDI (FFFF) TDO (390F); -SDR 16 TDI (FFFF) TDO (BE00); -SDR 16 TDI (FFFF) TDO (365F); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (3B3B); -SDR 16 TDI (FFFF) TDO (62E6); -SDR 16 TDI (FFFF) TDO (6623); -SDR 16 TDI (FFFF) TDO (A23A); -SDR 16 TDI (FFFF) TDO (2171); -SDR 16 TDI (FFFF) TDO (6266); -SDR 16 TDI (FFFF) TDO (38CE); -SDR 16 TDI (FFFF) TDO (B98B); -SDR 16 TDI (FFFF) TDO (9999); -SDR 16 TDI (FFFF) TDO (73EE); -SDR 16 TDI (FFFF) TDO (ECC7); -SDR 16 TDI (FFFF) TDO (BCC8); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (8B77); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (CCE9); +SDR 16 TDI (FFFF) TDO (BF3D); +SDR 16 TDI (FFFF) TDO (DBF6); +SDR 16 TDI (FFFF) TDO (6DFE); +SDR 16 TDI (FFFF) TDO (D8FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F88E); +SDR 16 TDI (FFFF) TDO (71CD); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (BDF9); SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7E); -SDR 16 TDI (FFFF) TDO (7333); -SDR 16 TDI (FFFF) TDO (6547); -SDR 16 TDI (FFFF) TDO (6777); -SDR 16 TDI (FFFF) TDO (B773); -SDR 16 TDI (FFFF) TDO (76AB); -SDR 16 TDI (FFFF) TDO (7673); -SDR 16 TDI (FFFF) TDO (7D9C); -SDR 16 TDI (FFFF) TDO (B995); -SDR 16 TDI (FFFF) TDO (19CC); -SDR 16 TDI (FFFF) TDO (79CC); -SDR 16 TDI (FFFF) TDO (CCC2); -SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (B3DF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (7E7F); +SDR 16 TDI (FFFF) TDO (5DDF); +SDR 16 TDI (FFFF) TDO (BFCF); +SDR 16 TDI (FFFF) TDO (7F6E); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (3BB7); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (67F9); +SDR 16 TDI (FFFF) TDO (736F); +SDR 16 TDI (FFFF) TDO (3FFC); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FB3); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BA36); +SDR 16 TDI (FFFF) TDO (DCFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE7D); +SDR 16 TDI (FFFF) TDO (2000); +SDR 16 TDI (FFFF) TDO (67C1); +SDR 16 TDI (FFFF) TDO (5E09); +SDR 16 TDI (FFFF) TDO (BC00); +SDR 16 TDI (FFFF) TDO (03E3); +SDR 16 TDI (FFFF) TDO (6618); +SDR 16 TDI (FFFF) TDO (1200); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (0202); +SDR 16 TDI (FFFF) TDO (7F00); +SDR 16 TDI (FFFF) TDO (FF0F); +SDR 16 TDI (FFFF) TDO (B068); +SDR 16 TDI (FFFF) TDO (18F5); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9DD); +SDR 16 TDI (FFFF) TDO (4000); +SDR 16 TDI (FFFF) TDO (67E1); +SDR 16 TDI (FFFF) TDO (4E0B); +SDR 16 TDI (FFFF) TDO (BC01); +SDR 16 TDI (FFFF) TDO (4778); +SDR 16 TDI (FFFF) TDO (7018); +SDR 16 TDI (FFFF) TDO (1000); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (82F2); +SDR 16 TDI (FFFF) TDO (7000); +SDR 16 TDI (FFFF) TDO (8F0F); +SDR 16 TDI (FFFF) TDO (A808); +SDR 16 TDI (FFFF) TDO (18FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7C); +SDR 16 TDI (FFFF) TDO (3800); +SDR 16 TDI (FFFF) TDO (67E0); +SDR 16 TDI (FFFF) TDO (5C3D); +SDR 16 TDI (FFFF) TDO (BF30); +SDR 16 TDI (FFFF) TDO (03FD); +SDR 16 TDI (FFFF) TDO (7A18); +SDR 16 TDI (FFFF) TDO (900C); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (8100); +SDR 16 TDI (FFFF) TDO (6F09); +SDR 16 TDI (FFFF) TDO (F0CF); +SDR 16 TDI (FFFF) TDO (B885); +SDR 16 TDI (FFFF) TDO (80F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDDC); +SDR 16 TDI (FFFF) TDO (1800); +SDR 16 TDI (FFFF) TDO (67E0); +SDR 16 TDI (FFFF) TDO (DE3F); +SDR 16 TDI (FFFF) TDO (BF30); +SDR 16 TDI (FFFF) TDO (03F0); +SDR 16 TDI (FFFF) TDO (6018); +SDR 16 TDI (FFFF) TDO (100C); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (8480); +SDR 16 TDI (FFFF) TDO (6710); +SDR 16 TDI (FFFF) TDO (0CCF); +SDR 16 TDI (FFFF) TDO (B801); +SDR 16 TDI (FFFF) TDO (807F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (3B33); +SDR 16 TDI (FFFF) TDO (62E6); +SDR 16 TDI (FFFF) TDO (222F); +SDR 16 TDI (FFFF) TDO (B23A); +SDR 16 TDI (FFFF) TDO (2171); +SDR 16 TDI (FFFF) TDO (6266); +SDR 16 TDI (FFFF) TDO (78CE); +SDR 16 TDI (FFFF) TDO (B98B); +SDR 16 TDI (FFFF) TDO (9998); +SDR 16 TDI (FFFF) TDO (718C); +SDR 16 TDI (FFFF) TDO (FEE7); +SDR 16 TDI (FFFF) TDO (BBBB); SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7FDC); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7333); +SDR 16 TDI (FFFF) TDO (6547); +SDR 16 TDI (FFFF) TDO (7767); +SDR 16 TDI (FFFF) TDO (B773); +SDR 16 TDI (FFFF) TDO (76AB); +SDR 16 TDI (FFFF) TDO (7672); +SDR 16 TDI (FFFF) TDO (7D9C); +SDR 16 TDI (FFFF) TDO (B995); +SDR 16 TDI (FFFF) TDO (19CD); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (CCC2); +SDR 16 TDI (FFFF) TDO (B199); +SDR 16 TDI (FFFF) TDO (CDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BADF); +SDR 16 TDI (FFFF) TDO (BDDD); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBEF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B3FB); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7FB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (3F7F); +SDR 16 TDI (FFFF) TDO (BFB6); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (DFDF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FBB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (76FF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FF7B); -SDR 16 TDI (FFFF) TDO (7B9B); -SDR 16 TDI (FFFF) TDO (DDEF); -SDR 16 TDI (FFFF) TDO (BB4B); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFB); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FEFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DEDF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (BF5D); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDF7); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (3AFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFDB); SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (F6FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDD); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FEFC); -SDR 16 TDI (FFFF) TDO (BABE); -SDR 16 TDI (FFFF) TDO (FBF7); -SDR 16 TDI (FFFF) TDO (6FFA); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FAFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EEDF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FBDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (DFFE); -SDR 16 TDI (FFFF) TDO (7F3F); -SDR 16 TDI (FFFF) TDO (BB5D); +SDR 16 TDI (FFFF) TDO (7E7B); +SDR 16 TDI (FFFF) TDO (FDDF); SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (D7DE); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BDEF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (FBDF); +SDR 16 TDI (FFFF) TDO (BDFD); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (EDFE); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (FF37); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (CEBF); +SDR 16 TDI (FFFF) TDO (AF7F); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5DDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FED); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7EFB); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDDF); SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FDBF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (EF5F); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (66FE); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (BEFD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (BF57); -SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6AFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (BFAF); -SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BE6F); -SDR 16 TDI (FFFF) TDO (FFAF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFD); -SDR 16 TDI (FFFF) TDO (FD6E); -SDR 16 TDI (FFFF) TDO (B5FF); -SDR 16 TDI (FFFF) TDO (FB2F); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDAF); -SDR 16 TDI (FFFF) TDO (AFF7); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (EEEF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7CBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDCB); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7BEA); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFED); -SDR 16 TDI (FFFF) TDO (FDF5); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FF9D); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (DFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (BFBE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BA5F); -SDR 16 TDI (FFFF) TDO (F7FD); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BED5); -SDR 16 TDI (FFFF) TDO (FFBB); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (7D7D); +SDR 16 TDI (FFFF) TDO (EFBE); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (F5AF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (CFF5); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (EFD6); -SDR 16 TDI (FFFF) TDO (77DC); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFF3); +SDR 16 TDI (FFFF) TDO (FFDE); SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (BEFB); -SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DDE7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (ED6D); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FF7E); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (BBEC); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (EDEF); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (7DFD); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6AFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (76ED); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FE5D); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FDAF); +SDR 16 TDI (FFFF) TDO (6FBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFD6); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7BDF); -SDR 16 TDI (FFFF) TDO (B6FF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (DDD5); -SDR 16 TDI (FFFF) TDO (B3BF); -SDR 16 TDI (FFFF) TDO (DDD7); -SDR 16 TDI (FFFF) TDO (7F6E); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (87BF); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (D6FF); SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (E725); -SDR 16 TDI (FFFF) TDO (BEE6); -SDR 16 TDI (FFFF) TDO (63FE); -SDR 16 TDI (FFFF) TDO (6F6F); -SDR 16 TDI (FFFF) TDO (DEAD); -SDR 16 TDI (FFFF) TDO (ADCF); -SDR 16 TDI (FFFF) TDO (B8AE); -SDR 16 TDI (FFFF) TDO (7F4D); -SDR 16 TDI (FFFF) TDO (EDBD); -SDR 16 TDI (FFFF) TDO (BFDB); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5FE); -SDR 16 TDI (FFFF) TDO (7E7B); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (7DF6); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (EFF5); -SDR 16 TDI (FFFF) TDO (77BE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFE6); -SDR 16 TDI (FFFF) TDO (B8EA); +SDR 16 TDI (FFFF) TDO (7B7E); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (B6BF); +SDR 16 TDI (FFFF) TDO (DF6F); +SDR 16 TDI (FFFF) TDO (7EF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CFF5); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9FF); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (F3FF); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BAD7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAAF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (ABAF); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7FCB); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (EDDF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (EFAF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFF4); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B5FD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDEF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBD); +SDR 16 TDI (FFFF) TDO (DFFB); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (3EDD); +SDR 16 TDI (FFFF) TDO (BFB7); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (7F6F); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFC4); -SDR 16 TDI (FFFF) TDO (77F2); -SDR 16 TDI (FFFF) TDO (9CDF); -SDR 16 TDI (FFFF) TDO (B13F); -SDR 16 TDI (FFFF) TDO (9FE9); -SDR 16 TDI (FFFF) TDO (7699); -SDR 16 TDI (FFFF) TDO (3BF6); -SDR 16 TDI (FFFF) TDO (BB7E); -SDR 16 TDI (FFFF) TDO (DFFB); -SDR 16 TDI (FFFF) TDO (7BF3); -SDR 16 TDI (FFFF) TDO (F2D7); -SDR 16 TDI (FFFF) TDO (BBBD); +SDR 16 TDI (FFFF) TDO (FF7D); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (AFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFA); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BBFA); +SDR 16 TDI (FFFF) TDO (AFDF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (6EFE); +SDR 16 TDI (FFFF) TDO (FBB3); +SDR 16 TDI (FFFF) TDO (B8FF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (7AFE); +SDR 16 TDI (FFFF) TDO (BFAF); +SDR 16 TDI (FFFF) TDO (BF9F); SDR 16 TDI (FFFF) TDO (E7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5E); -SDR 16 TDI (FFFF) TDO (2EF7); -SDR 16 TDI (FFFF) TDO (77DB); -SDR 16 TDI (FFFF) TDO (E00A); -SDR 16 TDI (FFFF) TDO (AC40); -SDR 16 TDI (FFFF) TDO (4BCF); -SDR 16 TDI (FFFF) TDO (60E6); -SDR 16 TDI (FFFF) TDO (1EEF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (1B98); -SDR 16 TDI (FFFF) TDO (7028); -SDR 16 TDI (FFFF) TDO (C00F); -SDR 16 TDI (FFFF) TDO (B180); -SDR 16 TDI (FFFF) TDO (C0F5); +SDR 16 TDI (FFFF) TDO (B5FE); +SDR 16 TDI (FFFF) TDO (D13B); +SDR 16 TDI (FFFF) TDO (6FF6); +SDR 16 TDI (FFFF) TDO (F5A1); +SDR 16 TDI (FFFF) TDO (B7A7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (773B); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (A7DF); +SDR 16 TDI (FFFF) TDO (BDC3); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (6E5E); +SDR 16 TDI (FFFF) TDO (B87B); +SDR 16 TDI (FFFF) TDO (9BEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7EE4); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (9AFE); +SDR 16 TDI (FFFF) TDO (B85B); +SDR 16 TDI (FFFF) TDO (FBF3); +SDR 16 TDI (FFFF) TDO (79E5); +SDR 16 TDI (FFFF) TDO (3FFC); +SDR 16 TDI (FFFF) TDO (BF3D); +SDR 16 TDI (FFFF) TDO (C67D); +SDR 16 TDI (FFFF) TDO (7FC3); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFAC); +SDR 16 TDI (FFFF) TDO (C3C3); +SDR 16 TDI (FFFF) TDO (7FC3); +SDR 16 TDI (FFFF) TDO (BA08); +SDR 16 TDI (FFFF) TDO (A950); +SDR 16 TDI (FFFF) TDO (C3E4); +SDR 16 TDI (FFFF) TDO (6E07); +SDR 16 TDI (FFFF) TDO (F5AE); +SDR 16 TDI (FFFF) TDO (B61E); +SDR 16 TDI (FFFF) TDO (39D9); +SDR 16 TDI (FFFF) TDO (700D); +SDR 16 TDI (FFFF) TDO (8C0F); +SDR 16 TDI (FFFF) TDO (B029); +SDR 16 TDI (FFFF) TDO (89F5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FE); -SDR 16 TDI (FFFF) TDO (0ED2); -SDR 16 TDI (FFFF) TDO (77BB); -SDR 16 TDI (FFFF) TDO (4100); -SDR 16 TDI (FFFF) TDO (AC40); -SDR 16 TDI (FFFF) TDO (43F3); -SDR 16 TDI (FFFF) TDO (60A0); -SDR 16 TDI (FFFF) TDO (1EEC); -SDR 16 TDI (FFFF) TDO (B9DF); -SDR 16 TDI (FFFF) TDO (9BB8); -SDR 16 TDI (FFFF) TDO (7070); -SDR 16 TDI (FFFF) TDO (C10F); -SDR 16 TDI (FFFF) TDO (B980); -SDR 16 TDI (FFFF) TDO (58FF); +SDR 16 TDI (FFFF) TDO (B9FC); +SDR 16 TDI (FFFF) TDO (4284); +SDR 16 TDI (FFFF) TDO (67A3); +SDR 16 TDI (FFFF) TDO (F90A); +SDR 16 TDI (FFFF) TDO (A000); +SDR 16 TDI (FFFF) TDO (C3D4); +SDR 16 TDI (FFFF) TDO (6606); +SDR 16 TDI (FFFF) TDO (95AA); +SDR 16 TDI (FFFF) TDO (A1FB); +SDR 16 TDI (FFFF) TDO (9A91); +SDR 16 TDI (FFFF) TDO (7008); +SDR 16 TDI (FFFF) TDO (0C0D); +SDR 16 TDI (FFFF) TDO (BC09); +SDR 16 TDI (FFFF) TDO (81FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEAF); -SDR 16 TDI (FFFF) TDO (B83F); +SDR 16 TDI (FFFF) TDO (BF5C); +SDR 16 TDI (FFFF) TDO (F3D3); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (3C12); +SDR 16 TDI (FFFF) TDO (AD90); +SDR 16 TDI (FFFF) TDO (CFFC); +SDR 16 TDI (FFFF) TDO (6641); +SDR 16 TDI (FFFF) TDO (F0FC); +SDR 16 TDI (FFFF) TDO (B71F); +SDR 16 TDI (FFFF) TDO (C83F); +SDR 16 TDI (FFFF) TDO (7F37); +SDR 16 TDI (FFFF) TDO (0C4F); +SDR 16 TDI (FFFF) TDO (B849); +SDR 16 TDI (FFFF) TDO (81F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDEC); +SDR 16 TDI (FFFF) TDO (01D9); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (7892); +SDR 16 TDI (FFFF) TDO (AD18); +SDR 16 TDI (FFFF) TDO (CFF8); +SDR 16 TDI (FFFF) TDO (6644); +SDR 16 TDI (FFFF) TDO (10F0); +SDR 16 TDI (FFFF) TDO (A7FF); +SDR 16 TDI (FFFF) TDO (8498); +SDR 16 TDI (FFFF) TDO (6031); +SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (B869); +SDR 16 TDI (FFFF) TDO (81FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6EC2); -SDR 16 TDI (FFFF) TDO (A026); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7F6F); -SDR 16 TDI (FFFF) TDO (7CCF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7F7); +SDR 16 TDI (FFFF) TDO (9B3B); +SDR 16 TDI (FFFF) TDO (73E2); +SDR 16 TDI (FFFF) TDO (FE67); +SDR 16 TDI (FFFF) TDO (BBB3); +SDR 16 TDI (FFFF) TDO (31F7); +SDR 16 TDI (FFFF) TDO (6E66); +SDR 16 TDI (FFFF) TDO (FCCC); SDR 16 TDI (FFFF) TDO (B98F); -SDR 16 TDI (FFFF) TDO (D99E); -SDR 16 TDI (FFFF) TDO (7300); -SDR 16 TDI (FFFF) TDO (C067); -SDR 16 TDI (FFFF) TDO (B990); -SDR 16 TDI (FFFF) TDO (23F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (A012); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (C2C2); -SDR 16 TDI (FFFF) TDO (A1A6); -SDR 16 TDI (FFFF) TDO (FFF3); -SDR 16 TDI (FFFF) TDO (676C); -SDR 16 TDI (FFFF) TDO (7CC0); -SDR 16 TDI (FFFF) TDO (B99F); -SDR 16 TDI (FFFF) TDO (D9DE); -SDR 16 TDI (FFFF) TDO (7360); -SDR 16 TDI (FFFF) TDO (C06F); -SDR 16 TDI (FFFF) TDO (B980); -SDR 16 TDI (FFFF) TDO (23FF); +SDR 16 TDI (FFFF) TDO (999B); +SDR 16 TDI (FFFF) TDO (716C); +SDR 16 TDI (FFFF) TDO (2E27); +SDR 16 TDI (FFFF) TDO (BB1B); +SDR 16 TDI (FFFF) TDO (A3FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (37BB); -SDR 16 TDI (FFFF) TDO (63E6); -SDR 16 TDI (FFFF) TDO (66E7); -SDR 16 TDI (FFFF) TDO (A33B); -SDR 16 TDI (FFFF) TDO (B1F3); -SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (7EEE); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (BBB9); -SDR 16 TDI (FFFF) TDO (71C2); -SDR 16 TDI (FFFF) TDO (E62F); -SDR 16 TDI (FFFF) TDO (BBA2); -SDR 16 TDI (FFFF) TDO (19FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7733); -SDR 16 TDI (FFFF) TDO (6957); -SDR 16 TDI (FFFF) TDO (7677); -SDR 16 TDI (FFFF) TDO (B733); -SDR 16 TDI (FFFF) TDO (30AB); -SDR 16 TDI (FFFF) TDO (7766); -SDR 16 TDI (FFFF) TDO (7CCC); -SDR 16 TDI (FFFF) TDO (B985); -SDR 16 TDI (FFFF) TDO (1999); -SDR 16 TDI (FFFF) TDO (7BCC); -SDR 16 TDI (FFFF) TDO (C8CA); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (3373); +SDR 16 TDI (FFFF) TDO (6556); +SDR 16 TDI (FFFF) TDO (6777); +SDR 16 TDI (FFFF) TDO (B336); +SDR 16 TDI (FFFF) TDO (74A3); +SDR 16 TDI (FFFF) TDO (6776); +SDR 16 TDI (FFFF) TDO (79CC); +SDR 16 TDI (FFFF) TDO (BB85); +SDR 16 TDI (FFFF) TDO (5D99); +SDR 16 TDI (FFFF) TDO (7B8D); +SDR 16 TDI (FFFF) TDO (CCCA); SDR 16 TDI (FFFF) TDO (B199); SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (77CE); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (BBDD); -SDR 16 TDI (FFFF) TDO (FDE7); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFE7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (B7B7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFB7); -SDR 16 TDI (FFFF) TDO (97FB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDF6); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (DFF2); -SDR 16 TDI (FFFF) TDO (7B7E); -SDR 16 TDI (FFFF) TDO (DEDF); -SDR 16 TDI (FFFF) TDO (BEF6); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7DF); -SDR 16 TDI (FFFF) TDO (FF9F); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (F7BF); -SDR 16 TDI (FFFF) TDO (B5FE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77B7); +SDR 16 TDI (FFFF) TDO (BBE7); +SDR 16 TDI (FFFF) TDO (DBBF); SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (E7FF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (7EFD); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (DFEE); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (B7F7); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77F5); -SDR 16 TDI (FFFF) TDO (EDEF); -SDR 16 TDI (FFFF) TDO (BF7E); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (73F7); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (B7EF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FB7F); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (DCEB); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (7EDF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (B9EF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EFDA); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FB7D); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFE); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6DFD); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (BBEB); -SDR 16 TDI (FFFF) TDO (7FB9); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FB7B); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (ABDB); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (FFBD); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (7EEF); SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BDE7); +SDR 16 TDI (FFFF) TDO (3BFF); +SDR 16 TDI (FFFF) TDO (6F7E); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (B37F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7D5F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (77FE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CFEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF3F); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFB); SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (A7FF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (DF5F); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (76FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (76BE); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (DFEB); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FF7D); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7DDF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B57E); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (ED7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DEFD); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FE6F); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6EBE); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF9); -SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (DDFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BBFE); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EF7); SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBF5); -SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (EDBE); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FEEB); +SDR 16 TDI (FFFF) TDO (7BBE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FF97); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (77BC); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (7BDF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FAEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (ABFD); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (6DEE); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FF4F); -SDR 16 TDI (FFFF) TDO (7DEB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (6AFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (BFDB); SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (DAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF6); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F3F); -SDR 16 TDI (FFFF) TDO (FEDE); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (DBFD); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (7BDB); +SDR 16 TDI (FFFF) TDO (7F6E); SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F77D); +SDR 16 TDI (FFFF) TDO (FF2D); +SDR 16 TDI (FFFF) TDO (7BB5); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (DBEF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (F7ED); +SDR 16 TDI (FFFF) TDO (B7EE); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BF6F); +SDR 16 TDI (FFFF) TDO (FEFD); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7E); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (3FFF); SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (DFDD); +SDR 16 TDI (FFFF) TDO (7E5F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AD7B); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7F7E); -SDR 16 TDI (FFFF) TDO (F7B7); -SDR 16 TDI (FFFF) TDO (AF3F); -SDR 16 TDI (FFFF) TDO (ECFA); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (6E7D); -SDR 16 TDI (FFFF) TDO (BFFA); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (7FEA); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (776F); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (6D7D); +SDR 16 TDI (FFFF) TDO (DD5F); +SDR 16 TDI (FFFF) TDO (BDD3); SDR 16 TDI (FFFF) TDO (DDFD); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEEF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFB7); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (EEF7); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFB5); -SDR 16 TDI (FFFF) TDO (6FCB); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F6D9); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (A9FB); +SDR 16 TDI (FFFF) TDO (BBF7); +SDR 16 TDI (FFFF) TDO (6EFE); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (9EEF); +SDR 16 TDI (FFFF) TDO (BEFD); +SDR 16 TDI (FFFF) TDO (3EFB); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (CDFD); +SDR 16 TDI (FFFF) TDO (BF5D); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (BAF7); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FFA3); -SDR 16 TDI (FFFF) TDO (B33B); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (6767); -SDR 16 TDI (FFFF) TDO (D8E8); -SDR 16 TDI (FFFF) TDO (B1FB); -SDR 16 TDI (FFFF) TDO (F9F7); -SDR 16 TDI (FFFF) TDO (71ED); -SDR 16 TDI (FFFF) TDO (5EDF); -SDR 16 TDI (FFFF) TDO (BCDF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (74BD); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (62DE); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (E3F7); -SDR 16 TDI (FFFF) TDO (7EDC); -SDR 16 TDI (FFFF) TDO (3F9F); -SDR 16 TDI (FFFF) TDO (BE7F); -SDR 16 TDI (FFFF) TDO (CF39); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (AFBE); -SDR 16 TDI (FFFF) TDO (BFE9); -SDR 16 TDI (FFFF) TDO (FF6A); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FB7E); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (EF56); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (BD7F); -SDR 16 TDI (FFFF) TDO (AEFD); -SDR 16 TDI (FFFF) TDO (3FBE); -SDR 16 TDI (FFFF) TDO (79BB); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (AF9F); -SDR 16 TDI (FFFF) TDO (FECE); -SDR 16 TDI (FFFF) TDO (7EDF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFF6); -SDR 16 TDI (FFFF) TDO (64FF); +SDR 16 TDI (FFFF) TDO (7FED); +SDR 16 TDI (FFFF) TDO (F9DB); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (FCDF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAFD); -SDR 16 TDI (FFFF) TDO (C883); -SDR 16 TDI (FFFF) TDO (6FC5); -SDR 16 TDI (FFFF) TDO (0082); -SDR 16 TDI (FFFF) TDO (AC30); -SDR 16 TDI (FFFF) TDO (3FEC); -SDR 16 TDI (FFFF) TDO (607C); -SDR 16 TDI (FFFF) TDO (1201); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (2078); -SDR 16 TDI (FFFF) TDO (7002); -SDR 16 TDI (FFFF) TDO (0C0F); -SDR 16 TDI (FFFF) TDO (B576); -SDR 16 TDI (FFFF) TDO (C575); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B65D); -SDR 16 TDI (FFFF) TDO (C8C3); -SDR 16 TDI (FFFF) TDO (7FA5); -SDR 16 TDI (FFFF) TDO (1982); -SDR 16 TDI (FFFF) TDO (A032); -SDR 16 TDI (FFFF) TDO (2370); -SDR 16 TDI (FFFF) TDO (6600); -SDR 16 TDI (FFFF) TDO (5000); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (A428); -SDR 16 TDI (FFFF) TDO (7000); -SDR 16 TDI (FFFF) TDO (0C0D); -SDR 16 TDI (FFFF) TDO (BD20); -SDR 16 TDI (FFFF) TDO (907F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (67EB); +SDR 16 TDI (FFFF) TDO (EDB7); +SDR 16 TDI (FFFF) TDO (B5B7); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (BD5E); +SDR 16 TDI (FFFF) TDO (F7BB); +SDR 16 TDI (FFFF) TDO (7F7D); +SDR 16 TDI (FFFF) TDO (D5DE); +SDR 16 TDI (FFFF) TDO (BCFC); +SDR 16 TDI (FFFF) TDO (C57F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFC); -SDR 16 TDI (FFFF) TDO (1873); -SDR 16 TDI (FFFF) TDO (77F4); -SDR 16 TDI (FFFF) TDO (1100); -SDR 16 TDI (FFFF) TDO (A470); -SDR 16 TDI (FFFF) TDO (3FFC); -SDR 16 TDI (FFFF) TDO (616D); -SDR 16 TDI (FFFF) TDO (F080); -SDR 16 TDI (FFFF) TDO (A51F); -SDR 16 TDI (FFFF) TDO (8078); -SDR 16 TDI (FFFF) TDO (61C9); -SDR 16 TDI (FFFF) TDO (1F9F); -SDR 16 TDI (FFFF) TDO (B867); -SDR 16 TDI (FFFF) TDO (2275); -SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (AE6E); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BBF9); +SDR 16 TDI (FFFF) TDO (AE59); +SDR 16 TDI (FFFF) TDO (9BF6); +SDR 16 TDI (FFFF) TDO (6CF6); +SDR 16 TDI (FFFF) TDO (D6CE); +SDR 16 TDI (FFFF) TDO (AAFF); +SDR 16 TDI (FFFF) TDO (9B5C); +SDR 16 TDI (FFFF) TDO (6AF7); +SDR 16 TDI (FFFF) TDO (EBAF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBF8); -SDR 16 TDI (FFFF) TDO (1803); -SDR 16 TDI (FFFF) TDO (67F4); -SDR 16 TDI (FFFF) TDO (1000); -SDR 16 TDI (FFFF) TDO (A473); -SDR 16 TDI (FFFF) TDO (C3F0); -SDR 16 TDI (FFFF) TDO (7601); -SDR 16 TDI (FFFF) TDO (F080); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (8058); -SDR 16 TDI (FFFF) TDO (60C9); -SDR 16 TDI (FFFF) TDO (0F9F); -SDR 16 TDI (FFFF) TDO (B861); -SDR 16 TDI (FFFF) TDO (117F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5BF3); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (567E); +SDR 16 TDI (FFFF) TDO (BBEE); +SDR 16 TDI (FFFF) TDO (EF7D); +SDR 16 TDI (FFFF) TDO (7BED); +SDR 16 TDI (FFFF) TDO (B9FB); +SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7D8A); +SDR 16 TDI (FFFF) TDO (3EFF); +SDR 16 TDI (FFFF) TDO (BFCB); +SDR 16 TDI (FFFF) TDO (BAFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (339B); -SDR 16 TDI (FFFF) TDO (72E6); -SDR 16 TDI (FFFF) TDO (6EE3); -SDR 16 TDI (FFFF) TDO (B33B); -SDR 16 TDI (FFFF) TDO (31F1); -SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (7EEE); -SDR 16 TDI (FFFF) TDO (BDCF); -SDR 16 TDI (FFFF) TDO (F999); -SDR 16 TDI (FFFF) TDO (71C9); -SDR 16 TDI (FFFF) TDO (CCC7); -SDR 16 TDI (FFFF) TDO (BBD9); +SDR 16 TDI (FFFF) TDO (B9AC); +SDR 16 TDI (FFFF) TDO (5C32); +SDR 16 TDI (FFFF) TDO (7FC5); +SDR 16 TDI (FFFF) TDO (3F6A); +SDR 16 TDI (FFFF) TDO (AE24); +SDR 16 TDI (FFFF) TDO (1BEC); +SDR 16 TDI (FFFF) TDO (619F); +SDR 16 TDI (FFFF) TDO (F83C); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (6087); +SDR 16 TDI (FFFF) TDO (7D55); +SDR 16 TDI (FFFF) TDO (00AF); +SDR 16 TDI (FFFF) TDO (B680); +SDR 16 TDI (FFFF) TDO (5075); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB5C); +SDR 16 TDI (FFFF) TDO (C022); +SDR 16 TDI (FFFF) TDO (7FA4); +SDR 16 TDI (FFFF) TDO (3B60); +SDR 16 TDI (FFFF) TDO (A020); +SDR 16 TDI (FFFF) TDO (3BFC); +SDR 16 TDI (FFFF) TDO (6998); +SDR 16 TDI (FFFF) TDO (D03E); +SDR 16 TDI (FFFF) TDO (A79B); +SDR 16 TDI (FFFF) TDO (E000); +SDR 16 TDI (FFFF) TDO (6C75); +SDR 16 TDI (FFFF) TDO (FFAD); +SDR 16 TDI (FFFF) TDO (BE00); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFC); +SDR 16 TDI (FFFF) TDO (3E7C); +SDR 16 TDI (FFFF) TDO (67E5); +SDR 16 TDI (FFFF) TDO (EDF3); +SDR 16 TDI (FFFF) TDO (AC02); +SDR 16 TDI (FFFF) TDO (0FF4); +SDR 16 TDI (FFFF) TDO (6007); +SDR 16 TDI (FFFF) TDO (DC0C); +SDR 16 TDI (FFFF) TDO (BF3F); +SDR 16 TDI (FFFF) TDO (FC9F); +SDR 16 TDI (FFFF) TDO (7300); +SDR 16 TDI (FFFF) TDO (22CF); +SDR 16 TDI (FFFF) TDO (BE08); +SDR 16 TDI (FFFF) TDO (8275); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (667C); +SDR 16 TDI (FFFF) TDO (67E5); +SDR 16 TDI (FFFF) TDO (EDF3); +SDR 16 TDI (FFFF) TDO (A020); +SDR 16 TDI (FFFF) TDO (3FFC); +SDR 16 TDI (FFFF) TDO (6001); +SDR 16 TDI (FFFF) TDO (540D); +SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (841D); +SDR 16 TDI (FFFF) TDO (7913); +SDR 16 TDI (FFFF) TDO (22CF); +SDR 16 TDI (FFFF) TDO (BE00); +SDR 16 TDI (FFFF) TDO (E27F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (B233); +SDR 16 TDI (FFFF) TDO (73E6); +SDR 16 TDI (FFFF) TDO (6667); +SDR 16 TDI (FFFF) TDO (BA23); +SDR 16 TDI (FFFF) TDO (2173); +SDR 16 TDI (FFFF) TDO (6224); +SDR 16 TDI (FFFF) TDO (78CE); +SDR 16 TDI (FFFF) TDO (B99F); +SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (7BEE); +SDR 16 TDI (FFFF) TDO (EEE7); +SDR 16 TDI (FFFF) TDO (BCD9); SDR 16 TDI (FFFF) TDO (BBFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (6546); -SDR 16 TDI (FFFF) TDO (6677); -SDR 16 TDI (FFFF) TDO (B673); -SDR 16 TDI (FFFF) TDO (30AB); -SDR 16 TDI (FFFF) TDO (6676); -SDR 16 TDI (FFFF) TDO (7CCC); -SDR 16 TDI (FFFF) TDO (B985); -SDR 16 TDI (FFFF) TDO (1DCD); -SDR 16 TDI (FFFF) TDO (7BDD); -SDR 16 TDI (FFFF) TDO (DC92); -SDR 16 TDI (FFFF) TDO (B19D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (3777); +SDR 16 TDI (FFFF) TDO (6157); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (B376); +SDR 16 TDI (FFFF) TDO (76A9); +SDR 16 TDI (FFFF) TDO (7763); +SDR 16 TDI (FFFF) TDO (3D9C); +SDR 16 TDI (FFFF) TDO (BBA5); +SDR 16 TDI (FFFF) TDO (1C9D); +SDR 16 TDI (FFFF) TDO (79CC); +SDR 16 TDI (FFFF) TDO (CCC2); +SDR 16 TDI (FFFF) TDO (B5CC); SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BEF7); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7FCE); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BDEF); +SDR 16 TDI (FFFF) TDO (77F3); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77F5); SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7BFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (77DF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (B75F); SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7D7B); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (F5DF); +SDR 16 TDI (FFFF) TDO (7FE6); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (EFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (B97F); -SDR 16 TDI (FFFF) TDO (BDCF); SDR 16 TDI (FFFF) TDO (F5FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77F6); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (BFE7); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (AB7F); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (F6FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FDE); -SDR 16 TDI (FFFF) TDO (BF7D); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (72BD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (77FE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFF6); SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7D7); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (AF7E); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7D57); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFAF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (3DDF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFBE); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BE5F); +SDR 16 TDI (FFFF) TDO (BD5E); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (77F4); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBD7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (7BDF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FD5); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (AEFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7AFF); -SDR 16 TDI (FFFF) TDO (EDFE); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6F7A); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (BED7); +SDR 16 TDI (FFFF) TDO (EDFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FD7D); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (E7FD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CEFF); +SDR 16 TDI (FFFF) TDO (7DFB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7B7D); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (BD5F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5F7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6EAF); +SDR 16 TDI (FFFF) TDO (EFBD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6F6F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7E); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (6FDF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (75F7); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7F5F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7E76); +SDR 16 TDI (FFFF) TDO (EEDF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEB6); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (75EE); +SDR 16 TDI (FFFF) TDO (FFBE); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7BDF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE79); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6BFD); +SDR 16 TDI (FFFF) TDO (77D7); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (6BBF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (AFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBB); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF5D); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BDDE); SDR 16 TDI (FFFF) TDO (F37F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (EBF7); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (FD7D); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFB7); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A75F); +SDR 16 TDI (FFFF) TDO (EF9F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFED); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7BF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (DD7F); +SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7EFD); +SDR 16 TDI (FFFF) TDO (DFDF); SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (57F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ACFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7BED); -SDR 16 TDI (FFFF) TDO (F6FE); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FAFB); -SDR 16 TDI (FFFF) TDO (7FE6); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (BFAF); -SDR 16 TDI (FFFF) TDO (F57F); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFC); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A65F); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFE9); +SDR 16 TDI (FFFF) TDO (AFDB); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (DFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (73B7); -SDR 16 TDI (FFFF) TDO (BDFB); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (BCFD); -SDR 16 TDI (FFFF) TDO (F3F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFE); -SDR 16 TDI (FFFF) TDO (FDFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (BFB7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55E); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFEE); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FBF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (DFF5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (D5F7); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (79F7); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F6EA); +SDR 16 TDI (FFFF) TDO (DFEA); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B77D); -SDR 16 TDI (FFFF) TDO (DFFA); -SDR 16 TDI (FFFF) TDO (7D5F); -SDR 16 TDI (FFFF) TDO (7FED); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (EDFE); -SDR 16 TDI (FFFF) TDO (7F5F); -SDR 16 TDI (FFFF) TDO (9FDF); -SDR 16 TDI (FFFF) TDO (BFED); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (75EF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DBFE); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (BDDD); SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BBFD); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (6EFD); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (7A5D); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (B75E); -SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (7FAF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EFC); -SDR 16 TDI (FFFF) TDO (A7FD); -SDR 16 TDI (FFFF) TDO (D9FF); -SDR 16 TDI (FFFF) TDO (7DEF); -SDR 16 TDI (FFFF) TDO (7C5F); -SDR 16 TDI (FFFF) TDO (BFE1); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (B7FB); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (FD7D); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B6FD); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7AD); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BBAB); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7E3C); +SDR 16 TDI (FFFF) TDO (B6DD); +SDR 16 TDI (FFFF) TDO (AF5D); +SDR 16 TDI (FFFF) TDO (7EFB); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (B8DD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFC); -SDR 16 TDI (FFFF) TDO (AAB5); -SDR 16 TDI (FFFF) TDO (67FD); -SDR 16 TDI (FFFF) TDO (7196); -SDR 16 TDI (FFFF) TDO (9967); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (EF54); -SDR 16 TDI (FFFF) TDO (7BBF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (BA3F); -SDR 16 TDI (FFFF) TDO (C8EA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (E3F3); +SDR 16 TDI (FFFF) TDO (6D6E); +SDR 16 TDI (FFFF) TDO (F5F7); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (D7F7); +SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (6E6E); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (D76A); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (9FF3); -SDR 16 TDI (FFFF) TDO (6E6F); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (BD3F); -SDR 16 TDI (FFFF) TDO (F6AF); -SDR 16 TDI (FFFF) TDO (66F0); -SDR 16 TDI (FFFF) TDO (C7FF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AD5E); -SDR 16 TDI (FFFF) TDO (8017); -SDR 16 TDI (FFFF) TDO (67C5); -SDR 16 TDI (FFFF) TDO (FDF8); -SDR 16 TDI (FFFF) TDO (BA3A); -SDR 16 TDI (FFFF) TDO (A7EA); -SDR 16 TDI (FFFF) TDO (7DF8); -SDR 16 TDI (FFFF) TDO (18F0); -SDR 16 TDI (FFFF) TDO (A17F); -SDR 16 TDI (FFFF) TDO (7A3F); -SDR 16 TDI (FFFF) TDO (778E); -SDR 16 TDI (FFFF) TDO (2F0F); -SDR 16 TDI (FFFF) TDO (B018); -SDR 16 TDI (FFFF) TDO (0075); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ABFC); -SDR 16 TDI (FFFF) TDO (002C); -SDR 16 TDI (FFFF) TDO (7FA4); -SDR 16 TDI (FFFF) TDO (1C1E); -SDR 16 TDI (FFFF) TDO (A60A); -SDR 16 TDI (FFFF) TDO (A3D2); -SDR 16 TDI (FFFF) TDO (60F8); -SDR 16 TDI (FFFF) TDO (1838); -SDR 16 TDI (FFFF) TDO (A17B); -SDR 16 TDI (FFFF) TDO (D4A5); -SDR 16 TDI (FFFF) TDO (6480); -SDR 16 TDI (FFFF) TDO (2F3F); -SDR 16 TDI (FFFF) TDO (A838); -SDR 16 TDI (FFFF) TDO (007F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD5E); -SDR 16 TDI (FFFF) TDO (7058); -SDR 16 TDI (FFFF) TDO (7FF2); -SDR 16 TDI (FFFF) TDO (0A07); -SDR 16 TDI (FFFF) TDO (B43C); -SDR 16 TDI (FFFF) TDO (3FF7); -SDR 16 TDI (FFFF) TDO (7EE0); -SDR 16 TDI (FFFF) TDO (90C0); -SDR 16 TDI (FFFF) TDO (A11F); -SDR 16 TDI (FFFF) TDO (CCFC); -SDR 16 TDI (FFFF) TDO (7F8F); -SDR 16 TDI (FFFF) TDO (300F); -SDR 16 TDI (FFFF) TDO (B899); -SDR 16 TDI (FFFF) TDO (C8F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F02F); -SDR 16 TDI (FFFF) TDO (7FF2); -SDR 16 TDI (FFFF) TDO (6A78); -SDR 16 TDI (FFFF) TDO (A003); -SDR 16 TDI (FFFF) TDO (3FF3); -SDR 16 TDI (FFFF) TDO (7360); -SDR 16 TDI (FFFF) TDO (1000); -SDR 16 TDI (FFFF) TDO (A51F); -SDR 16 TDI (FFFF) TDO (8080); -SDR 16 TDI (FFFF) TDO (6080); -SDR 16 TDI (FFFF) TDO (0C0F); -SDR 16 TDI (FFFF) TDO (B819); -SDR 16 TDI (FFFF) TDO (807F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDE); -SDR 16 TDI (FFFF) TDO (337B); -SDR 16 TDI (FFFF) TDO (73EE); -SDR 16 TDI (FFFF) TDO (EEEF); -SDR 16 TDI (FFFF) TDO (A33E); -SDR 16 TDI (FFFF) TDO (31F1); -SDR 16 TDI (FFFF) TDO (6622); -SDR 16 TDI (FFFF) TDO (784C); -SDR 16 TDI (FFFF) TDO (B00B); -SDR 16 TDI (FFFF) TDO (91B9); -SDR 16 TDI (FFFF) TDO (6788); -SDR 16 TDI (FFFF) TDO (EE87); -SDR 16 TDI (FFFF) TDO (BBB9); -SDR 16 TDI (FFFF) TDO (99FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7671); -SDR 16 TDI (FFFF) TDO (6144); -SDR 16 TDI (FFFF) TDO (4445); -SDR 16 TDI (FFFF) TDO (B773); -SDR 16 TDI (FFFF) TDO (64AB); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (3D8C); -SDR 16 TDI (FFFF) TDO (BB95); -SDR 16 TDI (FFFF) TDO (0D98); -SDR 16 TDI (FFFF) TDO (73DD); -SDR 16 TDI (FFFF) TDO (CCD2); -SDR 16 TDI (FFFF) TDO (B19C); -SDR 16 TDI (FFFF) TDO (DCFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (73FF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7D7B); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BCFF); -SDR 16 TDI (FFFF) TDO (F7FE); -SDR 16 TDI (FFFF) TDO (7FF6); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BFDD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FBDD); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FDA5); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (BDCF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDD); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7BDF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7EFD); -SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (6FD6); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (6FFD); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (DFDE); +SDR 16 TDI (FFFF) TDO (A5F5); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (76D1); SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ADBF); +SDR 16 TDI (FFFF) TDO (F9BB); +SDR 16 TDI (FFFF) TDO (6BAC); +SDR 16 TDI (FFFF) TDO (DFD7); +SDR 16 TDI (FFFF) TDO (BFB6); +SDR 16 TDI (FFFF) TDO (ACFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BEE7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BBFE); -SDR 16 TDI (FFFF) TDO (BFF5); -SDR 16 TDI (FFFF) TDO (7FAF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFD7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (EF3F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BF3F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (FEBF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (EFDB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (67FF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (66FF); -SDR 16 TDI (FFFF) TDO (B5BF); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (F7FE); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7BFE); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (7EDB); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A65F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FD9D); -SDR 16 TDI (FFFF) TDO (AEBF); -SDR 16 TDI (FFFF) TDO (F7F5); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (7FBB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FB7F); -SDR 16 TDI (FFFF) TDO (6FDF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A65E); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (B777); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (CFBF); -SDR 16 TDI (FFFF) TDO (6BF6); -SDR 16 TDI (FFFF) TDO (DEEF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FBF5); +SDR 16 TDI (FFFF) TDO (A95F); +SDR 16 TDI (FFFF) TDO (2817); +SDR 16 TDI (FFFF) TDO (67C5); +SDR 16 TDI (FFFF) TDO (FDF9); +SDR 16 TDI (FFFF) TDO (B837); +SDR 16 TDI (FFFF) TDO (E3E0); +SDR 16 TDI (FFFF) TDO (63EE); +SDR 16 TDI (FFFF) TDO (B000); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (07E6); +SDR 16 TDI (FFFF) TDO (60EC); +SDR 16 TDI (FFFF) TDO (400F); +SDR 16 TDI (FFFF) TDO (B098); +SDR 16 TDI (FFFF) TDO (27F5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (ABFF); +SDR 16 TDI (FFFF) TDO (002C); +SDR 16 TDI (FFFF) TDO (7FA4); +SDR 16 TDI (FFFF) TDO (1C1E); +SDR 16 TDI (FFFF) TDO (B034); +SDR 16 TDI (FFFF) TDO (E3F0); +SDR 16 TDI (FFFF) TDO (6384); +SDR 16 TDI (FFFF) TDO (B020); +SDR 16 TDI (FFFF) TDO (A0BB); +SDR 16 TDI (FFFF) TDO (8000); +SDR 16 TDI (FFFF) TDO (6ACC); +SDR 16 TDI (FFFF) TDO (004D); +SDR 16 TDI (FFFF) TDO (B818); +SDR 16 TDI (FFFF) TDO (72FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD5F); +SDR 16 TDI (FFFF) TDO (E718); +SDR 16 TDI (FFFF) TDO (7FF2); +SDR 16 TDI (FFFF) TDO (0A07); +SDR 16 TDI (FFFF) TDO (BC1B); +SDR 16 TDI (FFFF) TDO (03F3); +SDR 16 TDI (FFFF) TDO (727F); +SDR 16 TDI (FFFF) TDO (700C); +SDR 16 TDI (FFFF) TDO (B11F); +SDR 16 TDI (FFFF) TDO (87FF); +SDR 16 TDI (FFFF) TDO (70DE); +SDR 16 TDI (FFFF) TDO (0C07); +SDR 16 TDI (FFFF) TDO (BA19); +SDR 16 TDI (FFFF) TDO (04F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (3F2F); +SDR 16 TDI (FFFF) TDO (7FF2); +SDR 16 TDI (FFFF) TDO (6A78); +SDR 16 TDI (FFFF) TDO (A318); +SDR 16 TDI (FFFF) TDO (03F3); +SDR 16 TDI (FFFF) TDO (6001); +SDR 16 TDI (FFFF) TDO (7C00); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (967F); +SDR 16 TDI (FFFF) TDO (70CE); +SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (B878); +SDR 16 TDI (FFFF) TDO (34FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (323B); +SDR 16 TDI (FFFF) TDO (73EE); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (BA31); +SDR 16 TDI (FFFF) TDO (3173); +SDR 16 TDI (FFFF) TDO (664E); +SDR 16 TDI (FFFF) TDO (7CFE); +SDR 16 TDI (FFFF) TDO (B98F); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (73EE); +SDR 16 TDI (FFFF) TDO (ECEF); +SDR 16 TDI (FFFF) TDO (B8B9); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6771); +SDR 16 TDI (FFFF) TDO (6144); +SDR 16 TDI (FFFF) TDO (4445); +SDR 16 TDI (FFFF) TDO (B376); +SDR 16 TDI (FFFF) TDO (26A9); +SDR 16 TDI (FFFF) TDO (7627); +SDR 16 TDI (FFFF) TDO (39CC); +SDR 16 TDI (FFFF) TDO (B3A5); +SDR 16 TDI (FFFF) TDO (1999); +SDR 16 TDI (FFFF) TDO (79CC); +SDR 16 TDI (FFFF) TDO (C9C2); +SDR 16 TDI (FFFF) TDO (B59C); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF9); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (6FDF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7FF6); SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (AFDB); -SDR 16 TDI (FFFF) TDO (BF5B); -SDR 16 TDI (FFFF) TDO (7EBF); -SDR 16 TDI (FFFF) TDO (7EBD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (5FBF); +SDR 16 TDI (FFFF) TDO (BEF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFEA); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (B7EE); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (FFDB); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (CEBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EF6); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (ABFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7F7); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (AF7F); +SDR 16 TDI (FFFF) TDO (BB77); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FD7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEE6); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7F76); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (B79F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEF6); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FD6); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7ECD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FDBF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (6FBD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB75); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (DFBB); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BFFA); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A75E); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFAF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FDB); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (FEF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A9FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (76EF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF4F); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB5F); +SDR 16 TDI (FFFF) TDO (FFEA); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (EEFF); SDR 16 TDI (FFFF) TDO (7FEA); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BF5D); -SDR 16 TDI (FFFF) TDO (DFFA); -SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (DDFD); -SDR 16 TDI (FFFF) TDO (9EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FEF); -SDR 16 TDI (FFFF) TDO (FBEF); -SDR 16 TDI (FFFF) TDO (BBEE); -SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (5D77); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (9BDA); -SDR 16 TDI (FFFF) TDO (5BFD); -SDR 16 TDI (FFFF) TDO (5ACC); -SDR 16 TDI (FFFF) TDO (D7E7); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (6FBF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (9FAD); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (D5E7); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (67F6); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (DBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (5FF7); -SDR 16 TDI (FFFF) TDO (DBFE); -SDR 16 TDI (FFFF) TDO (B755); -SDR 16 TDI (FFFF) TDO (D7F8); -SDR 16 TDI (FFFF) TDO (7D55); -SDR 16 TDI (FFFF) TDO (5F33); -SDR 16 TDI (FFFF) TDO (A2DF); -SDR 16 TDI (FFFF) TDO (FEBE); -SDR 16 TDI (FFFF) TDO (79E3); -SDR 16 TDI (FFFF) TDO (3BFF); -SDR 16 TDI (FFFF) TDO (9BAA); -SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (5FF6); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (657F); +SDR 16 TDI (FFFF) TDO (FCFD); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (FDFB); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (BCDF); +SDR 16 TDI (FFFF) TDO (9FD5); +SDR 16 TDI (FFFF) TDO (9EFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BAFE); SDR 16 TDI (FFFF) TDO (FEDF); SDR 16 TDI (FFFF) TDO (7FED); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (A37D); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (D7C7); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (9EE7); +SDR 16 TDI (FFFF) TDO (4381); SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (F5DC); -SDR 16 TDI (FFFF) TDO (9FBD); -SDR 16 TDI (FFFF) TDO (B7DD); -SDR 16 TDI (FFFF) TDO (5EBF); -SDR 16 TDI (FFFF) TDO (EEEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFEA); +SDR 16 TDI (FFFF) TDO (BBEF); +SDR 16 TDI (FFFF) TDO (FBEA); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (8BAA); -SDR 16 TDI (FFFF) TDO (EBF7); -SDR 16 TDI (FFFF) TDO (4EAA); -SDR 16 TDI (FFFF) TDO (BAEF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (CD73); -SDR 16 TDI (FFFF) TDO (6FDD); -SDR 16 TDI (FFFF) TDO (D51E); -SDR 16 TDI (FFFF) TDO (BDDD); -SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (9FA7); +SDR 16 TDI (FFFF) TDO (A773); +SDR 16 TDI (FFFF) TDO (5EC8); +SDR 16 TDI (FFFF) TDO (3BBF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (EF9D); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (DB7D); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (757F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (855E); SDR 16 TDI (FFFF) TDO (9E8F); SDR 16 TDI (FFFF) TDO (47C0); SDR 16 TDI (FFFF) TDO (15F9); -SDR 16 TDI (FFFF) TDO (BCC1); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (6140); -SDR 16 TDI (FFFF) TDO (DAEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (07FF); -SDR 16 TDI (FFFF) TDO (7F02); -SDR 16 TDI (FFFF) TDO (000F); -SDR 16 TDI (FFFF) TDO (9000); -SDR 16 TDI (FFFF) TDO (8075); +SDR 16 TDI (FFFF) TDO (BFEB); +SDR 16 TDI (FFFF) TDO (C3EF); +SDR 16 TDI (FFFF) TDO (6689); +SDR 16 TDI (FFFF) TDO (5EA0); +SDR 16 TDI (FFFF) TDO (A617); +SDR 16 TDI (FFFF) TDO (4000); +SDR 16 TDI (FFFF) TDO (6300); +SDR 16 TDI (FFFF) TDO (030F); +SDR 16 TDI (FFFF) TDO (9080); +SDR 16 TDI (FFFF) TDO (60F5); SDR 16 TDI (FFFF) TDO (4BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFE); SDR 16 TDI (FFFF) TDO (9EBC); SDR 16 TDI (FFFF) TDO (7FA0); -SDR 16 TDI (FFFF) TDO (741E); -SDR 16 TDI (FFFF) TDO (98D3); -SDR 16 TDI (FFFF) TDO (63D1); -SDR 16 TDI (FFFF) TDO (6140); -SDR 16 TDI (FFFF) TDO (FAC8); -SDR 16 TDI (FFFF) TDO (AA1B); -SDR 16 TDI (FFFF) TDO (AD60); -SDR 16 TDI (FFFF) TDO (7A00); -SDR 16 TDI (FFFF) TDO (808D); -SDR 16 TDI (FFFF) TDO (B800); -SDR 16 TDI (FFFF) TDO (107F); +SDR 16 TDI (FFFF) TDO (141E); +SDR 16 TDI (FFFF) TDO (80FC); +SDR 16 TDI (FFFF) TDO (EBFC); +SDR 16 TDI (FFFF) TDO (7E88); +SDR 16 TDI (FFFF) TDO (10A0); +SDR 16 TDI (FFFF) TDO (A61E); +SDR 16 TDI (FFFF) TDO (C000); +SDR 16 TDI (FFFF) TDO (6340); +SDR 16 TDI (FFFF) TDO (00FF); +SDR 16 TDI (FFFF) TDO (B880); +SDR 16 TDI (FFFF) TDO (007F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55D); SDR 16 TDI (FFFF) TDO (2930); -SDR 16 TDI (FFFF) TDO (7FE3); -SDR 16 TDI (FFFF) TDO (F206); -SDR 16 TDI (FFFF) TDO (9C01); -SDR 16 TDI (FFFF) TDO (83F3); -SDR 16 TDI (FFFF) TDO (4082); -SDR 16 TDI (FFFF) TDO (DC98); -SDR 16 TDI (FFFF) TDO (B31F); -SDR 16 TDI (FFFF) TDO (9C98); -SDR 16 TDI (FFFF) TDO (7910); -SDR 16 TDI (FFFF) TDO (04FF); -SDR 16 TDI (FFFF) TDO (BE57); -SDR 16 TDI (FFFF) TDO (0375); +SDR 16 TDI (FFFF) TDO (7FE2); +SDR 16 TDI (FFFF) TDO (3207); +SDR 16 TDI (FFFF) TDO (8327); +SDR 16 TDI (FFFF) TDO (33F3); +SDR 16 TDI (FFFF) TDO (47FE); +SDR 16 TDI (FFFF) TDO (FC4F); +SDR 16 TDI (FFFF) TDO (A5FF); +SDR 16 TDI (FFFF) TDO (848E); +SDR 16 TDI (FFFF) TDO (6418); +SDR 16 TDI (FFFF) TDO (F20F); +SDR 16 TDI (FFFF) TDO (B8C7); +SDR 16 TDI (FFFF) TDO (87F5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFD); SDR 16 TDI (FFFF) TDO (290F); SDR 16 TDI (FFFF) TDO (5FE0); -SDR 16 TDI (FFFF) TDO (7278); -SDR 16 TDI (FFFF) TDO (B403); -SDR 16 TDI (FFFF) TDO (A3F1); -SDR 16 TDI (FFFF) TDO (66C1); -SDR 16 TDI (FFFF) TDO (F008); -SDR 16 TDI (FFFF) TDO (A11F); -SDR 16 TDI (FFFF) TDO (D800); -SDR 16 TDI (FFFF) TDO (7010); -SDR 16 TDI (FFFF) TDO (008F); -SDR 16 TDI (FFFF) TDO (9E50); -SDR 16 TDI (FFFF) TDO (107F); +SDR 16 TDI (FFFF) TDO (1279); +SDR 16 TDI (FFFF) TDO (A000); +SDR 16 TDI (FFFF) TDO (37F0); +SDR 16 TDI (FFFF) TDO (6110); +SDR 16 TDI (FFFF) TDO (7C40); +SDR 16 TDI (FFFF) TDO (A41F); +SDR 16 TDI (FFFF) TDO (8480); +SDR 16 TDI (FFFF) TDO (6404); +SDR 16 TDI (FFFF) TDO (B10F); +SDR 16 TDI (FFFF) TDO (9880); +SDR 16 TDI (FFFF) TDO (607F); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (BBBB); SDR 16 TDI (FFFF) TDO (73E6); SDR 16 TDI (FFFF) TDO (2EEF); -SDR 16 TDI (FFFF) TDO (B323); -SDR 16 TDI (FFFF) TDO (21F3); -SDR 16 TDI (FFFF) TDO (6262); -SDR 16 TDI (FFFF) TDO (7EEE); -SDR 16 TDI (FFFF) TDO (89CB); -SDR 16 TDI (FFFF) TDO (B813); -SDR 16 TDI (FFFF) TDO (52C9); -SDR 16 TDI (FFFF) TDO (CCC7); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (89FF); +SDR 16 TDI (FFFF) TDO (B811); +SDR 16 TDI (FFFF) TDO (3972); +SDR 16 TDI (FFFF) TDO (64EE); +SDR 16 TDI (FFFF) TDO (F8EC); +SDR 16 TDI (FFFF) TDO (9D8F); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (57CC); +SDR 16 TDI (FFFF) TDO (9EE7); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (B8FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (1111); -SDR 16 TDI (FFFF) TDO (6153); +SDR 16 TDI (FFFF) TDO (6157); SDR 16 TDI (FFFF) TDO (6445); -SDR 16 TDI (FFFF) TDO (8676); -SDR 16 TDI (FFFF) TDO (74A9); -SDR 16 TDI (FFFF) TDO (5737); -SDR 16 TDI (FFFF) TDO (3CCC); -SDR 16 TDI (FFFF) TDO (B195); -SDR 16 TDI (FFFF) TDO (1989); -SDR 16 TDI (FFFF) TDO (71DD); -SDR 16 TDI (FFFF) TDO (DD92); -SDR 16 TDI (FFFF) TDO (B199); -SDR 16 TDI (FFFF) TDO (DCFF); +SDR 16 TDI (FFFF) TDO (9322); +SDR 16 TDI (FFFF) TDO (32A1); +SDR 16 TDI (FFFF) TDO (4266); +SDR 16 TDI (FFFF) TDO (7DC9); +SDR 16 TDI (FFFF) TDO (B925); +SDR 16 TDI (FFFF) TDO (1CCC); +SDR 16 TDI (FFFF) TDO (73DD); +SDR 16 TDI (FFFF) TDO (DCC2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (9DFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (5FCF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDDD); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (93BB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10144,48 +10144,48 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (ADFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F3FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FD79); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFEE); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFD); +SDR 16 TDI (FFFF) TDO (96FF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (5FBB); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (9EE7); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (5BBD); -SDR 16 TDI (FFFF) TDO (FD9D); SDR 16 TDI (FFFF) TDO (B6FF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (5B7D); -SDR 16 TDI (FFFF) TDO (FBDF); -SDR 16 TDI (FFFF) TDO (BCF7); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (DFCF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (F6EF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (DBFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (8FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFB6); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EF9); +SDR 16 TDI (FFFF) TDO (BF3F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9F75); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFD5); -SDR 16 TDI (FFFF) TDO (BB3F); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (9FF7); -SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -10193,660 +10193,660 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (D5FF); -SDR 16 TDI (FFFF) TDO (5BBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFDB); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CFBF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (5DFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (4BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (5FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (7BDF); -SDR 16 TDI (FFFF) TDO (4FFB); -SDR 16 TDI (FFFF) TDO (FFDE); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (5F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (FBFB); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (757B); -SDR 16 TDI (FFFF) TDO (EFFE); -SDR 16 TDI (FFFF) TDO (97FF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (5FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (4BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F9FF); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (8F7E); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEA7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (EBFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBE); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (AFFD); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (75DE); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FEEF); -SDR 16 TDI (FFFF) TDO (BF75); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (E6FF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (5EF7); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFEE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (4BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (9FDF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDB); -SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FF9B); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (ADDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DDA); -SDR 16 TDI (FFFF) TDO (FBEE); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF3F); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (E9FF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6F6E); +SDR 16 TDI (FFFF) TDO (AFDE); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDFC); +SDR 16 TDI (FFFF) TDO (7F6F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (77F3); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (FDEF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (FB5F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFE); +SDR 16 TDI (FFFF) TDO (F6EF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBD); +SDR 16 TDI (FFFF) TDO (6FD7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AD5F); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6EDC); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6EEF); +SDR 16 TDI (FFFF) TDO (F9BF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BDFD); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (7BDF); -SDR 16 TDI (FFFF) TDO (BEEF); SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FDD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A95D); -SDR 16 TDI (FFFF) TDO (BFBE); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FF7E); -SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (7EB9); +SDR 16 TDI (FFFF) TDO (6FAF); +SDR 16 TDI (FFFF) TDO (BAFA); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (A95F); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFE); +SDR 16 TDI (FFFF) TDO (BFAF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FF4F); SDR 16 TDI (FFFF) TDO (7EDF); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (BADB); -SDR 16 TDI (FFFF) TDO (DDFD); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BF6F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EEF); -SDR 16 TDI (FFFF) TDO (3F7F); -SDR 16 TDI (FFFF) TDO (97FF); -SDR 16 TDI (FFFF) TDO (DF7D); -SDR 16 TDI (FFFF) TDO (4F9D); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7D); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFD); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FF7B); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (97BF); SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (9DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FFC); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (5AFF); +SDR 16 TDI (FFFF) TDO (DFEF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBEE); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (DFFC); +SDR 16 TDI (FFFF) TDO (6E8F); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F9DB); +SDR 16 TDI (FFFF) TDO (63F1); +SDR 16 TDI (FFFF) TDO (5E2F); +SDR 16 TDI (FFFF) TDO (9CAB); +SDR 16 TDI (FFFF) TDO (72FF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BA7F); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (F63F); +SDR 16 TDI (FFFF) TDO (98FB); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (5F5F); +SDR 16 TDI (FFFF) TDO (A9DF); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (9DFA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (95AE); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (5D78); +SDR 16 TDI (FFFF) TDO (DDFB); +SDR 16 TDI (FFFF) TDO (A7FF); +SDR 16 TDI (FFFF) TDO (EE7D); +SDR 16 TDI (FFFF) TDO (7CEF); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8B5F); +SDR 16 TDI (FFFF) TDO (FC3F); +SDR 16 TDI (FFFF) TDO (5DCB); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (A32A); +SDR 16 TDI (FFFF) TDO (BEE0); +SDR 16 TDI (FFFF) TDO (7E0A); +SDR 16 TDI (FFFF) TDO (704A); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (28B8); +SDR 16 TDI (FFFF) TDO (600A); +SDR 16 TDI (FFFF) TDO (74AF); +SDR 16 TDI (FFFF) TDO (9420); +SDR 16 TDI (FFFF) TDO (00F4); +SDR 16 TDI (FFFF) TDO (59FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A5FF); +SDR 16 TDI (FFFF) TDO (FC3F); +SDR 16 TDI (FFFF) TDO (7EEA); +SDR 16 TDI (FFFF) TDO (1FFE); +SDR 16 TDI (FFFF) TDO (A32A); +SDR 16 TDI (FFFF) TDO (8370); +SDR 16 TDI (FFFF) TDO (600A); +SDR 16 TDI (FFFF) TDO (100A); +SDR 16 TDI (FFFF) TDO (8A1F); +SDR 16 TDI (FFFF) TDO (A820); +SDR 16 TDI (FFFF) TDO (4000); +SDR 16 TDI (FFFF) TDO (A00D); +SDR 16 TDI (FFFF) TDO (B820); +SDR 16 TDI (FFFF) TDO (18FF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (FF3F); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (E1FF); +SDR 16 TDI (FFFF) TDO (A366); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7E1A); +SDR 16 TDI (FFFF) TDO (7049); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (CFF8); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (841F); +SDR 16 TDI (FFFF) TDO (B9C6); +SDR 16 TDI (FFFF) TDO (7C74); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (FF3F); +SDR 16 TDI (FFFF) TDO (7FF2); +SDR 16 TDI (FFFF) TDO (01FE); +SDR 16 TDI (FFFF) TDO (A326); +SDR 16 TDI (FFFF) TDO (43F0); +SDR 16 TDI (FFFF) TDO (6712); +SDR 16 TDI (FFFF) TDO (1209); +SDR 16 TDI (FFFF) TDO (AC1F); +SDR 16 TDI (FFFF) TDO (C801); +SDR 16 TDI (FFFF) TDO (78C0); +SDR 16 TDI (FFFF) TDO (098F); +SDR 16 TDI (FFFF) TDO (98C0); +SDR 16 TDI (FFFF) TDO (047F); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (3333); +SDR 16 TDI (FFFF) TDO (63EE); +SDR 16 TDI (FFFF) TDO (E667); +SDR 16 TDI (FFFF) TDO (B33B); +SDR 16 TDI (FFFF) TDO (B9F3); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (3C8E); +SDR 16 TDI (FFFF) TDO (B9CF); +SDR 16 TDI (FFFF) TDO (B9D9); +SDR 16 TDI (FFFF) TDO (71CC); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (B9B9); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9FF); +SDR 16 TDI (FFFF) TDO (7677); +SDR 16 TDI (FFFF) TDO (6946); +SDR 16 TDI (FFFF) TDO (6777); +SDR 16 TDI (FFFF) TDO (9733); +SDR 16 TDI (FFFF) TDO (30A9); +SDR 16 TDI (FFFF) TDO (4727); +SDR 16 TDI (FFFF) TDO (7DDC); +SDR 16 TDI (FFFF) TDO (B385); +SDR 16 TDI (FFFF) TDO (199D); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (D9C2); +SDR 16 TDI (FFFF) TDO (B59C); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFB); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (53FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77EE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFED); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFD); +SDR 16 TDI (FFFF) TDO (7EB5); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D7FA); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFED); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (57FD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7FE); +SDR 16 TDI (FFFF) TDO (7F76); +SDR 16 TDI (FFFF) TDO (FEBA); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FDE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (E5FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (5FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EF6F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (BF7E); -SDR 16 TDI (FFFF) TDO (5EFF); -SDR 16 TDI (FFFF) TDO (97F7); -SDR 16 TDI (FFFF) TDO (BEAB); -SDR 16 TDI (FFFF) TDO (DF75); -SDR 16 TDI (FFFF) TDO (7F5F); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5BF); -SDR 16 TDI (FFFF) TDO (FEFB); -SDR 16 TDI (FFFF) TDO (7DB7); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (9CEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEDD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEEF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFD); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (79DD); -SDR 16 TDI (FFFF) TDO (B57F); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (76EE); -SDR 16 TDI (FFFF) TDO (FFAF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BFF1); -SDR 16 TDI (FFFF) TDO (5CFA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7AB3); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (EFEB); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (49B1); -SDR 16 TDI (FFFF) TDO (3FFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (7F4E); -SDR 16 TDI (FFFF) TDO (29CF); -SDR 16 TDI (FFFF) TDO (BF1E); -SDR 16 TDI (FFFF) TDO (BB7F); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (8B5C); -SDR 16 TDI (FFFF) TDO (0F02); -SDR 16 TDI (FFFF) TDO (55CA); -SDR 16 TDI (FFFF) TDO (41E0); -SDR 16 TDI (FFFF) TDO (A223); -SDR 16 TDI (FFFF) TDO (3EEC); -SDR 16 TDI (FFFF) TDO (7E09); -SDR 16 TDI (FFFF) TDO (FF8F); -SDR 16 TDI (FFFF) TDO (B017); -SDR 16 TDI (FFFF) TDO (18E0); -SDR 16 TDI (FFFF) TDO (7540); -SDR 16 TDI (FFFF) TDO (208F); -SDR 16 TDI (FFFF) TDO (9011); -SDR 16 TDI (FFFF) TDO (0074); -SDR 16 TDI (FFFF) TDO (59FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A5FC); -SDR 16 TDI (FFFF) TDO (0C02); -SDR 16 TDI (FFFF) TDO (77EA); -SDR 16 TDI (FFFF) TDO (0000); -SDR 16 TDI (FFFF) TDO (A223); -SDR 16 TDI (FFFF) TDO (03FC); -SDR 16 TDI (FFFF) TDO (6001); -SDR 16 TDI (FFFF) TDO (FF8F); -SDR 16 TDI (FFFF) TDO (901F); -SDR 16 TDI (FFFF) TDO (98E0); -SDR 16 TDI (FFFF) TDO (5503); -SDR 16 TDI (FFFF) TDO (000F); -SDR 16 TDI (FFFF) TDO (A800); -SDR 16 TDI (FFFF) TDO (047F); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (8E30); -SDR 16 TDI (FFFF) TDO (67F2); -SDR 16 TDI (FFFF) TDO (09E2); -SDR 16 TDI (FFFF) TDO (A223); -SDR 16 TDI (FFFF) TDO (3FFD); -SDR 16 TDI (FFFF) TDO (7E19); -SDR 16 TDI (FFFF) TDO (7F8F); -SDR 16 TDI (FFFF) TDO (B01F); -SDR 16 TDI (FFFF) TDO (98E0); -SDR 16 TDI (FFFF) TDO (7900); -SDR 16 TDI (FFFF) TDO (0B0F); -SDR 16 TDI (FFFF) TDO (BE87); -SDR 16 TDI (FFFF) TDO (9874); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAF8); -SDR 16 TDI (FFFF) TDO (0C30); -SDR 16 TDI (FFFF) TDO (67F2); -SDR 16 TDI (FFFF) TDO (0000); -SDR 16 TDI (FFFF) TDO (A3E3); -SDR 16 TDI (FFFF) TDO (03FC); -SDR 16 TDI (FFFF) TDO (6239); -SDR 16 TDI (FFFF) TDO (7F8F); -SDR 16 TDI (FFFF) TDO (B01F); -SDR 16 TDI (FFFF) TDO (98E0); -SDR 16 TDI (FFFF) TDO (7903); -SDR 16 TDI (FFFF) TDO (030F); -SDR 16 TDI (FFFF) TDO (9E07); -SDR 16 TDI (FFFF) TDO (807F); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (3233); -SDR 16 TDI (FFFF) TDO (63EE); -SDR 16 TDI (FFFF) TDO (66E7); -SDR 16 TDI (FFFF) TDO (BB33); -SDR 16 TDI (FFFF) TDO (B9F3); -SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (3CCC); -SDR 16 TDI (FFFF) TDO (B9CF); -SDR 16 TDI (FFFF) TDO (B898); -SDR 16 TDI (FFFF) TDO (77CC); -SDR 16 TDI (FFFF) TDO (CDC7); -SDR 16 TDI (FFFF) TDO (BD99); -SDR 16 TDI (FFFF) TDO (99FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7366); -SDR 16 TDI (FFFF) TDO (6947); -SDR 16 TDI (FFFF) TDO (3273); -SDR 16 TDI (FFFF) TDO (9267); -SDR 16 TDI (FFFF) TDO (32A3); -SDR 16 TDI (FFFF) TDO (4727); -SDR 16 TDI (FFFF) TDO (7D9D); -SDR 16 TDI (FFFF) TDO (B395); -SDR 16 TDI (FFFF) TDO (1DCD); -SDR 16 TDI (FFFF) TDO (73DD); -SDR 16 TDI (FFFF) TDO (D9D2); -SDR 16 TDI (FFFF) TDO (B4DD); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9EFB); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (57DF); -SDR 16 TDI (FFFF) TDO (EFFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (B7FB); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BB76); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFC); -SDR 16 TDI (FFFF) TDO (FEF7); -SDR 16 TDI (FFFF) TDO (9FDF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (57FA); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (D57F); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FF9F); -SDR 16 TDI (FFFF) TDO (BDFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7D); -SDR 16 TDI (FFFF) TDO (5FF7); -SDR 16 TDI (FFFF) TDO (57F5); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (DFF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (DDF7); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7F7); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F57F); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BDDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (9EFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFD5); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFB); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BD7F); SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEDA); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (57FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (57FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEEE); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFD); @@ -10855,7 +10855,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (9B9F); +SDR 16 TDI (FFFF) TDO (939F); SDR 16 TDI (FFFF) TDO (BCCF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -10863,11 +10863,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFEE); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); diff --git a/CPLD/MAXII/output_files/RAM2E.asm.rpt b/CPLD/MAXII/output_files/RAM2E.asm.rpt index bf3149d..bfdc0af 100644 --- a/CPLD/MAXII/output_files/RAM2E.asm.rpt +++ b/CPLD/MAXII/output_files/RAM2E.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2E -Sat Jun 08 01:44:21 2024 +Fri Jul 12 16:08:53 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -39,7 +39,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sat Jun 08 01:44:21 2024 ; +; Assembler Status ; Successful - Fri Jul 12 16:08:53 2024 ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX II ; @@ -69,8 +69,8 @@ https://fpgasoftware.intel.com/eula. +----------------+---------------------------------------------------------+ ; Option ; Setting ; +----------------+---------------------------------------------------------+ -; JTAG usercode ; 0x00164419 ; -; Checksum ; 0x00164899 ; +; JTAG usercode ; 0x001661B2 ; +; Checksum ; 0x00166532 ; +----------------+---------------------------------------------------------+ @@ -89,14 +89,14 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Jun 08 01:44:20 2024 + Info: Processing started: Fri Jul 12 16:08:48 2024 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E-MAXII -c RAM2E Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 13099 megabytes - Info: Processing ended: Sat Jun 08 01:44:21 2024 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 13098 megabytes + Info: Processing ended: Fri Jul 12 16:08:53 2024 + Info: Elapsed time: 00:00:05 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXII/output_files/RAM2E.done b/CPLD/MAXII/output_files/RAM2E.done index 9ee1d0f..b6c2953 100644 --- a/CPLD/MAXII/output_files/RAM2E.done +++ b/CPLD/MAXII/output_files/RAM2E.done @@ -1 +1 @@ -Sat Jun 08 01:44:26 2024 +Fri Jul 12 16:09:07 2024 diff --git a/CPLD/MAXII/output_files/RAM2E.fit.rpt b/CPLD/MAXII/output_files/RAM2E.fit.rpt index 29a474e..679c462 100644 --- a/CPLD/MAXII/output_files/RAM2E.fit.rpt +++ b/CPLD/MAXII/output_files/RAM2E.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2E -Sat Jun 08 01:44:18 2024 +Fri Jul 12 16:08:41 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -57,14 +57,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Sat Jun 08 01:44:18 2024 ; +; Fitter Status ; Successful - Fri Jul 12 16:08:41 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 238 / 240 ( 99 % ) ; +; Total logic elements ; 233 / 240 ( 97 % ) ; ; Total pins ; 71 / 80 ( 89 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -129,13 +129,12 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.03 ; +; Average used ; 1.01 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.9% ; -; Processors 3-4 ; 0.9% ; +; Processors 2-4 ; 0.3% ; +----------------------------+-------------+ @@ -150,27 +149,27 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. +---------------------------------------------+-----------------------+ ; Resource ; Usage ; +---------------------------------------------+-----------------------+ -; Total logic elements ; 238 / 240 ( 99 % ) ; -; -- Combinational with no register ; 112 ; +; Total logic elements ; 233 / 240 ( 97 % ) ; +; -- Combinational with no register ; 108 ; ; -- Register only ; 19 ; -; -- Combinational with a register ; 107 ; +; -- Combinational with a register ; 106 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 116 ; -; -- 3 input functions ; 53 ; -; -- 2 input functions ; 46 ; +; -- 4 input functions ; 111 ; +; -- 3 input functions ; 56 ; +; -- 2 input functions ; 43 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 224 ; +; -- normal mode ; 219 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 14 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 26 ; +; -- synchronous clear/load mode ; 25 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 126 / 240 ( 53 % ) ; +; Total registers ; 125 / 240 ( 52 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 15 ; ; Virtual pins ; 0 ; @@ -185,12 +184,12 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. ; Global signals ; 2 ; ; -- Global clocks ; 2 / 4 ( 50 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 30.7% / 34.1% / 27.1% ; -; Peak interconnect usage (total/H/V) ; 30.7% / 34.1% / 27.1% ; -; Maximum fan-out ; 122 ; +; Average interconnect usage (total/H/V) ; 27.3% / 28.5% / 26.1% ; +; Peak interconnect usage (total/H/V) ; 27.3% / 28.5% / 26.1% ; +; Maximum fan-out ; 121 ; ; Highest non-global fan-out ; 34 ; -; Total fan-out ; 992 ; -; Average fan-out ; 3.20 ; +; Total fan-out ; 973 ; +; Average fan-out ; 3.19 ; +---------------------------------------------+-----------------------+ @@ -207,16 +206,16 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. ; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 122 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 121 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 15 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 6 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nC07X ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; @@ -233,7 +232,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. ; BA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; CKEout ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; DQMH ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[0] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[1] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[2] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -255,15 +254,15 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. ; RAout[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RAout[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RAout[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nCSout ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nDHGROE ; 87 ; 2 ; 5 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -279,7 +278,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; RD[0] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; -; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; +; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; RDOE ; - ; ; RD[2] ; 99 ; 2 ; 2 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[4] ; 91 ; 2 ; 4 ; 5 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; @@ -429,7 +428,7 @@ Note: User assignments will override these defaults. The user specified values a +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 238 (182) ; 126 ; 1 ; 71 ; 0 ; 112 (88) ; 19 (16) ; 107 (78) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 233 (177) ; 125 ; 1 ; 71 ; 0 ; 108 (84) ; 19 (16) ; 106 (77) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ; ; |RAM2E_UFM:ram2e_ufm| ; 56 (56) ; 32 ; 1 ; 0 ; 0 ; 24 (24) ; 3 (3) ; 29 (29) ; 0 (0) ; 5 (5) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ; @@ -510,8 +509,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Din[5] ; Input ; (0) ; ; Din[7] ; Input ; (0) ; ; Din[4] ; Input ; (0) ; -; Din[2] ; Input ; (0) ; ; Din[3] ; Input ; (0) ; +; Din[2] ; Input ; (0) ; ; nC07X ; Input ; (0) ; +-----------+----------+---------------+ @@ -521,22 +520,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ -; BA[0]~0 ; LC_X2_Y3_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -; BA[0]~1 ; LC_X3_Y3_N0 ; 3 ; Clock enable ; no ; -- ; -- ; -; C14M ; PIN_12 ; 122 ; Clock ; yes ; Global Clock ; GCLK0 ; -; CS[0]~2 ; LC_X4_Y1_N1 ; 3 ; Clock enable ; no ; -- ; -- ; -; DQML~0 ; LC_X2_Y4_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -; Equal1~1 ; LC_X2_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal1~2 ; LC_X5_Y3_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; Mux14~0 ; LC_X5_Y2_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -; PHI1 ; PIN_37 ; 5 ; Clock ; yes ; Global Clock ; GCLK3 ; -; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X2_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X7_Y4_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X4_Y1_N4 ; 16 ; Clock enable ; no ; -- ; -- ; -; RA[1]~2 ; LC_X5_Y3_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -; RDOE ; LC_X7_Y3_N9 ; 8 ; Output enable ; no ; -- ; -- ; -; S[0] ; LC_X4_Y4_N4 ; 32 ; Sync. clear ; no ; -- ; -- ; -; S[3] ; LC_X4_Y4_N7 ; 34 ; Sync. clear ; no ; -- ; -- ; +; BA[0]~0 ; LC_X2_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ; +; BA[0]~1 ; LC_X4_Y2_N1 ; 3 ; Clock enable ; no ; -- ; -- ; +; C14M ; PIN_12 ; 121 ; Clock ; yes ; Global Clock ; GCLK0 ; +; CS[0]~2 ; LC_X6_Y1_N5 ; 3 ; Clock enable ; no ; -- ; -- ; +; DQMH~0 ; LC_X2_Y4_N0 ; 2 ; Clock enable ; no ; -- ; -- ; +; Equal1~1 ; LC_X2_Y2_N0 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal1~2 ; LC_X7_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; Mux14~0 ; LC_X2_Y3_N9 ; 2 ; Clock enable ; no ; -- ; -- ; +; PHI1 ; PIN_37 ; 6 ; Clock ; yes ; Global Clock ; GCLK3 ; +; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X4_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X2_Y1_N0 ; 8 ; Clock enable ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X5_Y1_N3 ; 15 ; Clock enable ; no ; -- ; -- ; +; RA[2]~2 ; LC_X2_Y3_N8 ; 6 ; Clock enable ; no ; -- ; -- ; +; RDOE ; LC_X3_Y2_N4 ; 8 ; Output enable ; no ; -- ; -- ; +; S[0] ; LC_X3_Y4_N8 ; 32 ; Sync. clear ; no ; -- ; -- ; +; S[3] ; LC_X3_Y4_N7 ; 34 ; Sync. clear ; no ; -- ; -- ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ @@ -545,8 +544,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+----------+---------+----------------------+------------------+ -; C14M ; PIN_12 ; 122 ; Global Clock ; GCLK0 ; -; PHI1 ; PIN_37 ; 5 ; Global Clock ; GCLK3 ; +; C14M ; PIN_12 ; 121 ; Global Clock ; GCLK0 ; +; PHI1 ; PIN_37 ; 6 ; Global Clock ; GCLK3 ; +------+----------+---------+----------------------+------------------+ @@ -555,86 +554,85 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 169 / 784 ( 22 % ) ; -; Direct links ; 50 / 888 ( 6 % ) ; +; C4s ; 158 / 784 ( 20 % ) ; +; Direct links ; 61 / 888 ( 7 % ) ; ; Global clocks ; 2 / 4 ( 50 % ) ; ; LAB clocks ; 7 / 32 ( 22 % ) ; -; LUT chains ; 11 / 216 ( 5 % ) ; -; Local interconnects ; 353 / 888 ( 40 % ) ; -; R4s ; 190 / 704 ( 27 % ) ; +; LUT chains ; 8 / 216 ( 4 % ) ; +; Local interconnects ; 335 / 888 ( 38 % ) ; +; R4s ; 157 / 704 ( 22 % ) ; +-----------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.92) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.71) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 0 ; +; 4 ; 1 ; ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 23 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 22 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.38) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.58) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Clock ; 22 ; -; 1 Clock enable ; 8 ; +; 1 Clock ; 24 ; +; 1 Clock enable ; 13 ; ; 1 Sync. clear ; 1 ; -; 2 Clock enables ; 2 ; +------------------------------------+------------------------------+ -+-----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.13) ; Number of LABs (Total = 24) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 22 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 1 ; -+----------------------------------------------+------------------------------+ ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 9.96) ; Number of LABs (Total = 24) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 1 ; +; 10 ; 20 ; +; 11 ; 1 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 1 ; ++---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.50) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.08) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 1 ; +; 2 ; 2 ; ; 3 ; 1 ; ; 4 ; 1 ; ; 5 ; 2 ; -; 6 ; 3 ; -; 7 ; 2 ; -; 8 ; 5 ; -; 9 ; 3 ; -; 10 ; 5 ; +; 6 ; 1 ; +; 7 ; 6 ; +; 8 ; 3 ; +; 9 ; 5 ; +; 10 ; 2 ; ; 11 ; 0 ; ; 12 ; 1 ; +-------------------------------------------------+------------------------------+ @@ -643,7 +641,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 12.75) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 12.25) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; @@ -651,24 +649,25 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 3 ; 0 ; ; 4 ; 2 ; ; 5 ; 0 ; -; 6 ; 0 ; +; 6 ; 1 ; ; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 2 ; +; 8 ; 1 ; +; 9 ; 3 ; ; 10 ; 1 ; -; 11 ; 8 ; +; 11 ; 1 ; ; 12 ; 1 ; -; 13 ; 0 ; +; 13 ; 5 ; ; 14 ; 2 ; -; 15 ; 1 ; -; 16 ; 0 ; -; 17 ; 1 ; -; 18 ; 2 ; -; 19 ; 0 ; +; 15 ; 0 ; +; 16 ; 2 ; +; 17 ; 0 ; +; 18 ; 1 ; +; 19 ; 2 ; ; 20 ; 0 ; ; 21 ; 0 ; -; 22 ; 2 ; -; 23 ; 1 ; +; 22 ; 0 ; +; 23 ; 0 ; +; 24 ; 1 ; +----------------------------------------------+------------------------------+ @@ -716,7 +715,8 @@ Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186216): Automatically promoted some destinations of signal "PHI1" to use Global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186217): Destination "PHI1r" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 20 - Info (186217): Destination "S~0" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19 + Info (186217): Destination "S~2" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19 + Info (186217): Destination "S[3]~9" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 21 Info (186228): Pin "PHI1" drives global clock, but is not placed in a dedicated clock pin position File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing @@ -732,26 +732,26 @@ Warning (186473): Ignored the FAST_OUTPUT_REGISTER assignment made to the follow Warning (186484): Ignored assignment to node "RAout[7]" because node "RAr[7]", which is feeding it, is not a register File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 85 Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:04 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:03 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:19 Info (170193): Fitter routing operations beginning -Info (170089): 5e+01 ns of routing delay (approximately 3.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. -Info (170195): Router estimated average interconnect usage is 27% of the available device resources - Info (170196): Router estimated peak interconnect usage is 27% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170089): 6e+01 ns of routing delay (approximately 3.8% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. +Info (170195): Router estimated average interconnect usage is 24% of the available device resources + Info (170196): Router estimated peak interconnect usage is 24% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170202): The Fitter performed an Auto Fit compilation. No optimizations were skipped because the design's timing and routability requirements required full optimization. -Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 1.13 seconds. +Info (170194): Fitter routing operations ending: elapsed time is 00:00:02 +Info (11888): Total time spent on timing analysis during the Fitter is 16.41 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 11 warnings - Info: Peak virtual memory: 13775 megabytes - Info: Processing ended: Sat Jun 08 01:44:18 2024 - Info: Elapsed time: 00:00:06 + Info: Peak virtual memory: 13835 megabytes + Info: Processing ended: Fri Jul 12 16:08:42 2024 + Info: Elapsed time: 00:00:40 Info: Total CPU time (on all processors): 00:00:04 diff --git a/CPLD/MAXII/output_files/RAM2E.fit.smsg b/CPLD/MAXII/output_files/RAM2E.fit.smsg index a3cd98a..b6f2d5e 100644 --- a/CPLD/MAXII/output_files/RAM2E.fit.smsg +++ b/CPLD/MAXII/output_files/RAM2E.fit.smsg @@ -1,4 +1,4 @@ Extra Info (176273): Performing register packing on registers with non-logic cell location assignments Extra Info (176274): Completed register packing on registers with non-logic cell location assignments Extra Info (176244): Moving registers into LUTs to improve timing and density -Extra Info (176245): Finished moving registers into LUTs: elapsed time is 00:00:00 +Extra Info (176245): Finished moving registers into LUTs: elapsed time is 00:00:01 diff --git a/CPLD/MAXII/output_files/RAM2E.fit.summary b/CPLD/MAXII/output_files/RAM2E.fit.summary index 84cc242..2c8f53c 100644 --- a/CPLD/MAXII/output_files/RAM2E.fit.summary +++ b/CPLD/MAXII/output_files/RAM2E.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Sat Jun 08 01:44:18 2024 +Fitter Status : Successful - Fri Jul 12 16:08:41 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 238 / 240 ( 99 % ) +Total logic elements : 233 / 240 ( 97 % ) Total pins : 71 / 80 ( 89 % ) Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII/output_files/RAM2E.flow.rpt b/CPLD/MAXII/output_files/RAM2E.flow.rpt index 7eba057..2c65931 100644 --- a/CPLD/MAXII/output_files/RAM2E.flow.rpt +++ b/CPLD/MAXII/output_files/RAM2E.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2E -Sat Jun 08 01:44:25 2024 +Fri Jul 12 16:09:04 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -41,14 +41,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Sat Jun 08 01:44:21 2024 ; +; Flow Status ; Successful - Fri Jul 12 16:08:53 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 238 / 240 ( 99 % ) ; +; Total logic elements ; 233 / 240 ( 97 % ) ; ; Total pins ; 71 / 80 ( 89 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 06/08/2024 01:43:22 ; +; Start date & time ; 07/12/2024 16:06:43 ; ; Main task ; Compilation ; ; Revision Name ; RAM2E ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------+------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +---------------------------------------+------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 121380219419.171782540212708 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121380219419.172081480308696 ; -- ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ; @@ -86,11 +86,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:49 ; 1.0 ; 13152 MB ; 00:00:40 ; -; Fitter ; 00:00:06 ; 1.0 ; 13775 MB ; 00:00:04 ; -; Assembler ; 00:00:01 ; 1.0 ; 13098 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:02 ; 1.0 ; 13094 MB ; 00:00:01 ; -; Total ; 00:00:58 ; -- ; -- ; 00:00:46 ; +; Analysis & Synthesis ; 00:01:17 ; 1.0 ; 13149 MB ; 00:00:47 ; +; Fitter ; 00:00:39 ; 1.0 ; 13835 MB ; 00:00:04 ; +; Assembler ; 00:00:05 ; 1.0 ; 13097 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:06 ; 1.0 ; 13093 MB ; 00:00:01 ; +; Total ; 00:02:07 ; -- ; -- ; 00:00:53 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/CPLD/MAXII/output_files/RAM2E.map.rpt b/CPLD/MAXII/output_files/RAM2E.map.rpt index c23d1e0..f676665 100644 --- a/CPLD/MAXII/output_files/RAM2E.map.rpt +++ b/CPLD/MAXII/output_files/RAM2E.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2E -Sat Jun 08 01:44:10 2024 +Fri Jul 12 16:07:59 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -46,12 +46,12 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sat Jun 08 01:44:10 2024 ; +; Analysis & Synthesis Status ; Successful - Fri Jul 12 16:07:59 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX II ; -; Total logic elements ; 252 ; +; Total logic elements ; 247 ; ; Total pins ; 71 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -164,34 +164,34 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 252 ; -; -- Combinational with no register ; 126 ; +; Total logic elements ; 247 ; +; -- Combinational with no register ; 122 ; ; -- Register only ; 33 ; -; -- Combinational with a register ; 93 ; +; -- Combinational with a register ; 92 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 116 ; -; -- 3 input functions ; 53 ; -; -- 2 input functions ; 46 ; +; -- 4 input functions ; 111 ; +; -- 3 input functions ; 56 ; +; -- 2 input functions ; 43 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 238 ; +; -- normal mode ; 233 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 3 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 126 ; +; Total registers ; 125 ; ; Total logic cells in carry chains ; 15 ; ; I/O pins ; 71 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; -; Maximum fan-out ; 122 ; -; Total fan-out ; 1001 ; -; Average fan-out ; 3.09 ; +; Maximum fan-out ; 121 ; +; Total fan-out ; 982 ; +; Average fan-out ; 3.08 ; +---------------------------------------------+-------+ @@ -200,7 +200,7 @@ https://fpgasoftware.intel.com/eula. +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 252 (191) ; 126 ; 1 ; 71 ; 0 ; 126 (97) ; 33 (25) ; 93 (69) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 247 (186) ; 125 ; 1 ; 71 ; 0 ; 122 (93) ; 33 (25) ; 92 (68) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; ; |RAM2E_UFM:ram2e_ufm| ; 61 (61) ; 32 ; 1 ; 0 ; 0 ; 29 (29) ; 8 (8) ; 24 (24) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ; @@ -222,12 +222,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 126 ; +; Total registers ; 125 ; ; Number of registers using Synchronous Clear ; 3 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 59 ; +; Number of registers using Clock Enable ; 58 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -256,13 +256,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[2] ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[3] ; ; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[1] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[5] ; +; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[4] ; ; 16:1 ; 2 bits ; 20 LEs ; 2 LEs ; 18 LEs ; Yes ; |RAM2E|BA[0]~reg0 ; -; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[6] ; -; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[1] ; -; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQML~reg0 ; +; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[7] ; +; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[2] ; +; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQMH~reg0 ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ @@ -283,7 +283,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Jun 08 01:43:21 2024 + Info: Processing started: Fri Jul 12 16:06:42 2024 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXII -c RAM2E Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2e/cpld/ram2e.v @@ -296,12 +296,12 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v Info (12023): Found entity 1: UFM_altufm_none_lbr File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 47 Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 166 Info (12127): Elaborating entity "RAM2E" for the top level hierarchy -Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136 +Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 132 Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2E/CPLD/UFM-MAX.v Line: 77 Info (12128): Elaborating entity "UFM_altufm_none_lbr" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component" File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 217 -Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 140 +Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136 Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nDHGROE" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 139 + Warning (13410): Pin "nDHGROE" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 135 Warning (13410): Pin "nCSout" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 75 Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 @@ -313,18 +313,18 @@ Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 Warning (21074): Design contains 1 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "nWE80" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 11 -Info (21057): Implemented 324 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 319 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins Info (21059): Implemented 41 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 252 logic cells + Info (21061): Implemented 247 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.map.smsg Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 13 warnings - Info: Peak virtual memory: 13152 megabytes - Info: Processing ended: Sat Jun 08 01:44:10 2024 - Info: Elapsed time: 00:00:49 - Info: Total CPU time (on all processors): 00:00:41 + Info: Peak virtual memory: 13149 megabytes + Info: Processing ended: Fri Jul 12 16:07:59 2024 + Info: Elapsed time: 00:01:17 + Info: Total CPU time (on all processors): 00:00:47 +------------------------------------------+ diff --git a/CPLD/MAXII/output_files/RAM2E.map.summary b/CPLD/MAXII/output_files/RAM2E.map.summary index 4c1fb52..f43c1e2 100644 --- a/CPLD/MAXII/output_files/RAM2E.map.summary +++ b/CPLD/MAXII/output_files/RAM2E.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Sat Jun 08 01:44:10 2024 +Analysis & Synthesis Status : Successful - Fri Jul 12 16:07:59 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX II -Total logic elements : 252 +Total logic elements : 247 Total pins : 71 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII/output_files/RAM2E.pof b/CPLD/MAXII/output_files/RAM2E.pof index 00d85717f5fb74197ff494a93bc9a611826df5b8..9c61c389826b17c71eed803acc1dfcb076585be1 100644 GIT binary patch literal 7861 zcmeHMeQ;dWbzgr-HmN_z5IS;O*)kJIKQdTGF~&C15+Fc3#x_kbO&i5cgXM8R+QA=M zY_lybZje>7luR{KmRFulri{x0Y5{-?A(;BXk+2?rz$)dE5OP?+ulK zNB{d`XwL7M3;p#QZIU7)8Scoo6S4dbMT%0{zATa2)t-`|8M@1&N`TKZ_adjfU>5fCqV| z7?JHy;d@38>?_3!evmJzz$~m5^B=dNh8llhrm!^)mU)w_6uYj)OJ%x)4W1q@_FRt2+you4A9k{qCN?&%C{AXFv z2axZsaNH#5+)r!1Am2&yHyy=lelA}fh`hpnADOl2fxR!syhMj>?Cyj2mJ9y`0*<_e z{au#o_2rmqHu@OiN`pBW$#nD=Cc;wqr21T{zkijHk0ob@GJm&oUv22M*BdJC2$h|` z=_VDT(Ye1{(XHd(7M~u<{AkN>YInc4a9+i1(7(QRUg+HDm6ul-d0F=dq0Fq6ugW>E z@$Xh#R$X@fp-^Gp{ISYsrgfi$d}37v=q(eV&o8f-4f^6~nc3B2mAj`YosT&hJbv4z zTR*<@J3rieTf@SwZEv3Gd8kF~yR z==FXguHrhb!c$n6?c_+E|2Yz5;s_nea~aY~D9cBDeBbhYe=@Hn<%B~MN;c~H5a1)B zC;_}NgP%uCHJ)^}yw-z0;4w2Mac%O)i<;4)03Uq-_&#-kE}729KrW4ooKGfS6Z9Q} zZ}Q<3`ptl@zX82|WTp9(eF<*r!9(7<1==d}C_*F>>Z^A$?_Jdc{1IYxB*>QJTY^)< zX9hn8RQ+f42rq`QMd3@ru*v?V#~A!fQjeFD+)Z-iXQ@6DSFxN)PawtVHLA*dRZWH3* zNmV~Wu!pD~3jn?DiM(*tTU_N-59-6qL;sRA=#o0Q;^fw&?vpeEzqqGd%qM)TD@I0H zMZ4lMXLA@NU`JBLEiX1%UyO>5C;dF`3mb^p8}jH!9=8!oW$>l%AhYr$!_7?eRZ?1h zm&v>w*L6$O$$mUoh;%=k8*<4RjQc}=;z)rv@2pIpzQ^e2)>oAHHmiI`q}rcH{k{7A zq&ni0)cc&qUv}h(I#Pf6{x2N)O7ofS?TrsUePG^8OGoEE{u5I_ZQ+|2XO4EPD+?X@ zlZL;3;qHgUxBq_L<<&3M?t3VZUzz%~;y2!L=(4eJ!{5#i=gwa-p+2nssPd-|1@*Ul z_kjXm_@{>|#uhbPHGBRLEnZOmX!U626M=lrJw4ceJImO8kGjnb;hMH{t*7^1zwNC3 z_ECeMpyU0|{UGD?-GA-TOjCP}{Y-Cb`d@3l`B-aEpLTXYDxY~$2v65VB0ES0OQ}9A zLJ&XCEx1K4d4|*&=SYpBa+5{$yMV477Vw#PoI+lBCT1O}3HQrcL4DzQG1W-oM zgK>no!#wJ=ke8_*luiprLoUb&N4{!4onN}|D{7AAcnp0gY357vEUxW!kw28Co>+p| zsdNl-$Wepuil7eBr=42#4JcM3jZv4m;S&lR64pAf9dy)FGx~)c$g{uFV?@U%J)oKs zME{=d6u^%fx=jOKf!v;4RPTS%x2c;>0!bDa?tyRV`kFx82>KZMm>;)_m>DzYQxXMUf3Dl zj(yJV*#6Y%$n}x?w%$#rSFU$Y<)uSG{n0xq#eQJfQ7@V9X%n(LOv$@;k$kJ(;I}PX zxmrCtv3~oP-(J3Fe9?`vSpIJEpF{b^X)uer8p*oCuHihi)B`%CN9`E1Okg5OAANJq&W$b_Bt*z;3G+TSXX2w7I(AhKWIDJh``<-L2 zuCNc@aJapu=9bQyp#Ea65Nc}_`vdj~PF})uQd+K0$5Y1#AE#S+xuQ?Wv>WVKo6vvU z?h@UJ7`_N`MXw@1D3+#JF|iYQXyg(1C5Qw1aUG@oLmKx*Qs4{Zp#Xdy@|6_lMj!gK z9~vLTsb(uU{VvN>JQnjZ>6pGn=F^Km68JX zQ#z^FN8+rfT%0R?;^;prQ6xW&GM|(;=T`7_LPvAnOTb(z6p;V0F3^vAjbrKkui)EY z=yGA83tWRA_?grB3T!QJHAUe-AaCPd^Tlv}=!#M-fnJdIfu9QM_h3=`4|+S%N$!l~ zrR$zVvC0&g{+y>g1Kq}nQy>luruX9BO|+y;e1?kNxFP{RU6g8E)uMhaj`NEeHu6^n z`WenAq{29l43JPc(8(|5KkO6m4>jF|HGuuTiYHY9Z3%kNS5;o&+r~M_@_DizXArE2 z1@}t+XAdbM$UZ?TP1cQ#@qDi(60$NL_#dO9&J!-x>#0|oyHT7YZc;Tj%k;>4Q~#jg zxYBa4dxDyNEd4{W!#=spACZmA4F3M&mwuahmUmQs`1-74(YZKZp4n8seER6z1!s+a zCn)qab@dGo&i(4^^N%f_JG0E57Fu5u`nSr8HAX&B%vPwi>(11^{aR{`npgeU`FCkv zOZA!hC##IS3{QNl)~;W8wBof?`R+R4Z&vfRV*gwCRUMUyYO4;tk370O@3mxQMN-Hg8o~wL%PBOFV>%wfSn$d`g4h{>(dq3-$?Jj z7=^|Ie=Mj!qt9cYGp)}q*=O)H-#EFJd3@9I4EseOUy1{>fmGlFGX@Nr@C`ylcje5?~^ zL+fS5V>(s60{Vb3{TcCN%0t-;sn4rk0tndOJ-H!oaEEq6p204C4jt&wjfKM=oC}!A zul+{9&m`)m39ABoKGN?8?BeA96D4(z@dJ2Det=%s>*(jpz;2A55=7&NWaJ%sF$?|O znF#cF14jQi5idq;mhg!^r@}lY;h0tHkH5Hs;2PC4=BpmXk0#$Cl^c1#m3Cx*^^ilh zCrb5?I0@e$9~$c4dBvd2k#{&r1Id`|GVyt4=Z=-BiSRpRxHj(=zG<8##QtIz!M?D5ol zEd0?yTKvE@6>CQ;-+$M{XPiGiTAX!9ds*ni`Fm#9O}`q?f7et!Ho9P1Ioc`WNT|0> z+q}w0o?dfJbkXv|=h|9_Yj$MYS}TqJl0Wjup5beL@y4yIAHKOE`LnivyrHH)zUr3F z_~FU;P!Z?8M4X~YgNG<1{%_c1;xiRzWV9mQE`6Q%*gyDW{V~i7zL{KJv=!bE_+v$i zc+wf*+P`!7z)qf1Y4BMcaw2$-GTs&7>-r1)FzbmjkOM{i971EdtYeR50spcnpurtL4` z@ZkREx}QiT@C6J_*HO{?Umo!&H0N8SfPM$ID6iJTLKlr4M}Fq8Q*y z?HAL07DL>y6a}0WChb22BK#qn$ZhsIWP&)(pIR^a9mW$N1BWH>(a-UDj`tUBg?#;n zK4brWBagn52OVn%`#-XLQ|Cs0J1|rdN;!_Sc+Yd1gcwGt!oT#z{qF-5d@&3r#7|{g zf^!CBVW-K%an$8slJCVd9*L;5r|M7B8P3Jw=5qr6bqpN)hn*j)Ij?-(>~(jYzjEgEP}xhBGb;#g>wSGfrG7+5 z8zJGWp)mGK+T zcgK%KH)Z+;+foe;H$Kod)^=cTO-;6~wEwkHlk>#iWSkF<&)NUp+2pFeXP!Kqjvv7O zcfi;`E|8x-^yofD4DrSO7xi%d)K{<%^N61(dypU8>O4ohR0o0opyR!U<{R07_Kwjt z^cW+q<+0v6|Fpb2%!B(9uE7UA3w+3BnQCJ7l6offmh>cX?*;kTV(dYk@C)zP2zr34 z&x=KR9;2@B3-u!@K}BKYfOFE&6~%S^O!~nzzIh)afeU{Ed#3yYof)~J;lunCUVuAH zllU5EN^TOL!+-U^%)_2ZxnP{qYvTARoX_BA@z2EhEPSld1$&rY-;3@)rI%}b)ER#V zV{jgLW4snUyEKpZV&6p0;9g*JHuF>X_U97u0#Jm@CM$S6d8&QSQpzp*>65M s|M~r;ar(a$kBZQonNzn+a literal 7861 zcmeHMeQ;FQbzcDzkinuE@Dv=&sOi|9X~!T?94cdw9RiJGVrX6LnGjIj0Wma|)Bs`; z^4m+|K5ZFPH&w6LxS?qs z{Z*Px29(C1CjBO$=F)iym`asXV$Hal!HhA z`(k9uUFL)S^R_lg5s|F#8tNos*)~Or`i9OA5vgZ8`y^9HJWc)o>Ch7L8JlvKD)4tz}GjB0*Qk?<`pZ=(x5fi8W>5sOhEZI7sgulaZ= zpVjhyjQn7s2yUnA{oC>`Z)tetV%1==aj?^PL`2S#2EY-+)X+ed?u5kL6Og8;I-p2NCrj^a2VtQa-d5EewR(el?VT^cI<->&diaJ zQn+}|!LN*x%nRhvIHe7ps~mC4cpfPMeo4ug#{wBo=<6)m8DJa!3{;oV!(H&X=6nYW zPC7?fr{oVVg+I9Zy2Xi=jJo>Wpe`n^x62z>lw~V~&_rtlZ$l?BTr{Pul~p!Tz}BSMWdV?ffTS z=2$chnk3*O9zUkvcqyL{`FQpVWOaE4zq>Gf`rvcp+RJ;-{!zu=$y0XZx0h8s{OM>@ z*`XsQ{`~3F8-EmOec)?v&8al>@0V40m2X;+Lq@)7(bSs3iQ6A6|9O5^#jd)CcI5wH zM#Y4YQEPA6k@vO!MdKd={n`Sr#a?)GL|}b=%Yb5lWOj7P9^I)Uf);SSzEifJF!2c6X$9H*M9I7 z&Ys1sYrmX_3&Z%<_e$JCVk^!|{?LBmC3)p2Y9=WZ;Xb)dlulnv_|CgweF)>jM}We+ zf^kXhK^ifw<1Gn2{XjujkVPbPJV^XkzE4)b4IO$AA3AJNx0F07W!A)#t)oKo15pX8 zmjlFA(4yrN@O#1F7&_vCv-;JR@Nx}3Bvk`f6h*)b+^g0X(>PKN2TT>6lQI}?N4zQ< zS~cAcG@ln(093q(^%H#(%CS&CLMDe=C5K%~lV1QXH8K<+Ag2vJ0*i)8UJLz-gV2+R zetis$VARj#jMSiu&>EnU&MWkL)fRAAcYAW!T?7<~l!! zJTUIx`zp$}&hH2=R#jF$H_}mdmyx%!RYgTx7MHJuUvi5^JFXvSOGU;Hc1%7M%2W9_ za%}P99qXuX@T-f)cjOPWrz*zhI?7Ik`n^w_GwU0NPG8>L*Vq_~+JV!tXYu;aoF)4V z-68kf%h#IS%(*H3ZsVfbj-T$^pRS$u#P0p2{PWN+H~GGk;6rd5Nq9Sll9$PZ@n`N; z?`N(GMZ87IV0k*$9#}2I20s%}UNKL0GdM7#0tY1u@ry7sae#Y3Fhkyw@Rt|k4h2yi zcsKhS1Q6fo4@%^S1|TThc#kh*$4va;UZ9ug7!gl-0LO-M1K=tZ-cQDdoI(_l5<=Ou z;DX}7NBn9zS%?Lo%ML#c{r)N=PDnprB(bmULnfndqR}1aLZUBRP#t=|)c-ww4y{k? z_0eZUT0xh2*79rs@yDruz$2HDZ37T~f&v!m*K#_(hco&-Ne7RLl7Xr7TE6Q5_#B3P zig~(^iMRqd%rWxVzv@y$cy91mT=#us4JV!^@S!N``q0n&>3Gx;8KO^<7qA~ZS(0~1 zMPUzv;v#ED;3FRsflXfWmOT8M7HE84CR?1}koLADWdrU(Z zHtYQR#nE(P!K1rRg!yM9=5^n5Q20!oP+DLPBiv)?A0nR9{mMst1uo`4>88ixQQNQi zym%lzGgr&i#(BTq{B2sGC-eT!5(_|Yg`%!x7>lQ;8E zyG#;24j~=hkS`#AS(ty)&tbkYcKA9n5#&a1qNG2D74wu&p3AowdE^Q1k2rAj^KcI1 zel`ZVYr@FmBl^QYC=Vv&6SYES4fQaMpIZYxyf3^z%;RAmqd&wicp1r)@M9q1zz{!_ zmpxdKD9Cd%^D!^c6$$V$8{Fxh7M7s~q1I|=w~+Ul z`kZB)fsX4VF9ts3l|Y|Hy$taWZWBNjOb@W=t5Ni4)CZhf1x9|Qo_n+$I(E)K>|tIh zbb1UpEv5d$NC_laGH6H^RF4((FjdG0=)b_#{?qdT>H!UCJCwu8+rnXg069%(YfdU5 zD6>s~9;^SDAE2bas33o&-9gmE4Nm>a?m@n1!~Q`h@c%fC>bSZ%t)$A)xI(#FWb+hQ_-k@`dQ@P*YBBk$J>9`G5E#k zhfYVSzftp^onQX)&oZg|`d#Y8HEv(5j(L(Z=LKl7#L zMm}5WkCGQChPyyL4|3ndq-pCqZ|nk}kLW1r1DJ|4U0+40pW-=ADhqu|3EVsO73mgY z@FiL3=)@c*<0>14wZygKunuFP8>}7yYj!ujSAIbv;YMcT#emX6@R}&^~b+ACCw@-g13Rc;0xc0TA}?qe}!ZhS6$-Yt{omSI9^Ulr^V3o zM7-b)u*@67vyyKV&MgU;)p_|d%PlZ<@#$(N?6v&;XgZu>9$#yzrN=J^|S zGdm|$lXb1v{rbKa_9POI?%p5fAN)gw zQvT`l;I+h!QIxLgeS=@Rf7`5{EsrtqA-?-c{`aGZ&Y*3mz%SYSL=*1gQc?s9J;XWW zuZK8+1Oap5VW)_A;grjJ+~Di{4E5-Fk7LR?OqXzpHc~j;XGTw+5ov#-Ur|Bm)!3t_ zqTo|O?TB|?fWmMeLow)~yb8<;nImbRUDfiXei`C*Q4a4-*JF@}jFvCZt^9&sx4?+3 zkD*Uo;GkPdfG^;s^AqM9z+2#isgc8OWQ!f*=NU$P=KFc!d%psY#>bqWlXTwrC(mST8(sCR{@{oX*lx*0 z5-%Hdn5VSAZO(DN&P2B&)*Pj5o&D`R57yT_>^_BWSWorVS8S*2 zlW)wN_(pGJz9f%m_MYCA@&X1cXUuh^ycJBLz@FMqTw_2}TT z(;xjNdE)%*zc`vo9C+;hM8f!|O^~=2_zZqCbBL~LnAiP`a131{jI^JeX85(9dHH}m z+{3NmaJ4G@30H+*kn_PO3K(eWlH0fwcX-2`&ks<9*x~6-aj=x?4P&WgF3-4frdN= z{bGLMXn20?!@t01NO*k4mo4FH`0$M12Y%A0e;-)XrGFpzPy9YmV7H(DHXJQKCinUJ qyMFvX=#LqZDHCq~B2=w^5rUDQ|NGnnpL^i{sRt&R--`d@+y4!wFlTrG diff --git a/CPLD/MAXII/output_files/RAM2E.sta.rpt b/CPLD/MAXII/output_files/RAM2E.sta.rpt index 330c500..afb98f8 100644 --- a/CPLD/MAXII/output_files/RAM2E.sta.rpt +++ b/CPLD/MAXII/output_files/RAM2E.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for RAM2E -Sat Jun 08 01:44:25 2024 +Fri Jul 12 16:09:04 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -17,11 +17,11 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition 9. Recovery Summary 10. Removal Summary 11. Minimum Pulse Width Summary - 12. Setup: 'ram2e_ufm|DRCLK|regout' - 13. Setup: 'ram2e_ufm|ARCLK|regout' + 12. Setup: 'ram2e_ufm|ARCLK|regout' + 13. Setup: 'ram2e_ufm|DRCLK|regout' 14. Setup: 'C14M' - 15. Hold: 'ram2e_ufm|ARCLK|regout' - 16. Hold: 'ram2e_ufm|DRCLK|regout' + 15. Hold: 'ram2e_ufm|DRCLK|regout' + 16. Hold: 'ram2e_ufm|ARCLK|regout' 17. Hold: 'C14M' 18. Setup Transfers 19. Hold Transfers @@ -80,11 +80,10 @@ https://fpgasoftware.intel.com/eula. ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; -; Maximum used ; 2 ; +; Maximum used ; 1 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.0% ; +----------------------------+-------------+ @@ -93,8 +92,8 @@ https://fpgasoftware.intel.com/eula. +------------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +------------------+--------+--------------------------+ -; ../RAM2E.sdc ; OK ; Sat Jun 08 01:44:25 2024 ; -; ../RAM2E-MAX.sdc ; OK ; Sat Jun 08 01:44:25 2024 ; +; ../RAM2E.sdc ; OK ; Fri Jul 12 16:09:01 2024 ; +; ../RAM2E-MAX.sdc ; OK ; Fri Jul 12 16:09:01 2024 ; +------------------+--------+--------------------------+ @@ -116,7 +115,7 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------------------+------+ ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|ARCLK|regout ; ; ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|DRCLK|regout ; ; -; 52.57 MHz ; 52.57 MHz ; C14M ; ; +; 65.96 MHz ; 65.96 MHz ; C14M ; ; +-----------+-----------------+------------------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -126,9 +125,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ +; ram2e_ufm|ARCLK|regout ; -23.743 ; -23.743 ; ; ram2e_ufm|DRCLK|regout ; -23.723 ; -23.723 ; -; ram2e_ufm|ARCLK|regout ; -22.545 ; -22.545 ; -; C14M ; -8.511 ; -94.827 ; +; C14M ; -8.564 ; -94.357 ; +------------------------+---------+---------------+ @@ -137,9 +136,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ -; ram2e_ufm|ARCLK|regout ; -17.454 ; -17.454 ; -; ram2e_ufm|DRCLK|regout ; -16.286 ; -16.286 ; -; C14M ; 1.400 ; 0.000 ; +; ram2e_ufm|DRCLK|regout ; -16.306 ; -16.306 ; +; ram2e_ufm|ARCLK|regout ; -16.256 ; -16.256 ; +; C14M ; 1.408 ; 0.000 ; +------------------------+---------+---------------+ @@ -166,261 +165,261 @@ No paths to report. +------------------------+--------+---------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'ram2e_ufm|DRCLK|regout' ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -23.723 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -1.629 ; 2.095 ; -; -23.713 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -1.629 ; 2.085 ; -; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000 ; 0.000 ; 80.000 ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ - - +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Setup: 'ram2e_ufm|ARCLK|regout' ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -22.545 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -0.997 ; 1.549 ; +; -23.743 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -2.195 ; 1.549 ; ; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 200.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'ram2e_ufm|DRCLK|regout' ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; -23.723 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -2.165 ; 1.559 ; +; -23.693 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -2.165 ; 1.529 ; +; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000 ; 0.000 ; 80.000 ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ + + +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Setup: 'C14M' ; +--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ -; -8.511 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.808 ; -; -8.511 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.808 ; -; -8.511 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.808 ; -; -8.297 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.594 ; -; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ; -; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ; -; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ; -; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ; -; -8.073 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.370 ; -; -7.739 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 9.036 ; -; -6.971 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 8.268 ; -; -5.922 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 1.629 ; 7.219 ; -; 25.409 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.178 ; -; 25.409 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.178 ; -; 25.426 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.161 ; -; 25.426 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.161 ; -; 25.426 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.161 ; -; 25.574 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.013 ; -; 25.574 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.013 ; -; 25.591 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.996 ; -; 25.591 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.996 ; -; 25.591 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.996 ; -; 26.100 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.487 ; -; 26.100 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.487 ; -; 26.100 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.487 ; -; 26.265 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.322 ; -; 26.265 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.322 ; -; 26.265 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.322 ; -; 27.658 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.929 ; -; 27.658 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.929 ; -; 27.675 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.912 ; -; 27.675 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.912 ; -; 27.675 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.912 ; -; 27.916 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.671 ; -; 27.916 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.671 ; -; 27.933 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.654 ; -; 27.933 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.654 ; -; 27.933 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.654 ; -; 28.161 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 6.426 ; -; 28.349 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.238 ; -; 28.349 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.238 ; -; 28.349 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.238 ; -; 28.607 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.980 ; -; 28.607 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.980 ; -; 28.607 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.980 ; -; 28.892 ; S[1] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 5.695 ; -; 30.205 ; S[0] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 4.382 ; -; 30.358 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 4.229 ; -; 30.475 ; S[2] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 4.112 ; -; 30.866 ; S[3] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 3.721 ; -; 31.113 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.474 ; -; 31.289 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.298 ; -; 31.450 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.137 ; -; 31.653 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.934 ; -; 31.895 ; RA[3] ; RAr[3] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.692 ; -; 31.969 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.618 ; -; 32.053 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.534 ; -; 32.477 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.110 ; -; 32.499 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.088 ; -; 32.563 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.024 ; -; 32.583 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.004 ; -; 32.584 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.003 ; -; 32.594 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 1.993 ; -; 32.965 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.622 ; -; 32.971 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.616 ; -; 32.973 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.614 ; -; 32.980 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.607 ; -; 32.981 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.606 ; -; 55.470 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 14.038 ; -; 55.780 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 13.728 ; -; 55.931 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.577 ; -; 55.942 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.566 ; -; 56.030 ; FS[4] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 13.478 ; -; 56.222 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.286 ; -; 56.331 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 13.177 ; -; 56.411 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.097 ; -; 56.411 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.097 ; -; 56.411 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.097 ; -; 56.609 ; S[0] ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 12.899 ; -; 56.626 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.882 ; -; 56.641 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.867 ; -; 56.652 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.856 ; -; 56.660 ; FS[3] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.848 ; -; 56.718 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.790 ; -; 56.718 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.790 ; -; 56.718 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.790 ; -; 56.729 ; FS[15] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.779 ; -; 56.748 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.760 ; -; 56.777 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.731 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.836 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.672 ; -; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ; -; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ; -; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ; -; 56.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.659 ; +; -8.564 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 10.397 ; +; -8.231 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 10.064 ; +; -8.230 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 10.063 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -8.085 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.918 ; +; -7.387 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 9.220 ; +; -5.350 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.165 ; 7.183 ; +; 27.340 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.247 ; +; 27.340 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.247 ; +; 27.340 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.247 ; +; 27.358 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.229 ; +; 27.358 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.229 ; +; 27.440 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.147 ; +; 27.440 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.147 ; +; 27.440 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.147 ; +; 27.458 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.129 ; +; 27.458 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.129 ; +; 27.666 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.921 ; +; 27.666 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.921 ; +; 27.666 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.921 ; +; 27.684 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.903 ; +; 27.684 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.903 ; +; 28.345 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.242 ; +; 28.345 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.242 ; +; 28.345 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.242 ; +; 28.363 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.224 ; +; 28.363 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.224 ; +; 28.412 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.175 ; +; 28.412 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.175 ; +; 28.412 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.175 ; +; 28.512 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.075 ; +; 28.512 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.075 ; +; 28.512 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.075 ; +; 28.738 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.849 ; +; 28.738 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.849 ; +; 28.738 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.849 ; +; 29.047 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 5.540 ; +; 29.369 ; S[1] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 5.218 ; +; 29.417 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.170 ; +; 29.417 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.170 ; +; 29.417 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.170 ; +; 30.326 ; S[3] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 4.261 ; +; 30.506 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 4.081 ; +; 30.779 ; S[2] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 3.808 ; +; 30.863 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.724 ; +; 31.286 ; S[0] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 3.301 ; +; 31.310 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 3.277 ; +; 31.398 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.189 ; +; 31.898 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.689 ; +; 31.906 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.681 ; +; 32.039 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.548 ; +; 32.047 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.540 ; +; 32.481 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.106 ; +; 32.530 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 2.057 ; +; 32.548 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 2.039 ; +; 32.961 ; RA[3] ; RAr[3] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.626 ; +; 32.963 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.624 ; +; 32.965 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.622 ; +; 32.965 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.622 ; +; 32.969 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.618 ; +; 32.973 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.614 ; +; 32.984 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.603 ; +; 32.986 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 1.601 ; +; 55.361 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 14.147 ; +; 55.363 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 14.145 ; +; 56.330 ; S[3] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.178 ; +; 56.332 ; S[3] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 13.176 ; +; 56.744 ; S[2] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.764 ; +; 56.746 ; S[2] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.762 ; +; 57.090 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.418 ; +; 57.090 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.418 ; +; 57.092 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.416 ; +; 57.138 ; FS[3] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.370 ; +; 57.138 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.370 ; +; 57.140 ; FS[3] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.368 ; +; 57.222 ; FS[15] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 12.286 ; +; 57.222 ; FS[15] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.286 ; +; 57.224 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.284 ; +; 57.224 ; FS[15] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 12.284 ; +; 57.359 ; S[0] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.149 ; +; 57.361 ; S[0] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 12.147 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.569 ; FS[2] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.939 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +; 57.617 ; FS[3] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 11.891 ; +--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'ram2e_ufm|ARCLK|regout' ; -+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -17.454 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -0.997 ; 1.549 ; -; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 0.000 ; 0.000 ; 80.000 ; -+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ - - +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Hold: 'ram2e_ufm|DRCLK|regout' ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -16.286 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -1.629 ; 2.085 ; -; -16.276 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -1.629 ; 2.095 ; +; -16.306 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -2.165 ; 1.529 ; +; -16.276 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -2.165 ; 1.559 ; ; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 0.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'ram2e_ufm|ARCLK|regout' ; ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; -16.256 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -2.195 ; 1.549 ; +; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 0.000 ; 0.000 ; 80.000 ; ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ + + +----------------------------------------------------------------------------------------------------------------------------------------------------+ ; Hold: 'C14M' ; +-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; 1.400 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.621 ; -; 1.409 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.630 ; -; 1.676 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.897 ; -; 1.685 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.906 ; -; 1.685 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.906 ; -; 1.689 ; Ready ; RDOE ; C14M ; C14M ; 0.000 ; 0.000 ; 1.910 ; -; 1.706 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.927 ; -; 1.708 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.929 ; -; 1.708 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.929 ; -; 1.709 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.930 ; -; 1.722 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 1.943 ; -; 1.759 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.980 ; -; 1.855 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.076 ; -; 1.898 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.119 ; -; 1.906 ; RWBank[1] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.127 ; -; 1.909 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.130 ; -; 1.955 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.176 ; -; 1.971 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.192 ; -; 1.981 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.202 ; -; 1.998 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.219 ; -; 2.014 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.235 ; -; 2.043 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.264 ; -; 2.087 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.308 ; -; 2.095 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.316 ; -; 2.107 ; PHI1r ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.328 ; -; 2.108 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.329 ; -; 2.109 ; RWBank[7] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.330 ; -; 2.115 ; PHI1r ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.336 ; -; 2.115 ; PHI1r ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.336 ; -; 2.116 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.337 ; -; 2.117 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 2.338 ; -; 2.118 ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 2.339 ; -; 2.127 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.348 ; +; 1.408 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.629 ; +; 1.412 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.633 ; +; 1.428 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.649 ; +; 1.429 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.650 ; +; 1.659 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 1.880 ; +; 1.677 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.898 ; +; 1.686 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.907 ; +; 1.704 ; S[0] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.925 ; +; 1.718 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 1.939 ; +; 1.720 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 1.941 ; +; 1.723 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.944 ; +; 1.725 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.946 ; +; 1.732 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.953 ; +; 1.899 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.120 ; +; 1.909 ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.130 ; +; 1.959 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.180 ; +; 1.971 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.192 ; +; 1.972 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.193 ; +; 1.980 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.201 ; +; 1.981 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.202 ; +; 2.012 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.233 ; +; 2.036 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.257 ; +; 2.107 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.328 ; +; 2.112 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.333 ; +; 2.121 ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 2.342 ; +; 2.127 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.348 ; +; 2.134 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.355 ; ; 2.144 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.365 ; +; 2.151 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.372 ; ; 2.153 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.374 ; -; 2.159 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.380 ; -; 2.174 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.395 ; -; 2.212 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.433 ; -; 2.221 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 2.442 ; -; 2.221 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.442 ; -; 2.225 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.446 ; -; 2.227 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.448 ; -; 2.232 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.453 ; +; 2.154 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.375 ; +; 2.212 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 2.433 ; +; 2.233 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.454 ; ; 2.239 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.460 ; ; 2.239 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.460 ; -; 2.240 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ; -; 2.240 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ; -; 2.242 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.463 ; +; 2.240 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.461 ; +; 2.242 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 2.463 ; +; 2.248 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.469 ; +; 2.249 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.470 ; +; 2.249 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.470 ; ; 2.250 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.471 ; -; 2.252 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.473 ; -; 2.260 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.481 ; -; 2.261 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 2.482 ; -; 2.272 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.493 ; -; 2.319 ; RWBank[6] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 2.540 ; -; 2.333 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.554 ; -; 2.342 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.563 ; -; 2.352 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.573 ; -; 2.380 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.601 ; -; 2.521 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.742 ; -; 2.523 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.744 ; -; 2.603 ; RAM2E_UFM:ram2e_ufm|CmdBitbangMAX ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 2.824 ; -; 2.604 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.825 ; -; 2.660 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.881 ; -; 2.727 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 2.948 ; -; 2.775 ; FS[11] ; RA[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.996 ; -; 2.788 ; S[2] ; CKE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.009 ; -; 2.805 ; S[2] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.026 ; -; 2.809 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.030 ; -; 2.889 ; S[3] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.110 ; -; 2.890 ; RWBank[5] ; BA[0]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.111 ; -; 2.891 ; S[3] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.112 ; -; 2.909 ; FS[13] ; RA[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.130 ; -; 2.948 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.169 ; -; 2.969 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 3.190 ; +; 2.262 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.483 ; +; 2.270 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.491 ; +; 2.271 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.492 ; +; 2.273 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.494 ; +; 2.275 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.496 ; +; 2.282 ; S[0] ; nCAS ; C14M ; C14M ; 0.000 ; 0.000 ; 2.503 ; +; 2.308 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.529 ; +; 2.351 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.572 ; +; 2.357 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.578 ; +; 2.478 ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; RWBank[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.699 ; +; 2.532 ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.753 ; +; 2.536 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.757 ; +; 2.541 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.762 ; +; 2.547 ; RWBank[6] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 2.768 ; +; 2.564 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.785 ; +; 2.566 ; PHI1r ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.787 ; +; 2.573 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.794 ; +; 2.600 ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.821 ; +; 2.607 ; S[1] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.828 ; +; 2.609 ; PHI1r ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.830 ; +; 2.614 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.835 ; +; 2.616 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.837 ; +; 2.635 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.856 ; +; 2.651 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.872 ; +; 2.663 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.884 ; +; 2.666 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.887 ; +; 2.667 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.888 ; +; 2.673 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.894 ; +; 2.691 ; RAM2E_UFM:ram2e_ufm|CmdBitbangMAX ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 2.912 ; +; 2.710 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 2.931 ; +; 2.721 ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.942 ; +; 2.764 ; FS[14] ; RA[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.985 ; +; 2.774 ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.995 ; +; 2.798 ; RWSel ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.019 ; +; 2.804 ; RWSel ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.025 ; +; 2.809 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.030 ; +; 2.818 ; FS[4] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.039 ; +; 2.833 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.054 ; +; 2.899 ; FS[13] ; RA[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.120 ; +; 2.966 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.187 ; ; 2.976 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.197 ; +; 2.976 ; FS[11] ; RA[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.197 ; +; 2.983 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.204 ; ; 2.985 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.206 ; -; 2.985 ; FS[4] ; RA[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.206 ; -; 2.991 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.212 ; -; 3.008 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.229 ; -; 3.028 ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.249 ; -; 3.049 ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.270 ; -; 3.052 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.273 ; -; 3.059 ; FS[8] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.280 ; -; 3.075 ; RWBank[2] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.296 ; +; 2.991 ; S[3] ; CKE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.212 ; +; 3.009 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 3.230 ; +; 3.013 ; FS[15] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.234 ; +; 3.015 ; FS[15] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.236 ; +; 3.017 ; S[1] ; nRWE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.238 ; +; 3.020 ; FS[10] ; RA[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.241 ; +; 3.060 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.281 ; +; 3.077 ; FS[8] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.298 ; ; 3.087 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.308 ; +; 3.094 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.315 ; ; 3.096 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.317 ; -; 3.098 ; S[2] ; nRWE ; C14M ; C14M ; 0.000 ; 0.000 ; 3.319 ; -; 3.099 ; RWSel ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 3.320 ; -; 3.102 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.323 ; -; 3.112 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.333 ; -; 3.120 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.341 ; -; 3.145 ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; RWBank[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.366 ; -; 3.156 ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.377 ; -; 3.170 ; FS[8] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.391 ; -; 3.172 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.393 ; -; 3.179 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.400 ; -; 3.179 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.400 ; -; 3.179 ; FS[13] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.400 ; -; 3.180 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.401 ; +; 3.124 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.345 ; +; 3.136 ; S[0] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.357 ; +; 3.142 ; S[0] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.363 ; +; 3.145 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.366 ; +-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ @@ -429,7 +428,7 @@ No paths to report. +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1539 ; 0 ; 56 ; 0 ; +; C14M ; C14M ; 1532 ; 0 ; 56 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -444,7 +443,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1539 ; 0 ; 56 ; 0 ; +; C14M ; C14M ; 1532 ; 0 ; 56 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -474,7 +473,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 1 ; 1 ; ; Unconstrained Input Ports ; 28 ; 28 ; -; Unconstrained Input Port Paths ; 169 ; 169 ; +; Unconstrained Input Port Paths ; 161 ; 161 ; ; Unconstrained Output Ports ; 47 ; 47 ; ; Unconstrained Output Port Paths ; 83 ; 83 ; +---------------------------------+-------+------+ @@ -680,7 +679,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Jun 08 01:44:23 2024 + Info: Processing started: Fri Jul 12 16:08:58 2024 Info: Command: quartus_sta RAM2E-MAXII -c RAM2E Info: qsta_default_script.tcl version: #1 Info (20032): Parallel compilation is enabled and will use up to 4 processors @@ -697,18 +696,18 @@ Info: Can't run Report Timing Closure Recommendations. The current device family Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|ARCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|DRCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -23.723 +Info (332146): Worst-case setup slack is -23.743 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== + Info (332119): -23.743 -23.743 ram2e_ufm|ARCLK|regout Info (332119): -23.723 -23.723 ram2e_ufm|DRCLK|regout - Info (332119): -22.545 -22.545 ram2e_ufm|ARCLK|regout - Info (332119): -8.511 -94.827 C14M -Info (332146): Worst-case hold slack is -17.454 + Info (332119): -8.564 -94.357 C14M +Info (332146): Worst-case hold slack is -16.306 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -17.454 -17.454 ram2e_ufm|ARCLK|regout - Info (332119): -16.286 -16.286 ram2e_ufm|DRCLK|regout - Info (332119): 1.400 0.000 C14M + Info (332119): -16.306 -16.306 ram2e_ufm|DRCLK|regout + Info (332119): -16.256 -16.256 ram2e_ufm|ARCLK|regout + Info (332119): 1.408 0.000 C14M Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 34.654 @@ -721,9 +720,9 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 13094 megabytes - Info: Processing ended: Sat Jun 08 01:44:25 2024 - Info: Elapsed time: 00:00:02 + Info: Peak virtual memory: 13093 megabytes + Info: Processing ended: Fri Jul 12 16:09:04 2024 + Info: Elapsed time: 00:00:06 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXII/output_files/RAM2E.sta.summary b/CPLD/MAXII/output_files/RAM2E.sta.summary index acf936a..50752d2 100644 --- a/CPLD/MAXII/output_files/RAM2E.sta.summary +++ b/CPLD/MAXII/output_files/RAM2E.sta.summary @@ -2,28 +2,28 @@ Timing Analyzer Summary ------------------------------------------------------------ +Type : Setup 'ram2e_ufm|ARCLK|regout' +Slack : -23.743 +TNS : -23.743 + Type : Setup 'ram2e_ufm|DRCLK|regout' Slack : -23.723 TNS : -23.723 -Type : Setup 'ram2e_ufm|ARCLK|regout' -Slack : -22.545 -TNS : -22.545 - Type : Setup 'C14M' -Slack : -8.511 -TNS : -94.827 - -Type : Hold 'ram2e_ufm|ARCLK|regout' -Slack : -17.454 -TNS : -17.454 +Slack : -8.564 +TNS : -94.357 Type : Hold 'ram2e_ufm|DRCLK|regout' -Slack : -16.286 -TNS : -16.286 +Slack : -16.306 +TNS : -16.306 + +Type : Hold 'ram2e_ufm|ARCLK|regout' +Slack : -16.256 +TNS : -16.256 Type : Hold 'C14M' -Slack : 1.400 +Slack : 1.408 TNS : 0.000 Type : Minimum Pulse Width 'C14M' diff --git a/CPLD/MAXII/output_files/RAM2E.svf b/CPLD/MAXII/output_files/RAM2E.svf index 09c62d8..e796ff1 100644 --- a/CPLD/MAXII/output_files/RAM2E.svf +++ b/CPLD/MAXII/output_files/RAM2E.svf @@ -15,11 +15,11 @@ ! !Quartus Prime SVF converter 19.1 ! -!Device #1: EPM240 - /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof Sat Jun 08 01:44:21 2024 +!Device #1: EPM240 - /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof Fri Jul 12 16:08:52 2024 ! -!NOTE "USERCODE" "00164419"; +!NOTE "USERCODE" "001661B2"; ! -!NOTE "CHECKSUM" "00164899"; +!NOTE "CHECKSUM" "00166532"; ! ! ! @@ -127,7 +127,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (FEFE); +SDR 16 TDI (FEEE); RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; @@ -151,9 +151,9 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (67FF); +SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -179,11 +179,11 @@ SDR 16 TDI (F8C7); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F77F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BF4E); RUNTEST 1800 TCK; -SDR 16 TDI (C7FF); +SDR 16 TDI (C7FE); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -191,7 +191,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -207,15 +207,15 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FEFF); RUNTEST 1800 TCK; SDR 16 TDI (7FEF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7BBF); RUNTEST 1800 TCK; -SDR 16 TDI (BFCE); +SDR 16 TDI (BFCF); RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (3FFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -233,23 +233,23 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FEF7); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDE); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -265,20 +265,20 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; SDR 16 TDI (F7FE); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); @@ -287,7 +287,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -303,48 +303,48 @@ SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEF7); RUNTEST 1800 TCK; SDR 16 TDI (BFFE); RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FBFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFD); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -355,7 +355,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFD); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; @@ -365,18 +365,18 @@ SDR 16 TDI (7FBF); RUNTEST 1800 TCK; SDR 16 TDI (EFBF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDF7); +SDR 16 TDI (AFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (6FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF6E); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -387,89 +387,73 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (AFFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFEF); RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BCFB); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF6F); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFFD); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -479,191 +463,111 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FF6F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7D); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFA); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7DE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; SDR 16 TDI (FEFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFF9); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (FFDF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; SDR 16 TDI (DEFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFE); -RUNTEST 1800 TCK; -SDR 16 TDI (F7DF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFCD); -RUNTEST 1800 TCK; -SDR 16 TDI (F5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FD7F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (BBE7); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (B7F7); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7D); +SDR 16 TDI (FBFF); RUNTEST 1800 TCK; -SDR 16 TDI (7EF7); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEDF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF5); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DD7F); +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFE6); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -675,61 +579,157 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FF9); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BBFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A55F); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BB7B); +SDR 16 TDI (FEDF); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (AFBB); +SDR 16 TDI (DFF7); RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (6F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7DF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7D7B); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFD); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (777F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFB3); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A55F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FB7); +RUNTEST 1800 TCK; +SDR 16 TDI (77EF); +RUNTEST 1800 TCK; +SDR 16 TDI (F77F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB35); +RUNTEST 1800 TCK; +SDR 16 TDI (76F9); +RUNTEST 1800 TCK; +SDR 16 TDI (DDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BCDE); RUNTEST 1800 TCK; SDR 16 TDI (FFF5); RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (6DFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -739,409 +739,185 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (65FF); +SDR 16 TDI (BFBF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A55F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5DF); -RUNTEST 1800 TCK; -SDR 16 TDI (77BE); -RUNTEST 1800 TCK; -SDR 16 TDI (777F); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FED); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBF5); -RUNTEST 1800 TCK; -SDR 16 TDI (75FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DF7D); -RUNTEST 1800 TCK; -SDR 16 TDI (A77D); -RUNTEST 1800 TCK; -SDR 16 TDI (E7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (73FB); -RUNTEST 1800 TCK; -SDR 16 TDI (3FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7EDB); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FD7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7B7D); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (F57F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; SDR 16 TDI (7F7F); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AEFD); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFF7); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7BF7); +SDR 16 TDI (7F7F); RUNTEST 1800 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (DD7F); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (79FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (A55F); RUNTEST 1800 TCK; -SDR 16 TDI (2363); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (7F76); -RUNTEST 1800 TCK; -SDR 16 TDI (9EC8); -RUNTEST 1800 TCK; -SDR 16 TDI (B8AE); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (6D7A); -RUNTEST 1800 TCK; -SDR 16 TDI (9CFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFB); -RUNTEST 1800 TCK; -SDR 16 TDI (F83F); -RUNTEST 1800 TCK; -SDR 16 TDI (7096); -RUNTEST 1800 TCK; -SDR 16 TDI (BB7E); -RUNTEST 1800 TCK; -SDR 16 TDI (BDD7); -RUNTEST 1800 TCK; -SDR 16 TDI (09FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7F7F); RUNTEST 1800 TCK; SDR 16 TDI (7FF7); RUNTEST 1800 TCK; SDR 16 TDI (BFF5); RUNTEST 1800 TCK; +SDR 16 TDI (57FA); +RUNTEST 1800 TCK; +SDR 16 TDI (75FF); +RUNTEST 1800 TCK; +SDR 16 TDI (57FD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBD); +RUNTEST 1800 TCK; +SDR 16 TDI (FF77); +RUNTEST 1800 TCK; +SDR 16 TDI (6EDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FF75); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AAFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (DDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (69FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F77D); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; SDR 16 TDI (FF7F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F5F); RUNTEST 1800 TCK; SDR 16 TDI (BFDF); RUNTEST 1800 TCK; +SDR 16 TDI (BFB5); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7F79); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (E5EF); +SDR 16 TDI (E7FF); RUNTEST 1800 TCK; -SDR 16 TDI (BF6F); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEEE); +SDR 16 TDI (DBF3); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FB); +RUNTEST 1800 TCK; +SDR 16 TDI (77F7); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDDF); RUNTEST 1800 TCK; SDR 16 TDI (7BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (A7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (27F7); -RUNTEST 1800 TCK; -SDR 16 TDI (73EF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEF9); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B55F); -RUNTEST 1800 TCK; -SDR 16 TDI (2828); -RUNTEST 1800 TCK; -SDR 16 TDI (67C1); -RUNTEST 1800 TCK; -SDR 16 TDI (40DE); -RUNTEST 1800 TCK; -SDR 16 TDI (A003); -RUNTEST 1800 TCK; -SDR 16 TDI (E3E4); -RUNTEST 1800 TCK; -SDR 16 TDI (6009); -RUNTEST 1800 TCK; -SDR 16 TDI (9030); -RUNTEST 1800 TCK; -SDR 16 TDI (A19F); -RUNTEST 1800 TCK; -SDR 16 TDI (0180); -RUNTEST 1800 TCK; -SDR 16 TDI (6002); -RUNTEST 1800 TCK; -SDR 16 TDI (4FCF); -RUNTEST 1800 TCK; -SDR 16 TDI (B680); -RUNTEST 1800 TCK; -SDR 16 TDI (6575); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFEF); RUNTEST 1800 TCK; -SDR 16 TDI (2828); -RUNTEST 1800 TCK; -SDR 16 TDI (66E1); -RUNTEST 1800 TCK; -SDR 16 TDI (00AE); -RUNTEST 1800 TCK; -SDR 16 TDI (AC42); -RUNTEST 1800 TCK; -SDR 16 TDI (C3F4); -RUNTEST 1800 TCK; -SDR 16 TDI (7009); -RUNTEST 1800 TCK; -SDR 16 TDI (9030); -RUNTEST 1800 TCK; -SDR 16 TDI (A19F); -RUNTEST 1800 TCK; -SDR 16 TDI (8180); -RUNTEST 1800 TCK; -SDR 16 TDI (60F7); -RUNTEST 1800 TCK; -SDR 16 TDI (700D); -RUNTEST 1800 TCK; -SDR 16 TDI (BE00); -RUNTEST 1800 TCK; -SDR 16 TDI (657F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B67C); +SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (F00C); +SDR 16 TDI (8B77); RUNTEST 1800 TCK; -SDR 16 TDI (67E0); +SDR 16 TDI (7FFE); RUNTEST 1800 TCK; -SDR 16 TDI (015C); +SDR 16 TDI (CCE9); RUNTEST 1800 TCK; -SDR 16 TDI (A025); +SDR 16 TDI (BF3D); RUNTEST 1800 TCK; -SDR 16 TDI (9FF8); +SDR 16 TDI (DBF6); RUNTEST 1800 TCK; -SDR 16 TDI (6011); +SDR 16 TDI (6DFE); RUNTEST 1800 TCK; -SDR 16 TDI (9C3C); +SDR 16 TDI (D8FF); RUNTEST 1800 TCK; -SDR 16 TDI (A19F); +SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (8418); +SDR 16 TDI (F88E); RUNTEST 1800 TCK; -SDR 16 TDI (6020); +SDR 16 TDI (71CD); RUNTEST 1800 TCK; -SDR 16 TDI (0FCF); +SDR 16 TDI (BEEF); RUNTEST 1800 TCK; -SDR 16 TDI (BE09); -RUNTEST 1800 TCK; -SDR 16 TDI (8075); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7C); -RUNTEST 1800 TCK; -SDR 16 TDI (C03C); -RUNTEST 1800 TCK; -SDR 16 TDI (67E0); -RUNTEST 1800 TCK; -SDR 16 TDI (013E); -RUNTEST 1800 TCK; -SDR 16 TDI (A8A5); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF8); -RUNTEST 1800 TCK; -SDR 16 TDI (6010); -RUNTEST 1800 TCK; -SDR 16 TDI (9C3C); -RUNTEST 1800 TCK; -SDR 16 TDI (A19F); -RUNTEST 1800 TCK; -SDR 16 TDI (8118); -RUNTEST 1800 TCK; -SDR 16 TDI (6226); -RUNTEST 1800 TCK; -SDR 16 TDI (390F); -RUNTEST 1800 TCK; -SDR 16 TDI (BE00); -RUNTEST 1800 TCK; -SDR 16 TDI (365F); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (3B3B); -RUNTEST 1800 TCK; -SDR 16 TDI (62E6); -RUNTEST 1800 TCK; -SDR 16 TDI (6623); -RUNTEST 1800 TCK; -SDR 16 TDI (A23A); -RUNTEST 1800 TCK; -SDR 16 TDI (2171); -RUNTEST 1800 TCK; -SDR 16 TDI (6266); -RUNTEST 1800 TCK; -SDR 16 TDI (38CE); -RUNTEST 1800 TCK; -SDR 16 TDI (B98B); -RUNTEST 1800 TCK; -SDR 16 TDI (9999); -RUNTEST 1800 TCK; -SDR 16 TDI (73EE); -RUNTEST 1800 TCK; -SDR 16 TDI (ECC7); -RUNTEST 1800 TCK; -SDR 16 TDI (BCC8); +SDR 16 TDI (BDF9); RUNTEST 1800 TCK; SDR 16 TDI (BBFF); RUNTEST 1800 TCK; @@ -1149,31 +925,223 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7E); +SDR 16 TDI (B3DF); RUNTEST 1800 TCK; -SDR 16 TDI (7333); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (6547); +SDR 16 TDI (7EF7); RUNTEST 1800 TCK; -SDR 16 TDI (6777); +SDR 16 TDI (F77F); RUNTEST 1800 TCK; -SDR 16 TDI (B773); +SDR 16 TDI (BFFB); RUNTEST 1800 TCK; -SDR 16 TDI (76AB); +SDR 16 TDI (FFBF); RUNTEST 1800 TCK; -SDR 16 TDI (7673); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7D9C); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (B995); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (19CC); +SDR 16 TDI (FF75); RUNTEST 1800 TCK; -SDR 16 TDI (79CC); +SDR 16 TDI (7E7F); RUNTEST 1800 TCK; -SDR 16 TDI (CCC2); +SDR 16 TDI (5DDF); RUNTEST 1800 TCK; -SDR 16 TDI (B5DD); +SDR 16 TDI (BFCF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F6E); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3BB7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF6); +RUNTEST 1800 TCK; +SDR 16 TDI (67F9); +RUNTEST 1800 TCK; +SDR 16 TDI (736F); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFC); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FB3); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BA36); +RUNTEST 1800 TCK; +SDR 16 TDI (DCFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE7D); +RUNTEST 1800 TCK; +SDR 16 TDI (2000); +RUNTEST 1800 TCK; +SDR 16 TDI (67C1); +RUNTEST 1800 TCK; +SDR 16 TDI (5E09); +RUNTEST 1800 TCK; +SDR 16 TDI (BC00); +RUNTEST 1800 TCK; +SDR 16 TDI (03E3); +RUNTEST 1800 TCK; +SDR 16 TDI (6618); +RUNTEST 1800 TCK; +SDR 16 TDI (1200); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (0202); +RUNTEST 1800 TCK; +SDR 16 TDI (7F00); +RUNTEST 1800 TCK; +SDR 16 TDI (FF0F); +RUNTEST 1800 TCK; +SDR 16 TDI (B068); +RUNTEST 1800 TCK; +SDR 16 TDI (18F5); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9DD); +RUNTEST 1800 TCK; +SDR 16 TDI (4000); +RUNTEST 1800 TCK; +SDR 16 TDI (67E1); +RUNTEST 1800 TCK; +SDR 16 TDI (4E0B); +RUNTEST 1800 TCK; +SDR 16 TDI (BC01); +RUNTEST 1800 TCK; +SDR 16 TDI (4778); +RUNTEST 1800 TCK; +SDR 16 TDI (7018); +RUNTEST 1800 TCK; +SDR 16 TDI (1000); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (82F2); +RUNTEST 1800 TCK; +SDR 16 TDI (7000); +RUNTEST 1800 TCK; +SDR 16 TDI (8F0F); +RUNTEST 1800 TCK; +SDR 16 TDI (A808); +RUNTEST 1800 TCK; +SDR 16 TDI (18FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7C); +RUNTEST 1800 TCK; +SDR 16 TDI (3800); +RUNTEST 1800 TCK; +SDR 16 TDI (67E0); +RUNTEST 1800 TCK; +SDR 16 TDI (5C3D); +RUNTEST 1800 TCK; +SDR 16 TDI (BF30); +RUNTEST 1800 TCK; +SDR 16 TDI (03FD); +RUNTEST 1800 TCK; +SDR 16 TDI (7A18); +RUNTEST 1800 TCK; +SDR 16 TDI (900C); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (8100); +RUNTEST 1800 TCK; +SDR 16 TDI (6F09); +RUNTEST 1800 TCK; +SDR 16 TDI (F0CF); +RUNTEST 1800 TCK; +SDR 16 TDI (B885); +RUNTEST 1800 TCK; +SDR 16 TDI (80F5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDC); +RUNTEST 1800 TCK; +SDR 16 TDI (1800); +RUNTEST 1800 TCK; +SDR 16 TDI (67E0); +RUNTEST 1800 TCK; +SDR 16 TDI (DE3F); +RUNTEST 1800 TCK; +SDR 16 TDI (BF30); +RUNTEST 1800 TCK; +SDR 16 TDI (03F0); +RUNTEST 1800 TCK; +SDR 16 TDI (6018); +RUNTEST 1800 TCK; +SDR 16 TDI (100C); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (8480); +RUNTEST 1800 TCK; +SDR 16 TDI (6710); +RUNTEST 1800 TCK; +SDR 16 TDI (0CCF); +RUNTEST 1800 TCK; +SDR 16 TDI (B801); +RUNTEST 1800 TCK; +SDR 16 TDI (807F); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (3B33); +RUNTEST 1800 TCK; +SDR 16 TDI (62E6); +RUNTEST 1800 TCK; +SDR 16 TDI (222F); +RUNTEST 1800 TCK; +SDR 16 TDI (B23A); +RUNTEST 1800 TCK; +SDR 16 TDI (2171); +RUNTEST 1800 TCK; +SDR 16 TDI (6266); +RUNTEST 1800 TCK; +SDR 16 TDI (78CE); +RUNTEST 1800 TCK; +SDR 16 TDI (B98B); +RUNTEST 1800 TCK; +SDR 16 TDI (9998); +RUNTEST 1800 TCK; +SDR 16 TDI (718C); +RUNTEST 1800 TCK; +SDR 16 TDI (FEE7); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBB); RUNTEST 1800 TCK; SDR 16 TDI (99FF); RUNTEST 1800 TCK; @@ -1183,33 +1151,65 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFD); +SDR 16 TDI (7333); RUNTEST 1800 TCK; -SDR 16 TDI (7FDC); +SDR 16 TDI (6547); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (7767); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (B773); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (76AB); +RUNTEST 1800 TCK; +SDR 16 TDI (7672); +RUNTEST 1800 TCK; +SDR 16 TDI (7D9C); +RUNTEST 1800 TCK; +SDR 16 TDI (B995); +RUNTEST 1800 TCK; +SDR 16 TDI (19CD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDD); +RUNTEST 1800 TCK; +SDR 16 TDI (CCC2); +RUNTEST 1800 TCK; +SDR 16 TDI (B199); +RUNTEST 1800 TCK; +SDR 16 TDI (CDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BADF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBEF); RUNTEST 1800 TCK; SDR 16 TDI (7FDF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (AEFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (77BF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B3FB); +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FBFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -1217,277 +1217,221 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7E); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EDF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEBF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (3F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFB6); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFB); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (BDFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; +SDR 16 TDI (CFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7E); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (EEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; SDR 16 TDI (DFDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFDF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (76FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (75FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7B); -RUNTEST 1800 TCK; -SDR 16 TDI (7B9B); -RUNTEST 1800 TCK; -SDR 16 TDI (DDEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BB4B); +SDR 16 TDI (BFDF); RUNTEST 1800 TCK; SDR 16 TDI (DFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; SDR 16 TDI (75FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDEF); +SDR 16 TDI (FFF7); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BF5D); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7B7F); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDF7); +SDR 16 TDI (B7DF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3AFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFC); +SDR 16 TDI (7FEB); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; SDR 16 TDI (BFDB); RUNTEST 1800 TCK; SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F6FF); +SDR 16 TDI (DBFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDD); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7E7B); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFC); -RUNTEST 1800 TCK; -SDR 16 TDI (BABE); -RUNTEST 1800 TCK; -SDR 16 TDI (FBF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFA); -RUNTEST 1800 TCK; -SDR 16 TDI (EDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FAFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFD7); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7F3F); -RUNTEST 1800 TCK; -SDR 16 TDI (BB5D); +SDR 16 TDI (FDDF); RUNTEST 1800 TCK; SDR 16 TDI (BFDF); RUNTEST 1800 TCK; -SDR 16 TDI (D7DE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BDEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); +SDR 16 TDI (BDFD); RUNTEST 1800 TCK; -SDR 16 TDI (FBDF); +SDR 16 TDI (FFEF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7DF); +SDR 16 TDI (F7EF); RUNTEST 1800 TCK; -SDR 16 TDI (BEBF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BBF7); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (EDFE); +SDR 16 TDI (CEBF); RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); +SDR 16 TDI (AF7F); RUNTEST 1800 TCK; -SDR 16 TDI (FF37); +SDR 16 TDI (FBEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5DDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -1495,264 +1439,136 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FED); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7CFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDF); +RUNTEST 1800 TCK; SDR 16 TDI (FEFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FDBF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFD7); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (66FE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F5FF); +SDR 16 TDI (BEFD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (D7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF57); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6AFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFAF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BE6F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFAF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FD6E); -RUNTEST 1800 TCK; -SDR 16 TDI (B5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB2F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDAF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (77FE); -RUNTEST 1800 TCK; -SDR 16 TDI (EEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7CBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDCB); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BEA); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFED); -RUNTEST 1800 TCK; -SDR 16 TDI (FDF5); -RUNTEST 1800 TCK; -SDR 16 TDI (69FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF9D); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BA5F); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BED5); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBB); -RUNTEST 1800 TCK; -SDR 16 TDI (77F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7D7D); +SDR 16 TDI (EFBE); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (F5AF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (CFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFD6); -RUNTEST 1800 TCK; -SDR 16 TDI (77DC); -RUNTEST 1800 TCK; -SDR 16 TDI (D7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFF3); +SDR 16 TDI (FFDE); RUNTEST 1800 TCK; SDR 16 TDI (7FBF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFB); +SDR 16 TDI (FEFF); RUNTEST 1800 TCK; -SDR 16 TDI (67FF); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (DDE7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ED6D); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BEFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7E); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; -SDR 16 TDI (DEFF); +SDR 16 TDI (EDEF); RUNTEST 1800 TCK; -SDR 16 TDI (BBEC); +SDR 16 TDI (B7BF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6AFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (76ED); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -1761,123 +1577,243 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (7BFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FE5D); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDAF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFD6); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7BDF); -RUNTEST 1800 TCK; -SDR 16 TDI (B6FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (DDD5); -RUNTEST 1800 TCK; -SDR 16 TDI (B3BF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDD7); -RUNTEST 1800 TCK; -SDR 16 TDI (7F6E); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF5F); RUNTEST 1800 TCK; -SDR 16 TDI (EBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (87BF); +SDR 16 TDI (D6FF); RUNTEST 1800 TCK; SDR 16 TDI (7FEF); RUNTEST 1800 TCK; -SDR 16 TDI (E725); -RUNTEST 1800 TCK; -SDR 16 TDI (BEE6); -RUNTEST 1800 TCK; -SDR 16 TDI (63FE); -RUNTEST 1800 TCK; -SDR 16 TDI (6F6F); -RUNTEST 1800 TCK; -SDR 16 TDI (DEAD); -RUNTEST 1800 TCK; -SDR 16 TDI (ADCF); -RUNTEST 1800 TCK; -SDR 16 TDI (B8AE); -RUNTEST 1800 TCK; -SDR 16 TDI (7F4D); -RUNTEST 1800 TCK; -SDR 16 TDI (EDBD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5FE); -RUNTEST 1800 TCK; -SDR 16 TDI (7E7B); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFB); +SDR 16 TDI (7FFD); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF77); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7DF6); +SDR 16 TDI (7B7E); RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); +SDR 16 TDI (FFFB); RUNTEST 1800 TCK; -SDR 16 TDI (BFBB); +SDR 16 TDI (B6BF); RUNTEST 1800 TCK; -SDR 16 TDI (EFF5); +SDR 16 TDI (DF6F); RUNTEST 1800 TCK; -SDR 16 TDI (77BE); +SDR 16 TDI (7EF5); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFE6); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B8EA); +SDR 16 TDI (CFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (69FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7E); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (F3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDD); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BAD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BAAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBF7); +RUNTEST 1800 TCK; +SDR 16 TDI (ABAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FCB); +RUNTEST 1800 TCK; +SDR 16 TDI (FD7F); +RUNTEST 1800 TCK; +SDR 16 TDI (B77F); +RUNTEST 1800 TCK; +SDR 16 TDI (EDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFF4); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B5FD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BAFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (D7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBD); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFB); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3EDD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFB7); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7F6F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFC4); +SDR 16 TDI (FF7D); RUNTEST 1800 TCK; -SDR 16 TDI (77F2); +SDR 16 TDI (7FDD); RUNTEST 1800 TCK; -SDR 16 TDI (9CDF); +SDR 16 TDI (7EEF); RUNTEST 1800 TCK; -SDR 16 TDI (B13F); +SDR 16 TDI (BEEF); RUNTEST 1800 TCK; -SDR 16 TDI (9FE9); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7699); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (3BF6); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BB7E); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (DFFB); +SDR 16 TDI (FF5F); RUNTEST 1800 TCK; -SDR 16 TDI (7BF3); +SDR 16 TDI (77EF); RUNTEST 1800 TCK; -SDR 16 TDI (F2D7); +SDR 16 TDI (BFDF); RUNTEST 1800 TCK; -SDR 16 TDI (BBBD); +SDR 16 TDI (AFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFA); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFA); +RUNTEST 1800 TCK; +SDR 16 TDI (AFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (77EF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (6EFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FBB3); +RUNTEST 1800 TCK; +SDR 16 TDI (B8FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7AFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF9F); RUNTEST 1800 TCK; SDR 16 TDI (E7FF); RUNTEST 1800 TCK; @@ -1885,189 +1821,253 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF5E); +SDR 16 TDI (B5FE); RUNTEST 1800 TCK; -SDR 16 TDI (2EF7); +SDR 16 TDI (D13B); RUNTEST 1800 TCK; -SDR 16 TDI (77DB); +SDR 16 TDI (6FF6); RUNTEST 1800 TCK; -SDR 16 TDI (E00A); +SDR 16 TDI (F5A1); RUNTEST 1800 TCK; -SDR 16 TDI (AC40); +SDR 16 TDI (B7A7); RUNTEST 1800 TCK; -SDR 16 TDI (4BCF); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (60E6); +SDR 16 TDI (773B); RUNTEST 1800 TCK; -SDR 16 TDI (1EEF); +SDR 16 TDI (DDDF); RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (A7DF); RUNTEST 1800 TCK; -SDR 16 TDI (1B98); +SDR 16 TDI (BDC3); RUNTEST 1800 TCK; -SDR 16 TDI (7028); +SDR 16 TDI (6FFD); RUNTEST 1800 TCK; -SDR 16 TDI (C00F); +SDR 16 TDI (6E5E); RUNTEST 1800 TCK; -SDR 16 TDI (B180); +SDR 16 TDI (B87B); RUNTEST 1800 TCK; -SDR 16 TDI (C0F5); +SDR 16 TDI (9BEA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7EE4); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (9AFE); +RUNTEST 1800 TCK; +SDR 16 TDI (B85B); +RUNTEST 1800 TCK; +SDR 16 TDI (FBF3); +RUNTEST 1800 TCK; +SDR 16 TDI (79E5); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFC); +RUNTEST 1800 TCK; +SDR 16 TDI (BF3D); +RUNTEST 1800 TCK; +SDR 16 TDI (C67D); +RUNTEST 1800 TCK; +SDR 16 TDI (7FC3); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFE7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAC); +RUNTEST 1800 TCK; +SDR 16 TDI (C3C3); +RUNTEST 1800 TCK; +SDR 16 TDI (7FC3); +RUNTEST 1800 TCK; +SDR 16 TDI (BA08); +RUNTEST 1800 TCK; +SDR 16 TDI (A950); +RUNTEST 1800 TCK; +SDR 16 TDI (C3E4); +RUNTEST 1800 TCK; +SDR 16 TDI (6E07); +RUNTEST 1800 TCK; +SDR 16 TDI (F5AE); +RUNTEST 1800 TCK; +SDR 16 TDI (B61E); +RUNTEST 1800 TCK; +SDR 16 TDI (39D9); +RUNTEST 1800 TCK; +SDR 16 TDI (700D); +RUNTEST 1800 TCK; +SDR 16 TDI (8C0F); +RUNTEST 1800 TCK; +SDR 16 TDI (B029); +RUNTEST 1800 TCK; +SDR 16 TDI (89F5); RUNTEST 1800 TCK; SDR 16 TDI (6BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B7FE); +SDR 16 TDI (B9FC); RUNTEST 1800 TCK; -SDR 16 TDI (0ED2); +SDR 16 TDI (4284); RUNTEST 1800 TCK; -SDR 16 TDI (77BB); +SDR 16 TDI (67A3); RUNTEST 1800 TCK; -SDR 16 TDI (4100); +SDR 16 TDI (F90A); RUNTEST 1800 TCK; -SDR 16 TDI (AC40); +SDR 16 TDI (A000); RUNTEST 1800 TCK; -SDR 16 TDI (43F3); +SDR 16 TDI (C3D4); RUNTEST 1800 TCK; -SDR 16 TDI (60A0); +SDR 16 TDI (6606); RUNTEST 1800 TCK; -SDR 16 TDI (1EEC); +SDR 16 TDI (95AA); RUNTEST 1800 TCK; -SDR 16 TDI (B9DF); +SDR 16 TDI (A1FB); RUNTEST 1800 TCK; -SDR 16 TDI (9BB8); +SDR 16 TDI (9A91); RUNTEST 1800 TCK; -SDR 16 TDI (7070); +SDR 16 TDI (7008); RUNTEST 1800 TCK; -SDR 16 TDI (C10F); +SDR 16 TDI (0C0D); RUNTEST 1800 TCK; -SDR 16 TDI (B980); +SDR 16 TDI (BC09); RUNTEST 1800 TCK; -SDR 16 TDI (58FF); +SDR 16 TDI (81FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEAF); +SDR 16 TDI (BF5C); RUNTEST 1800 TCK; -SDR 16 TDI (B83F); +SDR 16 TDI (F3D3); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (3C12); +RUNTEST 1800 TCK; +SDR 16 TDI (AD90); +RUNTEST 1800 TCK; +SDR 16 TDI (CFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (6641); +RUNTEST 1800 TCK; +SDR 16 TDI (F0FC); +RUNTEST 1800 TCK; +SDR 16 TDI (B71F); +RUNTEST 1800 TCK; +SDR 16 TDI (C83F); +RUNTEST 1800 TCK; +SDR 16 TDI (7F37); +RUNTEST 1800 TCK; +SDR 16 TDI (0C4F); +RUNTEST 1800 TCK; +SDR 16 TDI (B849); +RUNTEST 1800 TCK; +SDR 16 TDI (81F5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDEC); +RUNTEST 1800 TCK; +SDR 16 TDI (01D9); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7892); +RUNTEST 1800 TCK; +SDR 16 TDI (AD18); +RUNTEST 1800 TCK; +SDR 16 TDI (CFF8); +RUNTEST 1800 TCK; +SDR 16 TDI (6644); +RUNTEST 1800 TCK; +SDR 16 TDI (10F0); +RUNTEST 1800 TCK; +SDR 16 TDI (A7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (8498); +RUNTEST 1800 TCK; +SDR 16 TDI (6031); +RUNTEST 1800 TCK; +SDR 16 TDI (0C0F); +RUNTEST 1800 TCK; +SDR 16 TDI (B869); +RUNTEST 1800 TCK; +SDR 16 TDI (81FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (6EC2); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A026); +SDR 16 TDI (B7F7); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (9B3B); RUNTEST 1800 TCK; -SDR 16 TDI (7F6F); +SDR 16 TDI (73E2); RUNTEST 1800 TCK; -SDR 16 TDI (7CCF); +SDR 16 TDI (FE67); +RUNTEST 1800 TCK; +SDR 16 TDI (BBB3); +RUNTEST 1800 TCK; +SDR 16 TDI (31F7); +RUNTEST 1800 TCK; +SDR 16 TDI (6E66); +RUNTEST 1800 TCK; +SDR 16 TDI (FCCC); RUNTEST 1800 TCK; SDR 16 TDI (B98F); RUNTEST 1800 TCK; -SDR 16 TDI (D99E); +SDR 16 TDI (999B); RUNTEST 1800 TCK; -SDR 16 TDI (7300); +SDR 16 TDI (716C); RUNTEST 1800 TCK; -SDR 16 TDI (C067); +SDR 16 TDI (2E27); RUNTEST 1800 TCK; -SDR 16 TDI (B990); +SDR 16 TDI (BB1B); RUNTEST 1800 TCK; -SDR 16 TDI (23F5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (A012); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (C2C2); -RUNTEST 1800 TCK; -SDR 16 TDI (A1A6); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF3); -RUNTEST 1800 TCK; -SDR 16 TDI (676C); -RUNTEST 1800 TCK; -SDR 16 TDI (7CC0); -RUNTEST 1800 TCK; -SDR 16 TDI (B99F); -RUNTEST 1800 TCK; -SDR 16 TDI (D9DE); -RUNTEST 1800 TCK; -SDR 16 TDI (7360); -RUNTEST 1800 TCK; -SDR 16 TDI (C06F); -RUNTEST 1800 TCK; -SDR 16 TDI (B980); -RUNTEST 1800 TCK; -SDR 16 TDI (23FF); +SDR 16 TDI (A3FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BDFF); RUNTEST 1800 TCK; -SDR 16 TDI (37BB); +SDR 16 TDI (3373); RUNTEST 1800 TCK; -SDR 16 TDI (63E6); +SDR 16 TDI (6556); RUNTEST 1800 TCK; -SDR 16 TDI (66E7); +SDR 16 TDI (6777); RUNTEST 1800 TCK; -SDR 16 TDI (A33B); +SDR 16 TDI (B336); RUNTEST 1800 TCK; -SDR 16 TDI (B1F3); +SDR 16 TDI (74A3); RUNTEST 1800 TCK; -SDR 16 TDI (6666); +SDR 16 TDI (6776); RUNTEST 1800 TCK; -SDR 16 TDI (7EEE); +SDR 16 TDI (79CC); RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); +SDR 16 TDI (BB85); RUNTEST 1800 TCK; -SDR 16 TDI (BBB9); +SDR 16 TDI (5D99); RUNTEST 1800 TCK; -SDR 16 TDI (71C2); +SDR 16 TDI (7B8D); RUNTEST 1800 TCK; -SDR 16 TDI (E62F); -RUNTEST 1800 TCK; -SDR 16 TDI (BBA2); -RUNTEST 1800 TCK; -SDR 16 TDI (19FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7733); -RUNTEST 1800 TCK; -SDR 16 TDI (6957); -RUNTEST 1800 TCK; -SDR 16 TDI (7677); -RUNTEST 1800 TCK; -SDR 16 TDI (B733); -RUNTEST 1800 TCK; -SDR 16 TDI (30AB); -RUNTEST 1800 TCK; -SDR 16 TDI (7766); -RUNTEST 1800 TCK; -SDR 16 TDI (7CCC); -RUNTEST 1800 TCK; -SDR 16 TDI (B985); -RUNTEST 1800 TCK; -SDR 16 TDI (1999); -RUNTEST 1800 TCK; -SDR 16 TDI (7BCC); -RUNTEST 1800 TCK; -SDR 16 TDI (C8CA); +SDR 16 TDI (CCCA); RUNTEST 1800 TCK; SDR 16 TDI (B199); RUNTEST 1800 TCK; @@ -2077,354 +2077,74 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BBE7); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77CE); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDD); -RUNTEST 1800 TCK; -SDR 16 TDI (FDE7); -RUNTEST 1800 TCK; -SDR 16 TDI (6EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFE7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (B7B7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFB7); -RUNTEST 1800 TCK; -SDR 16 TDI (97FB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDF6); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFF2); -RUNTEST 1800 TCK; -SDR 16 TDI (7B7E); -RUNTEST 1800 TCK; -SDR 16 TDI (DEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEF6); -RUNTEST 1800 TCK; -SDR 16 TDI (D7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7DF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF9F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (F7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5FE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77B7); +SDR 16 TDI (DBBF); RUNTEST 1800 TCK; SDR 16 TDI (7FDF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (FEEF); RUNTEST 1800 TCK; -SDR 16 TDI (EFBF); +SDR 16 TDI (BFFB); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (FBEF); RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (E7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFEE); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (77F5); -RUNTEST 1800 TCK; -SDR 16 TDI (EDEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7E); -RUNTEST 1800 TCK; -SDR 16 TDI (ADFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ADFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (73F7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7EF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FB7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DCEB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (7EDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (B9EF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (EFDA); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB7D); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (6DFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBEB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FB9); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (EFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB7B); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ABDB); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBD); -RUNTEST 1800 TCK; -SDR 16 TDI (BDBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (7EEF); RUNTEST 1800 TCK; SDR 16 TDI (FEFF); RUNTEST 1800 TCK; +SDR 16 TDI (BDE7); +RUNTEST 1800 TCK; +SDR 16 TDI (3BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6F7E); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (B37F); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (6FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FCF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FF5F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7D5F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (77FE); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -2433,413 +2153,565 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (CFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFB); +RUNTEST 1800 TCK; SDR 16 TDI (7FEF); RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FCF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (DF5F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FE7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFA); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (76FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FCF); +RUNTEST 1800 TCK; +SDR 16 TDI (76BE); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (DFEB); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFD7); RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (77FE); RUNTEST 1800 TCK; -SDR 16 TDI (FF7D); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (B57E); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF3); +RUNTEST 1800 TCK; +SDR 16 TDI (ED7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DEFD); +RUNTEST 1800 TCK; +SDR 16 TDI (777F); +RUNTEST 1800 TCK; +SDR 16 TDI (FE6F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF6); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE7); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7DDF); +SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6EBE); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FD); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF9); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (D9FF); +SDR 16 TDI (DDFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EF7); -RUNTEST 1800 TCK; SDR 16 TDI (7FF7); RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBF5); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (EDBE); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEB); +RUNTEST 1800 TCK; +SDR 16 TDI (7BBE); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (FF97); +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (77BC); +RUNTEST 1800 TCK; +SDR 16 TDI (EFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (EF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; -SDR 16 TDI (FAEF); +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (6EFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7DF); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFD); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6DEE); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFB); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF4F); -RUNTEST 1800 TCK; -SDR 16 TDI (7DEB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6AFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFDB); RUNTEST 1800 TCK; SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FEE); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (DAFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF6); RUNTEST 1800 TCK; SDR 16 TDI (BFFE); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7F3F); +SDR 16 TDI (7BDB); RUNTEST 1800 TCK; -SDR 16 TDI (FEDE); -RUNTEST 1800 TCK; -SDR 16 TDI (BDBF); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (DDDF); +SDR 16 TDI (7F6E); RUNTEST 1800 TCK; SDR 16 TDI (BDFF); RUNTEST 1800 TCK; -SDR 16 TDI (F77D); +SDR 16 TDI (FF2D); +RUNTEST 1800 TCK; +SDR 16 TDI (7BB5); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (EEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DBEF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7ED); +RUNTEST 1800 TCK; +SDR 16 TDI (B7EE); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF6F); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFD); RUNTEST 1800 TCK; SDR 16 TDI (7BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BF7E); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFF); +RUNTEST 1800 TCK; SDR 16 TDI (BF7F); RUNTEST 1800 TCK; -SDR 16 TDI (FDFD); +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7E5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AD7B); +SDR 16 TDI (BBBF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (BF7F); RUNTEST 1800 TCK; -SDR 16 TDI (7F7E); +SDR 16 TDI (7FFD); RUNTEST 1800 TCK; -SDR 16 TDI (F7B7); +SDR 16 TDI (FF7F); RUNTEST 1800 TCK; -SDR 16 TDI (AF3F); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (ECFA); +SDR 16 TDI (77FB); RUNTEST 1800 TCK; -SDR 16 TDI (7BF7); +SDR 16 TDI (776F); RUNTEST 1800 TCK; -SDR 16 TDI (6E7D); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFA); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEA); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (7EFF); RUNTEST 1800 TCK; -SDR 16 TDI (7BFB); +SDR 16 TDI (6D7D); +RUNTEST 1800 TCK; +SDR 16 TDI (DD5F); +RUNTEST 1800 TCK; +SDR 16 TDI (BDD3); RUNTEST 1800 TCK; SDR 16 TDI (DDFD); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EEF); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEEF); +SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (F6D9); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFB7); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFB5); -RUNTEST 1800 TCK; -SDR 16 TDI (6FCB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7FEF); RUNTEST 1800 TCK; SDR 16 TDI (FFBF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (A9FB); +RUNTEST 1800 TCK; +SDR 16 TDI (BBF7); +RUNTEST 1800 TCK; +SDR 16 TDI (6EFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEE); +RUNTEST 1800 TCK; +SDR 16 TDI (9EEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFD); +RUNTEST 1800 TCK; +SDR 16 TDI (3EFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (CDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BF5D); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BAF7); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFA3); -RUNTEST 1800 TCK; -SDR 16 TDI (B33B); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6767); -RUNTEST 1800 TCK; -SDR 16 TDI (D8E8); -RUNTEST 1800 TCK; -SDR 16 TDI (B1FB); -RUNTEST 1800 TCK; -SDR 16 TDI (F9F7); -RUNTEST 1800 TCK; -SDR 16 TDI (71ED); -RUNTEST 1800 TCK; -SDR 16 TDI (5EDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BCDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (74BD); -RUNTEST 1800 TCK; -SDR 16 TDI (77F7); -RUNTEST 1800 TCK; -SDR 16 TDI (62DE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (E3F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7EDC); -RUNTEST 1800 TCK; -SDR 16 TDI (3F9F); -RUNTEST 1800 TCK; -SDR 16 TDI (BE7F); -RUNTEST 1800 TCK; -SDR 16 TDI (CF39); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF3); -RUNTEST 1800 TCK; -SDR 16 TDI (AFBE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFE9); -RUNTEST 1800 TCK; -SDR 16 TDI (FF6A); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; +SDR 16 TDI (FB7E); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (7FED); RUNTEST 1800 TCK; -SDR 16 TDI (EF56); +SDR 16 TDI (F9DB); RUNTEST 1800 TCK; -SDR 16 TDI (6FFB); +SDR 16 TDI (BEFB); RUNTEST 1800 TCK; -SDR 16 TDI (BD7F); +SDR 16 TDI (FCDF); RUNTEST 1800 TCK; -SDR 16 TDI (AEFD); +SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (3FBE); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (79BB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (AF9F); -RUNTEST 1800 TCK; -SDR 16 TDI (FECE); -RUNTEST 1800 TCK; -SDR 16 TDI (7EDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF6); -RUNTEST 1800 TCK; -SDR 16 TDI (64FF); +SDR 16 TDI (EDFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BAFD); +SDR 16 TDI (BEFF); RUNTEST 1800 TCK; -SDR 16 TDI (C883); +SDR 16 TDI (F7DF); RUNTEST 1800 TCK; -SDR 16 TDI (6FC5); +SDR 16 TDI (67EB); RUNTEST 1800 TCK; -SDR 16 TDI (0082); +SDR 16 TDI (EDB7); RUNTEST 1800 TCK; -SDR 16 TDI (AC30); +SDR 16 TDI (B5B7); RUNTEST 1800 TCK; -SDR 16 TDI (3FEC); +SDR 16 TDI (77FB); RUNTEST 1800 TCK; -SDR 16 TDI (607C); +SDR 16 TDI (777F); RUNTEST 1800 TCK; -SDR 16 TDI (1201); +SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (A01F); +SDR 16 TDI (BD5E); RUNTEST 1800 TCK; -SDR 16 TDI (2078); +SDR 16 TDI (F7BB); RUNTEST 1800 TCK; -SDR 16 TDI (7002); +SDR 16 TDI (7F7D); RUNTEST 1800 TCK; -SDR 16 TDI (0C0F); +SDR 16 TDI (D5DE); RUNTEST 1800 TCK; -SDR 16 TDI (B576); +SDR 16 TDI (BCFC); RUNTEST 1800 TCK; -SDR 16 TDI (C575); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B65D); -RUNTEST 1800 TCK; -SDR 16 TDI (C8C3); -RUNTEST 1800 TCK; -SDR 16 TDI (7FA5); -RUNTEST 1800 TCK; -SDR 16 TDI (1982); -RUNTEST 1800 TCK; -SDR 16 TDI (A032); -RUNTEST 1800 TCK; -SDR 16 TDI (2370); -RUNTEST 1800 TCK; -SDR 16 TDI (6600); -RUNTEST 1800 TCK; -SDR 16 TDI (5000); -RUNTEST 1800 TCK; -SDR 16 TDI (A01F); -RUNTEST 1800 TCK; -SDR 16 TDI (A428); -RUNTEST 1800 TCK; -SDR 16 TDI (7000); -RUNTEST 1800 TCK; -SDR 16 TDI (0C0D); -RUNTEST 1800 TCK; -SDR 16 TDI (BD20); -RUNTEST 1800 TCK; -SDR 16 TDI (907F); +SDR 16 TDI (C57F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -2847,93 +2719,221 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFC); RUNTEST 1800 TCK; -SDR 16 TDI (1873); +SDR 16 TDI (AE6E); RUNTEST 1800 TCK; -SDR 16 TDI (77F4); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (1100); +SDR 16 TDI (BBF9); RUNTEST 1800 TCK; -SDR 16 TDI (A470); +SDR 16 TDI (AE59); RUNTEST 1800 TCK; -SDR 16 TDI (3FFC); +SDR 16 TDI (9BF6); RUNTEST 1800 TCK; -SDR 16 TDI (616D); +SDR 16 TDI (6CF6); RUNTEST 1800 TCK; -SDR 16 TDI (F080); +SDR 16 TDI (D6CE); RUNTEST 1800 TCK; -SDR 16 TDI (A51F); +SDR 16 TDI (AAFF); RUNTEST 1800 TCK; -SDR 16 TDI (8078); +SDR 16 TDI (9B5C); RUNTEST 1800 TCK; -SDR 16 TDI (61C9); +SDR 16 TDI (6AF7); RUNTEST 1800 TCK; -SDR 16 TDI (1F9F); +SDR 16 TDI (EBAF); RUNTEST 1800 TCK; -SDR 16 TDI (B867); +SDR 16 TDI (BF77); RUNTEST 1800 TCK; -SDR 16 TDI (2275); +SDR 16 TDI (FFEA); RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); +SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBF8); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (1803); +SDR 16 TDI (5BF3); RUNTEST 1800 TCK; -SDR 16 TDI (67F4); +SDR 16 TDI (7FFE); RUNTEST 1800 TCK; -SDR 16 TDI (1000); +SDR 16 TDI (567E); RUNTEST 1800 TCK; -SDR 16 TDI (A473); +SDR 16 TDI (BBEE); RUNTEST 1800 TCK; -SDR 16 TDI (C3F0); +SDR 16 TDI (EF7D); RUNTEST 1800 TCK; -SDR 16 TDI (7601); +SDR 16 TDI (7BED); RUNTEST 1800 TCK; -SDR 16 TDI (F080); +SDR 16 TDI (B9FB); RUNTEST 1800 TCK; -SDR 16 TDI (A01F); +SDR 16 TDI (BFF5); RUNTEST 1800 TCK; -SDR 16 TDI (8058); +SDR 16 TDI (FCFF); RUNTEST 1800 TCK; -SDR 16 TDI (60C9); +SDR 16 TDI (7D8A); RUNTEST 1800 TCK; -SDR 16 TDI (0F9F); +SDR 16 TDI (3EFF); RUNTEST 1800 TCK; -SDR 16 TDI (B861); +SDR 16 TDI (BFCB); RUNTEST 1800 TCK; -SDR 16 TDI (117F); +SDR 16 TDI (BAFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (B9AC); RUNTEST 1800 TCK; -SDR 16 TDI (339B); +SDR 16 TDI (5C32); RUNTEST 1800 TCK; -SDR 16 TDI (72E6); +SDR 16 TDI (7FC5); RUNTEST 1800 TCK; -SDR 16 TDI (6EE3); +SDR 16 TDI (3F6A); RUNTEST 1800 TCK; -SDR 16 TDI (B33B); +SDR 16 TDI (AE24); RUNTEST 1800 TCK; -SDR 16 TDI (31F1); +SDR 16 TDI (1BEC); RUNTEST 1800 TCK; -SDR 16 TDI (6666); +SDR 16 TDI (619F); RUNTEST 1800 TCK; -SDR 16 TDI (7EEE); +SDR 16 TDI (F83C); RUNTEST 1800 TCK; -SDR 16 TDI (BDCF); +SDR 16 TDI (BF5F); RUNTEST 1800 TCK; -SDR 16 TDI (F999); +SDR 16 TDI (6087); RUNTEST 1800 TCK; -SDR 16 TDI (71C9); +SDR 16 TDI (7D55); RUNTEST 1800 TCK; -SDR 16 TDI (CCC7); +SDR 16 TDI (00AF); RUNTEST 1800 TCK; -SDR 16 TDI (BBD9); +SDR 16 TDI (B680); +RUNTEST 1800 TCK; +SDR 16 TDI (5075); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB5C); +RUNTEST 1800 TCK; +SDR 16 TDI (C022); +RUNTEST 1800 TCK; +SDR 16 TDI (7FA4); +RUNTEST 1800 TCK; +SDR 16 TDI (3B60); +RUNTEST 1800 TCK; +SDR 16 TDI (A020); +RUNTEST 1800 TCK; +SDR 16 TDI (3BFC); +RUNTEST 1800 TCK; +SDR 16 TDI (6998); +RUNTEST 1800 TCK; +SDR 16 TDI (D03E); +RUNTEST 1800 TCK; +SDR 16 TDI (A79B); +RUNTEST 1800 TCK; +SDR 16 TDI (E000); +RUNTEST 1800 TCK; +SDR 16 TDI (6C75); +RUNTEST 1800 TCK; +SDR 16 TDI (FFAD); +RUNTEST 1800 TCK; +SDR 16 TDI (BE00); +RUNTEST 1800 TCK; +SDR 16 TDI (57FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFC); +RUNTEST 1800 TCK; +SDR 16 TDI (3E7C); +RUNTEST 1800 TCK; +SDR 16 TDI (67E5); +RUNTEST 1800 TCK; +SDR 16 TDI (EDF3); +RUNTEST 1800 TCK; +SDR 16 TDI (AC02); +RUNTEST 1800 TCK; +SDR 16 TDI (0FF4); +RUNTEST 1800 TCK; +SDR 16 TDI (6007); +RUNTEST 1800 TCK; +SDR 16 TDI (DC0C); +RUNTEST 1800 TCK; +SDR 16 TDI (BF3F); +RUNTEST 1800 TCK; +SDR 16 TDI (FC9F); +RUNTEST 1800 TCK; +SDR 16 TDI (7300); +RUNTEST 1800 TCK; +SDR 16 TDI (22CF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE08); +RUNTEST 1800 TCK; +SDR 16 TDI (8275); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (667C); +RUNTEST 1800 TCK; +SDR 16 TDI (67E5); +RUNTEST 1800 TCK; +SDR 16 TDI (EDF3); +RUNTEST 1800 TCK; +SDR 16 TDI (A020); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFC); +RUNTEST 1800 TCK; +SDR 16 TDI (6001); +RUNTEST 1800 TCK; +SDR 16 TDI (540D); +RUNTEST 1800 TCK; +SDR 16 TDI (BF9F); +RUNTEST 1800 TCK; +SDR 16 TDI (841D); +RUNTEST 1800 TCK; +SDR 16 TDI (7913); +RUNTEST 1800 TCK; +SDR 16 TDI (22CF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE00); +RUNTEST 1800 TCK; +SDR 16 TDI (E27F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDF7); +RUNTEST 1800 TCK; +SDR 16 TDI (B233); +RUNTEST 1800 TCK; +SDR 16 TDI (73E6); +RUNTEST 1800 TCK; +SDR 16 TDI (6667); +RUNTEST 1800 TCK; +SDR 16 TDI (BA23); +RUNTEST 1800 TCK; +SDR 16 TDI (2173); +RUNTEST 1800 TCK; +SDR 16 TDI (6224); +RUNTEST 1800 TCK; +SDR 16 TDI (78CE); +RUNTEST 1800 TCK; +SDR 16 TDI (B99F); +RUNTEST 1800 TCK; +SDR 16 TDI (9999); +RUNTEST 1800 TCK; +SDR 16 TDI (7BEE); +RUNTEST 1800 TCK; +SDR 16 TDI (EEE7); +RUNTEST 1800 TCK; +SDR 16 TDI (BCD9); RUNTEST 1800 TCK; SDR 16 TDI (BBFE); RUNTEST 1800 TCK; @@ -2941,31 +2941,31 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (3333); +SDR 16 TDI (3777); RUNTEST 1800 TCK; -SDR 16 TDI (6546); +SDR 16 TDI (6157); RUNTEST 1800 TCK; -SDR 16 TDI (6677); +SDR 16 TDI (7777); RUNTEST 1800 TCK; -SDR 16 TDI (B673); +SDR 16 TDI (B376); RUNTEST 1800 TCK; -SDR 16 TDI (30AB); +SDR 16 TDI (76A9); RUNTEST 1800 TCK; -SDR 16 TDI (6676); +SDR 16 TDI (7763); RUNTEST 1800 TCK; -SDR 16 TDI (7CCC); +SDR 16 TDI (3D9C); RUNTEST 1800 TCK; -SDR 16 TDI (B985); +SDR 16 TDI (BBA5); RUNTEST 1800 TCK; -SDR 16 TDI (1DCD); +SDR 16 TDI (1C9D); RUNTEST 1800 TCK; -SDR 16 TDI (7BDD); +SDR 16 TDI (79CC); RUNTEST 1800 TCK; -SDR 16 TDI (DC92); +SDR 16 TDI (CCC2); RUNTEST 1800 TCK; -SDR 16 TDI (B19D); +SDR 16 TDI (B5CC); RUNTEST 1800 TCK; SDR 16 TDI (99FF); RUNTEST 1800 TCK; @@ -2973,96 +2973,64 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BEF7); RUNTEST 1800 TCK; -SDR 16 TDI (FFDB); +SDR 16 TDI (FBFB); RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FCE); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EEEF); RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFEF); RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDEF); +RUNTEST 1800 TCK; +SDR 16 TDI (77F3); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77F5); +RUNTEST 1800 TCK; SDR 16 TDI (7FEF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (77DF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF77); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (B75F); RUNTEST 1800 TCK; SDR 16 TDI (DFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7D7B); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FE6); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (F5DF); +SDR 16 TDI (DFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B97F); -RUNTEST 1800 TCK; -SDR 16 TDI (BDCF); -RUNTEST 1800 TCK; SDR 16 TDI (F5FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); @@ -3071,154 +3039,10 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (77F6); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFE7); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (AB7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (77F7); -RUNTEST 1800 TCK; -SDR 16 TDI (F6FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (EDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDE); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7D); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (72BD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (77FD); -RUNTEST 1800 TCK; -SDR 16 TDI (6EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (77FE); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFF6); @@ -3227,65 +3051,401 @@ SDR 16 TDI (FFFD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3DDF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7D7); +SDR 16 TDI (FFBE); RUNTEST 1800 TCK; -SDR 16 TDI (6FF7); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (BE5F); RUNTEST 1800 TCK; -SDR 16 TDI (AF7E); +SDR 16 TDI (BD5E); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7D57); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFAF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFF); +SDR 16 TDI (FFDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FB); +RUNTEST 1800 TCK; +SDR 16 TDI (77F4); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7CFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDF); +RUNTEST 1800 TCK; +SDR 16 TDI (ADFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FD5); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (AEFE); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7AFF); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; -SDR 16 TDI (EDFE); +SDR 16 TDI (EFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BED7); +RUNTEST 1800 TCK; +SDR 16 TDI (EDFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7EF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD7D); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7F77); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E7FD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (CEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFB); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7B7D); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7EEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BD5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B5F7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6EAF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFBD); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (6F7A); +SDR 16 TDI (6F6F); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7E); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (75F7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (F6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7E76); +RUNTEST 1800 TCK; +SDR 16 TDI (EEDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEB6); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (75EE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBE); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF3); +RUNTEST 1800 TCK; +SDR 16 TDI (EF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A55F); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE79); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFD); +RUNTEST 1800 TCK; +SDR 16 TDI (77D7); +RUNTEST 1800 TCK; +SDR 16 TDI (AFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7F7); +RUNTEST 1800 TCK; +SDR 16 TDI (6BBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF3); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF5D); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDE); RUNTEST 1800 TCK; SDR 16 TDI (F37F); RUNTEST 1800 TCK; @@ -3293,225 +3453,65 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A75F); RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF5); -RUNTEST 1800 TCK; -SDR 16 TDI (EBF7); -RUNTEST 1800 TCK; -SDR 16 TDI (B7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (FD7D); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFB7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EF9F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7BF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (DD7F); RUNTEST 1800 TCK; -SDR 16 TDI (BFED); +SDR 16 TDI (BCFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F5FF); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7EFD); RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFDF); RUNTEST 1800 TCK; SDR 16 TDI (BF7F); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (57F5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A8FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7BED); -RUNTEST 1800 TCK; -SDR 16 TDI (F6FE); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FAFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FE6); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFAF); -RUNTEST 1800 TCK; -SDR 16 TDI (F57F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFC); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A65F); +SDR 16 TDI (AFDB); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (FFFB); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FB7); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFE9); +SDR 16 TDI (DFDF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (73B7); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFB); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (77F7); -RUNTEST 1800 TCK; -SDR 16 TDI (FF6F); -RUNTEST 1800 TCK; -SDR 16 TDI (BCFD); -RUNTEST 1800 TCK; -SDR 16 TDI (F3F5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (EDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFB7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A55E); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (DFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (D5F7); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (79F7); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F6EA); +SDR 16 TDI (DFEA); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; @@ -3519,863 +3519,191 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B77D); +SDR 16 TDI (BF5F); RUNTEST 1800 TCK; -SDR 16 TDI (DFFA); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7D5F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FED); -RUNTEST 1800 TCK; -SDR 16 TDI (BEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (EDFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7F5F); -RUNTEST 1800 TCK; -SDR 16 TDI (9FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFED); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (75EF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBDF); +SDR 16 TDI (FFDF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FDD); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7D7F); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (BDDD); RUNTEST 1800 TCK; SDR 16 TDI (FF7F); RUNTEST 1800 TCK; -SDR 16 TDI (BBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6EFD); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (7A5D); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B75E); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); +SDR 16 TDI (7FAF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7EFC); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (A7FD); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (D9FF); +SDR 16 TDI (B7FB); RUNTEST 1800 TCK; -SDR 16 TDI (7DEF); +SDR 16 TDI (7EDF); RUNTEST 1800 TCK; -SDR 16 TDI (7C5F); +SDR 16 TDI (FD7D); RUNTEST 1800 TCK; -SDR 16 TDI (BFE1); +SDR 16 TDI (BAFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7E); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B6FD); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (E7AD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBAB); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7E3C); +RUNTEST 1800 TCK; +SDR 16 TDI (B6DD); +RUNTEST 1800 TCK; +SDR 16 TDI (AF5D); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (B8DD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFC); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (AAB5); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (67FD); +SDR 16 TDI (BEFF); RUNTEST 1800 TCK; -SDR 16 TDI (7196); +SDR 16 TDI (5FDF); RUNTEST 1800 TCK; -SDR 16 TDI (9967); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (EF54); +SDR 16 TDI (BF7F); RUNTEST 1800 TCK; -SDR 16 TDI (7BBF); +SDR 16 TDI (E3F3); RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (6D6E); RUNTEST 1800 TCK; -SDR 16 TDI (BA3F); +SDR 16 TDI (F5F7); RUNTEST 1800 TCK; -SDR 16 TDI (C8EA); +SDR 16 TDI (BB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (D7F7); +RUNTEST 1800 TCK; +SDR 16 TDI (7D7F); +RUNTEST 1800 TCK; +SDR 16 TDI (6E6E); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7B); +RUNTEST 1800 TCK; +SDR 16 TDI (D76A); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7EF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FF3); -RUNTEST 1800 TCK; -SDR 16 TDI (6E6F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (BD3F); -RUNTEST 1800 TCK; -SDR 16 TDI (F6AF); -RUNTEST 1800 TCK; -SDR 16 TDI (66F0); -RUNTEST 1800 TCK; -SDR 16 TDI (C7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AD5E); -RUNTEST 1800 TCK; -SDR 16 TDI (8017); -RUNTEST 1800 TCK; -SDR 16 TDI (67C5); -RUNTEST 1800 TCK; -SDR 16 TDI (FDF8); -RUNTEST 1800 TCK; -SDR 16 TDI (BA3A); -RUNTEST 1800 TCK; -SDR 16 TDI (A7EA); -RUNTEST 1800 TCK; -SDR 16 TDI (7DF8); -RUNTEST 1800 TCK; -SDR 16 TDI (18F0); -RUNTEST 1800 TCK; -SDR 16 TDI (A17F); -RUNTEST 1800 TCK; -SDR 16 TDI (7A3F); -RUNTEST 1800 TCK; -SDR 16 TDI (778E); -RUNTEST 1800 TCK; -SDR 16 TDI (2F0F); -RUNTEST 1800 TCK; -SDR 16 TDI (B018); -RUNTEST 1800 TCK; -SDR 16 TDI (0075); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFC); -RUNTEST 1800 TCK; -SDR 16 TDI (002C); -RUNTEST 1800 TCK; -SDR 16 TDI (7FA4); -RUNTEST 1800 TCK; -SDR 16 TDI (1C1E); -RUNTEST 1800 TCK; -SDR 16 TDI (A60A); -RUNTEST 1800 TCK; -SDR 16 TDI (A3D2); -RUNTEST 1800 TCK; -SDR 16 TDI (60F8); -RUNTEST 1800 TCK; -SDR 16 TDI (1838); -RUNTEST 1800 TCK; -SDR 16 TDI (A17B); -RUNTEST 1800 TCK; -SDR 16 TDI (D4A5); -RUNTEST 1800 TCK; -SDR 16 TDI (6480); -RUNTEST 1800 TCK; -SDR 16 TDI (2F3F); -RUNTEST 1800 TCK; -SDR 16 TDI (A838); -RUNTEST 1800 TCK; -SDR 16 TDI (007F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BD5E); -RUNTEST 1800 TCK; -SDR 16 TDI (7058); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF2); -RUNTEST 1800 TCK; -SDR 16 TDI (0A07); -RUNTEST 1800 TCK; -SDR 16 TDI (B43C); -RUNTEST 1800 TCK; -SDR 16 TDI (3FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7EE0); -RUNTEST 1800 TCK; -SDR 16 TDI (90C0); -RUNTEST 1800 TCK; -SDR 16 TDI (A11F); -RUNTEST 1800 TCK; -SDR 16 TDI (CCFC); -RUNTEST 1800 TCK; -SDR 16 TDI (7F8F); -RUNTEST 1800 TCK; -SDR 16 TDI (300F); -RUNTEST 1800 TCK; -SDR 16 TDI (B899); -RUNTEST 1800 TCK; -SDR 16 TDI (C8F5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F02F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF2); -RUNTEST 1800 TCK; -SDR 16 TDI (6A78); -RUNTEST 1800 TCK; -SDR 16 TDI (A003); -RUNTEST 1800 TCK; -SDR 16 TDI (3FF3); -RUNTEST 1800 TCK; -SDR 16 TDI (7360); -RUNTEST 1800 TCK; -SDR 16 TDI (1000); -RUNTEST 1800 TCK; -SDR 16 TDI (A51F); -RUNTEST 1800 TCK; -SDR 16 TDI (8080); -RUNTEST 1800 TCK; -SDR 16 TDI (6080); -RUNTEST 1800 TCK; -SDR 16 TDI (0C0F); -RUNTEST 1800 TCK; -SDR 16 TDI (B819); -RUNTEST 1800 TCK; -SDR 16 TDI (807F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDE); -RUNTEST 1800 TCK; -SDR 16 TDI (337B); -RUNTEST 1800 TCK; -SDR 16 TDI (73EE); -RUNTEST 1800 TCK; -SDR 16 TDI (EEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (A33E); -RUNTEST 1800 TCK; -SDR 16 TDI (31F1); -RUNTEST 1800 TCK; -SDR 16 TDI (6622); -RUNTEST 1800 TCK; -SDR 16 TDI (784C); -RUNTEST 1800 TCK; -SDR 16 TDI (B00B); -RUNTEST 1800 TCK; -SDR 16 TDI (91B9); -RUNTEST 1800 TCK; -SDR 16 TDI (6788); -RUNTEST 1800 TCK; -SDR 16 TDI (EE87); -RUNTEST 1800 TCK; -SDR 16 TDI (BBB9); -RUNTEST 1800 TCK; -SDR 16 TDI (99FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7671); -RUNTEST 1800 TCK; -SDR 16 TDI (6144); -RUNTEST 1800 TCK; -SDR 16 TDI (4445); -RUNTEST 1800 TCK; -SDR 16 TDI (B773); -RUNTEST 1800 TCK; -SDR 16 TDI (64AB); -RUNTEST 1800 TCK; -SDR 16 TDI (7777); -RUNTEST 1800 TCK; -SDR 16 TDI (3D8C); -RUNTEST 1800 TCK; -SDR 16 TDI (BB95); -RUNTEST 1800 TCK; -SDR 16 TDI (0D98); -RUNTEST 1800 TCK; -SDR 16 TDI (73DD); -RUNTEST 1800 TCK; -SDR 16 TDI (CCD2); -RUNTEST 1800 TCK; -SDR 16 TDI (B19C); -RUNTEST 1800 TCK; -SDR 16 TDI (DCFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (73FF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7D7B); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BCFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF6); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FBDD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBD); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDA5); -RUNTEST 1800 TCK; -SDR 16 TDI (B7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDCF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDD); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; SDR 16 TDI (BF7F); RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FD6); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFDF); +SDR 16 TDI (DFDE); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A5F5); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF5); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (76D1); RUNTEST 1800 TCK; SDR 16 TDI (BFDF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (ADBF); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (F9BB); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (6BAC); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (DFD7); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFB6); +RUNTEST 1800 TCK; +SDR 16 TDI (ACFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A95F); RUNTEST 1800 TCK; -SDR 16 TDI (BEE7); +SDR 16 TDI (2817); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (67C5); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FDF9); RUNTEST 1800 TCK; -SDR 16 TDI (BBFE); +SDR 16 TDI (B837); RUNTEST 1800 TCK; -SDR 16 TDI (BFF5); +SDR 16 TDI (E3E0); RUNTEST 1800 TCK; -SDR 16 TDI (7FAF); +SDR 16 TDI (63EE); RUNTEST 1800 TCK; -SDR 16 TDI (EEFF); +SDR 16 TDI (B000); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A01F); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (07E6); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (60EC); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (400F); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B098); RUNTEST 1800 TCK; -SDR 16 TDI (FFD7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (EF3F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF3F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFA); -RUNTEST 1800 TCK; -SDR 16 TDI (FEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (EFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (67FF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (66FF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5BF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFE); -RUNTEST 1800 TCK; -SDR 16 TDI (DEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7EDB); -RUNTEST 1800 TCK; -SDR 16 TDI (ADFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A65F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FD9D); -RUNTEST 1800 TCK; -SDR 16 TDI (AEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7F5); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB7F); -RUNTEST 1800 TCK; -SDR 16 TDI (6FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A65E); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B777); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FB); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (CFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (6BF6); -RUNTEST 1800 TCK; -SDR 16 TDI (DEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FBF5); +SDR 16 TDI (27F5); RUNTEST 1800 TCK; SDR 16 TDI (6BFF); RUNTEST 1800 TCK; @@ -4383,6 +3711,190 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (ABFF); RUNTEST 1800 TCK; +SDR 16 TDI (002C); +RUNTEST 1800 TCK; +SDR 16 TDI (7FA4); +RUNTEST 1800 TCK; +SDR 16 TDI (1C1E); +RUNTEST 1800 TCK; +SDR 16 TDI (B034); +RUNTEST 1800 TCK; +SDR 16 TDI (E3F0); +RUNTEST 1800 TCK; +SDR 16 TDI (6384); +RUNTEST 1800 TCK; +SDR 16 TDI (B020); +RUNTEST 1800 TCK; +SDR 16 TDI (A0BB); +RUNTEST 1800 TCK; +SDR 16 TDI (8000); +RUNTEST 1800 TCK; +SDR 16 TDI (6ACC); +RUNTEST 1800 TCK; +SDR 16 TDI (004D); +RUNTEST 1800 TCK; +SDR 16 TDI (B818); +RUNTEST 1800 TCK; +SDR 16 TDI (72FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BD5F); +RUNTEST 1800 TCK; +SDR 16 TDI (E718); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF2); +RUNTEST 1800 TCK; +SDR 16 TDI (0A07); +RUNTEST 1800 TCK; +SDR 16 TDI (BC1B); +RUNTEST 1800 TCK; +SDR 16 TDI (03F3); +RUNTEST 1800 TCK; +SDR 16 TDI (727F); +RUNTEST 1800 TCK; +SDR 16 TDI (700C); +RUNTEST 1800 TCK; +SDR 16 TDI (B11F); +RUNTEST 1800 TCK; +SDR 16 TDI (87FF); +RUNTEST 1800 TCK; +SDR 16 TDI (70DE); +RUNTEST 1800 TCK; +SDR 16 TDI (0C07); +RUNTEST 1800 TCK; +SDR 16 TDI (BA19); +RUNTEST 1800 TCK; +SDR 16 TDI (04F5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (3F2F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF2); +RUNTEST 1800 TCK; +SDR 16 TDI (6A78); +RUNTEST 1800 TCK; +SDR 16 TDI (A318); +RUNTEST 1800 TCK; +SDR 16 TDI (03F3); +RUNTEST 1800 TCK; +SDR 16 TDI (6001); +RUNTEST 1800 TCK; +SDR 16 TDI (7C00); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (967F); +RUNTEST 1800 TCK; +SDR 16 TDI (70CE); +RUNTEST 1800 TCK; +SDR 16 TDI (0C0F); +RUNTEST 1800 TCK; +SDR 16 TDI (B878); +RUNTEST 1800 TCK; +SDR 16 TDI (34FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF6); +RUNTEST 1800 TCK; +SDR 16 TDI (323B); +RUNTEST 1800 TCK; +SDR 16 TDI (73EE); +RUNTEST 1800 TCK; +SDR 16 TDI (EEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BA31); +RUNTEST 1800 TCK; +SDR 16 TDI (3173); +RUNTEST 1800 TCK; +SDR 16 TDI (664E); +RUNTEST 1800 TCK; +SDR 16 TDI (7CFE); +RUNTEST 1800 TCK; +SDR 16 TDI (B98F); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBB); +RUNTEST 1800 TCK; +SDR 16 TDI (73EE); +RUNTEST 1800 TCK; +SDR 16 TDI (ECEF); +RUNTEST 1800 TCK; +SDR 16 TDI (B8B9); +RUNTEST 1800 TCK; +SDR 16 TDI (9BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6771); +RUNTEST 1800 TCK; +SDR 16 TDI (6144); +RUNTEST 1800 TCK; +SDR 16 TDI (4445); +RUNTEST 1800 TCK; +SDR 16 TDI (B376); +RUNTEST 1800 TCK; +SDR 16 TDI (26A9); +RUNTEST 1800 TCK; +SDR 16 TDI (7627); +RUNTEST 1800 TCK; +SDR 16 TDI (39CC); +RUNTEST 1800 TCK; +SDR 16 TDI (B3A5); +RUNTEST 1800 TCK; +SDR 16 TDI (1999); +RUNTEST 1800 TCK; +SDR 16 TDI (79CC); +RUNTEST 1800 TCK; +SDR 16 TDI (C9C2); +RUNTEST 1800 TCK; +SDR 16 TDI (B59C); +RUNTEST 1800 TCK; +SDR 16 TDI (99FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF9); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); @@ -4393,21 +3905,509 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF5); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF6); RUNTEST 1800 TCK; SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (AFDB); +SDR 16 TDI (AFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF5B); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (7EBF); +SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (7EBD); +SDR 16 TDI (5FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEF7); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFEA); +SDR 16 TDI (BBFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7EE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7B7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDB); +RUNTEST 1800 TCK; +SDR 16 TDI (BB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (CEBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EF6); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (ABFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7F7); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (AF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BB77); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FB); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF9F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7EEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (EDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FD7); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEE6); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F76); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (B79F); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7777); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEF6); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FD6); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A55F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (B5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (D7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7ECD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FBD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FB75); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (777F); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFA); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A75E); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDB); +RUNTEST 1800 TCK; +SDR 16 TDI (F9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FEF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (76EF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF4F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEA); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; @@ -4419,27 +4419,27 @@ SDR 16 TDI (EEFF); RUNTEST 1800 TCK; SDR 16 TDI (7FEA); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF5D); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFA); -RUNTEST 1800 TCK; -SDR 16 TDI (77DD); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (9EFF); +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FEF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBEF); +SDR 16 TDI (7FBF); RUNTEST 1800 TCK; -SDR 16 TDI (BBEE); +SDR 16 TDI (97FF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5D77); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4451,27 +4451,27 @@ SDR 16 TDI (FFEF); RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (9BDA); -RUNTEST 1800 TCK; -SDR 16 TDI (5BFD); -RUNTEST 1800 TCK; -SDR 16 TDI (5ACC); -RUNTEST 1800 TCK; -SDR 16 TDI (D7E7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (9FAD); RUNTEST 1800 TCK; -SDR 16 TDI (6FBF); +SDR 16 TDI (BFF6); RUNTEST 1800 TCK; -SDR 16 TDI (BFBB); +SDR 16 TDI (5BFF); RUNTEST 1800 TCK; -SDR 16 TDI (F6FF); +SDR 16 TDI (D5E7); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (67F6); +RUNTEST 1800 TCK; +SDR 16 TDI (F9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4481,29 +4481,29 @@ SDR 16 TDI (9FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (5FF7); +SDR 16 TDI (5FF6); RUNTEST 1800 TCK; -SDR 16 TDI (DBFE); +SDR 16 TDI (BBFE); RUNTEST 1800 TCK; -SDR 16 TDI (B755); +SDR 16 TDI (BDDF); RUNTEST 1800 TCK; -SDR 16 TDI (D7F8); +SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (7D55); +SDR 16 TDI (657F); RUNTEST 1800 TCK; -SDR 16 TDI (5F33); +SDR 16 TDI (FCFD); RUNTEST 1800 TCK; -SDR 16 TDI (A2DF); +SDR 16 TDI (BEBF); RUNTEST 1800 TCK; -SDR 16 TDI (FEBE); +SDR 16 TDI (FDFB); RUNTEST 1800 TCK; -SDR 16 TDI (79E3); +SDR 16 TDI (7F7E); RUNTEST 1800 TCK; -SDR 16 TDI (3BFF); +SDR 16 TDI (BCDF); RUNTEST 1800 TCK; -SDR 16 TDI (9BAA); +SDR 16 TDI (9FD5); RUNTEST 1800 TCK; -SDR 16 TDI (B6FF); +SDR 16 TDI (9EFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -4515,27 +4515,27 @@ SDR 16 TDI (FEDF); RUNTEST 1800 TCK; SDR 16 TDI (7FED); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (7FFD); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A37D); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (5BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FB7); +RUNTEST 1800 TCK; +SDR 16 TDI (D7C7); +RUNTEST 1800 TCK; +SDR 16 TDI (99FF); +RUNTEST 1800 TCK; +SDR 16 TDI (9EE7); +RUNTEST 1800 TCK; +SDR 16 TDI (4381); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; -SDR 16 TDI (F5DC); +SDR 16 TDI (BBEF); RUNTEST 1800 TCK; -SDR 16 TDI (9FBD); -RUNTEST 1800 TCK; -SDR 16 TDI (B7DD); -RUNTEST 1800 TCK; -SDR 16 TDI (5EBF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEA); +SDR 16 TDI (FBEA); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; @@ -4545,29 +4545,29 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFA); +SDR 16 TDI (7FFB); RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (DFDF); RUNTEST 1800 TCK; -SDR 16 TDI (8BAA); +SDR 16 TDI (9FA7); RUNTEST 1800 TCK; -SDR 16 TDI (EBF7); +SDR 16 TDI (A773); RUNTEST 1800 TCK; -SDR 16 TDI (4EAA); +SDR 16 TDI (5EC8); RUNTEST 1800 TCK; -SDR 16 TDI (BAEF); +SDR 16 TDI (3BBF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; -SDR 16 TDI (CD73); +SDR 16 TDI (EF9D); RUNTEST 1800 TCK; -SDR 16 TDI (6FDD); +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; -SDR 16 TDI (D51E); +SDR 16 TDI (DB7D); RUNTEST 1800 TCK; -SDR 16 TDI (BDDD); +SDR 16 TDI (BEBF); RUNTEST 1800 TCK; -SDR 16 TDI (7D7F); +SDR 16 TDI (757F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4581,25 +4581,25 @@ SDR 16 TDI (47C0); RUNTEST 1800 TCK; SDR 16 TDI (15F9); RUNTEST 1800 TCK; -SDR 16 TDI (BCC1); +SDR 16 TDI (BFEB); RUNTEST 1800 TCK; -SDR 16 TDI (67E0); +SDR 16 TDI (C3EF); RUNTEST 1800 TCK; -SDR 16 TDI (6140); +SDR 16 TDI (6689); RUNTEST 1800 TCK; -SDR 16 TDI (DAEF); +SDR 16 TDI (5EA0); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A617); RUNTEST 1800 TCK; -SDR 16 TDI (07FF); +SDR 16 TDI (4000); RUNTEST 1800 TCK; -SDR 16 TDI (7F02); +SDR 16 TDI (6300); RUNTEST 1800 TCK; -SDR 16 TDI (000F); +SDR 16 TDI (030F); RUNTEST 1800 TCK; -SDR 16 TDI (9000); +SDR 16 TDI (9080); RUNTEST 1800 TCK; -SDR 16 TDI (8075); +SDR 16 TDI (60F5); RUNTEST 1800 TCK; SDR 16 TDI (4BFF); RUNTEST 1800 TCK; @@ -4611,27 +4611,27 @@ SDR 16 TDI (9EBC); RUNTEST 1800 TCK; SDR 16 TDI (7FA0); RUNTEST 1800 TCK; -SDR 16 TDI (741E); +SDR 16 TDI (141E); RUNTEST 1800 TCK; -SDR 16 TDI (98D3); +SDR 16 TDI (80FC); RUNTEST 1800 TCK; -SDR 16 TDI (63D1); +SDR 16 TDI (EBFC); RUNTEST 1800 TCK; -SDR 16 TDI (6140); +SDR 16 TDI (7E88); RUNTEST 1800 TCK; -SDR 16 TDI (FAC8); +SDR 16 TDI (10A0); RUNTEST 1800 TCK; -SDR 16 TDI (AA1B); +SDR 16 TDI (A61E); RUNTEST 1800 TCK; -SDR 16 TDI (AD60); +SDR 16 TDI (C000); RUNTEST 1800 TCK; -SDR 16 TDI (7A00); +SDR 16 TDI (6340); RUNTEST 1800 TCK; -SDR 16 TDI (808D); +SDR 16 TDI (00FF); RUNTEST 1800 TCK; -SDR 16 TDI (B800); +SDR 16 TDI (B880); RUNTEST 1800 TCK; -SDR 16 TDI (107F); +SDR 16 TDI (007F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4641,29 +4641,29 @@ SDR 16 TDI (B55D); RUNTEST 1800 TCK; SDR 16 TDI (2930); RUNTEST 1800 TCK; -SDR 16 TDI (7FE3); +SDR 16 TDI (7FE2); RUNTEST 1800 TCK; -SDR 16 TDI (F206); +SDR 16 TDI (3207); RUNTEST 1800 TCK; -SDR 16 TDI (9C01); +SDR 16 TDI (8327); RUNTEST 1800 TCK; -SDR 16 TDI (83F3); +SDR 16 TDI (33F3); RUNTEST 1800 TCK; -SDR 16 TDI (4082); +SDR 16 TDI (47FE); RUNTEST 1800 TCK; -SDR 16 TDI (DC98); +SDR 16 TDI (FC4F); RUNTEST 1800 TCK; -SDR 16 TDI (B31F); +SDR 16 TDI (A5FF); RUNTEST 1800 TCK; -SDR 16 TDI (9C98); +SDR 16 TDI (848E); RUNTEST 1800 TCK; -SDR 16 TDI (7910); +SDR 16 TDI (6418); RUNTEST 1800 TCK; -SDR 16 TDI (04FF); +SDR 16 TDI (F20F); RUNTEST 1800 TCK; -SDR 16 TDI (BE57); +SDR 16 TDI (B8C7); RUNTEST 1800 TCK; -SDR 16 TDI (0375); +SDR 16 TDI (87F5); RUNTEST 1800 TCK; SDR 16 TDI (6BFF); RUNTEST 1800 TCK; @@ -4675,27 +4675,27 @@ SDR 16 TDI (290F); RUNTEST 1800 TCK; SDR 16 TDI (5FE0); RUNTEST 1800 TCK; -SDR 16 TDI (7278); +SDR 16 TDI (1279); RUNTEST 1800 TCK; -SDR 16 TDI (B403); +SDR 16 TDI (A000); RUNTEST 1800 TCK; -SDR 16 TDI (A3F1); +SDR 16 TDI (37F0); RUNTEST 1800 TCK; -SDR 16 TDI (66C1); +SDR 16 TDI (6110); RUNTEST 1800 TCK; -SDR 16 TDI (F008); +SDR 16 TDI (7C40); RUNTEST 1800 TCK; -SDR 16 TDI (A11F); +SDR 16 TDI (A41F); RUNTEST 1800 TCK; -SDR 16 TDI (D800); +SDR 16 TDI (8480); RUNTEST 1800 TCK; -SDR 16 TDI (7010); +SDR 16 TDI (6404); RUNTEST 1800 TCK; -SDR 16 TDI (008F); +SDR 16 TDI (B10F); RUNTEST 1800 TCK; -SDR 16 TDI (9E50); +SDR 16 TDI (9880); RUNTEST 1800 TCK; -SDR 16 TDI (107F); +SDR 16 TDI (607F); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -4709,25 +4709,25 @@ SDR 16 TDI (73E6); RUNTEST 1800 TCK; SDR 16 TDI (2EEF); RUNTEST 1800 TCK; -SDR 16 TDI (B323); +SDR 16 TDI (B811); RUNTEST 1800 TCK; -SDR 16 TDI (21F3); +SDR 16 TDI (3972); RUNTEST 1800 TCK; -SDR 16 TDI (6262); +SDR 16 TDI (64EE); RUNTEST 1800 TCK; -SDR 16 TDI (7EEE); +SDR 16 TDI (F8EC); RUNTEST 1800 TCK; -SDR 16 TDI (89CB); +SDR 16 TDI (9D8F); RUNTEST 1800 TCK; -SDR 16 TDI (B813); +SDR 16 TDI (B999); RUNTEST 1800 TCK; -SDR 16 TDI (52C9); +SDR 16 TDI (57CC); RUNTEST 1800 TCK; -SDR 16 TDI (CCC7); +SDR 16 TDI (9EE7); RUNTEST 1800 TCK; -SDR 16 TDI (BBBB); +SDR 16 TDI (B999); RUNTEST 1800 TCK; -SDR 16 TDI (89FF); +SDR 16 TDI (B8FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4737,29 +4737,29 @@ SDR 16 TDI (BFFD); RUNTEST 1800 TCK; SDR 16 TDI (1111); RUNTEST 1800 TCK; -SDR 16 TDI (6153); +SDR 16 TDI (6157); RUNTEST 1800 TCK; SDR 16 TDI (6445); RUNTEST 1800 TCK; -SDR 16 TDI (8676); +SDR 16 TDI (9322); RUNTEST 1800 TCK; -SDR 16 TDI (74A9); +SDR 16 TDI (32A1); RUNTEST 1800 TCK; -SDR 16 TDI (5737); +SDR 16 TDI (4266); RUNTEST 1800 TCK; -SDR 16 TDI (3CCC); +SDR 16 TDI (7DC9); RUNTEST 1800 TCK; -SDR 16 TDI (B195); +SDR 16 TDI (B925); RUNTEST 1800 TCK; -SDR 16 TDI (1989); +SDR 16 TDI (1CCC); RUNTEST 1800 TCK; -SDR 16 TDI (71DD); +SDR 16 TDI (73DD); RUNTEST 1800 TCK; -SDR 16 TDI (DD92); +SDR 16 TDI (DCC2); RUNTEST 1800 TCK; -SDR 16 TDI (B199); +SDR 16 TDI (B5DD); RUNTEST 1800 TCK; -SDR 16 TDI (DCFF); +SDR 16 TDI (9DFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4769,27 +4769,27 @@ SDR 16 TDI (9FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FDF); +SDR 16 TDI (5FCF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEE); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (97FF); +SDR 16 TDI (93BB); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -4805,25 +4805,25 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BDBF); -RUNTEST 1800 TCK; -SDR 16 TDI (ADFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (BF7F); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F3FF); +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD79); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4831,31 +4831,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFEE); +SDR 16 TDI (FEFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); +SDR 16 TDI (FBFD); RUNTEST 1800 TCK; -SDR 16 TDI (9EE7); +SDR 16 TDI (96FF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFD); +SDR 16 TDI (F7FD); RUNTEST 1800 TCK; -SDR 16 TDI (5BBD); +SDR 16 TDI (5FBB); RUNTEST 1800 TCK; -SDR 16 TDI (FD9D); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (B6FF); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (DFCF); RUNTEST 1800 TCK; -SDR 16 TDI (5B7D); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBDF); +SDR 16 TDI (F6EF); RUNTEST 1800 TCK; -SDR 16 TDI (BCF7); +SDR 16 TDI (BF77); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (DBFD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4867,27 +4867,27 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (BFB6); +SDR 16 TDI (B77F); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EF9); +RUNTEST 1800 TCK; +SDR 16 TDI (BF3F); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (77FF); RUNTEST 1800 TCK; -SDR 16 TDI (FFD5); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BB3F); +SDR 16 TDI (9F75); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FF6F); -RUNTEST 1800 TCK; -SDR 16 TDI (9FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -4903,23 +4903,23 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFBF); RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (D5FF); +SDR 16 TDI (BFDB); RUNTEST 1800 TCK; -SDR 16 TDI (5BBF); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (D7FF); RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFBF); +SDR 16 TDI (CFBF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -4927,7 +4927,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (5DFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4937,176 +4961,16 @@ SDR 16 TDI (9FFE); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (4BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; SDR 16 TDI (5FFB); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7DF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7DF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BDF); -RUNTEST 1800 TCK; -SDR 16 TDI (4FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (5F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFB); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (757B); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (97FF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (4BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F9FF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (8F7E); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FF77); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEA7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (EBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (75DE); -RUNTEST 1800 TCK; -SDR 16 TDI (EFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FBFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); @@ -5115,21 +4979,45 @@ SDR 16 TDI (FFDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (DFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFD); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEEF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF75); +SDR 16 TDI (B6FF); RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (77EF); +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (5EF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (4BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -5137,13 +5025,29 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFE); +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (EFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFDB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -5151,31 +5055,127 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF9B); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ADDF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7DDA); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBEE); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF3F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (E9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (6F6E); +RUNTEST 1800 TCK; +SDR 16 TDI (AFDE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFC); +RUNTEST 1800 TCK; +SDR 16 TDI (7F6F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (77F3); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (AFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (FB5F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFE); +RUNTEST 1800 TCK; +SDR 16 TDI (F6EF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBD); +RUNTEST 1800 TCK; +SDR 16 TDI (6FD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -5185,27 +5185,27 @@ SDR 16 TDI (AD5F); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (6EEF); +RUNTEST 1800 TCK; +SDR 16 TDI (F9BF); RUNTEST 1800 TCK; SDR 16 TDI (BDFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (6EDC); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFD); RUNTEST 1800 TCK; @@ -5215,63 +5215,63 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (ABFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7BDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEEF); -RUNTEST 1800 TCK; SDR 16 TDI (FF7F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (A95D); +SDR 16 TDI (BDFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBE); +SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (6EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7E); -RUNTEST 1800 TCK; -SDR 16 TDI (7BBF); +SDR 16 TDI (7FDD); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FF75); +RUNTEST 1800 TCK; +SDR 16 TDI (7EB9); +RUNTEST 1800 TCK; +SDR 16 TDI (6FAF); +RUNTEST 1800 TCK; +SDR 16 TDI (BAFA); +RUNTEST 1800 TCK; +SDR 16 TDI (B3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (A95F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF4F); +RUNTEST 1800 TCK; SDR 16 TDI (7EDF); RUNTEST 1800 TCK; -SDR 16 TDI (DF7F); +SDR 16 TDI (7EFF); RUNTEST 1800 TCK; -SDR 16 TDI (BADB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DDFD); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; SDR 16 TDI (7BFF); RUNTEST 1800 TCK; @@ -5279,31 +5279,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (AEFF); RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7F7D); RUNTEST 1800 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF6F); +SDR 16 TDI (BDFD); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFBF); RUNTEST 1800 TCK; -SDR 16 TDI (7EEF); +SDR 16 TDI (6FEF); RUNTEST 1800 TCK; -SDR 16 TDI (3F7F); +SDR 16 TDI (F77F); RUNTEST 1800 TCK; -SDR 16 TDI (97FF); +SDR 16 TDI (9BFF); RUNTEST 1800 TCK; -SDR 16 TDI (DF7D); +SDR 16 TDI (FBFB); RUNTEST 1800 TCK; -SDR 16 TDI (4F9D); +SDR 16 TDI (57FF); RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (F77F); RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (FF7B); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; @@ -5311,49 +5311,753 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFB); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (97BF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFB); RUNTEST 1800 TCK; -SDR 16 TDI (9DFF); +SDR 16 TDI (5FFC); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBD); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (7FE7); +RUNTEST 1800 TCK; +SDR 16 TDI (DEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9FFE); +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5AFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBEE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (6E8F); +RUNTEST 1800 TCK; +SDR 16 TDI (FBEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F9DB); +RUNTEST 1800 TCK; +SDR 16 TDI (63F1); +RUNTEST 1800 TCK; +SDR 16 TDI (5E2F); +RUNTEST 1800 TCK; +SDR 16 TDI (9CAB); +RUNTEST 1800 TCK; +SDR 16 TDI (72FF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); RUNTEST 1800 TCK; SDR 16 TDI (FFBF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFE); +SDR 16 TDI (7F7F); RUNTEST 1800 TCK; -SDR 16 TDI (EFEF); +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BA7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (77F7); +RUNTEST 1800 TCK; +SDR 16 TDI (F63F); +RUNTEST 1800 TCK; +SDR 16 TDI (98FB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE7); +RUNTEST 1800 TCK; +SDR 16 TDI (5F5F); +RUNTEST 1800 TCK; +SDR 16 TDI (A9DF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFD); +RUNTEST 1800 TCK; +SDR 16 TDI (9DFA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (95AE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF3); +RUNTEST 1800 TCK; +SDR 16 TDI (5D78); +RUNTEST 1800 TCK; +SDR 16 TDI (DDFB); +RUNTEST 1800 TCK; +SDR 16 TDI (A7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (EE7D); +RUNTEST 1800 TCK; +SDR 16 TDI (7CEF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FE); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (8B5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FC3F); +RUNTEST 1800 TCK; +SDR 16 TDI (5DCB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (A32A); +RUNTEST 1800 TCK; +SDR 16 TDI (BEE0); +RUNTEST 1800 TCK; +SDR 16 TDI (7E0A); +RUNTEST 1800 TCK; +SDR 16 TDI (704A); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (28B8); +RUNTEST 1800 TCK; +SDR 16 TDI (600A); +RUNTEST 1800 TCK; +SDR 16 TDI (74AF); +RUNTEST 1800 TCK; +SDR 16 TDI (9420); +RUNTEST 1800 TCK; +SDR 16 TDI (00F4); +RUNTEST 1800 TCK; +SDR 16 TDI (59FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FC3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7EEA); +RUNTEST 1800 TCK; +SDR 16 TDI (1FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (A32A); +RUNTEST 1800 TCK; +SDR 16 TDI (8370); +RUNTEST 1800 TCK; +SDR 16 TDI (600A); +RUNTEST 1800 TCK; +SDR 16 TDI (100A); +RUNTEST 1800 TCK; +SDR 16 TDI (8A1F); +RUNTEST 1800 TCK; +SDR 16 TDI (A820); +RUNTEST 1800 TCK; +SDR 16 TDI (4000); +RUNTEST 1800 TCK; +SDR 16 TDI (A00D); +RUNTEST 1800 TCK; +SDR 16 TDI (B820); +RUNTEST 1800 TCK; +SDR 16 TDI (18FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FF3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF3); +RUNTEST 1800 TCK; +SDR 16 TDI (E1FF); +RUNTEST 1800 TCK; +SDR 16 TDI (A366); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7E1A); +RUNTEST 1800 TCK; +SDR 16 TDI (7049); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (CFF8); +RUNTEST 1800 TCK; +SDR 16 TDI (6000); +RUNTEST 1800 TCK; +SDR 16 TDI (841F); +RUNTEST 1800 TCK; +SDR 16 TDI (B9C6); +RUNTEST 1800 TCK; +SDR 16 TDI (7C74); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FF3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF2); +RUNTEST 1800 TCK; +SDR 16 TDI (01FE); +RUNTEST 1800 TCK; +SDR 16 TDI (A326); +RUNTEST 1800 TCK; +SDR 16 TDI (43F0); +RUNTEST 1800 TCK; +SDR 16 TDI (6712); +RUNTEST 1800 TCK; +SDR 16 TDI (1209); +RUNTEST 1800 TCK; +SDR 16 TDI (AC1F); +RUNTEST 1800 TCK; +SDR 16 TDI (C801); +RUNTEST 1800 TCK; +SDR 16 TDI (78C0); +RUNTEST 1800 TCK; +SDR 16 TDI (098F); +RUNTEST 1800 TCK; +SDR 16 TDI (98C0); +RUNTEST 1800 TCK; +SDR 16 TDI (047F); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3333); +RUNTEST 1800 TCK; +SDR 16 TDI (63EE); +RUNTEST 1800 TCK; +SDR 16 TDI (E667); +RUNTEST 1800 TCK; +SDR 16 TDI (B33B); +RUNTEST 1800 TCK; +SDR 16 TDI (B9F3); +RUNTEST 1800 TCK; +SDR 16 TDI (6666); +RUNTEST 1800 TCK; +SDR 16 TDI (3C8E); +RUNTEST 1800 TCK; +SDR 16 TDI (B9CF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9D9); +RUNTEST 1800 TCK; +SDR 16 TDI (71CC); +RUNTEST 1800 TCK; +SDR 16 TDI (CCE7); +RUNTEST 1800 TCK; +SDR 16 TDI (B9B9); +RUNTEST 1800 TCK; +SDR 16 TDI (9BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7677); +RUNTEST 1800 TCK; +SDR 16 TDI (6946); +RUNTEST 1800 TCK; +SDR 16 TDI (6777); +RUNTEST 1800 TCK; +SDR 16 TDI (9733); +RUNTEST 1800 TCK; +SDR 16 TDI (30A9); +RUNTEST 1800 TCK; +SDR 16 TDI (4727); +RUNTEST 1800 TCK; +SDR 16 TDI (7DDC); +RUNTEST 1800 TCK; +SDR 16 TDI (B385); +RUNTEST 1800 TCK; +SDR 16 TDI (199D); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDD); +RUNTEST 1800 TCK; +SDR 16 TDI (D9C2); +RUNTEST 1800 TCK; +SDR 16 TDI (B59C); +RUNTEST 1800 TCK; +SDR 16 TDI (D9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9FFB); RUNTEST 1800 TCK; -SDR 16 TDI (EFF7); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (53FF); +SDR 16 TDI (5FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77EE); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFED); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7EB5); +RUNTEST 1800 TCK; +SDR 16 TDI (8FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (D7FA); +RUNTEST 1800 TCK; +SDR 16 TDI (77F7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFED); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (57FD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E7FE); +RUNTEST 1800 TCK; +SDR 16 TDI (7F76); +RUNTEST 1800 TCK; +SDR 16 TDI (FEBA); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FDE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (57FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -5363,420 +6067,124 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (EF6F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B3FF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7E); -RUNTEST 1800 TCK; -SDR 16 TDI (5EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (97F7); -RUNTEST 1800 TCK; -SDR 16 TDI (BEAB); -RUNTEST 1800 TCK; -SDR 16 TDI (DF75); -RUNTEST 1800 TCK; -SDR 16 TDI (7F5F); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B5BF); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEFB); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7DB7); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F77F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9CEF); +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (79DD); -RUNTEST 1800 TCK; -SDR 16 TDI (B57F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (76EE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFAF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF1); -RUNTEST 1800 TCK; -SDR 16 TDI (5CFA); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7AB3); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEB); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (49B1); -RUNTEST 1800 TCK; -SDR 16 TDI (3FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F4E); -RUNTEST 1800 TCK; -SDR 16 TDI (29CF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF1E); -RUNTEST 1800 TCK; -SDR 16 TDI (BB7F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (8B5C); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (0F02); -RUNTEST 1800 TCK; -SDR 16 TDI (55CA); -RUNTEST 1800 TCK; -SDR 16 TDI (41E0); -RUNTEST 1800 TCK; -SDR 16 TDI (A223); -RUNTEST 1800 TCK; -SDR 16 TDI (3EEC); -RUNTEST 1800 TCK; -SDR 16 TDI (7E09); -RUNTEST 1800 TCK; -SDR 16 TDI (FF8F); -RUNTEST 1800 TCK; -SDR 16 TDI (B017); -RUNTEST 1800 TCK; -SDR 16 TDI (18E0); -RUNTEST 1800 TCK; -SDR 16 TDI (7540); -RUNTEST 1800 TCK; -SDR 16 TDI (208F); -RUNTEST 1800 TCK; -SDR 16 TDI (9011); -RUNTEST 1800 TCK; -SDR 16 TDI (0074); -RUNTEST 1800 TCK; -SDR 16 TDI (59FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A5FC); -RUNTEST 1800 TCK; -SDR 16 TDI (0C02); -RUNTEST 1800 TCK; -SDR 16 TDI (77EA); -RUNTEST 1800 TCK; -SDR 16 TDI (0000); -RUNTEST 1800 TCK; -SDR 16 TDI (A223); -RUNTEST 1800 TCK; -SDR 16 TDI (03FC); -RUNTEST 1800 TCK; -SDR 16 TDI (6001); -RUNTEST 1800 TCK; -SDR 16 TDI (FF8F); -RUNTEST 1800 TCK; -SDR 16 TDI (901F); -RUNTEST 1800 TCK; -SDR 16 TDI (98E0); -RUNTEST 1800 TCK; -SDR 16 TDI (5503); -RUNTEST 1800 TCK; -SDR 16 TDI (000F); -RUNTEST 1800 TCK; -SDR 16 TDI (A800); -RUNTEST 1800 TCK; -SDR 16 TDI (047F); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (8E30); -RUNTEST 1800 TCK; -SDR 16 TDI (67F2); -RUNTEST 1800 TCK; -SDR 16 TDI (09E2); -RUNTEST 1800 TCK; -SDR 16 TDI (A223); -RUNTEST 1800 TCK; -SDR 16 TDI (3FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7E19); -RUNTEST 1800 TCK; -SDR 16 TDI (7F8F); -RUNTEST 1800 TCK; -SDR 16 TDI (B01F); -RUNTEST 1800 TCK; -SDR 16 TDI (98E0); -RUNTEST 1800 TCK; -SDR 16 TDI (7900); -RUNTEST 1800 TCK; -SDR 16 TDI (0B0F); -RUNTEST 1800 TCK; -SDR 16 TDI (BE87); -RUNTEST 1800 TCK; -SDR 16 TDI (9874); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BAF8); -RUNTEST 1800 TCK; -SDR 16 TDI (0C30); -RUNTEST 1800 TCK; -SDR 16 TDI (67F2); -RUNTEST 1800 TCK; -SDR 16 TDI (0000); -RUNTEST 1800 TCK; -SDR 16 TDI (A3E3); -RUNTEST 1800 TCK; -SDR 16 TDI (03FC); -RUNTEST 1800 TCK; -SDR 16 TDI (6239); -RUNTEST 1800 TCK; -SDR 16 TDI (7F8F); -RUNTEST 1800 TCK; -SDR 16 TDI (B01F); -RUNTEST 1800 TCK; -SDR 16 TDI (98E0); -RUNTEST 1800 TCK; -SDR 16 TDI (7903); -RUNTEST 1800 TCK; -SDR 16 TDI (030F); -RUNTEST 1800 TCK; -SDR 16 TDI (9E07); -RUNTEST 1800 TCK; -SDR 16 TDI (807F); +SDR 16 TDI (FEEF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (3233); -RUNTEST 1800 TCK; -SDR 16 TDI (63EE); -RUNTEST 1800 TCK; -SDR 16 TDI (66E7); -RUNTEST 1800 TCK; -SDR 16 TDI (BB33); -RUNTEST 1800 TCK; -SDR 16 TDI (B9F3); -RUNTEST 1800 TCK; -SDR 16 TDI (6666); -RUNTEST 1800 TCK; -SDR 16 TDI (3CCC); -RUNTEST 1800 TCK; -SDR 16 TDI (B9CF); -RUNTEST 1800 TCK; -SDR 16 TDI (B898); -RUNTEST 1800 TCK; -SDR 16 TDI (77CC); -RUNTEST 1800 TCK; -SDR 16 TDI (CDC7); -RUNTEST 1800 TCK; -SDR 16 TDI (BD99); -RUNTEST 1800 TCK; -SDR 16 TDI (99FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7366); -RUNTEST 1800 TCK; -SDR 16 TDI (6947); -RUNTEST 1800 TCK; -SDR 16 TDI (3273); -RUNTEST 1800 TCK; -SDR 16 TDI (9267); -RUNTEST 1800 TCK; -SDR 16 TDI (32A3); -RUNTEST 1800 TCK; -SDR 16 TDI (4727); -RUNTEST 1800 TCK; -SDR 16 TDI (7D9D); -RUNTEST 1800 TCK; -SDR 16 TDI (B395); -RUNTEST 1800 TCK; -SDR 16 TDI (1DCD); -RUNTEST 1800 TCK; -SDR 16 TDI (73DD); -RUNTEST 1800 TCK; -SDR 16 TDI (D9D2); -RUNTEST 1800 TCK; -SDR 16 TDI (B4DD); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9EFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (57DF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFE); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FB); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BB76); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFC); -RUNTEST 1800 TCK; -SDR 16 TDI (FEF7); -RUNTEST 1800 TCK; -SDR 16 TDI (9FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (57FA); -RUNTEST 1800 TCK; -SDR 16 TDI (77F7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (D57F); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF9F); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7D); -RUNTEST 1800 TCK; -SDR 16 TDI (5FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (57F5); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFF7); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -5789,32 +6197,8 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDF7); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F57F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); @@ -5823,394 +6207,10 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFD5); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BD7F); -RUNTEST 1800 TCK; SDR 16 TDI (FFFD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEDA); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (57FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (57FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7EF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEEE); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9FFF); @@ -6227,7 +6227,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (9B9F); +SDR 16 TDI (939F); RUNTEST 1800 TCK; SDR 16 TDI (BCCF); RUNTEST 1800 TCK; @@ -6243,15 +6243,15 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFB); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFEE); RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 1800 TCK; SDR 16 TDI (BF7F); RUNTEST 1800 TCK; @@ -7805,7 +7805,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (FEEE); SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFEF); @@ -7817,8 +7817,8 @@ SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FEEE); @@ -7831,13 +7831,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (F8C7); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BF4E); -SDR 16 TDI (FFFF) TDO (C7FF); +SDR 16 TDI (FFFF) TDO (C7FE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); @@ -7845,11 +7845,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFCE); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (BFCF); +SDR 16 TDI (FFFF) TDO (3FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -7858,15 +7858,15 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FEF7); SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFDE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEEF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -7874,18 +7874,18 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (F7FE); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); @@ -7893,2250 +7893,2250 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7BF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BEF7); SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBEF); -SDR 16 TDI (FFFF) TDO (BEFD); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BF6E); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (BCFB); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF6F); -SDR 16 TDI (FFFF) TDO (BBFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFE); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (6F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BF7D); -SDR 16 TDI (FFFF) TDO (FBFA); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFD); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (F7DE); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (EFF9); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (DEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7DFE); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (BFCD); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBE7); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FD7F); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (B7F7); -SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FF7D); -SDR 16 TDI (FFFF) TDO (7EF7); -SDR 16 TDI (FFFF) TDO (FEDF); -SDR 16 TDI (FFFF) TDO (BFF5); -SDR 16 TDI (FFFF) TDO (DD7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFE6); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (BB7B); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (AFBB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (DFF7); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6F7F); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (DFF7); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (7D7B); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (BEFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (BFB3); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BB35); +SDR 16 TDI (FFFF) TDO (76F9); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (BCDE); SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (6DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (65FF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5DF); -SDR 16 TDI (FFFF) TDO (77BE); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FED); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (BBF5); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AAFF); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DF7D); -SDR 16 TDI (FFFF) TDO (A77D); -SDR 16 TDI (FFFF) TDO (E7FF); -SDR 16 TDI (FFFF) TDO (73FB); -SDR 16 TDI (FFFF) TDO (3FEF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7EDB); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (BFEB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FD7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7B7D); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (F57F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFDF); SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AEFD); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DD7F); +SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (2363); -SDR 16 TDI (FFFF) TDO (7F76); -SDR 16 TDI (FFFF) TDO (9EC8); -SDR 16 TDI (FFFF) TDO (B8AE); -SDR 16 TDI (FFFF) TDO (DBFD); -SDR 16 TDI (FFFF) TDO (6D7A); -SDR 16 TDI (FFFF) TDO (9CFE); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (F83F); -SDR 16 TDI (FFFF) TDO (7096); -SDR 16 TDI (FFFF) TDO (BB7E); -SDR 16 TDI (FFFF) TDO (BDD7); -SDR 16 TDI (FFFF) TDO (09FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (7FF7); SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (57FA); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (57FD); +SDR 16 TDI (FFFF) TDO (BFBD); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (6EDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AAFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F77D); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F5F); SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFB5); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F79); -SDR 16 TDI (FFFF) TDO (E5EF); -SDR 16 TDI (FFFF) TDO (BF6F); -SDR 16 TDI (FFFF) TDO (FEEE); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DBF3); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDDF); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7BF); -SDR 16 TDI (FFFF) TDO (A7FF); -SDR 16 TDI (FFFF) TDO (27F7); -SDR 16 TDI (FFFF) TDO (73EF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (BEF9); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); -SDR 16 TDI (FFFF) TDO (2828); -SDR 16 TDI (FFFF) TDO (67C1); -SDR 16 TDI (FFFF) TDO (40DE); -SDR 16 TDI (FFFF) TDO (A003); -SDR 16 TDI (FFFF) TDO (E3E4); -SDR 16 TDI (FFFF) TDO (6009); -SDR 16 TDI (FFFF) TDO (9030); -SDR 16 TDI (FFFF) TDO (A19F); -SDR 16 TDI (FFFF) TDO (0180); -SDR 16 TDI (FFFF) TDO (6002); -SDR 16 TDI (FFFF) TDO (4FCF); -SDR 16 TDI (FFFF) TDO (B680); -SDR 16 TDI (FFFF) TDO (6575); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (2828); -SDR 16 TDI (FFFF) TDO (66E1); -SDR 16 TDI (FFFF) TDO (00AE); -SDR 16 TDI (FFFF) TDO (AC42); -SDR 16 TDI (FFFF) TDO (C3F4); -SDR 16 TDI (FFFF) TDO (7009); -SDR 16 TDI (FFFF) TDO (9030); -SDR 16 TDI (FFFF) TDO (A19F); -SDR 16 TDI (FFFF) TDO (8180); -SDR 16 TDI (FFFF) TDO (60F7); -SDR 16 TDI (FFFF) TDO (700D); -SDR 16 TDI (FFFF) TDO (BE00); -SDR 16 TDI (FFFF) TDO (657F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B67C); -SDR 16 TDI (FFFF) TDO (F00C); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (015C); -SDR 16 TDI (FFFF) TDO (A025); -SDR 16 TDI (FFFF) TDO (9FF8); -SDR 16 TDI (FFFF) TDO (6011); -SDR 16 TDI (FFFF) TDO (9C3C); -SDR 16 TDI (FFFF) TDO (A19F); -SDR 16 TDI (FFFF) TDO (8418); -SDR 16 TDI (FFFF) TDO (6020); -SDR 16 TDI (FFFF) TDO (0FCF); -SDR 16 TDI (FFFF) TDO (BE09); -SDR 16 TDI (FFFF) TDO (8075); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7C); -SDR 16 TDI (FFFF) TDO (C03C); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (013E); -SDR 16 TDI (FFFF) TDO (A8A5); -SDR 16 TDI (FFFF) TDO (BFF8); -SDR 16 TDI (FFFF) TDO (6010); -SDR 16 TDI (FFFF) TDO (9C3C); -SDR 16 TDI (FFFF) TDO (A19F); -SDR 16 TDI (FFFF) TDO (8118); -SDR 16 TDI (FFFF) TDO (6226); -SDR 16 TDI (FFFF) TDO (390F); -SDR 16 TDI (FFFF) TDO (BE00); -SDR 16 TDI (FFFF) TDO (365F); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (3B3B); -SDR 16 TDI (FFFF) TDO (62E6); -SDR 16 TDI (FFFF) TDO (6623); -SDR 16 TDI (FFFF) TDO (A23A); -SDR 16 TDI (FFFF) TDO (2171); -SDR 16 TDI (FFFF) TDO (6266); -SDR 16 TDI (FFFF) TDO (38CE); -SDR 16 TDI (FFFF) TDO (B98B); -SDR 16 TDI (FFFF) TDO (9999); -SDR 16 TDI (FFFF) TDO (73EE); -SDR 16 TDI (FFFF) TDO (ECC7); -SDR 16 TDI (FFFF) TDO (BCC8); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (8B77); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (CCE9); +SDR 16 TDI (FFFF) TDO (BF3D); +SDR 16 TDI (FFFF) TDO (DBF6); +SDR 16 TDI (FFFF) TDO (6DFE); +SDR 16 TDI (FFFF) TDO (D8FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F88E); +SDR 16 TDI (FFFF) TDO (71CD); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (BDF9); SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7E); -SDR 16 TDI (FFFF) TDO (7333); -SDR 16 TDI (FFFF) TDO (6547); -SDR 16 TDI (FFFF) TDO (6777); -SDR 16 TDI (FFFF) TDO (B773); -SDR 16 TDI (FFFF) TDO (76AB); -SDR 16 TDI (FFFF) TDO (7673); -SDR 16 TDI (FFFF) TDO (7D9C); -SDR 16 TDI (FFFF) TDO (B995); -SDR 16 TDI (FFFF) TDO (19CC); -SDR 16 TDI (FFFF) TDO (79CC); -SDR 16 TDI (FFFF) TDO (CCC2); -SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (B3DF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (7E7F); +SDR 16 TDI (FFFF) TDO (5DDF); +SDR 16 TDI (FFFF) TDO (BFCF); +SDR 16 TDI (FFFF) TDO (7F6E); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (3BB7); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (67F9); +SDR 16 TDI (FFFF) TDO (736F); +SDR 16 TDI (FFFF) TDO (3FFC); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FB3); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BA36); +SDR 16 TDI (FFFF) TDO (DCFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE7D); +SDR 16 TDI (FFFF) TDO (2000); +SDR 16 TDI (FFFF) TDO (67C1); +SDR 16 TDI (FFFF) TDO (5E09); +SDR 16 TDI (FFFF) TDO (BC00); +SDR 16 TDI (FFFF) TDO (03E3); +SDR 16 TDI (FFFF) TDO (6618); +SDR 16 TDI (FFFF) TDO (1200); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (0202); +SDR 16 TDI (FFFF) TDO (7F00); +SDR 16 TDI (FFFF) TDO (FF0F); +SDR 16 TDI (FFFF) TDO (B068); +SDR 16 TDI (FFFF) TDO (18F5); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9DD); +SDR 16 TDI (FFFF) TDO (4000); +SDR 16 TDI (FFFF) TDO (67E1); +SDR 16 TDI (FFFF) TDO (4E0B); +SDR 16 TDI (FFFF) TDO (BC01); +SDR 16 TDI (FFFF) TDO (4778); +SDR 16 TDI (FFFF) TDO (7018); +SDR 16 TDI (FFFF) TDO (1000); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (82F2); +SDR 16 TDI (FFFF) TDO (7000); +SDR 16 TDI (FFFF) TDO (8F0F); +SDR 16 TDI (FFFF) TDO (A808); +SDR 16 TDI (FFFF) TDO (18FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7C); +SDR 16 TDI (FFFF) TDO (3800); +SDR 16 TDI (FFFF) TDO (67E0); +SDR 16 TDI (FFFF) TDO (5C3D); +SDR 16 TDI (FFFF) TDO (BF30); +SDR 16 TDI (FFFF) TDO (03FD); +SDR 16 TDI (FFFF) TDO (7A18); +SDR 16 TDI (FFFF) TDO (900C); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (8100); +SDR 16 TDI (FFFF) TDO (6F09); +SDR 16 TDI (FFFF) TDO (F0CF); +SDR 16 TDI (FFFF) TDO (B885); +SDR 16 TDI (FFFF) TDO (80F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDDC); +SDR 16 TDI (FFFF) TDO (1800); +SDR 16 TDI (FFFF) TDO (67E0); +SDR 16 TDI (FFFF) TDO (DE3F); +SDR 16 TDI (FFFF) TDO (BF30); +SDR 16 TDI (FFFF) TDO (03F0); +SDR 16 TDI (FFFF) TDO (6018); +SDR 16 TDI (FFFF) TDO (100C); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (8480); +SDR 16 TDI (FFFF) TDO (6710); +SDR 16 TDI (FFFF) TDO (0CCF); +SDR 16 TDI (FFFF) TDO (B801); +SDR 16 TDI (FFFF) TDO (807F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (3B33); +SDR 16 TDI (FFFF) TDO (62E6); +SDR 16 TDI (FFFF) TDO (222F); +SDR 16 TDI (FFFF) TDO (B23A); +SDR 16 TDI (FFFF) TDO (2171); +SDR 16 TDI (FFFF) TDO (6266); +SDR 16 TDI (FFFF) TDO (78CE); +SDR 16 TDI (FFFF) TDO (B98B); +SDR 16 TDI (FFFF) TDO (9998); +SDR 16 TDI (FFFF) TDO (718C); +SDR 16 TDI (FFFF) TDO (FEE7); +SDR 16 TDI (FFFF) TDO (BBBB); SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7FDC); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7333); +SDR 16 TDI (FFFF) TDO (6547); +SDR 16 TDI (FFFF) TDO (7767); +SDR 16 TDI (FFFF) TDO (B773); +SDR 16 TDI (FFFF) TDO (76AB); +SDR 16 TDI (FFFF) TDO (7672); +SDR 16 TDI (FFFF) TDO (7D9C); +SDR 16 TDI (FFFF) TDO (B995); +SDR 16 TDI (FFFF) TDO (19CD); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (CCC2); +SDR 16 TDI (FFFF) TDO (B199); +SDR 16 TDI (FFFF) TDO (CDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BADF); +SDR 16 TDI (FFFF) TDO (BDDD); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBEF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B3FB); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7FB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (3F7F); +SDR 16 TDI (FFFF) TDO (BFB6); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (DFDF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FBB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (76FF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FF7B); -SDR 16 TDI (FFFF) TDO (7B9B); -SDR 16 TDI (FFFF) TDO (DDEF); -SDR 16 TDI (FFFF) TDO (BB4B); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFB); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FEFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DEDF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (BF5D); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDF7); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (3AFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFDB); SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (F6FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDD); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FEFC); -SDR 16 TDI (FFFF) TDO (BABE); -SDR 16 TDI (FFFF) TDO (FBF7); -SDR 16 TDI (FFFF) TDO (6FFA); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FAFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EEDF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FBDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (DFFE); -SDR 16 TDI (FFFF) TDO (7F3F); -SDR 16 TDI (FFFF) TDO (BB5D); +SDR 16 TDI (FFFF) TDO (7E7B); +SDR 16 TDI (FFFF) TDO (FDDF); SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (D7DE); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BDEF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (FBDF); +SDR 16 TDI (FFFF) TDO (BDFD); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (EDFE); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (FF37); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (CEBF); +SDR 16 TDI (FFFF) TDO (AF7F); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5DDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FED); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7EFB); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDDF); SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FDBF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (EF5F); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (66FE); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (BEFD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (BF57); -SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6AFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (BFAF); -SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BE6F); -SDR 16 TDI (FFFF) TDO (FFAF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFD); -SDR 16 TDI (FFFF) TDO (FD6E); -SDR 16 TDI (FFFF) TDO (B5FF); -SDR 16 TDI (FFFF) TDO (FB2F); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDAF); -SDR 16 TDI (FFFF) TDO (AFF7); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (EEEF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7CBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDCB); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7BEA); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFED); -SDR 16 TDI (FFFF) TDO (FDF5); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FF9D); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (DFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (BFBE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BA5F); -SDR 16 TDI (FFFF) TDO (F7FD); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BED5); -SDR 16 TDI (FFFF) TDO (FFBB); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (7D7D); +SDR 16 TDI (FFFF) TDO (EFBE); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (F5AF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (CFF5); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (EFD6); -SDR 16 TDI (FFFF) TDO (77DC); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFF3); +SDR 16 TDI (FFFF) TDO (FFDE); SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (BEFB); -SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DDE7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (ED6D); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FF7E); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (BBEC); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (EDEF); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (7DFD); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6AFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (76ED); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FE5D); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FDAF); +SDR 16 TDI (FFFF) TDO (6FBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFD6); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7BDF); -SDR 16 TDI (FFFF) TDO (B6FF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (DDD5); -SDR 16 TDI (FFFF) TDO (B3BF); -SDR 16 TDI (FFFF) TDO (DDD7); -SDR 16 TDI (FFFF) TDO (7F6E); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (87BF); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (D6FF); SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (E725); -SDR 16 TDI (FFFF) TDO (BEE6); -SDR 16 TDI (FFFF) TDO (63FE); -SDR 16 TDI (FFFF) TDO (6F6F); -SDR 16 TDI (FFFF) TDO (DEAD); -SDR 16 TDI (FFFF) TDO (ADCF); -SDR 16 TDI (FFFF) TDO (B8AE); -SDR 16 TDI (FFFF) TDO (7F4D); -SDR 16 TDI (FFFF) TDO (EDBD); -SDR 16 TDI (FFFF) TDO (BFDB); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5FE); -SDR 16 TDI (FFFF) TDO (7E7B); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (7DF6); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (EFF5); -SDR 16 TDI (FFFF) TDO (77BE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFE6); -SDR 16 TDI (FFFF) TDO (B8EA); +SDR 16 TDI (FFFF) TDO (7B7E); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (B6BF); +SDR 16 TDI (FFFF) TDO (DF6F); +SDR 16 TDI (FFFF) TDO (7EF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CFF5); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9FF); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (F3FF); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BAD7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAAF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (ABAF); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7FCB); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (EDDF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (EFAF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFF4); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B5FD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDEF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBD); +SDR 16 TDI (FFFF) TDO (DFFB); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (3EDD); +SDR 16 TDI (FFFF) TDO (BFB7); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (7F6F); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFC4); -SDR 16 TDI (FFFF) TDO (77F2); -SDR 16 TDI (FFFF) TDO (9CDF); -SDR 16 TDI (FFFF) TDO (B13F); -SDR 16 TDI (FFFF) TDO (9FE9); -SDR 16 TDI (FFFF) TDO (7699); -SDR 16 TDI (FFFF) TDO (3BF6); -SDR 16 TDI (FFFF) TDO (BB7E); -SDR 16 TDI (FFFF) TDO (DFFB); -SDR 16 TDI (FFFF) TDO (7BF3); -SDR 16 TDI (FFFF) TDO (F2D7); -SDR 16 TDI (FFFF) TDO (BBBD); +SDR 16 TDI (FFFF) TDO (FF7D); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (AFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFA); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BBFA); +SDR 16 TDI (FFFF) TDO (AFDF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (6EFE); +SDR 16 TDI (FFFF) TDO (FBB3); +SDR 16 TDI (FFFF) TDO (B8FF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (7AFE); +SDR 16 TDI (FFFF) TDO (BFAF); +SDR 16 TDI (FFFF) TDO (BF9F); SDR 16 TDI (FFFF) TDO (E7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5E); -SDR 16 TDI (FFFF) TDO (2EF7); -SDR 16 TDI (FFFF) TDO (77DB); -SDR 16 TDI (FFFF) TDO (E00A); -SDR 16 TDI (FFFF) TDO (AC40); -SDR 16 TDI (FFFF) TDO (4BCF); -SDR 16 TDI (FFFF) TDO (60E6); -SDR 16 TDI (FFFF) TDO (1EEF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (1B98); -SDR 16 TDI (FFFF) TDO (7028); -SDR 16 TDI (FFFF) TDO (C00F); -SDR 16 TDI (FFFF) TDO (B180); -SDR 16 TDI (FFFF) TDO (C0F5); +SDR 16 TDI (FFFF) TDO (B5FE); +SDR 16 TDI (FFFF) TDO (D13B); +SDR 16 TDI (FFFF) TDO (6FF6); +SDR 16 TDI (FFFF) TDO (F5A1); +SDR 16 TDI (FFFF) TDO (B7A7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (773B); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (A7DF); +SDR 16 TDI (FFFF) TDO (BDC3); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (6E5E); +SDR 16 TDI (FFFF) TDO (B87B); +SDR 16 TDI (FFFF) TDO (9BEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7EE4); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (9AFE); +SDR 16 TDI (FFFF) TDO (B85B); +SDR 16 TDI (FFFF) TDO (FBF3); +SDR 16 TDI (FFFF) TDO (79E5); +SDR 16 TDI (FFFF) TDO (3FFC); +SDR 16 TDI (FFFF) TDO (BF3D); +SDR 16 TDI (FFFF) TDO (C67D); +SDR 16 TDI (FFFF) TDO (7FC3); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFAC); +SDR 16 TDI (FFFF) TDO (C3C3); +SDR 16 TDI (FFFF) TDO (7FC3); +SDR 16 TDI (FFFF) TDO (BA08); +SDR 16 TDI (FFFF) TDO (A950); +SDR 16 TDI (FFFF) TDO (C3E4); +SDR 16 TDI (FFFF) TDO (6E07); +SDR 16 TDI (FFFF) TDO (F5AE); +SDR 16 TDI (FFFF) TDO (B61E); +SDR 16 TDI (FFFF) TDO (39D9); +SDR 16 TDI (FFFF) TDO (700D); +SDR 16 TDI (FFFF) TDO (8C0F); +SDR 16 TDI (FFFF) TDO (B029); +SDR 16 TDI (FFFF) TDO (89F5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FE); -SDR 16 TDI (FFFF) TDO (0ED2); -SDR 16 TDI (FFFF) TDO (77BB); -SDR 16 TDI (FFFF) TDO (4100); -SDR 16 TDI (FFFF) TDO (AC40); -SDR 16 TDI (FFFF) TDO (43F3); -SDR 16 TDI (FFFF) TDO (60A0); -SDR 16 TDI (FFFF) TDO (1EEC); -SDR 16 TDI (FFFF) TDO (B9DF); -SDR 16 TDI (FFFF) TDO (9BB8); -SDR 16 TDI (FFFF) TDO (7070); -SDR 16 TDI (FFFF) TDO (C10F); -SDR 16 TDI (FFFF) TDO (B980); -SDR 16 TDI (FFFF) TDO (58FF); +SDR 16 TDI (FFFF) TDO (B9FC); +SDR 16 TDI (FFFF) TDO (4284); +SDR 16 TDI (FFFF) TDO (67A3); +SDR 16 TDI (FFFF) TDO (F90A); +SDR 16 TDI (FFFF) TDO (A000); +SDR 16 TDI (FFFF) TDO (C3D4); +SDR 16 TDI (FFFF) TDO (6606); +SDR 16 TDI (FFFF) TDO (95AA); +SDR 16 TDI (FFFF) TDO (A1FB); +SDR 16 TDI (FFFF) TDO (9A91); +SDR 16 TDI (FFFF) TDO (7008); +SDR 16 TDI (FFFF) TDO (0C0D); +SDR 16 TDI (FFFF) TDO (BC09); +SDR 16 TDI (FFFF) TDO (81FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEAF); -SDR 16 TDI (FFFF) TDO (B83F); +SDR 16 TDI (FFFF) TDO (BF5C); +SDR 16 TDI (FFFF) TDO (F3D3); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (3C12); +SDR 16 TDI (FFFF) TDO (AD90); +SDR 16 TDI (FFFF) TDO (CFFC); +SDR 16 TDI (FFFF) TDO (6641); +SDR 16 TDI (FFFF) TDO (F0FC); +SDR 16 TDI (FFFF) TDO (B71F); +SDR 16 TDI (FFFF) TDO (C83F); +SDR 16 TDI (FFFF) TDO (7F37); +SDR 16 TDI (FFFF) TDO (0C4F); +SDR 16 TDI (FFFF) TDO (B849); +SDR 16 TDI (FFFF) TDO (81F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDEC); +SDR 16 TDI (FFFF) TDO (01D9); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (7892); +SDR 16 TDI (FFFF) TDO (AD18); +SDR 16 TDI (FFFF) TDO (CFF8); +SDR 16 TDI (FFFF) TDO (6644); +SDR 16 TDI (FFFF) TDO (10F0); +SDR 16 TDI (FFFF) TDO (A7FF); +SDR 16 TDI (FFFF) TDO (8498); +SDR 16 TDI (FFFF) TDO (6031); +SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (B869); +SDR 16 TDI (FFFF) TDO (81FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6EC2); -SDR 16 TDI (FFFF) TDO (A026); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7F6F); -SDR 16 TDI (FFFF) TDO (7CCF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7F7); +SDR 16 TDI (FFFF) TDO (9B3B); +SDR 16 TDI (FFFF) TDO (73E2); +SDR 16 TDI (FFFF) TDO (FE67); +SDR 16 TDI (FFFF) TDO (BBB3); +SDR 16 TDI (FFFF) TDO (31F7); +SDR 16 TDI (FFFF) TDO (6E66); +SDR 16 TDI (FFFF) TDO (FCCC); SDR 16 TDI (FFFF) TDO (B98F); -SDR 16 TDI (FFFF) TDO (D99E); -SDR 16 TDI (FFFF) TDO (7300); -SDR 16 TDI (FFFF) TDO (C067); -SDR 16 TDI (FFFF) TDO (B990); -SDR 16 TDI (FFFF) TDO (23F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (A012); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (C2C2); -SDR 16 TDI (FFFF) TDO (A1A6); -SDR 16 TDI (FFFF) TDO (FFF3); -SDR 16 TDI (FFFF) TDO (676C); -SDR 16 TDI (FFFF) TDO (7CC0); -SDR 16 TDI (FFFF) TDO (B99F); -SDR 16 TDI (FFFF) TDO (D9DE); -SDR 16 TDI (FFFF) TDO (7360); -SDR 16 TDI (FFFF) TDO (C06F); -SDR 16 TDI (FFFF) TDO (B980); -SDR 16 TDI (FFFF) TDO (23FF); +SDR 16 TDI (FFFF) TDO (999B); +SDR 16 TDI (FFFF) TDO (716C); +SDR 16 TDI (FFFF) TDO (2E27); +SDR 16 TDI (FFFF) TDO (BB1B); +SDR 16 TDI (FFFF) TDO (A3FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (37BB); -SDR 16 TDI (FFFF) TDO (63E6); -SDR 16 TDI (FFFF) TDO (66E7); -SDR 16 TDI (FFFF) TDO (A33B); -SDR 16 TDI (FFFF) TDO (B1F3); -SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (7EEE); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (BBB9); -SDR 16 TDI (FFFF) TDO (71C2); -SDR 16 TDI (FFFF) TDO (E62F); -SDR 16 TDI (FFFF) TDO (BBA2); -SDR 16 TDI (FFFF) TDO (19FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7733); -SDR 16 TDI (FFFF) TDO (6957); -SDR 16 TDI (FFFF) TDO (7677); -SDR 16 TDI (FFFF) TDO (B733); -SDR 16 TDI (FFFF) TDO (30AB); -SDR 16 TDI (FFFF) TDO (7766); -SDR 16 TDI (FFFF) TDO (7CCC); -SDR 16 TDI (FFFF) TDO (B985); -SDR 16 TDI (FFFF) TDO (1999); -SDR 16 TDI (FFFF) TDO (7BCC); -SDR 16 TDI (FFFF) TDO (C8CA); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (3373); +SDR 16 TDI (FFFF) TDO (6556); +SDR 16 TDI (FFFF) TDO (6777); +SDR 16 TDI (FFFF) TDO (B336); +SDR 16 TDI (FFFF) TDO (74A3); +SDR 16 TDI (FFFF) TDO (6776); +SDR 16 TDI (FFFF) TDO (79CC); +SDR 16 TDI (FFFF) TDO (BB85); +SDR 16 TDI (FFFF) TDO (5D99); +SDR 16 TDI (FFFF) TDO (7B8D); +SDR 16 TDI (FFFF) TDO (CCCA); SDR 16 TDI (FFFF) TDO (B199); SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (77CE); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (BBDD); -SDR 16 TDI (FFFF) TDO (FDE7); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFE7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (B7B7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFB7); -SDR 16 TDI (FFFF) TDO (97FB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDF6); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (DFF2); -SDR 16 TDI (FFFF) TDO (7B7E); -SDR 16 TDI (FFFF) TDO (DEDF); -SDR 16 TDI (FFFF) TDO (BEF6); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7DF); -SDR 16 TDI (FFFF) TDO (FF9F); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (F7BF); -SDR 16 TDI (FFFF) TDO (B5FE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77B7); +SDR 16 TDI (FFFF) TDO (BBE7); +SDR 16 TDI (FFFF) TDO (DBBF); SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (E7FF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (7EFD); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (DFEE); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (B7F7); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77F5); -SDR 16 TDI (FFFF) TDO (EDEF); -SDR 16 TDI (FFFF) TDO (BF7E); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (73F7); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (B7EF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FB7F); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (DCEB); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (7EDF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (B9EF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EFDA); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FB7D); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFE); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6DFD); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (BBEB); -SDR 16 TDI (FFFF) TDO (7FB9); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FB7B); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (ABDB); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (FFBD); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (7EEF); SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BDE7); +SDR 16 TDI (FFFF) TDO (3BFF); +SDR 16 TDI (FFFF) TDO (6F7E); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (B37F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7D5F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (77FE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CFEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF3F); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFB); SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (A7FF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (DF5F); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (76FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (76BE); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (DFEB); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FF7D); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7DDF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B57E); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (ED7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DEFD); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FE6F); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6EBE); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF9); -SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (DDFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BBFE); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EF7); SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBF5); -SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (EDBE); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FEEB); +SDR 16 TDI (FFFF) TDO (7BBE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FF97); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (77BC); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (7BDF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FAEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (ABFD); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (6DEE); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FF4F); -SDR 16 TDI (FFFF) TDO (7DEB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (6AFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (BFDB); SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (DAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF6); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F3F); -SDR 16 TDI (FFFF) TDO (FEDE); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (DBFD); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (7BDB); +SDR 16 TDI (FFFF) TDO (7F6E); SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F77D); +SDR 16 TDI (FFFF) TDO (FF2D); +SDR 16 TDI (FFFF) TDO (7BB5); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (DBEF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (F7ED); +SDR 16 TDI (FFFF) TDO (B7EE); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BF6F); +SDR 16 TDI (FFFF) TDO (FEFD); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7E); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (3FFF); SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (DFDD); +SDR 16 TDI (FFFF) TDO (7E5F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AD7B); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7F7E); -SDR 16 TDI (FFFF) TDO (F7B7); -SDR 16 TDI (FFFF) TDO (AF3F); -SDR 16 TDI (FFFF) TDO (ECFA); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (6E7D); -SDR 16 TDI (FFFF) TDO (BFFA); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (7FEA); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (776F); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (6D7D); +SDR 16 TDI (FFFF) TDO (DD5F); +SDR 16 TDI (FFFF) TDO (BDD3); SDR 16 TDI (FFFF) TDO (DDFD); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEEF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFB7); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (EEF7); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFB5); -SDR 16 TDI (FFFF) TDO (6FCB); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F6D9); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (A9FB); +SDR 16 TDI (FFFF) TDO (BBF7); +SDR 16 TDI (FFFF) TDO (6EFE); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (9EEF); +SDR 16 TDI (FFFF) TDO (BEFD); +SDR 16 TDI (FFFF) TDO (3EFB); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (CDFD); +SDR 16 TDI (FFFF) TDO (BF5D); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (BAF7); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FFA3); -SDR 16 TDI (FFFF) TDO (B33B); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (6767); -SDR 16 TDI (FFFF) TDO (D8E8); -SDR 16 TDI (FFFF) TDO (B1FB); -SDR 16 TDI (FFFF) TDO (F9F7); -SDR 16 TDI (FFFF) TDO (71ED); -SDR 16 TDI (FFFF) TDO (5EDF); -SDR 16 TDI (FFFF) TDO (BCDF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (74BD); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (62DE); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (E3F7); -SDR 16 TDI (FFFF) TDO (7EDC); -SDR 16 TDI (FFFF) TDO (3F9F); -SDR 16 TDI (FFFF) TDO (BE7F); -SDR 16 TDI (FFFF) TDO (CF39); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (AFBE); -SDR 16 TDI (FFFF) TDO (BFE9); -SDR 16 TDI (FFFF) TDO (FF6A); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FB7E); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (EF56); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (BD7F); -SDR 16 TDI (FFFF) TDO (AEFD); -SDR 16 TDI (FFFF) TDO (3FBE); -SDR 16 TDI (FFFF) TDO (79BB); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (AF9F); -SDR 16 TDI (FFFF) TDO (FECE); -SDR 16 TDI (FFFF) TDO (7EDF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFF6); -SDR 16 TDI (FFFF) TDO (64FF); +SDR 16 TDI (FFFF) TDO (7FED); +SDR 16 TDI (FFFF) TDO (F9DB); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (FCDF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAFD); -SDR 16 TDI (FFFF) TDO (C883); -SDR 16 TDI (FFFF) TDO (6FC5); -SDR 16 TDI (FFFF) TDO (0082); -SDR 16 TDI (FFFF) TDO (AC30); -SDR 16 TDI (FFFF) TDO (3FEC); -SDR 16 TDI (FFFF) TDO (607C); -SDR 16 TDI (FFFF) TDO (1201); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (2078); -SDR 16 TDI (FFFF) TDO (7002); -SDR 16 TDI (FFFF) TDO (0C0F); -SDR 16 TDI (FFFF) TDO (B576); -SDR 16 TDI (FFFF) TDO (C575); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B65D); -SDR 16 TDI (FFFF) TDO (C8C3); -SDR 16 TDI (FFFF) TDO (7FA5); -SDR 16 TDI (FFFF) TDO (1982); -SDR 16 TDI (FFFF) TDO (A032); -SDR 16 TDI (FFFF) TDO (2370); -SDR 16 TDI (FFFF) TDO (6600); -SDR 16 TDI (FFFF) TDO (5000); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (A428); -SDR 16 TDI (FFFF) TDO (7000); -SDR 16 TDI (FFFF) TDO (0C0D); -SDR 16 TDI (FFFF) TDO (BD20); -SDR 16 TDI (FFFF) TDO (907F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (67EB); +SDR 16 TDI (FFFF) TDO (EDB7); +SDR 16 TDI (FFFF) TDO (B5B7); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (BD5E); +SDR 16 TDI (FFFF) TDO (F7BB); +SDR 16 TDI (FFFF) TDO (7F7D); +SDR 16 TDI (FFFF) TDO (D5DE); +SDR 16 TDI (FFFF) TDO (BCFC); +SDR 16 TDI (FFFF) TDO (C57F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFC); -SDR 16 TDI (FFFF) TDO (1873); -SDR 16 TDI (FFFF) TDO (77F4); -SDR 16 TDI (FFFF) TDO (1100); -SDR 16 TDI (FFFF) TDO (A470); -SDR 16 TDI (FFFF) TDO (3FFC); -SDR 16 TDI (FFFF) TDO (616D); -SDR 16 TDI (FFFF) TDO (F080); -SDR 16 TDI (FFFF) TDO (A51F); -SDR 16 TDI (FFFF) TDO (8078); -SDR 16 TDI (FFFF) TDO (61C9); -SDR 16 TDI (FFFF) TDO (1F9F); -SDR 16 TDI (FFFF) TDO (B867); -SDR 16 TDI (FFFF) TDO (2275); -SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (AE6E); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BBF9); +SDR 16 TDI (FFFF) TDO (AE59); +SDR 16 TDI (FFFF) TDO (9BF6); +SDR 16 TDI (FFFF) TDO (6CF6); +SDR 16 TDI (FFFF) TDO (D6CE); +SDR 16 TDI (FFFF) TDO (AAFF); +SDR 16 TDI (FFFF) TDO (9B5C); +SDR 16 TDI (FFFF) TDO (6AF7); +SDR 16 TDI (FFFF) TDO (EBAF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBF8); -SDR 16 TDI (FFFF) TDO (1803); -SDR 16 TDI (FFFF) TDO (67F4); -SDR 16 TDI (FFFF) TDO (1000); -SDR 16 TDI (FFFF) TDO (A473); -SDR 16 TDI (FFFF) TDO (C3F0); -SDR 16 TDI (FFFF) TDO (7601); -SDR 16 TDI (FFFF) TDO (F080); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (8058); -SDR 16 TDI (FFFF) TDO (60C9); -SDR 16 TDI (FFFF) TDO (0F9F); -SDR 16 TDI (FFFF) TDO (B861); -SDR 16 TDI (FFFF) TDO (117F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5BF3); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (567E); +SDR 16 TDI (FFFF) TDO (BBEE); +SDR 16 TDI (FFFF) TDO (EF7D); +SDR 16 TDI (FFFF) TDO (7BED); +SDR 16 TDI (FFFF) TDO (B9FB); +SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7D8A); +SDR 16 TDI (FFFF) TDO (3EFF); +SDR 16 TDI (FFFF) TDO (BFCB); +SDR 16 TDI (FFFF) TDO (BAFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (339B); -SDR 16 TDI (FFFF) TDO (72E6); -SDR 16 TDI (FFFF) TDO (6EE3); -SDR 16 TDI (FFFF) TDO (B33B); -SDR 16 TDI (FFFF) TDO (31F1); -SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (7EEE); -SDR 16 TDI (FFFF) TDO (BDCF); -SDR 16 TDI (FFFF) TDO (F999); -SDR 16 TDI (FFFF) TDO (71C9); -SDR 16 TDI (FFFF) TDO (CCC7); -SDR 16 TDI (FFFF) TDO (BBD9); +SDR 16 TDI (FFFF) TDO (B9AC); +SDR 16 TDI (FFFF) TDO (5C32); +SDR 16 TDI (FFFF) TDO (7FC5); +SDR 16 TDI (FFFF) TDO (3F6A); +SDR 16 TDI (FFFF) TDO (AE24); +SDR 16 TDI (FFFF) TDO (1BEC); +SDR 16 TDI (FFFF) TDO (619F); +SDR 16 TDI (FFFF) TDO (F83C); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (6087); +SDR 16 TDI (FFFF) TDO (7D55); +SDR 16 TDI (FFFF) TDO (00AF); +SDR 16 TDI (FFFF) TDO (B680); +SDR 16 TDI (FFFF) TDO (5075); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB5C); +SDR 16 TDI (FFFF) TDO (C022); +SDR 16 TDI (FFFF) TDO (7FA4); +SDR 16 TDI (FFFF) TDO (3B60); +SDR 16 TDI (FFFF) TDO (A020); +SDR 16 TDI (FFFF) TDO (3BFC); +SDR 16 TDI (FFFF) TDO (6998); +SDR 16 TDI (FFFF) TDO (D03E); +SDR 16 TDI (FFFF) TDO (A79B); +SDR 16 TDI (FFFF) TDO (E000); +SDR 16 TDI (FFFF) TDO (6C75); +SDR 16 TDI (FFFF) TDO (FFAD); +SDR 16 TDI (FFFF) TDO (BE00); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFC); +SDR 16 TDI (FFFF) TDO (3E7C); +SDR 16 TDI (FFFF) TDO (67E5); +SDR 16 TDI (FFFF) TDO (EDF3); +SDR 16 TDI (FFFF) TDO (AC02); +SDR 16 TDI (FFFF) TDO (0FF4); +SDR 16 TDI (FFFF) TDO (6007); +SDR 16 TDI (FFFF) TDO (DC0C); +SDR 16 TDI (FFFF) TDO (BF3F); +SDR 16 TDI (FFFF) TDO (FC9F); +SDR 16 TDI (FFFF) TDO (7300); +SDR 16 TDI (FFFF) TDO (22CF); +SDR 16 TDI (FFFF) TDO (BE08); +SDR 16 TDI (FFFF) TDO (8275); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (667C); +SDR 16 TDI (FFFF) TDO (67E5); +SDR 16 TDI (FFFF) TDO (EDF3); +SDR 16 TDI (FFFF) TDO (A020); +SDR 16 TDI (FFFF) TDO (3FFC); +SDR 16 TDI (FFFF) TDO (6001); +SDR 16 TDI (FFFF) TDO (540D); +SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (841D); +SDR 16 TDI (FFFF) TDO (7913); +SDR 16 TDI (FFFF) TDO (22CF); +SDR 16 TDI (FFFF) TDO (BE00); +SDR 16 TDI (FFFF) TDO (E27F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (B233); +SDR 16 TDI (FFFF) TDO (73E6); +SDR 16 TDI (FFFF) TDO (6667); +SDR 16 TDI (FFFF) TDO (BA23); +SDR 16 TDI (FFFF) TDO (2173); +SDR 16 TDI (FFFF) TDO (6224); +SDR 16 TDI (FFFF) TDO (78CE); +SDR 16 TDI (FFFF) TDO (B99F); +SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (7BEE); +SDR 16 TDI (FFFF) TDO (EEE7); +SDR 16 TDI (FFFF) TDO (BCD9); SDR 16 TDI (FFFF) TDO (BBFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (6546); -SDR 16 TDI (FFFF) TDO (6677); -SDR 16 TDI (FFFF) TDO (B673); -SDR 16 TDI (FFFF) TDO (30AB); -SDR 16 TDI (FFFF) TDO (6676); -SDR 16 TDI (FFFF) TDO (7CCC); -SDR 16 TDI (FFFF) TDO (B985); -SDR 16 TDI (FFFF) TDO (1DCD); -SDR 16 TDI (FFFF) TDO (7BDD); -SDR 16 TDI (FFFF) TDO (DC92); -SDR 16 TDI (FFFF) TDO (B19D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (3777); +SDR 16 TDI (FFFF) TDO (6157); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (B376); +SDR 16 TDI (FFFF) TDO (76A9); +SDR 16 TDI (FFFF) TDO (7763); +SDR 16 TDI (FFFF) TDO (3D9C); +SDR 16 TDI (FFFF) TDO (BBA5); +SDR 16 TDI (FFFF) TDO (1C9D); +SDR 16 TDI (FFFF) TDO (79CC); +SDR 16 TDI (FFFF) TDO (CCC2); +SDR 16 TDI (FFFF) TDO (B5CC); SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BEF7); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7FCE); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BDEF); +SDR 16 TDI (FFFF) TDO (77F3); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77F5); SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7BFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (77DF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (B75F); SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7D7B); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (F5DF); +SDR 16 TDI (FFFF) TDO (7FE6); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (EFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (B97F); -SDR 16 TDI (FFFF) TDO (BDCF); SDR 16 TDI (FFFF) TDO (F5FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77F6); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (BFE7); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (AB7F); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (F6FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FDE); -SDR 16 TDI (FFFF) TDO (BF7D); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (72BD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (77FE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFF6); SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7D7); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (AF7E); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7D57); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFAF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (3DDF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFBE); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BE5F); +SDR 16 TDI (FFFF) TDO (BD5E); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (77F4); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBD7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (7BDF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FD5); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (AEFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7AFF); -SDR 16 TDI (FFFF) TDO (EDFE); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6F7A); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (BED7); +SDR 16 TDI (FFFF) TDO (EDFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FD7D); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (E7FD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CEFF); +SDR 16 TDI (FFFF) TDO (7DFB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7B7D); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (BD5F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5F7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6EAF); +SDR 16 TDI (FFFF) TDO (EFBD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6F6F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7E); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (6FDF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (75F7); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7F5F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7E76); +SDR 16 TDI (FFFF) TDO (EEDF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEB6); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (75EE); +SDR 16 TDI (FFFF) TDO (FFBE); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7BDF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE79); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6BFD); +SDR 16 TDI (FFFF) TDO (77D7); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (6BBF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (AFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBB); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF5D); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BDDE); SDR 16 TDI (FFFF) TDO (F37F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (EBF7); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (FD7D); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFB7); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A75F); +SDR 16 TDI (FFFF) TDO (EF9F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFED); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7BF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (DD7F); +SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7EFD); +SDR 16 TDI (FFFF) TDO (DFDF); SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (57F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A8FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7BED); -SDR 16 TDI (FFFF) TDO (F6FE); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FAFB); -SDR 16 TDI (FFFF) TDO (7FE6); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (BFAF); -SDR 16 TDI (FFFF) TDO (F57F); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFC); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A65F); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFE9); +SDR 16 TDI (FFFF) TDO (AFDB); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (DFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (73B7); -SDR 16 TDI (FFFF) TDO (BDFB); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (BCFD); -SDR 16 TDI (FFFF) TDO (F3F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFE); -SDR 16 TDI (FFFF) TDO (FDFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (BFB7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55E); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFEE); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FBF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (DFF5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AAFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (D5F7); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (79F7); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F6EA); +SDR 16 TDI (FFFF) TDO (DFEA); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B77D); -SDR 16 TDI (FFFF) TDO (DFFA); -SDR 16 TDI (FFFF) TDO (7D5F); -SDR 16 TDI (FFFF) TDO (7FED); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (EDFE); -SDR 16 TDI (FFFF) TDO (7F5F); -SDR 16 TDI (FFFF) TDO (9FDF); -SDR 16 TDI (FFFF) TDO (BFED); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (75EF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DBFE); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (BDDD); SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BBFD); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (6EFD); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (7A5D); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (B75E); -SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (7FAF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EFC); -SDR 16 TDI (FFFF) TDO (A7FD); -SDR 16 TDI (FFFF) TDO (D9FF); -SDR 16 TDI (FFFF) TDO (7DEF); -SDR 16 TDI (FFFF) TDO (7C5F); -SDR 16 TDI (FFFF) TDO (BFE1); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (B7FB); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (FD7D); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B6FD); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7AD); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BBAB); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7E3C); +SDR 16 TDI (FFFF) TDO (B6DD); +SDR 16 TDI (FFFF) TDO (AF5D); +SDR 16 TDI (FFFF) TDO (7EFB); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (B8DD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFC); -SDR 16 TDI (FFFF) TDO (AAB5); -SDR 16 TDI (FFFF) TDO (67FD); -SDR 16 TDI (FFFF) TDO (7196); -SDR 16 TDI (FFFF) TDO (9967); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (EF54); -SDR 16 TDI (FFFF) TDO (7BBF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (BA3F); -SDR 16 TDI (FFFF) TDO (C8EA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (E3F3); +SDR 16 TDI (FFFF) TDO (6D6E); +SDR 16 TDI (FFFF) TDO (F5F7); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (D7F7); +SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (6E6E); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (D76A); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (9FF3); -SDR 16 TDI (FFFF) TDO (6E6F); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (BD3F); -SDR 16 TDI (FFFF) TDO (F6AF); -SDR 16 TDI (FFFF) TDO (66F0); -SDR 16 TDI (FFFF) TDO (C7FF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AD5E); -SDR 16 TDI (FFFF) TDO (8017); -SDR 16 TDI (FFFF) TDO (67C5); -SDR 16 TDI (FFFF) TDO (FDF8); -SDR 16 TDI (FFFF) TDO (BA3A); -SDR 16 TDI (FFFF) TDO (A7EA); -SDR 16 TDI (FFFF) TDO (7DF8); -SDR 16 TDI (FFFF) TDO (18F0); -SDR 16 TDI (FFFF) TDO (A17F); -SDR 16 TDI (FFFF) TDO (7A3F); -SDR 16 TDI (FFFF) TDO (778E); -SDR 16 TDI (FFFF) TDO (2F0F); -SDR 16 TDI (FFFF) TDO (B018); -SDR 16 TDI (FFFF) TDO (0075); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ABFC); -SDR 16 TDI (FFFF) TDO (002C); -SDR 16 TDI (FFFF) TDO (7FA4); -SDR 16 TDI (FFFF) TDO (1C1E); -SDR 16 TDI (FFFF) TDO (A60A); -SDR 16 TDI (FFFF) TDO (A3D2); -SDR 16 TDI (FFFF) TDO (60F8); -SDR 16 TDI (FFFF) TDO (1838); -SDR 16 TDI (FFFF) TDO (A17B); -SDR 16 TDI (FFFF) TDO (D4A5); -SDR 16 TDI (FFFF) TDO (6480); -SDR 16 TDI (FFFF) TDO (2F3F); -SDR 16 TDI (FFFF) TDO (A838); -SDR 16 TDI (FFFF) TDO (007F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD5E); -SDR 16 TDI (FFFF) TDO (7058); -SDR 16 TDI (FFFF) TDO (7FF2); -SDR 16 TDI (FFFF) TDO (0A07); -SDR 16 TDI (FFFF) TDO (B43C); -SDR 16 TDI (FFFF) TDO (3FF7); -SDR 16 TDI (FFFF) TDO (7EE0); -SDR 16 TDI (FFFF) TDO (90C0); -SDR 16 TDI (FFFF) TDO (A11F); -SDR 16 TDI (FFFF) TDO (CCFC); -SDR 16 TDI (FFFF) TDO (7F8F); -SDR 16 TDI (FFFF) TDO (300F); -SDR 16 TDI (FFFF) TDO (B899); -SDR 16 TDI (FFFF) TDO (C8F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F02F); -SDR 16 TDI (FFFF) TDO (7FF2); -SDR 16 TDI (FFFF) TDO (6A78); -SDR 16 TDI (FFFF) TDO (A003); -SDR 16 TDI (FFFF) TDO (3FF3); -SDR 16 TDI (FFFF) TDO (7360); -SDR 16 TDI (FFFF) TDO (1000); -SDR 16 TDI (FFFF) TDO (A51F); -SDR 16 TDI (FFFF) TDO (8080); -SDR 16 TDI (FFFF) TDO (6080); -SDR 16 TDI (FFFF) TDO (0C0F); -SDR 16 TDI (FFFF) TDO (B819); -SDR 16 TDI (FFFF) TDO (807F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDE); -SDR 16 TDI (FFFF) TDO (337B); -SDR 16 TDI (FFFF) TDO (73EE); -SDR 16 TDI (FFFF) TDO (EEEF); -SDR 16 TDI (FFFF) TDO (A33E); -SDR 16 TDI (FFFF) TDO (31F1); -SDR 16 TDI (FFFF) TDO (6622); -SDR 16 TDI (FFFF) TDO (784C); -SDR 16 TDI (FFFF) TDO (B00B); -SDR 16 TDI (FFFF) TDO (91B9); -SDR 16 TDI (FFFF) TDO (6788); -SDR 16 TDI (FFFF) TDO (EE87); -SDR 16 TDI (FFFF) TDO (BBB9); -SDR 16 TDI (FFFF) TDO (99FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7671); -SDR 16 TDI (FFFF) TDO (6144); -SDR 16 TDI (FFFF) TDO (4445); -SDR 16 TDI (FFFF) TDO (B773); -SDR 16 TDI (FFFF) TDO (64AB); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (3D8C); -SDR 16 TDI (FFFF) TDO (BB95); -SDR 16 TDI (FFFF) TDO (0D98); -SDR 16 TDI (FFFF) TDO (73DD); -SDR 16 TDI (FFFF) TDO (CCD2); -SDR 16 TDI (FFFF) TDO (B19C); -SDR 16 TDI (FFFF) TDO (DCFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (73FF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7D7B); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BCFF); -SDR 16 TDI (FFFF) TDO (F7FE); -SDR 16 TDI (FFFF) TDO (7FF6); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BFDD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FBDD); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FDA5); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (BDCF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDD); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7BDF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7EFD); -SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (6FD6); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (6FFD); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (DFDE); +SDR 16 TDI (FFFF) TDO (A5F5); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (76D1); SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ADBF); +SDR 16 TDI (FFFF) TDO (F9BB); +SDR 16 TDI (FFFF) TDO (6BAC); +SDR 16 TDI (FFFF) TDO (DFD7); +SDR 16 TDI (FFFF) TDO (BFB6); +SDR 16 TDI (FFFF) TDO (ACFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BEE7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BBFE); -SDR 16 TDI (FFFF) TDO (BFF5); -SDR 16 TDI (FFFF) TDO (7FAF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFD7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (EF3F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BF3F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (FEBF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (EFDB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (67FF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (66FF); -SDR 16 TDI (FFFF) TDO (B5BF); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (F7FE); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7BFE); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (7EDB); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A65F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FD9D); -SDR 16 TDI (FFFF) TDO (AEBF); -SDR 16 TDI (FFFF) TDO (F7F5); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (7FBB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FB7F); -SDR 16 TDI (FFFF) TDO (6FDF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A65E); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (B777); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (CFBF); -SDR 16 TDI (FFFF) TDO (6BF6); -SDR 16 TDI (FFFF) TDO (DEEF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FBF5); +SDR 16 TDI (FFFF) TDO (A95F); +SDR 16 TDI (FFFF) TDO (2817); +SDR 16 TDI (FFFF) TDO (67C5); +SDR 16 TDI (FFFF) TDO (FDF9); +SDR 16 TDI (FFFF) TDO (B837); +SDR 16 TDI (FFFF) TDO (E3E0); +SDR 16 TDI (FFFF) TDO (63EE); +SDR 16 TDI (FFFF) TDO (B000); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (07E6); +SDR 16 TDI (FFFF) TDO (60EC); +SDR 16 TDI (FFFF) TDO (400F); +SDR 16 TDI (FFFF) TDO (B098); +SDR 16 TDI (FFFF) TDO (27F5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (ABFF); +SDR 16 TDI (FFFF) TDO (002C); +SDR 16 TDI (FFFF) TDO (7FA4); +SDR 16 TDI (FFFF) TDO (1C1E); +SDR 16 TDI (FFFF) TDO (B034); +SDR 16 TDI (FFFF) TDO (E3F0); +SDR 16 TDI (FFFF) TDO (6384); +SDR 16 TDI (FFFF) TDO (B020); +SDR 16 TDI (FFFF) TDO (A0BB); +SDR 16 TDI (FFFF) TDO (8000); +SDR 16 TDI (FFFF) TDO (6ACC); +SDR 16 TDI (FFFF) TDO (004D); +SDR 16 TDI (FFFF) TDO (B818); +SDR 16 TDI (FFFF) TDO (72FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD5F); +SDR 16 TDI (FFFF) TDO (E718); +SDR 16 TDI (FFFF) TDO (7FF2); +SDR 16 TDI (FFFF) TDO (0A07); +SDR 16 TDI (FFFF) TDO (BC1B); +SDR 16 TDI (FFFF) TDO (03F3); +SDR 16 TDI (FFFF) TDO (727F); +SDR 16 TDI (FFFF) TDO (700C); +SDR 16 TDI (FFFF) TDO (B11F); +SDR 16 TDI (FFFF) TDO (87FF); +SDR 16 TDI (FFFF) TDO (70DE); +SDR 16 TDI (FFFF) TDO (0C07); +SDR 16 TDI (FFFF) TDO (BA19); +SDR 16 TDI (FFFF) TDO (04F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (3F2F); +SDR 16 TDI (FFFF) TDO (7FF2); +SDR 16 TDI (FFFF) TDO (6A78); +SDR 16 TDI (FFFF) TDO (A318); +SDR 16 TDI (FFFF) TDO (03F3); +SDR 16 TDI (FFFF) TDO (6001); +SDR 16 TDI (FFFF) TDO (7C00); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (967F); +SDR 16 TDI (FFFF) TDO (70CE); +SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (B878); +SDR 16 TDI (FFFF) TDO (34FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (323B); +SDR 16 TDI (FFFF) TDO (73EE); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (BA31); +SDR 16 TDI (FFFF) TDO (3173); +SDR 16 TDI (FFFF) TDO (664E); +SDR 16 TDI (FFFF) TDO (7CFE); +SDR 16 TDI (FFFF) TDO (B98F); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (73EE); +SDR 16 TDI (FFFF) TDO (ECEF); +SDR 16 TDI (FFFF) TDO (B8B9); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6771); +SDR 16 TDI (FFFF) TDO (6144); +SDR 16 TDI (FFFF) TDO (4445); +SDR 16 TDI (FFFF) TDO (B376); +SDR 16 TDI (FFFF) TDO (26A9); +SDR 16 TDI (FFFF) TDO (7627); +SDR 16 TDI (FFFF) TDO (39CC); +SDR 16 TDI (FFFF) TDO (B3A5); +SDR 16 TDI (FFFF) TDO (1999); +SDR 16 TDI (FFFF) TDO (79CC); +SDR 16 TDI (FFFF) TDO (C9C2); +SDR 16 TDI (FFFF) TDO (B59C); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF9); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (6FDF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7FF6); SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (AFDB); -SDR 16 TDI (FFFF) TDO (BF5B); -SDR 16 TDI (FFFF) TDO (7EBF); -SDR 16 TDI (FFFF) TDO (7EBD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (5FBF); +SDR 16 TDI (FFFF) TDO (BEF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFEA); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (B7EE); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (FFDB); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (CEBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EF6); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (ABFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7F7); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (AF7F); +SDR 16 TDI (FFFF) TDO (BB77); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FD7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEE6); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7F76); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (B79F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEF6); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FD6); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7ECD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FDBF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (6FBD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB75); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (DFBB); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BFFA); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A75E); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFAF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FDB); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (FEF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A9FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (76EF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF4F); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB5F); +SDR 16 TDI (FFFF) TDO (FFEA); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (EEFF); SDR 16 TDI (FFFF) TDO (7FEA); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BF5D); -SDR 16 TDI (FFFF) TDO (DFFA); -SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (DDFD); -SDR 16 TDI (FFFF) TDO (9EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FEF); -SDR 16 TDI (FFFF) TDO (FBEF); -SDR 16 TDI (FFFF) TDO (BBEE); -SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (5D77); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (9BDA); -SDR 16 TDI (FFFF) TDO (5BFD); -SDR 16 TDI (FFFF) TDO (5ACC); -SDR 16 TDI (FFFF) TDO (D7E7); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (6FBF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (9FAD); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (D5E7); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (67F6); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (DBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (5FF7); -SDR 16 TDI (FFFF) TDO (DBFE); -SDR 16 TDI (FFFF) TDO (B755); -SDR 16 TDI (FFFF) TDO (D7F8); -SDR 16 TDI (FFFF) TDO (7D55); -SDR 16 TDI (FFFF) TDO (5F33); -SDR 16 TDI (FFFF) TDO (A2DF); -SDR 16 TDI (FFFF) TDO (FEBE); -SDR 16 TDI (FFFF) TDO (79E3); -SDR 16 TDI (FFFF) TDO (3BFF); -SDR 16 TDI (FFFF) TDO (9BAA); -SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (5FF6); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (657F); +SDR 16 TDI (FFFF) TDO (FCFD); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (FDFB); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (BCDF); +SDR 16 TDI (FFFF) TDO (9FD5); +SDR 16 TDI (FFFF) TDO (9EFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BAFE); SDR 16 TDI (FFFF) TDO (FEDF); SDR 16 TDI (FFFF) TDO (7FED); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (A37D); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (D7C7); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (9EE7); +SDR 16 TDI (FFFF) TDO (4381); SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (F5DC); -SDR 16 TDI (FFFF) TDO (9FBD); -SDR 16 TDI (FFFF) TDO (B7DD); -SDR 16 TDI (FFFF) TDO (5EBF); -SDR 16 TDI (FFFF) TDO (EEEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFEA); +SDR 16 TDI (FFFF) TDO (BBEF); +SDR 16 TDI (FFFF) TDO (FBEA); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (8BAA); -SDR 16 TDI (FFFF) TDO (EBF7); -SDR 16 TDI (FFFF) TDO (4EAA); -SDR 16 TDI (FFFF) TDO (BAEF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (CD73); -SDR 16 TDI (FFFF) TDO (6FDD); -SDR 16 TDI (FFFF) TDO (D51E); -SDR 16 TDI (FFFF) TDO (BDDD); -SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (9FA7); +SDR 16 TDI (FFFF) TDO (A773); +SDR 16 TDI (FFFF) TDO (5EC8); +SDR 16 TDI (FFFF) TDO (3BBF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (EF9D); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (DB7D); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (757F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (855E); SDR 16 TDI (FFFF) TDO (9E8F); SDR 16 TDI (FFFF) TDO (47C0); SDR 16 TDI (FFFF) TDO (15F9); -SDR 16 TDI (FFFF) TDO (BCC1); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (6140); -SDR 16 TDI (FFFF) TDO (DAEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (07FF); -SDR 16 TDI (FFFF) TDO (7F02); -SDR 16 TDI (FFFF) TDO (000F); -SDR 16 TDI (FFFF) TDO (9000); -SDR 16 TDI (FFFF) TDO (8075); +SDR 16 TDI (FFFF) TDO (BFEB); +SDR 16 TDI (FFFF) TDO (C3EF); +SDR 16 TDI (FFFF) TDO (6689); +SDR 16 TDI (FFFF) TDO (5EA0); +SDR 16 TDI (FFFF) TDO (A617); +SDR 16 TDI (FFFF) TDO (4000); +SDR 16 TDI (FFFF) TDO (6300); +SDR 16 TDI (FFFF) TDO (030F); +SDR 16 TDI (FFFF) TDO (9080); +SDR 16 TDI (FFFF) TDO (60F5); SDR 16 TDI (FFFF) TDO (4BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFE); SDR 16 TDI (FFFF) TDO (9EBC); SDR 16 TDI (FFFF) TDO (7FA0); -SDR 16 TDI (FFFF) TDO (741E); -SDR 16 TDI (FFFF) TDO (98D3); -SDR 16 TDI (FFFF) TDO (63D1); -SDR 16 TDI (FFFF) TDO (6140); -SDR 16 TDI (FFFF) TDO (FAC8); -SDR 16 TDI (FFFF) TDO (AA1B); -SDR 16 TDI (FFFF) TDO (AD60); -SDR 16 TDI (FFFF) TDO (7A00); -SDR 16 TDI (FFFF) TDO (808D); -SDR 16 TDI (FFFF) TDO (B800); -SDR 16 TDI (FFFF) TDO (107F); +SDR 16 TDI (FFFF) TDO (141E); +SDR 16 TDI (FFFF) TDO (80FC); +SDR 16 TDI (FFFF) TDO (EBFC); +SDR 16 TDI (FFFF) TDO (7E88); +SDR 16 TDI (FFFF) TDO (10A0); +SDR 16 TDI (FFFF) TDO (A61E); +SDR 16 TDI (FFFF) TDO (C000); +SDR 16 TDI (FFFF) TDO (6340); +SDR 16 TDI (FFFF) TDO (00FF); +SDR 16 TDI (FFFF) TDO (B880); +SDR 16 TDI (FFFF) TDO (007F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55D); SDR 16 TDI (FFFF) TDO (2930); -SDR 16 TDI (FFFF) TDO (7FE3); -SDR 16 TDI (FFFF) TDO (F206); -SDR 16 TDI (FFFF) TDO (9C01); -SDR 16 TDI (FFFF) TDO (83F3); -SDR 16 TDI (FFFF) TDO (4082); -SDR 16 TDI (FFFF) TDO (DC98); -SDR 16 TDI (FFFF) TDO (B31F); -SDR 16 TDI (FFFF) TDO (9C98); -SDR 16 TDI (FFFF) TDO (7910); -SDR 16 TDI (FFFF) TDO (04FF); -SDR 16 TDI (FFFF) TDO (BE57); -SDR 16 TDI (FFFF) TDO (0375); +SDR 16 TDI (FFFF) TDO (7FE2); +SDR 16 TDI (FFFF) TDO (3207); +SDR 16 TDI (FFFF) TDO (8327); +SDR 16 TDI (FFFF) TDO (33F3); +SDR 16 TDI (FFFF) TDO (47FE); +SDR 16 TDI (FFFF) TDO (FC4F); +SDR 16 TDI (FFFF) TDO (A5FF); +SDR 16 TDI (FFFF) TDO (848E); +SDR 16 TDI (FFFF) TDO (6418); +SDR 16 TDI (FFFF) TDO (F20F); +SDR 16 TDI (FFFF) TDO (B8C7); +SDR 16 TDI (FFFF) TDO (87F5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFD); SDR 16 TDI (FFFF) TDO (290F); SDR 16 TDI (FFFF) TDO (5FE0); -SDR 16 TDI (FFFF) TDO (7278); -SDR 16 TDI (FFFF) TDO (B403); -SDR 16 TDI (FFFF) TDO (A3F1); -SDR 16 TDI (FFFF) TDO (66C1); -SDR 16 TDI (FFFF) TDO (F008); -SDR 16 TDI (FFFF) TDO (A11F); -SDR 16 TDI (FFFF) TDO (D800); -SDR 16 TDI (FFFF) TDO (7010); -SDR 16 TDI (FFFF) TDO (008F); -SDR 16 TDI (FFFF) TDO (9E50); -SDR 16 TDI (FFFF) TDO (107F); +SDR 16 TDI (FFFF) TDO (1279); +SDR 16 TDI (FFFF) TDO (A000); +SDR 16 TDI (FFFF) TDO (37F0); +SDR 16 TDI (FFFF) TDO (6110); +SDR 16 TDI (FFFF) TDO (7C40); +SDR 16 TDI (FFFF) TDO (A41F); +SDR 16 TDI (FFFF) TDO (8480); +SDR 16 TDI (FFFF) TDO (6404); +SDR 16 TDI (FFFF) TDO (B10F); +SDR 16 TDI (FFFF) TDO (9880); +SDR 16 TDI (FFFF) TDO (607F); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (BBBB); SDR 16 TDI (FFFF) TDO (73E6); SDR 16 TDI (FFFF) TDO (2EEF); -SDR 16 TDI (FFFF) TDO (B323); -SDR 16 TDI (FFFF) TDO (21F3); -SDR 16 TDI (FFFF) TDO (6262); -SDR 16 TDI (FFFF) TDO (7EEE); -SDR 16 TDI (FFFF) TDO (89CB); -SDR 16 TDI (FFFF) TDO (B813); -SDR 16 TDI (FFFF) TDO (52C9); -SDR 16 TDI (FFFF) TDO (CCC7); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (89FF); +SDR 16 TDI (FFFF) TDO (B811); +SDR 16 TDI (FFFF) TDO (3972); +SDR 16 TDI (FFFF) TDO (64EE); +SDR 16 TDI (FFFF) TDO (F8EC); +SDR 16 TDI (FFFF) TDO (9D8F); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (57CC); +SDR 16 TDI (FFFF) TDO (9EE7); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (B8FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (1111); -SDR 16 TDI (FFFF) TDO (6153); +SDR 16 TDI (FFFF) TDO (6157); SDR 16 TDI (FFFF) TDO (6445); -SDR 16 TDI (FFFF) TDO (8676); -SDR 16 TDI (FFFF) TDO (74A9); -SDR 16 TDI (FFFF) TDO (5737); -SDR 16 TDI (FFFF) TDO (3CCC); -SDR 16 TDI (FFFF) TDO (B195); -SDR 16 TDI (FFFF) TDO (1989); -SDR 16 TDI (FFFF) TDO (71DD); -SDR 16 TDI (FFFF) TDO (DD92); -SDR 16 TDI (FFFF) TDO (B199); -SDR 16 TDI (FFFF) TDO (DCFF); +SDR 16 TDI (FFFF) TDO (9322); +SDR 16 TDI (FFFF) TDO (32A1); +SDR 16 TDI (FFFF) TDO (4266); +SDR 16 TDI (FFFF) TDO (7DC9); +SDR 16 TDI (FFFF) TDO (B925); +SDR 16 TDI (FFFF) TDO (1CCC); +SDR 16 TDI (FFFF) TDO (73DD); +SDR 16 TDI (FFFF) TDO (DCC2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (9DFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (5FCF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDDD); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (93BB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10144,48 +10144,48 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (ADFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F3FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FD79); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFEE); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFD); +SDR 16 TDI (FFFF) TDO (96FF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (5FBB); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (9EE7); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (5BBD); -SDR 16 TDI (FFFF) TDO (FD9D); SDR 16 TDI (FFFF) TDO (B6FF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (5B7D); -SDR 16 TDI (FFFF) TDO (FBDF); -SDR 16 TDI (FFFF) TDO (BCF7); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (DFCF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (F6EF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (DBFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (8FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFB6); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EF9); +SDR 16 TDI (FFFF) TDO (BF3F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9F75); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFD5); -SDR 16 TDI (FFFF) TDO (BB3F); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (9FF7); -SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -10193,660 +10193,660 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (D5FF); -SDR 16 TDI (FFFF) TDO (5BBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFDB); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CFBF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (5DFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (4BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (5FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (7BDF); -SDR 16 TDI (FFFF) TDO (4FFB); -SDR 16 TDI (FFFF) TDO (FFDE); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (5F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (FBFB); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (757B); -SDR 16 TDI (FFFF) TDO (EFFE); -SDR 16 TDI (FFFF) TDO (97FF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (5FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (4BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F9FF); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (8F7E); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEA7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (EBFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBE); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (AFFD); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (75DE); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FEEF); -SDR 16 TDI (FFFF) TDO (BF75); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (E6FF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (5EF7); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFEE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (4BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (9FDF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDB); -SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FF9B); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (ADDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DDA); -SDR 16 TDI (FFFF) TDO (FBEE); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF3F); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (E9FF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6F6E); +SDR 16 TDI (FFFF) TDO (AFDE); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDFC); +SDR 16 TDI (FFFF) TDO (7F6F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (77F3); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (FDEF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (FB5F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFE); +SDR 16 TDI (FFFF) TDO (F6EF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBD); +SDR 16 TDI (FFFF) TDO (6FD7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AD5F); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6EDC); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6EEF); +SDR 16 TDI (FFFF) TDO (F9BF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BDFD); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (7BDF); -SDR 16 TDI (FFFF) TDO (BEEF); SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FDD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A95D); -SDR 16 TDI (FFFF) TDO (BFBE); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FF7E); -SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (7EB9); +SDR 16 TDI (FFFF) TDO (6FAF); +SDR 16 TDI (FFFF) TDO (BAFA); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (A95F); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFE); +SDR 16 TDI (FFFF) TDO (BFAF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FF4F); SDR 16 TDI (FFFF) TDO (7EDF); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (BADB); -SDR 16 TDI (FFFF) TDO (DDFD); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BF6F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EEF); -SDR 16 TDI (FFFF) TDO (3F7F); -SDR 16 TDI (FFFF) TDO (97FF); -SDR 16 TDI (FFFF) TDO (DF7D); -SDR 16 TDI (FFFF) TDO (4F9D); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7D); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFD); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FF7B); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (97BF); SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (9DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FFC); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (5AFF); +SDR 16 TDI (FFFF) TDO (DFEF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBEE); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (DFFC); +SDR 16 TDI (FFFF) TDO (6E8F); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F9DB); +SDR 16 TDI (FFFF) TDO (63F1); +SDR 16 TDI (FFFF) TDO (5E2F); +SDR 16 TDI (FFFF) TDO (9CAB); +SDR 16 TDI (FFFF) TDO (72FF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BA7F); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (F63F); +SDR 16 TDI (FFFF) TDO (98FB); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (5F5F); +SDR 16 TDI (FFFF) TDO (A9DF); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (9DFA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (95AE); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (5D78); +SDR 16 TDI (FFFF) TDO (DDFB); +SDR 16 TDI (FFFF) TDO (A7FF); +SDR 16 TDI (FFFF) TDO (EE7D); +SDR 16 TDI (FFFF) TDO (7CEF); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8B5F); +SDR 16 TDI (FFFF) TDO (FC3F); +SDR 16 TDI (FFFF) TDO (5DCB); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (A32A); +SDR 16 TDI (FFFF) TDO (BEE0); +SDR 16 TDI (FFFF) TDO (7E0A); +SDR 16 TDI (FFFF) TDO (704A); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (28B8); +SDR 16 TDI (FFFF) TDO (600A); +SDR 16 TDI (FFFF) TDO (74AF); +SDR 16 TDI (FFFF) TDO (9420); +SDR 16 TDI (FFFF) TDO (00F4); +SDR 16 TDI (FFFF) TDO (59FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A5FF); +SDR 16 TDI (FFFF) TDO (FC3F); +SDR 16 TDI (FFFF) TDO (7EEA); +SDR 16 TDI (FFFF) TDO (1FFE); +SDR 16 TDI (FFFF) TDO (A32A); +SDR 16 TDI (FFFF) TDO (8370); +SDR 16 TDI (FFFF) TDO (600A); +SDR 16 TDI (FFFF) TDO (100A); +SDR 16 TDI (FFFF) TDO (8A1F); +SDR 16 TDI (FFFF) TDO (A820); +SDR 16 TDI (FFFF) TDO (4000); +SDR 16 TDI (FFFF) TDO (A00D); +SDR 16 TDI (FFFF) TDO (B820); +SDR 16 TDI (FFFF) TDO (18FF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (FF3F); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (E1FF); +SDR 16 TDI (FFFF) TDO (A366); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7E1A); +SDR 16 TDI (FFFF) TDO (7049); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (CFF8); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (841F); +SDR 16 TDI (FFFF) TDO (B9C6); +SDR 16 TDI (FFFF) TDO (7C74); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (FF3F); +SDR 16 TDI (FFFF) TDO (7FF2); +SDR 16 TDI (FFFF) TDO (01FE); +SDR 16 TDI (FFFF) TDO (A326); +SDR 16 TDI (FFFF) TDO (43F0); +SDR 16 TDI (FFFF) TDO (6712); +SDR 16 TDI (FFFF) TDO (1209); +SDR 16 TDI (FFFF) TDO (AC1F); +SDR 16 TDI (FFFF) TDO (C801); +SDR 16 TDI (FFFF) TDO (78C0); +SDR 16 TDI (FFFF) TDO (098F); +SDR 16 TDI (FFFF) TDO (98C0); +SDR 16 TDI (FFFF) TDO (047F); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (3333); +SDR 16 TDI (FFFF) TDO (63EE); +SDR 16 TDI (FFFF) TDO (E667); +SDR 16 TDI (FFFF) TDO (B33B); +SDR 16 TDI (FFFF) TDO (B9F3); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (3C8E); +SDR 16 TDI (FFFF) TDO (B9CF); +SDR 16 TDI (FFFF) TDO (B9D9); +SDR 16 TDI (FFFF) TDO (71CC); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (B9B9); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9FF); +SDR 16 TDI (FFFF) TDO (7677); +SDR 16 TDI (FFFF) TDO (6946); +SDR 16 TDI (FFFF) TDO (6777); +SDR 16 TDI (FFFF) TDO (9733); +SDR 16 TDI (FFFF) TDO (30A9); +SDR 16 TDI (FFFF) TDO (4727); +SDR 16 TDI (FFFF) TDO (7DDC); +SDR 16 TDI (FFFF) TDO (B385); +SDR 16 TDI (FFFF) TDO (199D); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (D9C2); +SDR 16 TDI (FFFF) TDO (B59C); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFB); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (53FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77EE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFED); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFD); +SDR 16 TDI (FFFF) TDO (7EB5); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D7FA); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFED); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (57FD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7FE); +SDR 16 TDI (FFFF) TDO (7F76); +SDR 16 TDI (FFFF) TDO (FEBA); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FDE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (E5FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (5FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EF6F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (BF7E); -SDR 16 TDI (FFFF) TDO (5EFF); -SDR 16 TDI (FFFF) TDO (97F7); -SDR 16 TDI (FFFF) TDO (BEAB); -SDR 16 TDI (FFFF) TDO (DF75); -SDR 16 TDI (FFFF) TDO (7F5F); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5BF); -SDR 16 TDI (FFFF) TDO (FEFB); -SDR 16 TDI (FFFF) TDO (7DB7); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (9CEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEDD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEEF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFD); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (79DD); -SDR 16 TDI (FFFF) TDO (B57F); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (76EE); -SDR 16 TDI (FFFF) TDO (FFAF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BFF1); -SDR 16 TDI (FFFF) TDO (5CFA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7AB3); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (EFEB); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (49B1); -SDR 16 TDI (FFFF) TDO (3FFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (7F4E); -SDR 16 TDI (FFFF) TDO (29CF); -SDR 16 TDI (FFFF) TDO (BF1E); -SDR 16 TDI (FFFF) TDO (BB7F); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (8B5C); -SDR 16 TDI (FFFF) TDO (0F02); -SDR 16 TDI (FFFF) TDO (55CA); -SDR 16 TDI (FFFF) TDO (41E0); -SDR 16 TDI (FFFF) TDO (A223); -SDR 16 TDI (FFFF) TDO (3EEC); -SDR 16 TDI (FFFF) TDO (7E09); -SDR 16 TDI (FFFF) TDO (FF8F); -SDR 16 TDI (FFFF) TDO (B017); -SDR 16 TDI (FFFF) TDO (18E0); -SDR 16 TDI (FFFF) TDO (7540); -SDR 16 TDI (FFFF) TDO (208F); -SDR 16 TDI (FFFF) TDO (9011); -SDR 16 TDI (FFFF) TDO (0074); -SDR 16 TDI (FFFF) TDO (59FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A5FC); -SDR 16 TDI (FFFF) TDO (0C02); -SDR 16 TDI (FFFF) TDO (77EA); -SDR 16 TDI (FFFF) TDO (0000); -SDR 16 TDI (FFFF) TDO (A223); -SDR 16 TDI (FFFF) TDO (03FC); -SDR 16 TDI (FFFF) TDO (6001); -SDR 16 TDI (FFFF) TDO (FF8F); -SDR 16 TDI (FFFF) TDO (901F); -SDR 16 TDI (FFFF) TDO (98E0); -SDR 16 TDI (FFFF) TDO (5503); -SDR 16 TDI (FFFF) TDO (000F); -SDR 16 TDI (FFFF) TDO (A800); -SDR 16 TDI (FFFF) TDO (047F); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (8E30); -SDR 16 TDI (FFFF) TDO (67F2); -SDR 16 TDI (FFFF) TDO (09E2); -SDR 16 TDI (FFFF) TDO (A223); -SDR 16 TDI (FFFF) TDO (3FFD); -SDR 16 TDI (FFFF) TDO (7E19); -SDR 16 TDI (FFFF) TDO (7F8F); -SDR 16 TDI (FFFF) TDO (B01F); -SDR 16 TDI (FFFF) TDO (98E0); -SDR 16 TDI (FFFF) TDO (7900); -SDR 16 TDI (FFFF) TDO (0B0F); -SDR 16 TDI (FFFF) TDO (BE87); -SDR 16 TDI (FFFF) TDO (9874); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAF8); -SDR 16 TDI (FFFF) TDO (0C30); -SDR 16 TDI (FFFF) TDO (67F2); -SDR 16 TDI (FFFF) TDO (0000); -SDR 16 TDI (FFFF) TDO (A3E3); -SDR 16 TDI (FFFF) TDO (03FC); -SDR 16 TDI (FFFF) TDO (6239); -SDR 16 TDI (FFFF) TDO (7F8F); -SDR 16 TDI (FFFF) TDO (B01F); -SDR 16 TDI (FFFF) TDO (98E0); -SDR 16 TDI (FFFF) TDO (7903); -SDR 16 TDI (FFFF) TDO (030F); -SDR 16 TDI (FFFF) TDO (9E07); -SDR 16 TDI (FFFF) TDO (807F); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (3233); -SDR 16 TDI (FFFF) TDO (63EE); -SDR 16 TDI (FFFF) TDO (66E7); -SDR 16 TDI (FFFF) TDO (BB33); -SDR 16 TDI (FFFF) TDO (B9F3); -SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (3CCC); -SDR 16 TDI (FFFF) TDO (B9CF); -SDR 16 TDI (FFFF) TDO (B898); -SDR 16 TDI (FFFF) TDO (77CC); -SDR 16 TDI (FFFF) TDO (CDC7); -SDR 16 TDI (FFFF) TDO (BD99); -SDR 16 TDI (FFFF) TDO (99FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7366); -SDR 16 TDI (FFFF) TDO (6947); -SDR 16 TDI (FFFF) TDO (3273); -SDR 16 TDI (FFFF) TDO (9267); -SDR 16 TDI (FFFF) TDO (32A3); -SDR 16 TDI (FFFF) TDO (4727); -SDR 16 TDI (FFFF) TDO (7D9D); -SDR 16 TDI (FFFF) TDO (B395); -SDR 16 TDI (FFFF) TDO (1DCD); -SDR 16 TDI (FFFF) TDO (73DD); -SDR 16 TDI (FFFF) TDO (D9D2); -SDR 16 TDI (FFFF) TDO (B4DD); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9EFB); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (57DF); -SDR 16 TDI (FFFF) TDO (EFFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (B7FB); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BB76); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFC); -SDR 16 TDI (FFFF) TDO (FEF7); -SDR 16 TDI (FFFF) TDO (9FDF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (57FA); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (D57F); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FF9F); -SDR 16 TDI (FFFF) TDO (BDFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7D); -SDR 16 TDI (FFFF) TDO (5FF7); -SDR 16 TDI (FFFF) TDO (57F5); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (DFF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (DDF7); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7F7); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F57F); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BDDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (9EFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFD5); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFB); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BD7F); SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEDA); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (57FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (57FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEEE); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFD); @@ -10855,7 +10855,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (9B9F); +SDR 16 TDI (FFFF) TDO (939F); SDR 16 TDI (FFFF) TDO (BCCF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -10863,11 +10863,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFEE); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); diff --git a/CPLD/MAXV-NODHGR/output_files/RAM2E.asm.rpt b/CPLD/MAXV-NODHGR/output_files/RAM2E.asm.rpt index 46f0857..d9e4123 100644 --- a/CPLD/MAXV-NODHGR/output_files/RAM2E.asm.rpt +++ b/CPLD/MAXV-NODHGR/output_files/RAM2E.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2E -Sat Jun 08 01:44:21 2024 +Fri Jul 12 16:09:17 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -39,7 +39,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sat Jun 08 01:44:21 2024 ; +; Assembler Status ; Successful - Fri Jul 12 16:09:17 2024 ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; @@ -69,8 +69,8 @@ https://fpgasoftware.intel.com/eula. +----------------+---------------------------------------------------------------+ ; Option ; Setting ; +----------------+---------------------------------------------------------------+ -; JTAG usercode ; 0x00165BAC ; -; Checksum ; 0x00165EA4 ; +; JTAG usercode ; 0x001677C3 ; +; Checksum ; 0x00167AB3 ; +----------------+---------------------------------------------------------------+ @@ -89,14 +89,14 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Jun 08 01:44:20 2024 + Info: Processing started: Fri Jul 12 16:09:15 2024 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E-MAXV -c RAM2E Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 13099 megabytes - Info: Processing ended: Sat Jun 08 01:44:21 2024 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 13098 megabytes + Info: Processing ended: Fri Jul 12 16:09:17 2024 + Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXV-NODHGR/output_files/RAM2E.done b/CPLD/MAXV-NODHGR/output_files/RAM2E.done index 9ee1d0f..2211b29 100644 --- a/CPLD/MAXV-NODHGR/output_files/RAM2E.done +++ b/CPLD/MAXV-NODHGR/output_files/RAM2E.done @@ -1 +1 @@ -Sat Jun 08 01:44:26 2024 +Fri Jul 12 16:09:22 2024 diff --git a/CPLD/MAXV-NODHGR/output_files/RAM2E.fit.rpt b/CPLD/MAXV-NODHGR/output_files/RAM2E.fit.rpt index 481ad41..bf98ee2 100644 --- a/CPLD/MAXV-NODHGR/output_files/RAM2E.fit.rpt +++ b/CPLD/MAXV-NODHGR/output_files/RAM2E.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2E -Sat Jun 08 01:44:18 2024 +Fri Jul 12 16:09:14 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -57,14 +57,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Sat Jun 08 01:44:18 2024 ; +; Fitter Status ; Successful - Fri Jul 12 16:09:13 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; ; Device ; 5M240ZT100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 238 / 240 ( 99 % ) ; +; Total logic elements ; 233 / 240 ( 97 % ) ; ; Total pins ; 71 / 79 ( 90 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -129,13 +129,13 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.03 ; +; Average used ; 1.05 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.9% ; -; Processors 3-4 ; 0.9% ; +; Processor 2 ; 1.5% ; +; Processors 3-4 ; 1.5% ; +----------------------------+-------------+ @@ -150,27 +150,27 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV-NODHGR/output_files/RAM2 +---------------------------------------------+-----------------------+ ; Resource ; Usage ; +---------------------------------------------+-----------------------+ -; Total logic elements ; 238 / 240 ( 99 % ) ; -; -- Combinational with no register ; 112 ; +; Total logic elements ; 233 / 240 ( 97 % ) ; +; -- Combinational with no register ; 108 ; ; -- Register only ; 19 ; -; -- Combinational with a register ; 107 ; +; -- Combinational with a register ; 106 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 116 ; -; -- 3 input functions ; 53 ; -; -- 2 input functions ; 46 ; +; -- 4 input functions ; 111 ; +; -- 3 input functions ; 56 ; +; -- 2 input functions ; 43 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 224 ; +; -- normal mode ; 219 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 14 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 25 ; +; -- synchronous clear/load mode ; 29 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 126 / 240 ( 53 % ) ; +; Total registers ; 125 / 240 ( 52 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 15 ; ; Virtual pins ; 0 ; @@ -185,12 +185,12 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV-NODHGR/output_files/RAM2 ; Global signals ; 2 ; ; -- Global clocks ; 2 / 4 ( 50 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 29.6% / 34.3% / 24.8% ; -; Peak interconnect usage (total/H/V) ; 29.6% / 34.3% / 24.8% ; -; Maximum fan-out ; 122 ; +; Average interconnect usage (total/H/V) ; 27.0% / 29.1% / 24.8% ; +; Peak interconnect usage (total/H/V) ; 27.0% / 29.1% / 24.8% ; +; Maximum fan-out ; 121 ; ; Highest non-global fan-out ; 34 ; -; Total fan-out ; 992 ; -; Average fan-out ; 3.20 ; +; Total fan-out ; 973 ; +; Average fan-out ; 3.19 ; +---------------------------------------------+-----------------------+ @@ -207,16 +207,16 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV-NODHGR/output_files/RAM2 ; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 122 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 121 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 15 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 6 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nC07X ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; @@ -259,8 +259,8 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV-NODHGR/output_files/RAM2 ; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -268,7 +268,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV-NODHGR/output_files/RAM2 ; nDHGROE ; 87 ; 2 ; 5 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nRASout ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nRWEout ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nRWEout ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nVOE ; 50 ; 1 ; 7 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +-----------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -278,9 +278,9 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV-NODHGR/output_files/RAM2 +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; RD[0] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; RDOE ; - ; +; RD[0] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; -; RD[2] ; 99 ; 2 ; 2 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; RDOE ; - ; +; RD[2] ; 99 ; 2 ; 2 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[4] ; 91 ; 2 ; 4 ; 5 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[5] ; 92 ; 2 ; 3 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; @@ -432,7 +432,7 @@ Note: User assignments will override these defaults. The user specified values a +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 238 (182) ; 126 ; 1 ; 71 ; 0 ; 112 (88) ; 19 (16) ; 107 (78) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 233 (177) ; 125 ; 1 ; 71 ; 0 ; 108 (84) ; 19 (16) ; 106 (77) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ; ; |RAM2E_UFM:ram2e_ufm| ; 56 (56) ; 32 ; 1 ; 0 ; 0 ; 24 (24) ; 3 (3) ; 29 (29) ; 0 (0) ; 5 (5) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_p8r:UFM_altufm_none_p8r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component ; UFM_altufm_none_p8r ; work ; @@ -513,8 +513,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Din[5] ; Input ; (0) ; ; Din[7] ; Input ; (0) ; ; Din[4] ; Input ; (0) ; -; Din[2] ; Input ; (0) ; ; Din[3] ; Input ; (0) ; +; Din[2] ; Input ; (0) ; ; nC07X ; Input ; (0) ; +-----------+----------+---------------+ @@ -524,22 +524,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ -; BA[0]~0 ; LC_X2_Y3_N6 ; 2 ; Clock enable ; no ; -- ; -- ; -; BA[0]~1 ; LC_X3_Y3_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -; C14M ; PIN_12 ; 122 ; Clock ; yes ; Global Clock ; GCLK0 ; -; CS[0]~2 ; LC_X6_Y3_N5 ; 3 ; Clock enable ; no ; -- ; -- ; -; DQML~0 ; LC_X2_Y4_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -; Equal1~1 ; LC_X3_Y3_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal1~2 ; LC_X5_Y2_N9 ; 8 ; Clock enable ; no ; -- ; -- ; -; Mux14~0 ; LC_X4_Y1_N7 ; 2 ; Clock enable ; no ; -- ; -- ; -; PHI1 ; PIN_37 ; 5 ; Clock ; yes ; Global Clock ; GCLK3 ; +; BA[0]~0 ; LC_X2_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ; +; BA[0]~1 ; LC_X3_Y4_N5 ; 3 ; Clock enable ; no ; -- ; -- ; +; C14M ; PIN_12 ; 121 ; Clock ; yes ; Global Clock ; GCLK0 ; +; CS[0]~2 ; LC_X6_Y3_N7 ; 3 ; Clock enable ; no ; -- ; -- ; +; DQMH~0 ; LC_X2_Y4_N8 ; 2 ; Clock enable ; no ; -- ; -- ; +; Equal1~1 ; LC_X4_Y2_N0 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal1~2 ; LC_X7_Y4_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; Mux14~0 ; LC_X4_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ; +; PHI1 ; PIN_37 ; 6 ; Clock ; yes ; Global Clock ; GCLK3 ; ; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X2_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X5_Y1_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X7_Y3_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -; RA[1]~2 ; LC_X5_Y2_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -; RDOE ; LC_X4_Y3_N3 ; 8 ; Output enable ; no ; -- ; -- ; -; S[0] ; LC_X3_Y2_N5 ; 32 ; Sync. clear ; no ; -- ; -- ; -; S[3] ; LC_X3_Y2_N7 ; 34 ; Sync. clear ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X4_Y1_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X6_Y3_N0 ; 15 ; Clock enable ; no ; -- ; -- ; +; RA[2]~2 ; LC_X2_Y2_N5 ; 6 ; Clock enable ; no ; -- ; -- ; +; RDOE ; LC_X7_Y4_N2 ; 8 ; Output enable ; no ; -- ; -- ; +; S[0] ; LC_X3_Y4_N9 ; 32 ; Sync. clear ; no ; -- ; -- ; +; S[3] ; LC_X3_Y4_N6 ; 34 ; Sync. clear ; no ; -- ; -- ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ @@ -548,8 +548,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+----------+---------+----------------------+------------------+ -; C14M ; PIN_12 ; 122 ; Global Clock ; GCLK0 ; -; PHI1 ; PIN_37 ; 5 ; Global Clock ; GCLK3 ; +; C14M ; PIN_12 ; 121 ; Global Clock ; GCLK0 ; +; PHI1 ; PIN_37 ; 6 ; Global Clock ; GCLK3 ; +------+----------+---------+----------------------+------------------+ @@ -558,85 +558,86 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 156 / 784 ( 20 % ) ; -; Direct links ; 53 / 888 ( 6 % ) ; +; C4s ; 157 / 784 ( 20 % ) ; +; Direct links ; 55 / 888 ( 6 % ) ; ; Global clocks ; 2 / 4 ( 50 % ) ; ; LAB clocks ; 7 / 32 ( 22 % ) ; -; LUT chains ; 5 / 216 ( 2 % ) ; -; Local interconnects ; 340 / 888 ( 38 % ) ; -; R4s ; 190 / 704 ( 27 % ) ; +; LUT chains ; 4 / 216 ( 2 % ) ; +; Local interconnects ; 335 / 888 ( 38 % ) ; +; R4s ; 167 / 704 ( 24 % ) ; +-----------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.92) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.71) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; ; 4 ; 0 ; ; 5 ; 0 ; -; 6 ; 0 ; +; 6 ; 1 ; ; 7 ; 0 ; ; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 23 ; +; 9 ; 1 ; +; 10 ; 21 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.50) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.46) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Clock ; 22 ; -; 1 Clock enable ; 12 ; -; 2 Clock enables ; 1 ; +; 1 Clock ; 23 ; +; 1 Clock enable ; 7 ; +; 1 Sync. clear ; 1 ; +; 2 Clock enables ; 3 ; ; 2 Clocks ; 1 ; +------------------------------------+------------------------------+ -+-----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.13) ; Number of LABs (Total = 24) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 22 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 1 ; -+----------------------------------------------+------------------------------+ ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 9.96) ; Number of LABs (Total = 24) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 1 ; +; 10 ; 19 ; +; 11 ; 1 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 1 ; ++---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.17) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.13) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 2 ; -; 4 ; 0 ; -; 5 ; 3 ; -; 6 ; 4 ; -; 7 ; 2 ; -; 8 ; 4 ; -; 9 ; 3 ; +; 2 ; 0 ; +; 3 ; 3 ; +; 4 ; 1 ; +; 5 ; 2 ; +; 6 ; 2 ; +; 7 ; 5 ; +; 8 ; 5 ; +; 9 ; 1 ; ; 10 ; 4 ; ; 11 ; 0 ; ; 12 ; 1 ; @@ -646,32 +647,32 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 12.54) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 12.17) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 1 ; -; 4 ; 1 ; +; 4 ; 2 ; ; 5 ; 0 ; -; 6 ; 2 ; +; 6 ; 0 ; ; 7 ; 1 ; ; 8 ; 0 ; -; 9 ; 2 ; -; 10 ; 2 ; -; 11 ; 1 ; -; 12 ; 0 ; -; 13 ; 2 ; -; 14 ; 3 ; -; 15 ; 2 ; -; 16 ; 3 ; -; 17 ; 1 ; +; 9 ; 1 ; +; 10 ; 4 ; +; 11 ; 4 ; +; 12 ; 1 ; +; 13 ; 3 ; +; 14 ; 2 ; +; 15 ; 0 ; +; 16 ; 1 ; +; 17 ; 0 ; ; 18 ; 1 ; ; 19 ; 0 ; ; 20 ; 0 ; ; 21 ; 1 ; ; 22 ; 0 ; -; 23 ; 0 ; +; 23 ; 1 ; ; 24 ; 1 ; +----------------------------------------------+------------------------------+ @@ -722,7 +723,8 @@ Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186216): Automatically promoted some destinations of signal "PHI1" to use Global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186217): Destination "PHI1r" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 20 - Info (186217): Destination "S~0" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19 + Info (186217): Destination "S~2" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19 + Info (186217): Destination "S[3]~9" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 21 Info (186228): Pin "PHI1" drives global clock, but is not placed in a dedicated clock pin position File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing @@ -744,20 +746,20 @@ Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 26% of the available device resources - Info (170196): Router estimated peak interconnect usage is 26% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 24% of the available device resources + Info (170196): Router estimated peak interconnect usage is 24% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170202): The Fitter performed an Auto Fit compilation. No optimizations were skipped because the design's timing and routability requirements required full optimization. -Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 1.13 seconds. +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.79 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXV-NODHGR/output_files/RAM2E.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 11 warnings - Info: Peak virtual memory: 13776 megabytes - Info: Processing ended: Sat Jun 08 01:44:18 2024 - Info: Elapsed time: 00:00:07 - Info: Total CPU time (on all processors): 00:00:05 + Info: Peak virtual memory: 13775 megabytes + Info: Processing ended: Fri Jul 12 16:09:14 2024 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:04 +----------------------------+ diff --git a/CPLD/MAXV-NODHGR/output_files/RAM2E.fit.summary b/CPLD/MAXV-NODHGR/output_files/RAM2E.fit.summary index 63e0443..4e185ff 100644 --- a/CPLD/MAXV-NODHGR/output_files/RAM2E.fit.summary +++ b/CPLD/MAXV-NODHGR/output_files/RAM2E.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Sat Jun 08 01:44:18 2024 +Fitter Status : Successful - Fri Jul 12 16:09:13 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V Device : 5M240ZT100C5 Timing Models : Final -Total logic elements : 238 / 240 ( 99 % ) +Total logic elements : 233 / 240 ( 97 % ) Total pins : 71 / 79 ( 90 % ) Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXV-NODHGR/output_files/RAM2E.flow.rpt b/CPLD/MAXV-NODHGR/output_files/RAM2E.flow.rpt index 846cea3..995793c 100644 --- a/CPLD/MAXV-NODHGR/output_files/RAM2E.flow.rpt +++ b/CPLD/MAXV-NODHGR/output_files/RAM2E.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2E -Sat Jun 08 01:44:25 2024 +Fri Jul 12 16:09:21 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -41,14 +41,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Sat Jun 08 01:44:21 2024 ; +; Flow Status ; Successful - Fri Jul 12 16:09:17 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; ; Device ; 5M240ZT100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 238 / 240 ( 99 % ) ; +; Total logic elements ; 233 / 240 ( 97 % ) ; ; Total pins ; 71 / 79 ( 90 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 06/08/2024 01:43:28 ; +; Start date & time ; 07/12/2024 16:07:44 ; ; Main task ; Compilation ; ; Revision Name ; RAM2E ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------+------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------+------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 121380219419.171782540703728 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121380219419.172081486408648 ; -- ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ; @@ -85,11 +85,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:43 ; 1.0 ; 13149 MB ; 00:00:40 ; -; Fitter ; 00:00:07 ; 1.0 ; 13776 MB ; 00:00:04 ; -; Assembler ; 00:00:01 ; 1.0 ; 13098 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:02 ; 1.0 ; 13094 MB ; 00:00:02 ; -; Total ; 00:00:53 ; -- ; -- ; 00:00:47 ; +; Analysis & Synthesis ; 00:01:30 ; 1.0 ; 13148 MB ; 00:00:41 ; +; Fitter ; 00:00:04 ; 1.0 ; 13775 MB ; 00:00:04 ; +; Assembler ; 00:00:02 ; 1.0 ; 13097 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:03 ; 1.0 ; 13095 MB ; 00:00:02 ; +; Total ; 00:01:39 ; -- ; -- ; 00:00:48 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/CPLD/MAXV-NODHGR/output_files/RAM2E.map.rpt b/CPLD/MAXV-NODHGR/output_files/RAM2E.map.rpt index 2335e1b..4fac2b4 100644 --- a/CPLD/MAXV-NODHGR/output_files/RAM2E.map.rpt +++ b/CPLD/MAXV-NODHGR/output_files/RAM2E.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2E -Sat Jun 08 01:44:10 2024 +Fri Jul 12 16:09:08 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -46,12 +46,12 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sat Jun 08 01:44:10 2024 ; +; Analysis & Synthesis Status ; Successful - Fri Jul 12 16:09:08 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; -; Total logic elements ; 252 ; +; Total logic elements ; 247 ; ; Total pins ; 71 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -164,34 +164,34 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 252 ; -; -- Combinational with no register ; 126 ; +; Total logic elements ; 247 ; +; -- Combinational with no register ; 122 ; ; -- Register only ; 33 ; -; -- Combinational with a register ; 93 ; +; -- Combinational with a register ; 92 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 116 ; -; -- 3 input functions ; 53 ; -; -- 2 input functions ; 46 ; +; -- 4 input functions ; 111 ; +; -- 3 input functions ; 56 ; +; -- 2 input functions ; 43 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 238 ; +; -- normal mode ; 233 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 3 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 126 ; +; Total registers ; 125 ; ; Total logic cells in carry chains ; 15 ; ; I/O pins ; 71 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; -; Maximum fan-out ; 122 ; -; Total fan-out ; 1001 ; -; Average fan-out ; 3.09 ; +; Maximum fan-out ; 121 ; +; Total fan-out ; 982 ; +; Average fan-out ; 3.08 ; +---------------------------------------------+-------+ @@ -200,7 +200,7 @@ https://fpgasoftware.intel.com/eula. +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 252 (191) ; 126 ; 1 ; 71 ; 0 ; 126 (97) ; 33 (25) ; 93 (69) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 247 (186) ; 125 ; 1 ; 71 ; 0 ; 122 (93) ; 33 (25) ; 92 (68) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; ; |RAM2E_UFM:ram2e_ufm| ; 61 (61) ; 32 ; 1 ; 0 ; 0 ; 29 (29) ; 8 (8) ; 24 (24) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_p8r:UFM_altufm_none_p8r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component ; UFM_altufm_none_p8r ; work ; @@ -222,12 +222,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 126 ; +; Total registers ; 125 ; ; Number of registers using Synchronous Clear ; 3 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 59 ; +; Number of registers using Clock Enable ; 58 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -256,13 +256,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[2] ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[3] ; ; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[1] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[5] ; +; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[4] ; ; 16:1 ; 2 bits ; 20 LEs ; 2 LEs ; 18 LEs ; Yes ; |RAM2E|BA[0]~reg0 ; -; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[6] ; -; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[1] ; -; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQML~reg0 ; +; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[7] ; +; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[2] ; +; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQMH~reg0 ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ @@ -283,7 +283,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Jun 08 01:43:27 2024 + Info: Processing started: Fri Jul 12 16:07:38 2024 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXV -c RAM2E Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2e/cpld/ram2e.v @@ -296,12 +296,12 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v Info (12023): Found entity 1: UFM_altufm_none_p8r File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV-NODHGR/UFM.v Line: 47 Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV-NODHGR/UFM.v Line: 166 Info (12127): Elaborating entity "RAM2E" for the top level hierarchy -Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136 +Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 132 Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2E/CPLD/UFM-MAX.v Line: 77 Info (12128): Elaborating entity "UFM_altufm_none_p8r" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component" File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV-NODHGR/UFM.v Line: 217 -Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 140 +Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136 Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nDHGROE" is stuck at VCC File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 139 + Warning (13410): Pin "nDHGROE" is stuck at VCC File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 135 Warning (13410): Pin "nCSout" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 75 Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 @@ -313,18 +313,18 @@ Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 Warning (21074): Design contains 1 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "nWE80" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 11 -Info (21057): Implemented 324 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 319 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins Info (21059): Implemented 41 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 252 logic cells + Info (21061): Implemented 247 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXV-NODHGR/output_files/RAM2E.map.smsg Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 13 warnings - Info: Peak virtual memory: 13149 megabytes - Info: Processing ended: Sat Jun 08 01:44:10 2024 - Info: Elapsed time: 00:00:43 - Info: Total CPU time (on all processors): 00:00:40 + Info: Peak virtual memory: 13148 megabytes + Info: Processing ended: Fri Jul 12 16:09:08 2024 + Info: Elapsed time: 00:01:30 + Info: Total CPU time (on all processors): 00:00:41 +------------------------------------------+ diff --git a/CPLD/MAXV-NODHGR/output_files/RAM2E.map.summary b/CPLD/MAXV-NODHGR/output_files/RAM2E.map.summary index 1c28efa..a0b7f0f 100644 --- a/CPLD/MAXV-NODHGR/output_files/RAM2E.map.summary +++ b/CPLD/MAXV-NODHGR/output_files/RAM2E.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Sat Jun 08 01:44:10 2024 +Analysis & Synthesis Status : Successful - Fri Jul 12 16:09:08 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V -Total logic elements : 252 +Total logic elements : 247 Total pins : 71 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXV-NODHGR/output_files/RAM2E.pof b/CPLD/MAXV-NODHGR/output_files/RAM2E.pof index 44dde7c3d61ced589d9fedbdd7119073672fe058..b14b6e3356cdbfcbfdd4f15f12e8b1954cd6b40c 100644 GIT binary patch literal 7861 zcmeHM{dbgAexKm-ri-xERlAC$p4PT|_CO#-7f~l&-_BOW-CFf@6{8*rhYG|7!ieFS z3)>32?ZQf3tq~{LZ4Y{^LV8LuN_^&KMewC0W7me95T55EO0p2=d4h4~$vjW){q}S3 zGYPQ%0sW!QIWu?e{l357XXXcY-I_=wDiTx8=biZcVdKLMYa7>8{-9ySs%7}BU*7QW zs#VJxD(_v^ux3U5>dN_x=FXp6Rr$Tf6)PXDyyn`f%Bn^47A%;zplbf2%Dexf@{Sd2 zmsQ^K=!&(#O-xG60Lz7UF8H&mAN|$*s;Zk7CeBMt$I(5j*REK*a@nJa$-vRCZzR6{ zE%QZxe5h4YL?n}~gDpg?uwId(++f!rk=oFblYkkx&zKUxOPRgMs~|oH%>ZyDu{D4z zNkMD(nBLF%M01i2pD;rYobfen@JT5WN{)n7jQ108MFHrO6ZA^EURo`hlLs^i;5dkuSl)N5p&>IV8$_ zv^TV0h&(Nb7&NCS#*5E2+#&K6`7%!w%|BCbRM^nQ@m=TwH{yTJo} zQT56KSxt&~+-sTT}S`EyYA{M{xfA^u6j<5Fe-761x2j5zpdFA`EfCpUo5pq$VEaDP| zrK3!QLXY`768y7d_hXMx!0-Qa7q;=xxhu5wzU8bf2tx1 z2yE5sL>z0Rtclu~9qou* zh9)O`q4N{?(9?%K{aW8hGQT#h`f)B}{kh~GS?#htV!Df1KJ<;WJQ2ewf1A2=G-y0h zQl8V_E;&oK+;BbF{IfE>uzlO63EYfB9YZx|h9w z*HBO16VZ?q@f=&Vu)+8)#Uws0e^8~b2Z`+HD#`vH~ztXy9lW2X&$ zvEU<1e2%>SFE4LLHgL6ksY0wF^0GkdQnu4YDW+&hT1`2_A9Kc6$Xyxvs7~SzTBxT^ zk)!h!^iD0Rl0{~)==?{X5rPf^C$ujdQ=U{N6J;1Q6;^~c+yqVONHxb`pNr};zz{jCA`n!eDk zt`+21+CQjM$^A4-O~}`CaG;j1wa|MMY`1BoBfF<5vVY_%O>eXNdemU*WY=q2{{2a7 zthKPjZ_##@>o5AY;y+h=`{6(C5A^i=tBVhuC`i87$afZ6HQq<-A6mAx`!*F#ug;Wy z@k8(9Dw?rz`flA{4~RG6_chU5}(h$?5Boa(mRK`Q@0hn z4rTmxspM79e)QAl2j*Wi==5!8#y@PexA_t8(`5tGZn^rZ7rwFem06c}@94N`>yE{- z{{-?o(tgCf6uDU-Z>-1y%z-%n^e6H(zL!XZGva@v{AeQo;yI8biwmX%YqH4~u5v<| z_TxN-4`MxZ+(;lkDddZiew=@f)VD7`fP4nL67J!ltAfCya{QS`3VB-ye4f^G0Scux zUPM8;J~f^0|Bz$uaY8vAu%{n;;By!in7&PJ&fJf)X{3@IAs*Ks=7Ye!82PBu!ptk> z2GFNW?{mYahcy47P0wGKPJ#ahbMBxXij;&}+Ma(d+LC>=PVi{F?(&8Y{K<43ajk!w z@;jif?px4LFsyt-tiONHUZSy=FqKf>CcZnL#1}3&uC=;PJeQAbrX1FeIx+nj`t-w} zL+~5-1OfzpS%&E4(}w?veW&&olkK75j}PE=wBT;wxZg4K3rWk@=g4p3{$5HZQQwGz zDCa-!L%11P0pUL87p;hf6p(EZjnnx>YD&fQKGUm-OQih;zP#xUCC=xlb5@kCUZGDU zUn+&|8TFolTPzqU`t;|romyMyu^nB+oCkZ`q&1YfH;(Ti@~$O)|MxvkjkenJx!Ns5 zIhz~$XZKz5m+JO=x7e5Frfv?`e{06*#fifeQ-^14{1a1u1%Ao*)GfE3|5WeD1)G0d zJ8Q=1H*2;fCJ$#4iMakko=4xl{Fe8Je}3?5H(okpY|f9>)1}k14<3u>AN$u|EB4zL z?wn3DH-9>P#2TypmkSfqhiBLPvTXmMI}a{T7ab>)t4pyp_Hc7wclF{=oaRg!?tS*$ zse!t!Kbh9Ed?{$l+C*4!E}JK)#gki@H8=rqI--dX?dxPZshvh9hr-5wd}c z{PMAKw-@*Oh>Ms%o>~BdJ|c@1Vh!DymBssZoC`g*kmqMV$KuurdTALtQ-5)OkcjyOKR#Z{D- zJ>I&MkVvMKqFT@k@FhC2ZwKOmexvDeX+`Ebcz)3H%FsWHmWpVIm_NhwGy6yhrOhbk zKlLKM(RxwfZmmymf^S39^1uqv7r?VbP4H(f=qm`np&(Ndp7td1z8<>_|Jl*c{dqa7 z6tx}xO~g*41>=63TA$jB4utz=a?OyMG_4j@Ms(XvXldyDs@fNyDd1+1NbX{PfdG>o4ih0|%GiI(Xpdi`{jP zvWwn4-RFEzUHysUl3w|Y!UM|R&X@AJ&j0aq z?VtGEVnk^X$dkx@%}39zm>=>#2%nS&U>pM~>_)ZU&4hio(! z_7J`5aw3aThQ5(%d+}Ucw24HK5i3df%g6i_u88Lk*;twJa~6IRL@lf%u+eKY{}L$% zKeA?NQ@96lC&99W`?5kF8Mp{S8eIOY@2k}xN_K#FTOGYwD2cqWPtsd+*U|m1WvA(I zGFy5RaXwOeUs|R*YGe8JeO|!%Njx!x`niPnk7V2YXz6SB8vC|imw0F2CoFN`?VH}6 zl9*QY)X7BR;LKUWe>y!e_XWsbmh8N5VEN-U2NIpR%@xzCHuY7U|MpDOUquZ(hxmn$ z-#jpwoO0mT`*T;{eEs~LrA;4ioB2ev^!u~sxqw^lFdJC@#FVbi&fL|B>*sGRZK^mp z=extdUvVt1KX&MjgQ?}8b{#$H*VSFwkQtf1y&LtH>DwOXhs6AQq`Uk5JC+>yU`cj< z*DG%CYXKB4=~XX8Pqp!*7xp?|@XJ^h`9~sT8qS%nCkdJu9@Qg$8ze4lm;;cX z*FSU84TwWrAG*$EQ;A`ZGsuh2@kQfd;qe-=5PD-T<2^6K^-V9bke|Sd=ifLy<`1EU z5Bj+#{xW~SS^0dNUfVk%|Ezi)ho8lV%sp4LF4v9L|6KZ5e%Y?G_?~ml&d59GoLI;B zeta$41u3x}t%fVAc1ckornDjKuojoI;_yx9O(> zm(_FS;{Smca{B*)8(a1N1MlPifsn=apV8;b{qk)8j_d!`dTu=bul;dZ;_F|V_(!Nx b|09HC`0DkQ2fp&a|5Fc4GyfHDx$J)dmOhz( literal 7861 zcmeHMeQ;FQb$=KmWCsb8*bOuhRx+KY)7l`QhVUiXrXd;E)Nx#BQzBFoB!Yvanhz}? z?_QXA0H=n;<1tw7tdxGZscEHc%m4%O?o(@n>#=s_#KjoI+ba`T6~w+LkoP@lpYA>V z-TQWBJ8u8(AGJoickeyt{LaTc=iK{tzx~Kc!!RZpQ}z2Qyg$1A@h#i7Z>{|HmQ9WI zcyHdgbGp&)ZA1#Z}FUYbE+yI+`eh^hRTJDsw%4%&z(Pi?)<8Giz^>{ zsPf@W+v+R7v0>9T@EW%mWq`bI)%-uK`inoES5>v*K4Y?R8ksPf9c7XvB2m*Z*iOW9J0(f#8%z!o$*0=;1ZbM>5R)8yAwB0==>_Yc+jt3L zA<(5DuOl_C<~5fjIZ|xBL2f|zH4Z*U^xP!r2?}Rj7SIcNK$GM_9>RDR40<*HZ_+vB zNr-V#z&9uGr6PdcCWnOQeM;V?90{LbW<&zpheub;#S%D57 z@Co4%cXj;CI5vag-FjWw0o+#YpWEk!Euxx)lO|#Mg}6B$_5pqapFBS+Op+&kUo@Xn zxGD}>e?TW6jAl!07m3&rEf4&DNj`Q1{`67!7;%zgTxUdJeBZj}%gH6F6J-+$1`#<((`7!I58xszb1!%e2ZjN6W8f+dWB4WYfUK zCqeu|7d82UGc9kCgM5+<>r~y1&F5Ml6E*@2d>sdeT9y5nn`-+a|2!na)TQaQtS^Q2 zu)?qQ`)hy`PsAG|!i{OVMIU+*YKA>NlgG7v&KarVyDbC}jIF*KqPNdx&!o?+dW}Rp zd?B*p=#AxX_v`)bJYM?c%=b%n-#dAHYS%5b#+1>SFU~n~WV~_G?{?|>FmL~IX5-%7 zQzrlC^f|vLj4AIgmgU!uycRYxI-fe1Y`#6SvE*A7(|V^z_H|TE8J+cFxnT^4fBHZm zU-PXwiaupp53M^?4*GYEl4pC}urUpF0{iHD7gugf7+CO+GeUq#>AC#U$+etdg?xe0` zC9mqa>4eJPw5KW``5q!KuJcXN9n>R6yla2++_HH{h-ANxZ%*%@dqTil@IfB=ug4Ke zKrYB1Mp=ALphqcui81aGcCe@)d8_mx_tZYSjv9|^{9xZ5TFr^ldfhbBP%u${(1rZ+ zvM^+!A42nBClZXo4pZ-6;GYwYa}LiHu1Ogf6Zf^f$oEcUAJ*}b2^Vx04*DD`==V^! zu-eU1T8yYd^wOm4E%wXv95+(7ZXDd%ULY%S=BO9esOMa?_`S$WUVhPYYb54tNEY(t= z4}SX`rK6(k&q-qqc5=yKHW*yWs=8EhHZkQYzrrT!l4Nt%bMi8V^*qZp$dCw&zJmWp zgp4_-1ecEV8S=v75;3=^IiNG=oNRvjcy=&hxkHUDQGbkDD955^lcvY%Jd!MViQ8x4T*n6U{Z6HBdE zUTRA%UGUg}wzj~3jyS8l_U~4Cx-m}U$U`ZUX^GCO_6N<97;AJTB~FlI33V>UD9*B4 zKI{9IWR4KbQ~g9Bbv@ZmV_}cQ(pp~Sp~vDvQu-X^RbHBEe+v0$sXRyhq26@;!WLIH z!!OjK_D^z*W^M@e>2uh_DZ-%0i70)T!&se?c;nPm1!L-QJKS6ZZ|^!ML*+4jmcI9LymbYB&gep5bQ-S(fUlplb|=D`YN}q zycbF&oILuM)O2u3yOBE6ex19td1d&#lWfQj!v8KU-$`rd%M;SB9kG8dkrje+-R-N9 zAH&`ny}vbAl9@WMHg~Tjny#NZ8K;hrG-oE_cc0ht;=cQPGLwy`zj4R#kM{1Xm{dQy ze@4mKJ1Bej1zmrl=Kh|B>BiG#!y^Yvrj$KeKf349)Vs!bc;T>?kM1(wu%~YL59{&a zj?BK<^Xlc*=h|oeqkE@Q?o7)SN!yg1^;@#cV^?p z!DR2VeJu@vcER(@1pyo5$!)~N*#Kl$xf!Goz2+Q3z9FR{=TsE(XwC63hd{c zRz_03!;?8^OJZ8unj%zORAlN?(h2lDWP>+7yqVg6Sj{I3}7V@42b(+hO8JurF zq!wI{;|}+N`V%1+9b3ssx(I!!BfNq+Mze4(bI=`}e|hu=CnSY~15ooh-8kREVhs9O zKjcOt?O2bK2|OjQ`T*9?LmoE8sRn(5AwTN^I%zu{eQpdPj8L2&gdgHsfsTHF7@++W z=cqH_B4zI*d?&VimJVNo5k2Z5g**ZX@V??Io%&tJV6Iyfmc~ZxYf)d}B~BgnH>16H7_U-$y3`J8<^fw%8u`v3Wa9 zTFxH}&L5MHVgE$d)cfFU2V2I;!~cRmrW}%Uf7pq*Vt<*FljAP#5$c{3;4<-sdv5*B zT)*G3@+b}F($tb*KhKM`fqh}Kyj9j%G1%`U9BX-$4m>Gux&1aaD zzu(_D_5*9(^HwhE1?R^LOQ!91CztO#`-pX-+9-eGKzN{T&G45#%o+pQzbiGyZnvz| zm@>WZ4@!(5KXHKmZLMp3ZaDYAWo=*l)ICOK-`>G1blsFw-~aWL(Z{PBZn(o0pWm&| zKdWYUWoD|e`}7K@<4+ovjNCi=_sib9zht=L)jfKD;?&!FX5-4sR_{Tl;Y`h<=#93v zgHMJ&h+5AD{v)b~uG#h~d(P=pQ~OctA6`A0UcBIs?`>PE>9u58_Er@yfqOVb;$E7o zeN*>AH6o7cTvQ`N#8i5zNq8eI(XPN3e%h6a7#{~Z= zBn$Ace^^!WxPM~aOksjNQ+b1WNBdU~WC6b76DnmpFvn@YF>eK5qS&7gBR`lhk$=A8 zoUr?2^1f7b^cjUiwki+LEYNAK*;F=L(-(gpo-y6~CHOeO~v8A|Cu#d9LCV z)K3m~Y4kg;`5io5`K?UmR2v8tjRwt-xy)CiIz{ zTR6WZd<0&Q{|xnw7PIp##UcQ`O3l_a5W}!d**Av%W8=dw*;#s()8&*P3j|HcwU8FY?WV*xC9EpZsgCt@H=yAKh$` zDIvyeO6TRlLrF1jn%fQgoFG5`cFFyFF0C+(vfoNADw(rm>s_OzA66SLk31tIUB3c; zuEsDLzKL&Z4)xT`p7Zqu6+3RbtJ-*H{Dpx5ZC~e-0V6ZL>ed;h$+@@enLc%j{L<$a zF4#1_{DD2Xe#h9q-+JlIhj&!$@0mM$PwC_e+5g3bi#aX+?oO%f7pne3Ew0sjAk~tI zgxYSjSwEif*ZJ5(%nlRJg|Siu3y|V__bDrqv`;C z-%o3~tN0w?iyY1w4!T;${n5wsSC#}86+gCwVZU&E`22!T`7Gj_#{&`Wqo$(Y!0RUA zxj~&1cnDNDDqqL&Quyfpxj>J~EAmeQKcBTJjPI=q>#G0BB0uEex5~dbSNO1B;p5%M z1B&(ob~zvCr#z=|$Z_@k5^&r+$M;(yv*;hW$Q6}GMSVCTfu}lz${#%VAl<^KZG1n& zk-y#Rv_4(x-~u4yww1v1orn7=#2{C!mw>ML)%oGTZU{ueKv#}neT>0wN^gteet#VC z1^z{KuES0oDMZJ1DLzJm`4qu5U!Su;MSRRO=x51yn=mT41oT>D_O)Yb`9b}?kheMF zhh0{Er%7$hcBNOaKz=)8zy~s+&Lb2)3EDL<;3{0~Z>URC*+Y4gd|X4~5srWq>kFFm zCr*IQ>heQ%l;sMKPxKkc4e9-fwNiq2?6TtgU1XR%*;2?ac2&w|rtEhjH?QShp=Ndj zz1I@7PQ^i(5sDl>j2kgkUjrZX|BKc?gm{Af+!CYb)Z~>J@j82RZ>Y4Y?7!q)H=je=TL9eAsWSar9~3;God6Rb!_{>6~b(f7!%pNi59*Cg z=r0$CQg?X4eud+UW1!>w0v}iP5BrMv4E*3HkqtANg-yfDUyp%F|n8%SQrytYeOT64L#P3w2mxZNeV>KJZeX`hB3f zL;XJR7JeVdGwi6A`-m^V3FgJPeF6vkpUppt*F=2(592b^n0D*LFG7{-7a7 diff --git a/CPLD/MAXV-NODHGR/output_files/RAM2E.sta.rpt b/CPLD/MAXV-NODHGR/output_files/RAM2E.sta.rpt index 1216239..b9a5b5f 100644 --- a/CPLD/MAXV-NODHGR/output_files/RAM2E.sta.rpt +++ b/CPLD/MAXV-NODHGR/output_files/RAM2E.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for RAM2E -Sat Jun 08 01:44:25 2024 +Fri Jul 12 16:09:21 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -17,8 +17,8 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition 9. Recovery Summary 10. Removal Summary 11. Minimum Pulse Width Summary - 12. Setup: 'ram2e_ufm|ARCLK|regout' - 13. Setup: 'ram2e_ufm|DRCLK|regout' + 12. Setup: 'ram2e_ufm|DRCLK|regout' + 13. Setup: 'ram2e_ufm|ARCLK|regout' 14. Setup: 'C14M' 15. Hold: 'ram2e_ufm|DRCLK|regout' 16. Hold: 'ram2e_ufm|ARCLK|regout' @@ -92,8 +92,8 @@ https://fpgasoftware.intel.com/eula. +------------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +------------------+--------+--------------------------+ -; ../RAM2E.sdc ; OK ; Sat Jun 08 01:44:25 2024 ; -; ../RAM2E-MAX.sdc ; OK ; Sat Jun 08 01:44:25 2024 ; +; ../RAM2E.sdc ; OK ; Fri Jul 12 16:09:20 2024 ; +; ../RAM2E-MAX.sdc ; OK ; Fri Jul 12 16:09:20 2024 ; +------------------+--------+--------------------------+ @@ -115,7 +115,7 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------------------+------+ ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|ARCLK|regout ; ; ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|DRCLK|regout ; ; -; 27.51 MHz ; 27.51 MHz ; C14M ; ; +; 28.32 MHz ; 28.32 MHz ; C14M ; ; +-----------+-----------------+------------------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -125,9 +125,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ -; ram2e_ufm|ARCLK|regout ; -25.458 ; -25.458 ; -; ram2e_ufm|DRCLK|regout ; -25.404 ; -25.404 ; -; C14M ; -17.596 ; -173.359 ; +; ram2e_ufm|DRCLK|regout ; -25.501 ; -25.501 ; +; ram2e_ufm|ARCLK|regout ; -25.420 ; -25.420 ; +; C14M ; -16.627 ; -168.643 ; +------------------------+---------+---------------+ @@ -136,9 +136,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ -; ram2e_ufm|DRCLK|regout ; -14.619 ; -14.619 ; -; ram2e_ufm|ARCLK|regout ; -14.541 ; -14.541 ; -; C14M ; 3.157 ; 0.000 ; +; ram2e_ufm|DRCLK|regout ; -14.592 ; -14.592 ; +; ram2e_ufm|ARCLK|regout ; -14.579 ; -14.579 ; +; C14M ; 3.136 ; 0.000 ; +------------------------+---------+---------------+ @@ -165,132 +165,132 @@ No paths to report. +------------------------+--------+---------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup: 'ram2e_ufm|ARCLK|regout' ; -+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -25.458 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -0.912 ; 4.547 ; -; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 200.000 ; 0.000 ; 80.000 ; -+---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ - - +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Setup: 'ram2e_ufm|DRCLK|regout' ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -25.404 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -0.867 ; 4.538 ; -; -25.380 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -0.867 ; 4.514 ; +; -25.501 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -0.926 ; 4.576 ; +; -25.407 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -0.926 ; 4.482 ; ; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Setup: 'ram2e_ufm|ARCLK|regout' ; ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; -25.420 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -0.958 ; 4.463 ; +; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 200.000 ; 0.000 ; 80.000 ; ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ + + +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Setup: 'C14M' ; +---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ -; -17.596 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 18.143 ; -; -17.595 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 18.142 ; -; -17.165 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 17.712 ; -; -14.883 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 15.430 ; -; -13.704 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 14.251 ; -; -13.704 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 14.251 ; -; -13.704 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 14.251 ; -; -13.704 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 14.251 ; -; -13.704 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 14.251 ; -; -13.704 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 14.251 ; -; -13.704 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 14.251 ; -; -10.192 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.867 ; 10.739 ; -; 16.743 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.856 ; -; 16.743 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.856 ; -; 16.785 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.814 ; -; 16.785 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.814 ; -; 16.785 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.814 ; -; 17.072 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.527 ; -; 17.072 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.527 ; -; 17.072 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.527 ; -; 17.518 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.081 ; -; 17.518 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.081 ; -; 17.560 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.039 ; -; 17.560 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.039 ; -; 17.560 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.039 ; -; 17.810 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.789 ; -; 17.810 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.789 ; -; 17.847 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.752 ; -; 17.847 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.752 ; -; 17.847 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.752 ; -; 17.852 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.747 ; -; 17.852 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.747 ; -; 17.852 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.747 ; -; 18.139 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.460 ; -; 18.139 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.460 ; -; 18.139 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.460 ; -; 18.796 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.803 ; -; 18.796 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.803 ; -; 18.838 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.761 ; -; 18.838 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.761 ; -; 18.838 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.761 ; -; 19.125 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.474 ; -; 19.125 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.474 ; -; 19.125 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.474 ; -; 22.332 ; S[2] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 12.267 ; -; 22.380 ; S[1] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 12.219 ; -; 25.346 ; S[0] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 9.253 ; -; 25.460 ; S[3] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 9.139 ; -; 25.944 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.655 ; -; 26.167 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.432 ; -; 26.509 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.090 ; -; 26.843 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.756 ; -; 27.888 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 6.711 ; -; 28.036 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 6.563 ; -; 28.052 ; RA[3] ; RAr[3] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.547 ; -; 28.105 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 6.494 ; -; 28.114 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.485 ; -; 28.132 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.467 ; -; 28.228 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.371 ; -; 28.573 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.026 ; -; 28.609 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 5.990 ; -; 28.660 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 5.939 ; -; 28.669 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 5.930 ; -; 28.696 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 5.903 ; -; 29.950 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 4.649 ; -; 30.383 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.216 ; -; 31.425 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.174 ; -; 31.426 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.173 ; -; 35.345 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 34.175 ; -; 35.346 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 34.174 ; -; 35.776 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 69.841 ; 0.000 ; 33.744 ; -; 35.928 ; FS[1] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 69.841 ; 0.000 ; 33.592 ; -; 35.997 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 33.523 ; -; 36.006 ; S[1] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 33.514 ; -; 36.040 ; FS[3] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 69.841 ; 0.000 ; 33.480 ; -; 36.172 ; S[2] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 33.348 ; -; 36.181 ; S[2] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 33.339 ; -; 36.553 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.967 ; -; 36.553 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.967 ; -; 36.553 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.967 ; -; 36.553 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.967 ; -; 36.553 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.967 ; -; 36.553 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.967 ; -; 36.553 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.967 ; -; 36.553 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.967 ; -; 36.635 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 32.885 ; -; 36.636 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 32.884 ; -; 36.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.671 ; -; 36.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.671 ; -; 36.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.671 ; -; 36.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.671 ; -; 36.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.671 ; -; 36.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.671 ; -; 36.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.671 ; -; 36.849 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.671 ; -; 36.978 ; FS[4] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 69.841 ; 0.000 ; 32.542 ; -; 37.066 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 69.841 ; 0.000 ; 32.454 ; -; 37.078 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.442 ; -; 37.253 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.267 ; -; 37.288 ; FS[15] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 69.841 ; 0.000 ; 32.232 ; +; -16.627 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 17.233 ; +; -16.152 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 16.758 ; +; -16.148 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 16.754 ; +; -14.689 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 15.295 ; +; -13.561 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 14.167 ; +; -13.561 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 14.167 ; +; -13.561 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 14.167 ; +; -13.561 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 14.167 ; +; -13.561 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 14.167 ; +; -13.561 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 14.167 ; +; -13.561 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 14.167 ; +; -10.100 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.926 ; 10.706 ; +; 17.267 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.332 ; +; 17.267 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.332 ; +; 17.267 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.332 ; +; 17.365 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.234 ; +; 17.365 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.234 ; +; 17.365 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.234 ; +; 19.703 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.896 ; +; 19.703 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.896 ; +; 19.703 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.896 ; +; 19.801 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.798 ; +; 19.801 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.798 ; +; 19.801 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.798 ; +; 20.287 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 14.312 ; +; 20.381 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.218 ; +; 20.381 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.218 ; +; 20.532 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.067 ; +; 20.532 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.067 ; +; 20.532 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.067 ; +; 20.630 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.969 ; +; 20.630 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.969 ; +; 20.630 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.969 ; +; 21.185 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.414 ; +; 21.185 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.414 ; +; 21.185 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.414 ; +; 21.270 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 13.329 ; +; 21.283 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.316 ; +; 21.283 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.316 ; +; 21.283 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.316 ; +; 21.396 ; S[3] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 13.203 ; +; 21.622 ; S[1] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 12.977 ; +; 21.653 ; S[0] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 12.946 ; +; 21.834 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 12.765 ; +; 22.141 ; S[2] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 12.458 ; +; 22.817 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.782 ; +; 22.817 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.782 ; +; 23.646 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.953 ; +; 23.646 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.953 ; +; 24.299 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.300 ; +; 24.299 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.300 ; +; 25.705 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 8.894 ; +; 27.659 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.940 ; +; 28.048 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.551 ; +; 28.057 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.542 ; +; 28.243 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.356 ; +; 28.528 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.071 ; +; 28.568 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.031 ; +; 28.623 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.976 ; +; 30.308 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.291 ; +; 30.334 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.265 ; +; 30.367 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.232 ; +; 30.385 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.214 ; +; 30.410 ; RA[3] ; RAr[3] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.189 ; +; 30.412 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.187 ; +; 31.443 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.156 ; +; 31.444 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.155 ; +; 31.455 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.144 ; +; 36.093 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.427 ; +; 36.280 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.240 ; +; 36.957 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.563 ; +; 37.221 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.299 ; +; 37.221 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.299 ; +; 37.221 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.299 ; +; 37.221 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.299 ; +; 37.221 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.299 ; +; 37.221 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.299 ; +; 37.221 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.299 ; +; 37.408 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.112 ; +; 37.408 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.112 ; +; 37.408 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.112 ; +; 37.408 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.112 ; +; 37.408 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.112 ; +; 37.408 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.112 ; +; 37.408 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.112 ; +; 37.631 ; S[0] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 69.841 ; 0.000 ; 31.889 ; +; 37.904 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.616 ; +; 37.995 ; S[1] ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 69.841 ; 0.000 ; 31.525 ; +; 38.085 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.435 ; +; 38.085 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.435 ; +; 38.085 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.435 ; +; 38.085 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.435 ; +; 38.085 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.435 ; +; 38.085 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.435 ; +; 38.085 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.435 ; +; 38.466 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 31.054 ; +; 38.600 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 30.920 ; +; 38.830 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 30.690 ; +; 39.032 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 30.488 ; +; 39.032 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 30.488 ; +---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ @@ -299,8 +299,8 @@ No paths to report. +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -14.619 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -0.867 ; 4.514 ; -; -14.595 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -0.867 ; 4.538 ; +; -14.592 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -0.926 ; 4.482 ; +; -14.498 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -0.926 ; 4.576 ; ; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 0.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ @@ -310,117 +310,117 @@ No paths to report. +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -14.541 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -0.912 ; 4.547 ; +; -14.579 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -0.958 ; 4.463 ; ; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 0.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'C14M' ; -+-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; 3.157 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.196 ; -; 3.159 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.198 ; -; 3.365 ; RWBank[1] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.404 ; -; 3.438 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.477 ; -; 3.451 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 3.490 ; -; 3.459 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.498 ; -; 3.475 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.514 ; -; 3.484 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.523 ; -; 3.493 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.532 ; -; 3.495 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.534 ; -; 3.496 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.535 ; -; 3.509 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.548 ; -; 3.510 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 3.549 ; -; 3.740 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.779 ; -; 3.766 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 3.805 ; -; 3.767 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.806 ; -; 3.810 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.849 ; -; 3.871 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.910 ; -; 4.088 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.127 ; -; 4.248 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.287 ; -; 4.274 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.313 ; -; 4.436 ; RWBank[5] ; BA[0]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 4.475 ; -; 4.523 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.562 ; -; 4.568 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 4.607 ; -; 4.606 ; S[3] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 4.645 ; -; 4.838 ; RWBank[0] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 4.877 ; -; 4.839 ; RWBank[0] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 4.878 ; -; 4.862 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.901 ; -; 5.217 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; -; 5.219 ; RWBank[7] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.258 ; -; 5.242 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 5.281 ; -; 5.243 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.282 ; -; 5.253 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.292 ; -; 5.265 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 5.304 ; -; 5.266 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.305 ; -; 5.267 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.306 ; -; 5.272 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.311 ; -; 5.278 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.317 ; -; 5.343 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.382 ; -; 5.449 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.488 ; -; 5.452 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.491 ; -; 5.453 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.492 ; -; 5.466 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.505 ; -; 5.467 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.506 ; -; 5.473 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.512 ; -; 5.474 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.513 ; -; 5.475 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.514 ; -; 5.485 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.524 ; -; 5.498 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.537 ; -; 5.509 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 5.548 ; -; 5.528 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.567 ; -; 5.530 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.569 ; -; 5.553 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.592 ; -; 5.559 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.598 ; -; 5.563 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.602 ; -; 5.902 ; S[1] ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.941 ; -; 5.923 ; S[1] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.962 ; -; 5.924 ; S[1] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.963 ; -; 5.951 ; PHI1r ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.990 ; -; 5.957 ; PHI1r ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.996 ; -; 5.959 ; PHI1r ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.998 ; -; 5.988 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.027 ; -; 6.001 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.040 ; -; 6.132 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.171 ; -; 6.145 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.184 ; -; 6.276 ; FS[9] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.315 ; -; 6.316 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.355 ; -; 6.320 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.359 ; -; 6.350 ; S[1] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.389 ; -; 6.366 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.405 ; -; 6.372 ; CmdLEDGet ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.411 ; -; 6.451 ; FS[11] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.490 ; -; 6.454 ; FS[13] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.493 ; -; 6.455 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.494 ; -; 6.470 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 6.509 ; -; 6.475 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.514 ; -; 6.476 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.515 ; -; 6.487 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.526 ; -; 6.500 ; FS[3] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.539 ; -; 6.522 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.561 ; -; 6.533 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.572 ; -; 6.562 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.601 ; -; 6.584 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.623 ; -; 6.598 ; FS[13] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.637 ; -; 6.631 ; FS[4] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.670 ; -; 6.644 ; FS[3] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.683 ; -; 6.694 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.733 ; -; 6.775 ; FS[4] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.814 ; -; 6.782 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.821 ; -; 6.788 ; FS[3] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.827 ; -; 6.839 ; CmdSetRWBankFFLED ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.878 ; -; 6.894 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.933 ; -; 6.898 ; S[0] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.937 ; -; 6.912 ; FS[9] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.951 ; -; 6.912 ; FS[9] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.951 ; -; 6.912 ; FS[9] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.951 ; -; 6.912 ; RAM2E_UFM:ram2e_ufm|UFMErase ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 6.951 ; -; 6.932 ; FS[3] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.971 ; -; 6.943 ; S[3] ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.982 ; -; 6.947 ; S[3] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.986 ; -+-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'C14M' ; ++-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +; 3.136 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.175 ; +; 3.188 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.227 ; +; 3.204 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.243 ; +; 3.210 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.249 ; +; 3.364 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.403 ; +; 3.368 ; RWBank[7] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.407 ; +; 3.369 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.408 ; +; 3.474 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.513 ; +; 3.494 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.533 ; +; 3.504 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.543 ; +; 3.777 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 3.816 ; +; 3.778 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 3.817 ; +; 3.838 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.877 ; +; 3.839 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.878 ; +; 3.842 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.881 ; +; 3.847 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.886 ; +; 3.854 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.893 ; +; 3.855 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 3.894 ; +; 3.861 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.900 ; +; 3.874 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.913 ; +; 4.250 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.289 ; +; 4.464 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.503 ; +; 4.576 ; S[3] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.615 ; +; 4.893 ; RAM2E_UFM:ram2e_ufm|CmdBitbangMAX ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 4.932 ; +; 4.984 ; S[3] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 5.023 ; +; 4.985 ; S[3] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 5.024 ; +; 5.014 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.053 ; +; 5.165 ; FS[4] ; RA[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.204 ; +; 5.167 ; FS[4] ; RA[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.206 ; +; 5.217 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; +; 5.217 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; +; 5.217 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; +; 5.232 ; RWBank[1] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.271 ; +; 5.243 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.282 ; +; 5.280 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.319 ; +; 5.283 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.322 ; +; 5.310 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.349 ; +; 5.366 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.405 ; +; 5.367 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.406 ; +; 5.370 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.409 ; +; 5.428 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 5.467 ; +; 5.452 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.491 ; +; 5.452 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.491 ; +; 5.456 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.495 ; +; 5.466 ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 5.505 ; +; 5.466 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.505 ; +; 5.475 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.514 ; +; 5.481 ; RAM2E_UFM:ram2e_ufm|CmdBitbangMAX ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 5.520 ; +; 5.489 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 5.528 ; +; 5.492 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.531 ; +; 5.522 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.561 ; +; 5.529 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.568 ; +; 5.529 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.568 ; +; 5.530 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.569 ; +; 5.531 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 5.570 ; +; 5.536 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.575 ; +; 5.542 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.581 ; +; 5.547 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.586 ; +; 5.724 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.763 ; +; 5.725 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.764 ; +; 5.726 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.765 ; +; 5.727 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.766 ; +; 5.730 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.769 ; +; 5.802 ; RAM2E_UFM:ram2e_ufm|UFMErase ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.841 ; +; 5.804 ; RAM2E_UFM:ram2e_ufm|UFMErase ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 5.843 ; +; 5.952 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.991 ; +; 6.015 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.054 ; +; 6.018 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.057 ; +; 6.054 ; S[0] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.093 ; +; 6.054 ; S[0] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.093 ; +; 6.096 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.135 ; +; 6.159 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.198 ; +; 6.162 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.201 ; +; 6.185 ; S[2] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.224 ; +; 6.255 ; RWBank[6] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.294 ; +; 6.285 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 6.324 ; +; 6.306 ; FS[11] ; RA[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.345 ; +; 6.306 ; FS[9] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.345 ; +; 6.336 ; FS[14] ; RA[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.375 ; +; 6.397 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.436 ; +; 6.426 ; CmdLEDGet ; RWBank[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.465 ; +; 6.441 ; RAM2E_UFM:ram2e_ufm|CmdBitbangMAX ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 6.480 ; +; 6.446 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.485 ; +; 6.453 ; S[3] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.492 ; +; 6.454 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.493 ; +; 6.454 ; FS[13] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.493 ; +; 6.458 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.497 ; +; 6.489 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 6.528 ; +; 6.494 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.533 ; +; 6.494 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 6.533 ; +; 6.496 ; S[0] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.535 ; +; 6.537 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.576 ; +; 6.538 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.577 ; +; 6.549 ; FS[3] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.588 ; +; 6.557 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.596 ; +; 6.598 ; FS[13] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.637 ; +; 6.651 ; RWSel ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.690 ; +; 6.680 ; RWBank[2] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.719 ; +; 6.682 ; FS[4] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.721 ; +; 6.693 ; FS[3] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.732 ; ++-------+-----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +---------------------------------------------------------------------------------------------+ @@ -428,7 +428,7 @@ No paths to report. +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1539 ; 0 ; 56 ; 0 ; +; C14M ; C14M ; 1532 ; 0 ; 56 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -443,7 +443,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1539 ; 0 ; 56 ; 0 ; +; C14M ; C14M ; 1532 ; 0 ; 56 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -473,7 +473,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 1 ; 1 ; ; Unconstrained Input Ports ; 28 ; 28 ; -; Unconstrained Input Port Paths ; 169 ; 169 ; +; Unconstrained Input Port Paths ; 161 ; 161 ; ; Unconstrained Output Ports ; 47 ; 47 ; ; Unconstrained Output Port Paths ; 83 ; 83 ; +---------------------------------+-------+------+ @@ -679,7 +679,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Jun 08 01:44:23 2024 + Info: Processing started: Fri Jul 12 16:09:18 2024 Info: Command: quartus_sta RAM2E-MAXV -c RAM2E Info: qsta_default_script.tcl version: #1 Info (20032): Parallel compilation is enabled and will use up to 4 processors @@ -696,18 +696,18 @@ Info: Can't run Report Timing Closure Recommendations. The current device family Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|ARCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|DRCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -25.458 +Info (332146): Worst-case setup slack is -25.501 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -25.458 -25.458 ram2e_ufm|ARCLK|regout - Info (332119): -25.404 -25.404 ram2e_ufm|DRCLK|regout - Info (332119): -17.596 -173.359 C14M -Info (332146): Worst-case hold slack is -14.619 + Info (332119): -25.501 -25.501 ram2e_ufm|DRCLK|regout + Info (332119): -25.420 -25.420 ram2e_ufm|ARCLK|regout + Info (332119): -16.627 -168.643 C14M +Info (332146): Worst-case hold slack is -14.592 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -14.619 -14.619 ram2e_ufm|DRCLK|regout - Info (332119): -14.541 -14.541 ram2e_ufm|ARCLK|regout - Info (332119): 3.157 0.000 C14M + Info (332119): -14.592 -14.592 ram2e_ufm|DRCLK|regout + Info (332119): -14.579 -14.579 ram2e_ufm|ARCLK|regout + Info (332119): 3.136 0.000 C14M Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 34.581 @@ -720,9 +720,9 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 13094 megabytes - Info: Processing ended: Sat Jun 08 01:44:25 2024 - Info: Elapsed time: 00:00:02 + Info: Peak virtual memory: 13095 megabytes + Info: Processing ended: Fri Jul 12 16:09:21 2024 + Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:02 diff --git a/CPLD/MAXV-NODHGR/output_files/RAM2E.sta.summary b/CPLD/MAXV-NODHGR/output_files/RAM2E.sta.summary index 284f65f..384e1df 100644 --- a/CPLD/MAXV-NODHGR/output_files/RAM2E.sta.summary +++ b/CPLD/MAXV-NODHGR/output_files/RAM2E.sta.summary @@ -2,28 +2,28 @@ Timing Analyzer Summary ------------------------------------------------------------ -Type : Setup 'ram2e_ufm|ARCLK|regout' -Slack : -25.458 -TNS : -25.458 - Type : Setup 'ram2e_ufm|DRCLK|regout' -Slack : -25.404 -TNS : -25.404 +Slack : -25.501 +TNS : -25.501 + +Type : Setup 'ram2e_ufm|ARCLK|regout' +Slack : -25.420 +TNS : -25.420 Type : Setup 'C14M' -Slack : -17.596 -TNS : -173.359 +Slack : -16.627 +TNS : -168.643 Type : Hold 'ram2e_ufm|DRCLK|regout' -Slack : -14.619 -TNS : -14.619 +Slack : -14.592 +TNS : -14.592 Type : Hold 'ram2e_ufm|ARCLK|regout' -Slack : -14.541 -TNS : -14.541 +Slack : -14.579 +TNS : -14.579 Type : Hold 'C14M' -Slack : 3.157 +Slack : 3.136 TNS : 0.000 Type : Minimum Pulse Width 'C14M' diff --git a/CPLD/MAXV-NODHGR/output_files/RAM2E.svf b/CPLD/MAXV-NODHGR/output_files/RAM2E.svf index e9640d4..8bd4650 100644 --- a/CPLD/MAXV-NODHGR/output_files/RAM2E.svf +++ b/CPLD/MAXV-NODHGR/output_files/RAM2E.svf @@ -15,11 +15,11 @@ ! !Quartus Prime SVF converter 19.1 ! -!Device #1: 5M240Z - /Repos/RAM2E/CPLD/MAXV-NODHGR/output_files/RAM2E.pof Sat Jun 08 01:44:21 2024 +!Device #1: 5M240Z - /Repos/RAM2E/CPLD/MAXV-NODHGR/output_files/RAM2E.pof Fri Jul 12 16:09:16 2024 ! -!NOTE "USERCODE" "00165BAC"; +!NOTE "USERCODE" "001677C3"; ! -!NOTE "CHECKSUM" "00165EA4"; +!NOTE "CHECKSUM" "00167AB3"; ! ! ! @@ -127,7 +127,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FEFE); RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; @@ -151,15 +151,15 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (67FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEEE); +SDR 16 TDI (FECE); RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; @@ -175,23 +175,23 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FC47); +SDR 16 TDI (F8C7); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F77F); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFCE); +SDR 16 TDI (BF4E); RUNTEST 1800 TCK; -SDR 16 TDI (8FFF); +SDR 16 TDI (C7FE); RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEDF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -207,15 +207,15 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FF7F); RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FB7F); RUNTEST 1800 TCK; -SDR 16 TDI (BEB7); +SDR 16 TDI (BFCF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFE); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; @@ -239,15 +239,7 @@ SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FCFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -257,6 +249,14 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -271,15 +271,15 @@ SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFEF); RUNTEST 1800 TCK; SDR 16 TDI (7FEF); RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (7BBF); RUNTEST 1800 TCK; -SDR 16 TDI (BF3F); +SDR 16 TDI (BEBF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; @@ -287,7 +287,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDDF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -303,15 +303,15 @@ SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (EFFE); +SDR 16 TDI (CFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -331,17 +331,89 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (EFF5); RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE6F); +RUNTEST 1800 TCK; +SDR 16 TDI (BD6F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFBF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BAAF); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBBD); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F5FE); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (CFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -355,14 +427,166 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; SDR 16 TDI (BBFF); RUNTEST 1800 TCK; +SDR 16 TDI (FBFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (777F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7BF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (CFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (DF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7EF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (6F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (EBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEDE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFE); +RUNTEST 1800 TCK; SDR 16 TDI (EFBF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); @@ -371,11 +595,11 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7BFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEF); RUNTEST 1800 TCK; -SDR 16 TDI (F7FE); +SDR 16 TDI (57FF); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; @@ -383,353 +607,129 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB6F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBB); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDDD); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (6F7F); RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (FDBD); +SDR 16 TDI (FEFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (7F6B); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFDF); RUNTEST 1800 TCK; -SDR 16 TDI (BDDD); +SDR 16 TDI (BFDB); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DF77); +RUNTEST 1800 TCK; SDR 16 TDI (BDFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FF3); -RUNTEST 1800 TCK; -SDR 16 TDI (EFBE); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFC); -RUNTEST 1800 TCK; -SDR 16 TDI (7DF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7EF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; SDR 16 TDI (F7FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F9D); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF6); -RUNTEST 1800 TCK; -SDR 16 TDI (EBFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FF7F); RUNTEST 1800 TCK; -SDR 16 TDI (6EF5); +SDR 16 TDI (7F7F); RUNTEST 1800 TCK; -SDR 16 TDI (5EFF); +SDR 16 TDI (DEFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF5); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (55DF); +SDR 16 TDI (55FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DF3F); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77F3); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBE); -RUNTEST 1800 TCK; -SDR 16 TDI (ADFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F9F); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A55F); +SDR 16 TDI (FFDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; -SDR 16 TDI (EF77); -RUNTEST 1800 TCK; -SDR 16 TDI (B77B); -RUNTEST 1800 TCK; -SDR 16 TDI (DBF6); -RUNTEST 1800 TCK; -SDR 16 TDI (6DEC); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (77AD); +SDR 16 TDI (757F); RUNTEST 1800 TCK; -SDR 16 TDI (6EEF); +SDR 16 TDI (FFBF); RUNTEST 1800 TCK; -SDR 16 TDI (BBB7); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BAF5); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (75FF); +SDR 16 TDI (7FE7); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A55F); +RUNTEST 1800 TCK; +SDR 16 TDI (FB7B); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F99); +RUNTEST 1800 TCK; +SDR 16 TDI (996F); +RUNTEST 1800 TCK; +SDR 16 TDI (BEBB); +RUNTEST 1800 TCK; +SDR 16 TDI (4EF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6DFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -737,407 +737,407 @@ SDR 16 TDI (AFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFCE); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFF7); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (6DFF); +SDR 16 TDI (79FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (A55F); RUNTEST 1800 TCK; -SDR 16 TDI (F7B7); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7B); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7F5); -RUNTEST 1800 TCK; -SDR 16 TDI (75FF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AAFE); -RUNTEST 1800 TCK; -SDR 16 TDI (AEEF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (B77B); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77EF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB5B); -RUNTEST 1800 TCK; -SDR 16 TDI (75FF); -RUNTEST 1800 TCK; -SDR 16 TDI (76DF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; SDR 16 TDI (FEFF); RUNTEST 1800 TCK; -SDR 16 TDI (6DFF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7F5F); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FD57); +RUNTEST 1800 TCK; +SDR 16 TDI (7AFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BD6F); RUNTEST 1800 TCK; -SDR 16 TDI (F7F7); +SDR 16 TDI (F7F4); +RUNTEST 1800 TCK; +SDR 16 TDI (75FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AAFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (DFF7); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FBF7); RUNTEST 1800 TCK; -SDR 16 TDI (7CDD); +SDR 16 TDI (7FF6); RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (96FF); RUNTEST 1800 TCK; SDR 16 TDI (BFFB); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BF77); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (D7D7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (DF7E); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); RUNTEST 1800 TCK; SDR 16 TDI (DFDF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7B77); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7E); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD7D); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF77); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (DF7D); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (8FFC); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF3); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEE); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBAE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EF6F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF6); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F3B3); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7D05); +RUNTEST 1800 TCK; +SDR 16 TDI (545F); +RUNTEST 1800 TCK; +SDR 16 TDI (BE5F); +RUNTEST 1800 TCK; +SDR 16 TDI (D1EE); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (D7D7); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE5B); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (DD57); +RUNTEST 1800 TCK; +SDR 16 TDI (7AFA); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDE1); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B66F); +RUNTEST 1800 TCK; +SDR 16 TDI (D038); +RUNTEST 1800 TCK; +SDR 16 TDI (67C0); +RUNTEST 1800 TCK; +SDR 16 TDI (1E1D); +RUNTEST 1800 TCK; +SDR 16 TDI (BFC3); +RUNTEST 1800 TCK; +SDR 16 TDI (03E0); +RUNTEST 1800 TCK; SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (FFDE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7ED5); -RUNTEST 1800 TCK; -SDR 16 TDI (D77F); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (75FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BAFE); -RUNTEST 1800 TCK; -SDR 16 TDI (F373); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBE); -RUNTEST 1800 TCK; -SDR 16 TDI (B77B); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7CDF); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFC); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBBD); -RUNTEST 1800 TCK; -SDR 16 TDI (7DBD); -RUNTEST 1800 TCK; -SDR 16 TDI (B67E); -RUNTEST 1800 TCK; -SDR 16 TDI (BF6F); -RUNTEST 1800 TCK; -SDR 16 TDI (F5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (2FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F67F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7F6D); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (637F); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (2EEE); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (DEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFD3); -RUNTEST 1800 TCK; -SDR 16 TDI (ABB7); -RUNTEST 1800 TCK; -SDR 16 TDI (637B); -RUNTEST 1800 TCK; -SDR 16 TDI (63B2); -RUNTEST 1800 TCK; -SDR 16 TDI (3DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBD); -RUNTEST 1800 TCK; -SDR 16 TDI (BE5B); -RUNTEST 1800 TCK; -SDR 16 TDI (7EC2); -RUNTEST 1800 TCK; -SDR 16 TDI (CD8F); -RUNTEST 1800 TCK; -SDR 16 TDI (BCD3); -RUNTEST 1800 TCK; -SDR 16 TDI (DB7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B56D); -RUNTEST 1800 TCK; -SDR 16 TDI (1038); -RUNTEST 1800 TCK; -SDR 16 TDI (67C6); -RUNTEST 1800 TCK; -SDR 16 TDI (6001); -RUNTEST 1800 TCK; -SDR 16 TDI (B4C0); -RUNTEST 1800 TCK; -SDR 16 TDI (3FE0); -RUNTEST 1800 TCK; -SDR 16 TDI (7540); -RUNTEST 1800 TCK; -SDR 16 TDI (BA00); +SDR 16 TDI (13C8); RUNTEST 1800 TCK; SDR 16 TDI (A01F); RUNTEST 1800 TCK; -SDR 16 TDI (1819); +SDR 16 TDI (0740); RUNTEST 1800 TCK; -SDR 16 TDI (7455); +SDR 16 TDI (6553); RUNTEST 1800 TCK; -SDR 16 TDI (553F); +SDR 16 TDI (535F); RUNTEST 1800 TCK; -SDR 16 TDI (B680); +SDR 16 TDI (B600); RUNTEST 1800 TCK; -SDR 16 TDI (6475); +SDR 16 TDI (51F5); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BDEF); RUNTEST 1800 TCK; -SDR 16 TDI (5038); +SDR 16 TDI (D038); RUNTEST 1800 TCK; -SDR 16 TDI (67E6); +SDR 16 TDI (66E1); RUNTEST 1800 TCK; -SDR 16 TDI (6101); +SDR 16 TDI (5E03); RUNTEST 1800 TCK; -SDR 16 TDI (A0C0); +SDR 16 TDI (BFC3); RUNTEST 1800 TCK; -SDR 16 TDI (2BF0); +SDR 16 TDI (0770); RUNTEST 1800 TCK; -SDR 16 TDI (7414); +SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (BF00); +SDR 16 TDI (13E8); RUNTEST 1800 TCK; -SDR 16 TDI (A01B); +SDR 16 TDI (A01F); RUNTEST 1800 TCK; -SDR 16 TDI (9C7F); +SDR 16 TDI (8040); RUNTEST 1800 TCK; -SDR 16 TDI (7057); +SDR 16 TDI (6835); RUNTEST 1800 TCK; -SDR 16 TDI (553D); +SDR 16 TDI (355D); RUNTEST 1800 TCK; SDR 16 TDI (BE00); RUNTEST 1800 TCK; -SDR 16 TDI (67FF); +SDR 16 TDI (607F); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B55C); +SDR 16 TDI (B77F); RUNTEST 1800 TCK; -SDR 16 TDI (3650); +SDR 16 TDI (F410); RUNTEST 1800 TCK; -SDR 16 TDI (67E6); +SDR 16 TDI (67E0); RUNTEST 1800 TCK; -SDR 16 TDI (6012); +SDR 16 TDI (1E1D); RUNTEST 1800 TCK; -SDR 16 TDI (B830); +SDR 16 TDI (BFF3); RUNTEST 1800 TCK; -SDR 16 TDI (33F0); +SDR 16 TDI (03F0); RUNTEST 1800 TCK; -SDR 16 TDI (7EE1); +SDR 16 TDI (7FE2); RUNTEST 1800 TCK; -SDR 16 TDI (F90C); +SDR 16 TDI (13D8); RUNTEST 1800 TCK; SDR 16 TDI (A01F); RUNTEST 1800 TCK; -SDR 16 TDI (9819); +SDR 16 TDI (8600); RUNTEST 1800 TCK; -SDR 16 TDI (7000); +SDR 16 TDI (6100); RUNTEST 1800 TCK; SDR 16 TDI (000F); RUNTEST 1800 TCK; SDR 16 TDI (BE09); RUNTEST 1800 TCK; -SDR 16 TDI (8275); +SDR 16 TDI (87F5); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFC); +SDR 16 TDI (BFFB); RUNTEST 1800 TCK; -SDR 16 TDI (1274); +SDR 16 TDI (D034); RUNTEST 1800 TCK; -SDR 16 TDI (67E6); +SDR 16 TDI (67E0); RUNTEST 1800 TCK; -SDR 16 TDI (6012); +SDR 16 TDI (5E03); RUNTEST 1800 TCK; -SDR 16 TDI (A030); +SDR 16 TDI (BFF3); RUNTEST 1800 TCK; -SDR 16 TDI (03FF); +SDR 16 TDI (03F8); RUNTEST 1800 TCK; -SDR 16 TDI (7A69); +SDR 16 TDI (7FE2); RUNTEST 1800 TCK; -SDR 16 TDI (700C); +SDR 16 TDI (13D8); RUNTEST 1800 TCK; SDR 16 TDI (A01F); RUNTEST 1800 TCK; -SDR 16 TDI (9A00); +SDR 16 TDI (80A0); RUNTEST 1800 TCK; -SDR 16 TDI (6031); +SDR 16 TDI (6936); RUNTEST 1800 TCK; -SDR 16 TDI (336F); +SDR 16 TDI (363F); RUNTEST 1800 TCK; -SDR 16 TDI (BE00); +SDR 16 TDI (BE80); RUNTEST 1800 TCK; -SDR 16 TDI (307F); +SDR 16 TDI (667F); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 1800 TCK; -SDR 16 TDI (3A3B); +SDR 16 TDI (3B3B); RUNTEST 1800 TCK; SDR 16 TDI (62E6); RUNTEST 1800 TCK; -SDR 16 TDI (6623); +SDR 16 TDI (6663); RUNTEST 1800 TCK; -SDR 16 TDI (B23B); +SDR 16 TDI (B33B); RUNTEST 1800 TCK; -SDR 16 TDI (3171); +SDR 16 TDI (2173); RUNTEST 1800 TCK; -SDR 16 TDI (6226); +SDR 16 TDI (6664); RUNTEST 1800 TCK; -SDR 16 TDI (70CE); +SDR 16 TDI (388E); RUNTEST 1800 TCK; SDR 16 TDI (B98B); RUNTEST 1800 TCK; -SDR 16 TDI (9991); +SDR 16 TDI (9999); RUNTEST 1800 TCK; -SDR 16 TDI (63EE); +SDR 16 TDI (71EE); RUNTEST 1800 TCK; SDR 16 TDI (EEE7); RUNTEST 1800 TCK; @@ -1151,127 +1151,127 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7373); +SDR 16 TDI (7333); RUNTEST 1800 TCK; -SDR 16 TDI (6546); +SDR 16 TDI (6547); RUNTEST 1800 TCK; -SDR 16 TDI (6777); +SDR 16 TDI (7677); RUNTEST 1800 TCK; SDR 16 TDI (B773); RUNTEST 1800 TCK; -SDR 16 TDI (36AB); +SDR 16 TDI (36A3); RUNTEST 1800 TCK; -SDR 16 TDI (7773); +SDR 16 TDI (7763); RUNTEST 1800 TCK; -SDR 16 TDI (3C9C); +SDR 16 TDI (3DDC); RUNTEST 1800 TCK; SDR 16 TDI (B995); RUNTEST 1800 TCK; -SDR 16 TDI (1C88); +SDR 16 TDI (19CC); RUNTEST 1800 TCK; -SDR 16 TDI (73CC); +SDR 16 TDI (7BCC); RUNTEST 1800 TCK; SDR 16 TDI (CCC2); RUNTEST 1800 TCK; SDR 16 TDI (B5CC); RUNTEST 1800 TCK; -SDR 16 TDI (99FF); +SDR 16 TDI (99F7); RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BDFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDC); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (FFEF); RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFD); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBD); -RUNTEST 1800 TCK; -SDR 16 TDI (FBE7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (AEFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (77BF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (B3FF); RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (76ED); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF5F); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBBB); +SDR 16 TDI (FFFB); RUNTEST 1800 TCK; -SDR 16 TDI (77FB); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (EEBF); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (BFB3); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DBFF); +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FF6D); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (EF6F); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFB); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (ABDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7F6F); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -1279,31 +1279,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (AFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7F7); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (6FED); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFEF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFD); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (7DFF); RUNTEST 1800 TCK; @@ -1311,1567 +1311,671 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (AFFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FF6E); RUNTEST 1800 TCK; SDR 16 TDI (B7FF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFBF); RUNTEST 1800 TCK; -SDR 16 TDI (7DEE); +SDR 16 TDI (6F7E); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DF7F); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDEF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (75FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (AAFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7B7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7AF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6CDF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FEED); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FD7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7BE); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (67B7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7D); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEBE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF6F); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BBF); -RUNTEST 1800 TCK; -SDR 16 TDI (CFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFD); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBDF); -RUNTEST 1800 TCK; SDR 16 TDI (BFBE); RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F9F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF9D); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EBF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF37); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDE); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FE); -RUNTEST 1800 TCK; -SDR 16 TDI (BE7E); -RUNTEST 1800 TCK; -SDR 16 TDI (B7F9); -RUNTEST 1800 TCK; -SDR 16 TDI (7AFC); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBF5); -RUNTEST 1800 TCK; -SDR 16 TDI (79FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BB5F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7F7); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77F7); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (BAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DF73); -RUNTEST 1800 TCK; -SDR 16 TDI (6EFE); -RUNTEST 1800 TCK; -SDR 16 TDI (DF77); -RUNTEST 1800 TCK; -SDR 16 TDI (BCFA); -RUNTEST 1800 TCK; SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF3D); RUNTEST 1800 TCK; -SDR 16 TDI (B5AF); +SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (F76E); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (BB7F); +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEEF); +SDR 16 TDI (F6FF); RUNTEST 1800 TCK; SDR 16 TDI (BFDF); RUNTEST 1800 TCK; -SDR 16 TDI (FBBF); +SDR 16 TDI (D7FB); RUNTEST 1800 TCK; -SDR 16 TDI (77DF); +SDR 16 TDI (7E77); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FF9F); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 1800 TCK; -SDR 16 TDI (DF74); +SDR 16 TDI (DFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFFB); +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (EFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FAEF); +RUNTEST 1800 TCK; +SDR 16 TDI (767D); +RUNTEST 1800 TCK; +SDR 16 TDI (EEDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DBDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EEDB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7BF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFB); RUNTEST 1800 TCK; SDR 16 TDI (7FFB); RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF5B); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEF4); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (CF7D); +RUNTEST 1800 TCK; +SDR 16 TDI (BDBF); +RUNTEST 1800 TCK; SDR 16 TDI (FFBF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (7AFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (B77F); +SDR 16 TDI (FFAF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (6FFE); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF6); -RUNTEST 1800 TCK; -SDR 16 TDI (B7EF); -RUNTEST 1800 TCK; -SDR 16 TDI (F75F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF5); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (FEAF); -RUNTEST 1800 TCK; -SDR 16 TDI (667F); -RUNTEST 1800 TCK; -SDR 16 TDI (EEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (F3FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (55BF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF5); -RUNTEST 1800 TCK; -SDR 16 TDI (F75D); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F6FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (F7EB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF6); -RUNTEST 1800 TCK; -SDR 16 TDI (216D); -RUNTEST 1800 TCK; -SDR 16 TDI (B7E7); -RUNTEST 1800 TCK; -SDR 16 TDI (7DBB); -RUNTEST 1800 TCK; -SDR 16 TDI (6FD7); -RUNTEST 1800 TCK; -SDR 16 TDI (B66E); -RUNTEST 1800 TCK; -SDR 16 TDI (B9DF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (B9FD); -RUNTEST 1800 TCK; -SDR 16 TDI (76FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9D1F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (AD79); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEE); -RUNTEST 1800 TCK; -SDR 16 TDI (75FB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (BE3F); -RUNTEST 1800 TCK; -SDR 16 TDI (F846); -RUNTEST 1800 TCK; -SDR 16 TDI (73FD); -RUNTEST 1800 TCK; -SDR 16 TDI (5E0F); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FDEA); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EBF4); -RUNTEST 1800 TCK; -SDR 16 TDI (66EB); -RUNTEST 1800 TCK; -SDR 16 TDI (FEBE); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (B3F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7F6D); -RUNTEST 1800 TCK; -SDR 16 TDI (799F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFB9); -RUNTEST 1800 TCK; -SDR 16 TDI (7D0F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BEBF); -RUNTEST 1800 TCK; -SDR 16 TDI (CB7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBAE); -RUNTEST 1800 TCK; -SDR 16 TDI (03FC); -RUNTEST 1800 TCK; -SDR 16 TDI (67C0); -RUNTEST 1800 TCK; -SDR 16 TDI (2007); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF3); -RUNTEST 1800 TCK; -SDR 16 TDI (CFCF); -RUNTEST 1800 TCK; -SDR 16 TDI (7E08); -RUNTEST 1800 TCK; -SDR 16 TDI (1F80); -RUNTEST 1800 TCK; -SDR 16 TDI (B81F); -RUNTEST 1800 TCK; -SDR 16 TDI (2800); -RUNTEST 1800 TCK; -SDR 16 TDI (6BD0); -RUNTEST 1800 TCK; -SDR 16 TDI (0C8F); -RUNTEST 1800 TCK; -SDR 16 TDI (B018); -RUNTEST 1800 TCK; -SDR 16 TDI (50F5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BB5E); -RUNTEST 1800 TCK; -SDR 16 TDI (0330); -RUNTEST 1800 TCK; -SDR 16 TDI (67E0); -RUNTEST 1800 TCK; -SDR 16 TDI (2800); -RUNTEST 1800 TCK; -SDR 16 TDI (B333); -RUNTEST 1800 TCK; -SDR 16 TDI (0F70); -RUNTEST 1800 TCK; -SDR 16 TDI (6600); -RUNTEST 1800 TCK; -SDR 16 TDI (1E00); -RUNTEST 1800 TCK; -SDR 16 TDI (B8BE); -RUNTEST 1800 TCK; -SDR 16 TDI (AD52); -RUNTEST 1800 TCK; -SDR 16 TDI (7B80); -RUNTEST 1800 TCK; -SDR 16 TDI (0C0D); -RUNTEST 1800 TCK; -SDR 16 TDI (BC18); -RUNTEST 1800 TCK; -SDR 16 TDI (007F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFE); -RUNTEST 1800 TCK; -SDR 16 TDI (033D); -RUNTEST 1800 TCK; -SDR 16 TDI (67E0); -RUNTEST 1800 TCK; -SDR 16 TDI (1E87); -RUNTEST 1800 TCK; -SDR 16 TDI (BFE7); -RUNTEST 1800 TCK; -SDR 16 TDI (C1FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FE1); -RUNTEST 1800 TCK; -SDR 16 TDI (377C); -RUNTEST 1800 TCK; -SDR 16 TDI (A69F); -RUNTEST 1800 TCK; -SDR 16 TDI (D111); -RUNTEST 1800 TCK; -SDR 16 TDI (7370); -RUNTEST 1800 TCK; -SDR 16 TDI (0C07); -RUNTEST 1800 TCK; -SDR 16 TDI (B818); -RUNTEST 1800 TCK; -SDR 16 TDI (7875); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDB6); -RUNTEST 1800 TCK; -SDR 16 TDI (0B34); -RUNTEST 1800 TCK; -SDR 16 TDI (67E4); -RUNTEST 1800 TCK; -SDR 16 TDI (1601); -RUNTEST 1800 TCK; -SDR 16 TDI (B024); -RUNTEST 1800 TCK; -SDR 16 TDI (03F3); -RUNTEST 1800 TCK; -SDR 16 TDI (6001); -RUNTEST 1800 TCK; -SDR 16 TDI (3FF0); -RUNTEST 1800 TCK; -SDR 16 TDI (A69F); -RUNTEST 1800 TCK; -SDR 16 TDI (D115); -RUNTEST 1800 TCK; -SDR 16 TDI (7F10); -RUNTEST 1800 TCK; -SDR 16 TDI (8C0F); -RUNTEST 1800 TCK; -SDR 16 TDI (B81F); -RUNTEST 1800 TCK; -SDR 16 TDI (C83F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (3BB3); -RUNTEST 1800 TCK; -SDR 16 TDI (63EE); -RUNTEST 1800 TCK; -SDR 16 TDI (26E3); -RUNTEST 1800 TCK; -SDR 16 TDI (B3B3); -RUNTEST 1800 TCK; -SDR 16 TDI (A3F7); -RUNTEST 1800 TCK; -SDR 16 TDI (6E22); -RUNTEST 1800 TCK; -SDR 16 TDI (3CC6); -RUNTEST 1800 TCK; -SDR 16 TDI (B9CF); -RUNTEST 1800 TCK; -SDR 16 TDI (9BBB); -RUNTEST 1800 TCK; -SDR 16 TDI (778E); -RUNTEST 1800 TCK; -SDR 16 TDI (2E2F); -RUNTEST 1800 TCK; -SDR 16 TDI (BB3B); -RUNTEST 1800 TCK; -SDR 16 TDI (1A7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFD); RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (7327); -RUNTEST 1800 TCK; -SDR 16 TDI (6947); -RUNTEST 1800 TCK; -SDR 16 TDI (6677); -RUNTEST 1800 TCK; -SDR 16 TDI (A733); -RUNTEST 1800 TCK; -SDR 16 TDI (34A3); -RUNTEST 1800 TCK; -SDR 16 TDI (6777); -RUNTEST 1800 TCK; -SDR 16 TDI (7DDC); -RUNTEST 1800 TCK; -SDR 16 TDI (BB95); -RUNTEST 1800 TCK; -SDR 16 TDI (5999); -RUNTEST 1800 TCK; -SDR 16 TDI (73DC); -RUNTEST 1800 TCK; -SDR 16 TDI (CCCA); -RUNTEST 1800 TCK; -SDR 16 TDI (B199); -RUNTEST 1800 TCK; -SDR 16 TDI (99FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BDFB); +SDR 16 TDI (7EDF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (B9DF); RUNTEST 1800 TCK; -SDR 16 TDI (77DF); +SDR 16 TDI (BFAF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (F8EF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEE6); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (3FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (B37B); -RUNTEST 1800 TCK; -SDR 16 TDI (7F5F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFE); -RUNTEST 1800 TCK; -SDR 16 TDI (B66F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DED); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFE); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7DF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (F7BF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F79); -RUNTEST 1800 TCK; -SDR 16 TDI (FF9F); -RUNTEST 1800 TCK; -SDR 16 TDI (BEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEF5); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BDBF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BEB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFBB); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7EF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (F6FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (AFD7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7D); +SDR 16 TDI (BDDB); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FEE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF77); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEE); -RUNTEST 1800 TCK; -SDR 16 TDI (EFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF5); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7B7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFA); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BDD); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FD7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B9DD); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEDB); -RUNTEST 1800 TCK; -SDR 16 TDI (775B); -RUNTEST 1800 TCK; -SDR 16 TDI (6AFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFD7); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB5F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7B4F); -RUNTEST 1800 TCK; -SDR 16 TDI (EF9E); -RUNTEST 1800 TCK; -SDR 16 TDI (B3BF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (D7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7D77); -RUNTEST 1800 TCK; -SDR 16 TDI (FF5D); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDDD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5DD); -RUNTEST 1800 TCK; -SDR 16 TDI (EFD7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (6969); -RUNTEST 1800 TCK; -SDR 16 TDI (E7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBB); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFED); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (E77B); -RUNTEST 1800 TCK; -SDR 16 TDI (77EF); -RUNTEST 1800 TCK; -SDR 16 TDI (6F77); -RUNTEST 1800 TCK; -SDR 16 TDI (A7FA); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7737); -RUNTEST 1800 TCK; -SDR 16 TDI (7F5F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BE7); -RUNTEST 1800 TCK; -SDR 16 TDI (F5FE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBD); -RUNTEST 1800 TCK; -SDR 16 TDI (77FD); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (75DD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF5); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (B6EF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FAD); -RUNTEST 1800 TCK; -SDR 16 TDI (7F6E); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7B6F); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFB); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF77); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (3EDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFB); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (F5EE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDBB); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7D); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBBB); -RUNTEST 1800 TCK; -SDR 16 TDI (BB5F); -RUNTEST 1800 TCK; -SDR 16 TDI (EFEE); -RUNTEST 1800 TCK; -SDR 16 TDI (7D7D); -RUNTEST 1800 TCK; -SDR 16 TDI (F75F); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (777F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (B6FF); -RUNTEST 1800 TCK; -SDR 16 TDI (B57B); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFA); -RUNTEST 1800 TCK; -SDR 16 TDI (6FDC); -RUNTEST 1800 TCK; -SDR 16 TDI (F662); -RUNTEST 1800 TCK; -SDR 16 TDI (BE9B); -RUNTEST 1800 TCK; -SDR 16 TDI (F7B3); -RUNTEST 1800 TCK; -SDR 16 TDI (665F); -RUNTEST 1800 TCK; -SDR 16 TDI (E7DF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (75FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (DA4C); -RUNTEST 1800 TCK; -SDR 16 TDI (7FED); -RUNTEST 1800 TCK; -SDR 16 TDI (7F77); -RUNTEST 1800 TCK; -SDR 16 TDI (BACF); -RUNTEST 1800 TCK; -SDR 16 TDI (77E5); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFDD); -RUNTEST 1800 TCK; -SDR 16 TDI (79AA); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BB0F); -RUNTEST 1800 TCK; -SDR 16 TDI (EF6A); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFC); -RUNTEST 1800 TCK; -SDR 16 TDI (A7B3); -RUNTEST 1800 TCK; -SDR 16 TDI (67FA); -RUNTEST 1800 TCK; -SDR 16 TDI (EFBA); -RUNTEST 1800 TCK; -SDR 16 TDI (AFB4); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (7637); -RUNTEST 1800 TCK; -SDR 16 TDI (5D9D); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F9EE); -RUNTEST 1800 TCK; SDR 16 TDI (7FFD); RUNTEST 1800 TCK; -SDR 16 TDI (187D); +SDR 16 TDI (FD7F); RUNTEST 1800 TCK; -SDR 16 TDI (BDF8); +SDR 16 TDI (B3FF); RUNTEST 1800 TCK; -SDR 16 TDI (9BFF); +SDR 16 TDI (FF2B); +RUNTEST 1800 TCK; +SDR 16 TDI (6BF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFAC); +SDR 16 TDI (BBAF); RUNTEST 1800 TCK; -SDR 16 TDI (0203); +SDR 16 TDI (FFF7); RUNTEST 1800 TCK; -SDR 16 TDI (67C0); +SDR 16 TDI (7FFD); RUNTEST 1800 TCK; -SDR 16 TDI (0021); +SDR 16 TDI (77FE); RUNTEST 1800 TCK; -SDR 16 TDI (A208); +SDR 16 TDI (BBBB); RUNTEST 1800 TCK; -SDR 16 TDI (0FC1); +SDR 16 TDI (FBFF); RUNTEST 1800 TCK; -SDR 16 TDI (61E1); +SDR 16 TDI (7ECC); RUNTEST 1800 TCK; -SDR 16 TDI (B880); +SDR 16 TDI (FEEF); RUNTEST 1800 TCK; -SDR 16 TDI (A61F); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (1188); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (60AB); +SDR 16 TDI (7BDB); RUNTEST 1800 TCK; -SDR 16 TDI (08FF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B7E9); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (A9F5); +SDR 16 TDI (EFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (79FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (6F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (6DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBE); +RUNTEST 1800 TCK; +SDR 16 TDI (B9E7); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEAF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FB7); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7F7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD7B); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF5); RUNTEST 1800 TCK; SDR 16 TDI (6BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFC); +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; -SDR 16 TDI (0203); +SDR 16 TDI (FB9B); RUNTEST 1800 TCK; -SDR 16 TDI (66F0); +SDR 16 TDI (7FEC); RUNTEST 1800 TCK; -SDR 16 TDI (0021); +SDR 16 TDI (DFFE); RUNTEST 1800 TCK; -SDR 16 TDI (B00F); +SDR 16 TDI (BA9F); RUNTEST 1800 TCK; -SDR 16 TDI (CF71); +SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (60A0); +SDR 16 TDI (7ECC); RUNTEST 1800 TCK; -SDR 16 TDI (B08A); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (A61F); +SDR 16 TDI (B33B); RUNTEST 1800 TCK; -SDR 16 TDI (818A); +SDR 16 TDI (DDDF); RUNTEST 1800 TCK; -SDR 16 TDI (73CB); +SDR 16 TDI (7BFE); RUNTEST 1800 TCK; -SDR 16 TDI (003F); +SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (BE6C); +SDR 16 TDI (BFFB); RUNTEST 1800 TCK; -SDR 16 TDI (0FFF); +SDR 16 TDI (F7FB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7DF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FA); +RUNTEST 1800 TCK; +SDR 16 TDI (7E7F); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (B95E); +SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (0023); +SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (77E0); +SDR 16 TDI (77FF); RUNTEST 1800 TCK; -SDR 16 TDI (2613); +SDR 16 TDI (BEED); RUNTEST 1800 TCK; -SDR 16 TDI (BB86); +SDR 16 TDI (BFED); RUNTEST 1800 TCK; -SDR 16 TDI (0FF9); +SDR 16 TDI (DFFE); RUNTEST 1800 TCK; -SDR 16 TDI (67E1); +SDR 16 TDI (77FF); RUNTEST 1800 TCK; -SDR 16 TDI (788C); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A67F); +SDR 16 TDI (BEF7); RUNTEST 1800 TCK; -SDR 16 TDI (9789); +SDR 16 TDI (FFF7); RUNTEST 1800 TCK; -SDR 16 TDI (7998); +SDR 16 TDI (7FDF); RUNTEST 1800 TCK; -SDR 16 TDI (06CF); +SDR 16 TDI (FEFF); RUNTEST 1800 TCK; -SDR 16 TDI (B988); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (C9F5); +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7E2); +RUNTEST 1800 TCK; +SDR 16 TDI (7FE8); +RUNTEST 1800 TCK; +SDR 16 TDI (1FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (AE5B); +RUNTEST 1800 TCK; +SDR 16 TDI (9F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (77BB); +RUNTEST 1800 TCK; +SDR 16 TDI (DBED); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFC); +RUNTEST 1800 TCK; +SDR 16 TDI (79BC); +RUNTEST 1800 TCK; +SDR 16 TDI (3CFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (37FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FBBD); +RUNTEST 1800 TCK; +SDR 16 TDI (66FF); +RUNTEST 1800 TCK; +SDR 16 TDI (F65C); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF9); +RUNTEST 1800 TCK; +SDR 16 TDI (7ECC); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BA7F); +RUNTEST 1800 TCK; +SDR 16 TDI (5DDB); +RUNTEST 1800 TCK; +SDR 16 TDI (7F47); +RUNTEST 1800 TCK; +SDR 16 TDI (E3FE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFCE); +RUNTEST 1800 TCK; +SDR 16 TDI (DBEA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7E7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FB7); +RUNTEST 1800 TCK; +SDR 16 TDI (EFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7E5); +RUNTEST 1800 TCK; +SDR 16 TDI (E3FE); +RUNTEST 1800 TCK; +SDR 16 TDI (6D77); +RUNTEST 1800 TCK; +SDR 16 TDI (7DDA); +RUNTEST 1800 TCK; +SDR 16 TDI (B5D7); +RUNTEST 1800 TCK; +SDR 16 TDI (ABB7); +RUNTEST 1800 TCK; +SDR 16 TDI (77FB); +RUNTEST 1800 TCK; +SDR 16 TDI (DFD7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B6AC); +RUNTEST 1800 TCK; +SDR 16 TDI (0C47); +RUNTEST 1800 TCK; +SDR 16 TDI (67CF); +RUNTEST 1800 TCK; +SDR 16 TDI (40E1); +RUNTEST 1800 TCK; +SDR 16 TDI (BA03); +RUNTEST 1800 TCK; +SDR 16 TDI (17E5); +RUNTEST 1800 TCK; +SDR 16 TDI (661E); +RUNTEST 1800 TCK; +SDR 16 TDI (100C); +RUNTEST 1800 TCK; +SDR 16 TDI (BD9E); +RUNTEST 1800 TCK; +SDR 16 TDI (3BFA); +RUNTEST 1800 TCK; +SDR 16 TDI (7781); +RUNTEST 1800 TCK; +SDR 16 TDI (000F); +RUNTEST 1800 TCK; +SDR 16 TDI (B002); +RUNTEST 1800 TCK; +SDR 16 TDI (89F5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFC); +RUNTEST 1800 TCK; +SDR 16 TDI (0C47); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (0079); +RUNTEST 1800 TCK; +SDR 16 TDI (BA28); +RUNTEST 1800 TCK; +SDR 16 TDI (03F0); +RUNTEST 1800 TCK; +SDR 16 TDI (7E06); +RUNTEST 1800 TCK; +SDR 16 TDI (100C); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDB); +RUNTEST 1800 TCK; +SDR 16 TDI (BB9A); +RUNTEST 1800 TCK; +SDR 16 TDI (770B); +RUNTEST 1800 TCK; +SDR 16 TDI (100D); +RUNTEST 1800 TCK; +SDR 16 TDI (B802); +RUNTEST 1800 TCK; +SDR 16 TDI (81FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBAF); +RUNTEST 1800 TCK; +SDR 16 TDI (02C3); +RUNTEST 1800 TCK; +SDR 16 TDI (77F2); +RUNTEST 1800 TCK; +SDR 16 TDI (46E0); +RUNTEST 1800 TCK; +SDR 16 TDI (AEF3); +RUNTEST 1800 TCK; +SDR 16 TDI (3BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (662D); +RUNTEST 1800 TCK; +SDR 16 TDI (99CD); +RUNTEST 1800 TCK; +SDR 16 TDI (B99F); +RUNTEST 1800 TCK; +SDR 16 TDI (9999); +RUNTEST 1800 TCK; +SDR 16 TDI (7302); +RUNTEST 1800 TCK; +SDR 16 TDI (0007); +RUNTEST 1800 TCK; +SDR 16 TDI (B836); +RUNTEST 1800 TCK; +SDR 16 TDI (01F5); RUNTEST 1800 TCK; SDR 16 TDI (6BFF); RUNTEST 1800 TCK; @@ -2879,261 +1983,125 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFF8); RUNTEST 1800 TCK; -SDR 16 TDI (1003); +SDR 16 TDI (02C3); RUNTEST 1800 TCK; -SDR 16 TDI (67E0); +SDR 16 TDI (6FFA); RUNTEST 1800 TCK; -SDR 16 TDI (2613); +SDR 16 TDI (0679); RUNTEST 1800 TCK; -SDR 16 TDI (B007); +SDR 16 TDI (AEE4); RUNTEST 1800 TCK; -SDR 16 TDI (C3F0); +SDR 16 TDI (23F6); RUNTEST 1800 TCK; -SDR 16 TDI (67C7); +SDR 16 TDI (601C); RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (19CD); RUNTEST 1800 TCK; -SDR 16 TDI (A61F); +SDR 16 TDI (B9BF); RUNTEST 1800 TCK; -SDR 16 TDI (87FD); +SDR 16 TDI (9999); RUNTEST 1800 TCK; -SDR 16 TDI (7398); +SDR 16 TDI (7302); RUNTEST 1800 TCK; -SDR 16 TDI (270F); +SDR 16 TDI (080F); RUNTEST 1800 TCK; -SDR 16 TDI (B809); +SDR 16 TDI (B831); RUNTEST 1800 TCK; -SDR 16 TDI (E87F); +SDR 16 TDI (81FD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 1800 TCK; -SDR 16 TDI (333A); +SDR 16 TDI (23B3); RUNTEST 1800 TCK; SDR 16 TDI (63E6); RUNTEST 1800 TCK; -SDR 16 TDI (E6E7); +SDR 16 TDI (6E67); RUNTEST 1800 TCK; -SDR 16 TDI (B333); +SDR 16 TDI (B33A); RUNTEST 1800 TCK; -SDR 16 TDI (31F3); +SDR 16 TDI (3973); RUNTEST 1800 TCK; -SDR 16 TDI (6E66); +SDR 16 TDI (6022); RUNTEST 1800 TCK; -SDR 16 TDI (7EEE); +SDR 16 TDI (7CCE); RUNTEST 1800 TCK; -SDR 16 TDI (B90F); +SDR 16 TDI (BDDF); RUNTEST 1800 TCK; -SDR 16 TDI (99BB); +SDR 16 TDI (BBB9); RUNTEST 1800 TCK; -SDR 16 TDI (77E4); +SDR 16 TDI (776C); RUNTEST 1800 TCK; -SDR 16 TDI (8C45); +SDR 16 TDI (66EF); RUNTEST 1800 TCK; -SDR 16 TDI (B911); +SDR 16 TDI (BBB1); RUNTEST 1800 TCK; -SDR 16 TDI (B97E); +SDR 16 TDI (B3FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7673); +SDR 16 TDI (7737); RUNTEST 1800 TCK; -SDR 16 TDI (6956); +SDR 16 TDI (6146); RUNTEST 1800 TCK; -SDR 16 TDI (7677); +SDR 16 TDI (6673); RUNTEST 1800 TCK; -SDR 16 TDI (B373); +SDR 16 TDI (A773); RUNTEST 1800 TCK; -SDR 16 TDI (30AB); +SDR 16 TDI (72AB); RUNTEST 1800 TCK; SDR 16 TDI (6777); RUNTEST 1800 TCK; -SDR 16 TDI (7CCC); +SDR 16 TDI (399C); RUNTEST 1800 TCK; -SDR 16 TDI (B3A5); +SDR 16 TDI (B985); RUNTEST 1800 TCK; -SDR 16 TDI (5999); +SDR 16 TDI (1999); RUNTEST 1800 TCK; -SDR 16 TDI (73C8); +SDR 16 TDI (738C); RUNTEST 1800 TCK; -SDR 16 TDI (DC8A); +SDR 16 TDI (C8CA); RUNTEST 1800 TCK; -SDR 16 TDI (B08D); +SDR 16 TDI (B19C); RUNTEST 1800 TCK; -SDR 16 TDI (98FF); +SDR 16 TDI (89FD); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BDF7); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (BDFB); RUNTEST 1800 TCK; -SDR 16 TDI (7FCF); +SDR 16 TDI (7BDF); RUNTEST 1800 TCK; -SDR 16 TDI (EFEF); +SDR 16 TDI (FDEF); RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (FDE7); +SDR 16 TDI (FFE7); RUNTEST 1800 TCK; -SDR 16 TDI (7CEE); +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (3FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (B773); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (BFE7); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFE); -RUNTEST 1800 TCK; -SDR 16 TDI (77F5); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF6); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7BEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7BDD); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDBF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FB); -RUNTEST 1800 TCK; SDR 16 TDI (6F7F); RUNTEST 1800 TCK; -SDR 16 TDI (FEBF); +SDR 16 TDI (677F); RUNTEST 1800 TCK; -SDR 16 TDI (BAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BB7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BF6C); -RUNTEST 1800 TCK; -SDR 16 TDI (D3FF); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6BF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (6FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (F3BF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7D6E); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FE); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BCFD); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (B737); RUNTEST 1800 TCK; SDR 16 TDI (F7FF); RUNTEST 1800 TCK; @@ -3141,187 +2109,131 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AFAF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7BF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (D6FF); -RUNTEST 1800 TCK; -SDR 16 TDI (6EF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FBEF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEE); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (3BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FF77); RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (EEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (EE7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7DD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBE); -RUNTEST 1800 TCK; -SDR 16 TDI (BF9F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFE); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (CF7E); -RUNTEST 1800 TCK; -SDR 16 TDI (79FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF9B); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FCFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF5); RUNTEST 1800 TCK; SDR 16 TDI (FFF7); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFAF); RUNTEST 1800 TCK; SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (7FD7); +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFBF); +SDR 16 TDI (F7FD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFD3); +RUNTEST 1800 TCK; +SDR 16 TDI (77B7); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6EEE); +RUNTEST 1800 TCK; +SDR 16 TDI (BDBB); +RUNTEST 1800 TCK; +SDR 16 TDI (B3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (EEFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (D7BF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEDE); +RUNTEST 1800 TCK; +SDR 16 TDI (F3FD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BBDF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (777F); +RUNTEST 1800 TCK; +SDR 16 TDI (57FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFA); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (DFEF); RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7BE7); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (F9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF3); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEF); RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (57FF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -3331,9 +2243,153 @@ SDR 16 TDI (FFFB); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BDB7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BD7); +RUNTEST 1800 TCK; +SDR 16 TDI (5F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (AF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B77F); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEB); +RUNTEST 1800 TCK; +SDR 16 TDI (7DF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7E); +RUNTEST 1800 TCK; +SDR 16 TDI (FAFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77EE); +RUNTEST 1800 TCK; +SDR 16 TDI (D7BF); +RUNTEST 1800 TCK; +SDR 16 TDI (B566); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EF3); +RUNTEST 1800 TCK; +SDR 16 TDI (6F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF77); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7F75); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (B73F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEF5); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (CDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E5BF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FADF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -3341,6 +2397,1070 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF3); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBD); +RUNTEST 1800 TCK; +SDR 16 TDI (CDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFB); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFD7); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BAFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (32FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDBE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF75); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE77); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FF2F); +RUNTEST 1800 TCK; +SDR 16 TDI (AAAB); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BF67); +RUNTEST 1800 TCK; +SDR 16 TDI (AEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F37F); +RUNTEST 1800 TCK; +SDR 16 TDI (6FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (F6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFB5); +RUNTEST 1800 TCK; +SDR 16 TDI (7B7E); +RUNTEST 1800 TCK; +SDR 16 TDI (EDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFB9); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (66F7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F5DF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (F7D6); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FB9F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (4EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFED); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEC); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7DF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFE); +RUNTEST 1800 TCK; +SDR 16 TDI (EEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (67FA); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEC); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFE); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (CFDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7DCD); +RUNTEST 1800 TCK; +SDR 16 TDI (DEDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BD5A); +RUNTEST 1800 TCK; +SDR 16 TDI (7B7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFE); +RUNTEST 1800 TCK; +SDR 16 TDI (EAAB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FE7); +RUNTEST 1800 TCK; +SDR 16 TDI (EE97); +RUNTEST 1800 TCK; +SDR 16 TDI (B335); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DAFC); +RUNTEST 1800 TCK; +SDR 16 TDI (BE3F); +RUNTEST 1800 TCK; +SDR 16 TDI (F9AF); +RUNTEST 1800 TCK; +SDR 16 TDI (72F7); +RUNTEST 1800 TCK; +SDR 16 TDI (79FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DF54); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F17F); +RUNTEST 1800 TCK; +SDR 16 TDI (ADDB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F767); +RUNTEST 1800 TCK; +SDR 16 TDI (ABDB); +RUNTEST 1800 TCK; +SDR 16 TDI (F776); +RUNTEST 1800 TCK; +SDR 16 TDI (6F3A); +RUNTEST 1800 TCK; +SDR 16 TDI (A7AF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (ADFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBAC); +RUNTEST 1800 TCK; +SDR 16 TDI (BE02); +RUNTEST 1800 TCK; +SDR 16 TDI (77CB); +RUNTEST 1800 TCK; +SDR 16 TDI (9E06); +RUNTEST 1800 TCK; +SDR 16 TDI (A6B1); +RUNTEST 1800 TCK; +SDR 16 TDI (73E2); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (90CC); +RUNTEST 1800 TCK; +SDR 16 TDI (B99F); +RUNTEST 1800 TCK; +SDR 16 TDI (298A); +RUNTEST 1800 TCK; +SDR 16 TDI (7787); +RUNTEST 1800 TCK; +SDR 16 TDI (0CFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B001); +RUNTEST 1800 TCK; +SDR 16 TDI (9075); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAC); +RUNTEST 1800 TCK; +SDR 16 TDI (AE02); +RUNTEST 1800 TCK; +SDR 16 TDI (77AB); +RUNTEST 1800 TCK; +SDR 16 TDI (9C00); +RUNTEST 1800 TCK; +SDR 16 TDI (A03E); +RUNTEST 1800 TCK; +SDR 16 TDI (CFD2); +RUNTEST 1800 TCK; +SDR 16 TDI (61C1); +RUNTEST 1800 TCK; +SDR 16 TDI (F0FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFCF); +RUNTEST 1800 TCK; +SDR 16 TDI (740F); +RUNTEST 1800 TCK; +SDR 16 TDI (40FF); +RUNTEST 1800 TCK; +SDR 16 TDI (A800); +RUNTEST 1800 TCK; +SDR 16 TDI (107F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9FC); +RUNTEST 1800 TCK; +SDR 16 TDI (7E22); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (801E); +RUNTEST 1800 TCK; +SDR 16 TDI (A701); +RUNTEST 1800 TCK; +SDR 16 TDI (8FF9); +RUNTEST 1800 TCK; +SDR 16 TDI (60A0); +RUNTEST 1800 TCK; +SDR 16 TDI (72CC); +RUNTEST 1800 TCK; +SDR 16 TDI (B99F); +RUNTEST 1800 TCK; +SDR 16 TDI (C9CC); +RUNTEST 1800 TCK; +SDR 16 TDI (7F00); +RUNTEST 1800 TCK; +SDR 16 TDI (084F); +RUNTEST 1800 TCK; +SDR 16 TDI (BF99); +RUNTEST 1800 TCK; +SDR 16 TDI (9075); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF8); +RUNTEST 1800 TCK; +SDR 16 TDI (6C02); +RUNTEST 1800 TCK; +SDR 16 TDI (6FF3); +RUNTEST 1800 TCK; +SDR 16 TDI (811F); +RUNTEST 1800 TCK; +SDR 16 TDI (A43E); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF9); +RUNTEST 1800 TCK; +SDR 16 TDI (66A7); +RUNTEST 1800 TCK; +SDR 16 TDI (9000); +RUNTEST 1800 TCK; +SDR 16 TDI (A19F); +RUNTEST 1800 TCK; +SDR 16 TDI (8040); +RUNTEST 1800 TCK; +SDR 16 TDI (6000); +RUNTEST 1800 TCK; +SDR 16 TDI (004F); +RUNTEST 1800 TCK; +SDR 16 TDI (B818); +RUNTEST 1800 TCK; +SDR 16 TDI (917F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (2333); +RUNTEST 1800 TCK; +SDR 16 TDI (73EE); +RUNTEST 1800 TCK; +SDR 16 TDI (E26F); +RUNTEST 1800 TCK; +SDR 16 TDI (B333); +RUNTEST 1800 TCK; +SDR 16 TDI (B9F7); +RUNTEST 1800 TCK; +SDR 16 TDI (6EEE); +RUNTEST 1800 TCK; +SDR 16 TDI (FC44); +RUNTEST 1800 TCK; +SDR 16 TDI (A9CB); +RUNTEST 1800 TCK; +SDR 16 TDI (9119); +RUNTEST 1800 TCK; +SDR 16 TDI (678C); +RUNTEST 1800 TCK; +SDR 16 TDI (C8E7); +RUNTEST 1800 TCK; +SDR 16 TDI (BB9B); +RUNTEST 1800 TCK; +SDR 16 TDI (89FE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7677); +RUNTEST 1800 TCK; +SDR 16 TDI (6146); +RUNTEST 1800 TCK; +SDR 16 TDI (7727); +RUNTEST 1800 TCK; +SDR 16 TDI (A667); +RUNTEST 1800 TCK; +SDR 16 TDI (10A2); +RUNTEST 1800 TCK; +SDR 16 TDI (6444); +RUNTEST 1800 TCK; +SDR 16 TDI (5988); +RUNTEST 1800 TCK; +SDR 16 TDI (B195); +RUNTEST 1800 TCK; +SDR 16 TDI (08D8); +RUNTEST 1800 TCK; +SDR 16 TDI (73D9); +RUNTEST 1800 TCK; +SDR 16 TDI (DDC2); +RUNTEST 1800 TCK; +SDR 16 TDI (B1D9); +RUNTEST 1800 TCK; +SDR 16 TDI (DCFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF77); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (773F); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7BF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD56); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEDE); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FB); +RUNTEST 1800 TCK; +SDR 16 TDI (7B7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BB5F); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7E); +RUNTEST 1800 TCK; +SDR 16 TDI (F7DF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDEE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7EF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF9F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EF5F); +RUNTEST 1800 TCK; +SDR 16 TDI (7B7F); +RUNTEST 1800 TCK; +SDR 16 TDI (EFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FD); +RUNTEST 1800 TCK; +SDR 16 TDI (7EF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF9F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBB); +RUNTEST 1800 TCK; +SDR 16 TDI (DDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77EF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BF3); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7D); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFCF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7E); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7B); +RUNTEST 1800 TCK; +SDR 16 TDI (EFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (ABFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (57FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FCBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E96F); +RUNTEST 1800 TCK; +SDR 16 TDI (BDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FECB); +RUNTEST 1800 TCK; +SDR 16 TDI (6EFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (DDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD3E); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7AA); +RUNTEST 1800 TCK; +SDR 16 TDI (ADFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF3F); +RUNTEST 1800 TCK; +SDR 16 TDI (65FF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A55E); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE69); +RUNTEST 1800 TCK; +SDR 16 TDI (B7DF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEED); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFD7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (77FB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFE); +RUNTEST 1800 TCK; +SDR 16 TDI (B7BF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFB7); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A75D); +RUNTEST 1800 TCK; +SDR 16 TDI (57AD); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFC); +RUNTEST 1800 TCK; +SDR 16 TDI (ED5F); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -3351,135 +3471,15 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F5FF); +SDR 16 TDI (EFDD); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FB3); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FAFE); -RUNTEST 1800 TCK; -SDR 16 TDI (77E7); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFB7); -RUNTEST 1800 TCK; -SDR 16 TDI (3F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A75F); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (E7F6); -RUNTEST 1800 TCK; -SDR 16 TDI (6FBB); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F6F); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (BB7F); -RUNTEST 1800 TCK; -SDR 16 TDI (B3F5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (ADFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F9BB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B5FD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7DF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (76FD); +SDR 16 TDI (79DF); RUNTEST 1800 TCK; SDR 16 TDI (DFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BB7B); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A75F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BD76); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7D6E); -RUNTEST 1800 TCK; -SDR 16 TDI (F5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBB); -RUNTEST 1800 TCK; -SDR 16 TDI (D7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F99F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDC); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (34F5); RUNTEST 1800 TCK; SDR 16 TDI (6BFF); RUNTEST 1800 TCK; @@ -3487,61 +3487,93 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (ACFF); RUNTEST 1800 TCK; -SDR 16 TDI (EDFF); +SDR 16 TDI (FEFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFAE); +SDR 16 TDI (FDF7); RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); +SDR 16 TDI (ADFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBBB); +SDR 16 TDI (FCFC); RUNTEST 1800 TCK; -SDR 16 TDI (7F9E); +SDR 16 TDI (7FEB); RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (BF9F); RUNTEST 1800 TCK; -SDR 16 TDI (BCFB); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (CAEA); +SDR 16 TDI (FBEA); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FB9F); +SDR 16 TDI (77BF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); RUNTEST 1800 TCK; SDR 16 TDI (7FFD); RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (BEEF); RUNTEST 1800 TCK; -SDR 16 TDI (B7FD); +SDR 16 TDI (FFF5); RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F76F); +RUNTEST 1800 TCK; +SDR 16 TDI (BEDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFD7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFB); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFF7); RUNTEST 1800 TCK; -SDR 16 TDI (AEFF); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF77); +SDR 16 TDI (DEEE); RUNTEST 1800 TCK; -SDR 16 TDI (67F7); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -3551,95 +3583,63 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (7FD7); +SDR 16 TDI (DE32); RUNTEST 1800 TCK; -SDR 16 TDI (7FF4); +SDR 16 TDI (7FFB); RUNTEST 1800 TCK; -SDR 16 TDI (D6B5); -RUNTEST 1800 TCK; -SDR 16 TDI (BF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEF7); -RUNTEST 1800 TCK; -SDR 16 TDI (AF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (3F6F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (50FD); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (6FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (F977); +RUNTEST 1800 TCK; +SDR 16 TDI (AF9B); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFC); +RUNTEST 1800 TCK; +SDR 16 TDI (659F); +RUNTEST 1800 TCK; +SDR 16 TDI (5FED); +RUNTEST 1800 TCK; +SDR 16 TDI (B97F); +RUNTEST 1800 TCK; +SDR 16 TDI (13FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BEFE); RUNTEST 1800 TCK; -SDR 16 TDI (BF23); +SDR 16 TDI (EFCF); RUNTEST 1800 TCK; -SDR 16 TDI (6FEB); +SDR 16 TDI (6FF7); RUNTEST 1800 TCK; -SDR 16 TDI (464F); +SDR 16 TDI (FF82); RUNTEST 1800 TCK; -SDR 16 TDI (AFDB); +SDR 16 TDI (BFED); RUNTEST 1800 TCK; -SDR 16 TDI (DFF9); +SDR 16 TDI (FFF9); RUNTEST 1800 TCK; -SDR 16 TDI (62CD); +SDR 16 TDI (79FF); RUNTEST 1800 TCK; -SDR 16 TDI (FFE7); +SDR 16 TDI (D6D9); RUNTEST 1800 TCK; -SDR 16 TDI (AF7B); +SDR 16 TDI (B3FF); RUNTEST 1800 TCK; -SDR 16 TDI (FF6E); +SDR 16 TDI (FEBB); RUNTEST 1800 TCK; -SDR 16 TDI (7DFE); +SDR 16 TDI (7AEB); RUNTEST 1800 TCK; -SDR 16 TDI (E55F); +SDR 16 TDI (F5BF); RUNTEST 1800 TCK; -SDR 16 TDI (BFDA); +SDR 16 TDI (BED7); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFD); -RUNTEST 1800 TCK; -SDR 16 TDI (70DE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFC); -RUNTEST 1800 TCK; -SDR 16 TDI (BBF5); -RUNTEST 1800 TCK; -SDR 16 TDI (B5FD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7DF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBC); -RUNTEST 1800 TCK; -SDR 16 TDI (B9FF); -RUNTEST 1800 TCK; -SDR 16 TDI (E9F7); -RUNTEST 1800 TCK; -SDR 16 TDI (7737); -RUNTEST 1800 TCK; -SDR 16 TDI (DEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BE7D); -RUNTEST 1800 TCK; -SDR 16 TDI (E6EA); +SDR 16 TDI (EE6A); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; @@ -3647,61 +3647,125 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (33FF); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (77EC); RUNTEST 1800 TCK; -SDR 16 TDI (FFBE); +SDR 16 TDI (FF7F); RUNTEST 1800 TCK; -SDR 16 TDI (BB36); +SDR 16 TDI (BEFF); RUNTEST 1800 TCK; -SDR 16 TDI (63FE); +SDR 16 TDI (FFF7); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (77FD); RUNTEST 1800 TCK; -SDR 16 TDI (54DB); +SDR 16 TDI (FFAE); RUNTEST 1800 TCK; -SDR 16 TDI (B69F); +SDR 16 TDI (BD7F); RUNTEST 1800 TCK; -SDR 16 TDI (16BB); +SDR 16 TDI (CD4F); RUNTEST 1800 TCK; -SDR 16 TDI (7AC9); +SDR 16 TDI (7F75); RUNTEST 1800 TCK; -SDR 16 TDI (BBAF); +SDR 16 TDI (BF5F); RUNTEST 1800 TCK; -SDR 16 TDI (B9EF); +SDR 16 TDI (BFB8); RUNTEST 1800 TCK; -SDR 16 TDI (99FF); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A95C); +SDR 16 TDI (A95D); RUNTEST 1800 TCK; -SDR 16 TDI (3001); +SDR 16 TDI (684A); RUNTEST 1800 TCK; -SDR 16 TDI (6FC0); +SDR 16 TDI (7FD2); RUNTEST 1800 TCK; -SDR 16 TDI (70E1); +SDR 16 TDI (6AE1); RUNTEST 1800 TCK; -SDR 16 TDI (ABF2); +SDR 16 TDI (BDE8); RUNTEST 1800 TCK; -SDR 16 TDI (BBED); +SDR 16 TDI (F3E0); RUNTEST 1800 TCK; -SDR 16 TDI (7C00); +SDR 16 TDI (615F); RUNTEST 1800 TCK; -SDR 16 TDI (7056); +SDR 16 TDI (9E58); RUNTEST 1800 TCK; -SDR 16 TDI (B1BE); +SDR 16 TDI (B01F); RUNTEST 1800 TCK; -SDR 16 TDI (07FA); +SDR 16 TDI (2800); RUNTEST 1800 TCK; -SDR 16 TDI (6A0F); +SDR 16 TDI (6B80); RUNTEST 1800 TCK; -SDR 16 TDI (D82F); +SDR 16 TDI (E00F); RUNTEST 1800 TCK; -SDR 16 TDI (B700); +SDR 16 TDI (B001); +RUNTEST 1800 TCK; +SDR 16 TDI (1475); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (6842); +RUNTEST 1800 TCK; +SDR 16 TDI (7FA2); +RUNTEST 1800 TCK; +SDR 16 TDI (6250); +RUNTEST 1800 TCK; +SDR 16 TDI (A1EB); +RUNTEST 1800 TCK; +SDR 16 TDI (CFD0); +RUNTEST 1800 TCK; +SDR 16 TDI (6141); +RUNTEST 1800 TCK; +SDR 16 TDI (FA08); +RUNTEST 1800 TCK; +SDR 16 TDI (B01F); +RUNTEST 1800 TCK; +SDR 16 TDI (A802); +RUNTEST 1800 TCK; +SDR 16 TDI (7100); +RUNTEST 1800 TCK; +SDR 16 TDI (A00F); +RUNTEST 1800 TCK; +SDR 16 TDI (B900); +RUNTEST 1800 TCK; +SDR 16 TDI (007F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B95F); +RUNTEST 1800 TCK; +SDR 16 TDI (FDB7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7D8); +RUNTEST 1800 TCK; +SDR 16 TDI (A293); +RUNTEST 1800 TCK; +SDR 16 TDI (0FF1); +RUNTEST 1800 TCK; +SDR 16 TDI (6320); +RUNTEST 1800 TCK; +SDR 16 TDI (7CE8); +RUNTEST 1800 TCK; +SDR 16 TDI (B03F); +RUNTEST 1800 TCK; +SDR 16 TDI (F841); +RUNTEST 1800 TCK; +SDR 16 TDI (7F09); +RUNTEST 1800 TCK; +SDR 16 TDI (9F8F); +RUNTEST 1800 TCK; +SDR 16 TDI (B863); RUNTEST 1800 TCK; SDR 16 TDI (07F5); RUNTEST 1800 TCK; @@ -3709,190 +3773,126 @@ SDR 16 TDI (6BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A7FC); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (0001); +SDR 16 TDI (C583); RUNTEST 1800 TCK; -SDR 16 TDI (6FB0); +SDR 16 TDI (7FFE); RUNTEST 1800 TCK; -SDR 16 TDI (1000); +SDR 16 TDI (1759); RUNTEST 1800 TCK; -SDR 16 TDI (A0F0); +SDR 16 TDI (B290); RUNTEST 1800 TCK; -SDR 16 TDI (F3D4); +SDR 16 TDI (FFF0); RUNTEST 1800 TCK; -SDR 16 TDI (6000); +SDR 16 TDI (6127); RUNTEST 1800 TCK; -SDR 16 TDI (5156); +SDR 16 TDI (90CF); RUNTEST 1800 TCK; -SDR 16 TDI (B1FF); +SDR 16 TDI (BE3F); RUNTEST 1800 TCK; -SDR 16 TDI (83DA); +SDR 16 TDI (FA45); RUNTEST 1800 TCK; -SDR 16 TDI (6F00); +SDR 16 TDI (7000); RUNTEST 1800 TCK; -SDR 16 TDI (D00F); +SDR 16 TDI (900F); RUNTEST 1800 TCK; -SDR 16 TDI (AF82); +SDR 16 TDI (B802); RUNTEST 1800 TCK; -SDR 16 TDI (27FF); +SDR 16 TDI (05FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BD5D); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (3400); -RUNTEST 1800 TCK; -SDR 16 TDI (67E1); -RUNTEST 1800 TCK; -SDR 16 TDI (61E7); -RUNTEST 1800 TCK; -SDR 16 TDI (A7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (37FD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FE0); -RUNTEST 1800 TCK; -SDR 16 TDI (1BC7); -RUNTEST 1800 TCK; -SDR 16 TDI (A01F); -RUNTEST 1800 TCK; -SDR 16 TDI (867C); -RUNTEST 1800 TCK; -SDR 16 TDI (668F); -RUNTEST 1800 TCK; -SDR 16 TDI (6F0F); -RUNTEST 1800 TCK; -SDR 16 TDI (B848); -RUNTEST 1800 TCK; -SDR 16 TDI (0175); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFC); -RUNTEST 1800 TCK; -SDR 16 TDI (0104); -RUNTEST 1800 TCK; -SDR 16 TDI (67E0); -RUNTEST 1800 TCK; -SDR 16 TDI (0001); -RUNTEST 1800 TCK; -SDR 16 TDI (A3C2); -RUNTEST 1800 TCK; -SDR 16 TDI (F7F9); -RUNTEST 1800 TCK; -SDR 16 TDI (7266); -RUNTEST 1800 TCK; -SDR 16 TDI (5CCF); -RUNTEST 1800 TCK; -SDR 16 TDI (B41F); -RUNTEST 1800 TCK; -SDR 16 TDI (C53C); -RUNTEST 1800 TCK; -SDR 16 TDI (6B02); -RUNTEST 1800 TCK; -SDR 16 TDI (600F); -RUNTEST 1800 TCK; -SDR 16 TDI (B84D); -RUNTEST 1800 TCK; -SDR 16 TDI (817F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (3333); +SDR 16 TDI (33B2); RUNTEST 1800 TCK; SDR 16 TDI (63E6); RUNTEST 1800 TCK; -SDR 16 TDI (6227); -RUNTEST 1800 TCK; -SDR 16 TDI (BB33); -RUNTEST 1800 TCK; -SDR 16 TDI (71F7); -RUNTEST 1800 TCK; -SDR 16 TDI (6663); -RUNTEST 1800 TCK; -SDR 16 TDI (FCEC); -RUNTEST 1800 TCK; -SDR 16 TDI (B98F); -RUNTEST 1800 TCK; -SDR 16 TDI (9999); -RUNTEST 1800 TCK; -SDR 16 TDI (718E); -RUNTEST 1800 TCK; -SDR 16 TDI (EE87); -RUNTEST 1800 TCK; -SDR 16 TDI (B9BB); -RUNTEST 1800 TCK; -SDR 16 TDI (89FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7777); -RUNTEST 1800 TCK; -SDR 16 TDI (6957); -RUNTEST 1800 TCK; -SDR 16 TDI (7777); -RUNTEST 1800 TCK; -SDR 16 TDI (B277); -RUNTEST 1800 TCK; -SDR 16 TDI (74A3); -RUNTEST 1800 TCK; -SDR 16 TDI (7376); -RUNTEST 1800 TCK; -SDR 16 TDI (79CD); -RUNTEST 1800 TCK; -SDR 16 TDI (BB25); -RUNTEST 1800 TCK; -SDR 16 TDI (4DCD); -RUNTEST 1800 TCK; -SDR 16 TDI (7B9C); -RUNTEST 1800 TCK; -SDR 16 TDI (CCD2); -RUNTEST 1800 TCK; -SDR 16 TDI (B499); -RUNTEST 1800 TCK; -SDR 16 TDI (DCFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BAFF); +SDR 16 TDI (7E6F); RUNTEST 1800 TCK; SDR 16 TDI (BBBB); RUNTEST 1800 TCK; -SDR 16 TDI (77CE); +SDR 16 TDI (B9F3); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (66EE); +RUNTEST 1800 TCK; +SDR 16 TDI (FECE); +RUNTEST 1800 TCK; +SDR 16 TDI (BD0F); +RUNTEST 1800 TCK; +SDR 16 TDI (999B); +RUNTEST 1800 TCK; +SDR 16 TDI (73CC); +RUNTEST 1800 TCK; +SDR 16 TDI (DCC7); +RUNTEST 1800 TCK; +SDR 16 TDI (BB99); +RUNTEST 1800 TCK; +SDR 16 TDI (B9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (7737); RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (6957); RUNTEST 1800 TCK; -SDR 16 TDI (FF7E); +SDR 16 TDI (6766); RUNTEST 1800 TCK; -SDR 16 TDI (BDEF); +SDR 16 TDI (B111); RUNTEST 1800 TCK; -SDR 16 TDI (7BFB); +SDR 16 TDI (10AB); +RUNTEST 1800 TCK; +SDR 16 TDI (7244); +RUNTEST 1800 TCK; +SDR 16 TDI (5CCC); +RUNTEST 1800 TCK; +SDR 16 TDI (B9A5); +RUNTEST 1800 TCK; +SDR 16 TDI (1DD9); +RUNTEST 1800 TCK; +SDR 16 TDI (73DD); +RUNTEST 1800 TCK; +SDR 16 TDI (C9C2); +RUNTEST 1800 TCK; +SDR 16 TDI (B1C9); +RUNTEST 1800 TCK; +SDR 16 TDI (99FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77CE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (B7FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -3903,573 +3903,253 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6BF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5BBF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FEB); -RUNTEST 1800 TCK; -SDR 16 TDI (F3BF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDD); -RUNTEST 1800 TCK; -SDR 16 TDI (BAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (CDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FDF); -RUNTEST 1800 TCK; -SDR 16 TDI (DEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFD); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (B7DF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF5D); -RUNTEST 1800 TCK; -SDR 16 TDI (BB7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F6FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DDFE); -RUNTEST 1800 TCK; -SDR 16 TDI (77E7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF9); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DFB); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFE9); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7DDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BDFD); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FCF); -RUNTEST 1800 TCK; -SDR 16 TDI (EF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDA); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF6); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B77F); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (EFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DBFF); +SDR 16 TDI (F677); RUNTEST 1800 TCK; SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (D5FC); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BEB); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (DFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF6F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (A65F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (DEFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FAF); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A65F); -RUNTEST 1800 TCK; -SDR 16 TDI (B7B7); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEB); -RUNTEST 1800 TCK; -SDR 16 TDI (6AEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBB); -RUNTEST 1800 TCK; -SDR 16 TDI (FF77); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); -RUNTEST 1800 TCK; -SDR 16 TDI (3D9D); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBCD); +SDR 16 TDI (B7EF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); +SDR 16 TDI (7FF6); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFDE); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FDF); RUNTEST 1800 TCK; -SDR 16 TDI (DFDE); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (B6FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFD); RUNTEST 1800 TCK; -SDR 16 TDI (FBFB); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); +SDR 16 TDI (BD7F); RUNTEST 1800 TCK; -SDR 16 TDI (FBFE); +SDR 16 TDI (DDF7); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEA); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (AFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F9BB); +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBBD); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (D5FE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; SDR 16 TDI (7FEF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (CFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9EAB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF5); -RUNTEST 1800 TCK; -SDR 16 TDI (7EBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEE); -RUNTEST 1800 TCK; -SDR 16 TDI (97FF); -RUNTEST 1800 TCK; -SDR 16 TDI (F6BB); -RUNTEST 1800 TCK; -SDR 16 TDI (57BF); +SDR 16 TDI (BDFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BEB); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFED); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; SDR 16 TDI (BEFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; -SDR 16 TDI (777F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (8BFE); -RUNTEST 1800 TCK; -SDR 16 TDI (ABFF); -RUNTEST 1800 TCK; -SDR 16 TDI (4DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DAFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBDE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFD); +RUNTEST 1800 TCK; SDR 16 TDI (DFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FEE); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9FEF); +SDR 16 TDI (6FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -4477,61 +4157,253 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9FFE); +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; -SDR 16 TDI (F1B9); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (4FEF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F2D7); +SDR 16 TDI (FCDF); RUNTEST 1800 TCK; -SDR 16 TDI (BBBB); -RUNTEST 1800 TCK; -SDR 16 TDI (BBF7); -RUNTEST 1800 TCK; -SDR 16 TDI (6EF3); -RUNTEST 1800 TCK; -SDR 16 TDI (FFB7); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBEF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBE); -RUNTEST 1800 TCK; -SDR 16 TDI (9F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (9EFE); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (6FD9); RUNTEST 1800 TCK; -SDR 16 TDI (BAFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FED7); -RUNTEST 1800 TCK; -SDR 16 TDI (77FD); -RUNTEST 1800 TCK; -SDR 16 TDI (5D7C); -RUNTEST 1800 TCK; -SDR 16 TDI (A6EC); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7DBF); -RUNTEST 1800 TCK; -SDR 16 TDI (9EDE); -RUNTEST 1800 TCK; -SDR 16 TDI (8DDF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFDF); RUNTEST 1800 TCK; -SDR 16 TDI (576F); +SDR 16 TDI (6F7F); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDD7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FB7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF9); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A55F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (77EF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77EF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FAF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7BF); +RUNTEST 1800 TCK; +SDR 16 TDI (AB77); +RUNTEST 1800 TCK; +SDR 16 TDI (6EEE); +RUNTEST 1800 TCK; +SDR 16 TDI (FBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (B77F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FAFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A75D); +RUNTEST 1800 TCK; +SDR 16 TDI (D9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FD7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF5F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEBF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FECB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; @@ -4543,223 +4415,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (4F6E); +SDR 16 TDI (FFEB); RUNTEST 1800 TCK; -SDR 16 TDI (7F73); +SDR 16 TDI (7FF6); RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (FFDF); RUNTEST 1800 TCK; -SDR 16 TDI (9F77); +SDR 16 TDI (9EFB); RUNTEST 1800 TCK; -SDR 16 TDI (FF7B); +SDR 16 TDI (AFFD); RUNTEST 1800 TCK; -SDR 16 TDI (5F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (7169); -RUNTEST 1800 TCK; -SDR 16 TDI (B3BF); -RUNTEST 1800 TCK; -SDR 16 TDI (8C30); -RUNTEST 1800 TCK; -SDR 16 TDI (6FDD); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (7BFA); RUNTEST 1800 TCK; SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (855C); -RUNTEST 1800 TCK; -SDR 16 TDI (0281); -RUNTEST 1800 TCK; -SDR 16 TDI (47C2); -RUNTEST 1800 TCK; -SDR 16 TDI (0000); -RUNTEST 1800 TCK; -SDR 16 TDI (B068); -RUNTEST 1800 TCK; -SDR 16 TDI (73EC); -RUNTEST 1800 TCK; -SDR 16 TDI (6074); -RUNTEST 1800 TCK; -SDR 16 TDI (1ECE); -RUNTEST 1800 TCK; -SDR 16 TDI (A81F); -RUNTEST 1800 TCK; -SDR 16 TDI (102F); -RUNTEST 1800 TCK; -SDR 16 TDI (7C00); -RUNTEST 1800 TCK; -SDR 16 TDI (A5CF); -RUNTEST 1800 TCK; -SDR 16 TDI (917F); -RUNTEST 1800 TCK; -SDR 16 TDI (7E75); -RUNTEST 1800 TCK; -SDR 16 TDI (4BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFC); -RUNTEST 1800 TCK; -SDR 16 TDI (0000); -RUNTEST 1800 TCK; -SDR 16 TDI (66E2); -RUNTEST 1800 TCK; -SDR 16 TDI (0000); -RUNTEST 1800 TCK; -SDR 16 TDI (8069); -RUNTEST 1800 TCK; -SDR 16 TDI (03FC); -RUNTEST 1800 TCK; -SDR 16 TDI (7874); -RUNTEST 1800 TCK; -SDR 16 TDI (1CCC); -RUNTEST 1800 TCK; -SDR 16 TDI (B81E); -RUNTEST 1800 TCK; -SDR 16 TDI (90A8); -RUNTEST 1800 TCK; -SDR 16 TDI (7C00); -RUNTEST 1800 TCK; -SDR 16 TDI (0B3F); -RUNTEST 1800 TCK; -SDR 16 TDI (A907); -RUNTEST 1800 TCK; -SDR 16 TDI (07FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (B55C); -RUNTEST 1800 TCK; -SDR 16 TDI (0700); -RUNTEST 1800 TCK; -SDR 16 TDI (67F0); -RUNTEST 1800 TCK; -SDR 16 TDI (0C20); -RUNTEST 1800 TCK; -SDR 16 TDI (8810); -RUNTEST 1800 TCK; -SDR 16 TDI (B3F4); -RUNTEST 1800 TCK; -SDR 16 TDI (4081); -RUNTEST 1800 TCK; -SDR 16 TDI (F0E0); -RUNTEST 1800 TCK; -SDR 16 TDI (A07F); -RUNTEST 1800 TCK; -SDR 16 TDI (D848); -RUNTEST 1800 TCK; -SDR 16 TDI (6CCC); -RUNTEST 1800 TCK; -SDR 16 TDI (963F); -RUNTEST 1800 TCK; -SDR 16 TDI (BC82); -RUNTEST 1800 TCK; -SDR 16 TDI (81F5); -RUNTEST 1800 TCK; -SDR 16 TDI (6BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (4320); -RUNTEST 1800 TCK; -SDR 16 TDI (47E3); -RUNTEST 1800 TCK; -SDR 16 TDI (321E); -RUNTEST 1800 TCK; -SDR 16 TDI (B811); -RUNTEST 1800 TCK; -SDR 16 TDI (03F4); -RUNTEST 1800 TCK; -SDR 16 TDI (7881); -RUNTEST 1800 TCK; -SDR 16 TDI (10C0); -RUNTEST 1800 TCK; -SDR 16 TDI (B87F); -RUNTEST 1800 TCK; -SDR 16 TDI (DBE8); -RUNTEST 1800 TCK; -SDR 16 TDI (6C9C); +SDR 16 TDI (9DFF); RUNTEST 1800 TCK; SDR 16 TDI (FBFF); RUNTEST 1800 TCK; -SDR 16 TDI (9C9A); +SDR 16 TDI (4EDE); RUNTEST 1800 TCK; -SDR 16 TDI (9E7F); +SDR 16 TDI (E6EF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (BEEF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (3333); -RUNTEST 1800 TCK; -SDR 16 TDI (63EE); -RUNTEST 1800 TCK; -SDR 16 TDI (6E6F); -RUNTEST 1800 TCK; -SDR 16 TDI (BB33); -RUNTEST 1800 TCK; -SDR 16 TDI (B173); -RUNTEST 1800 TCK; -SDR 16 TDI (6E67); -RUNTEST 1800 TCK; -SDR 16 TDI (7EEE); -RUNTEST 1800 TCK; -SDR 16 TDI (998F); -RUNTEST 1800 TCK; -SDR 16 TDI (99BB); -RUNTEST 1800 TCK; -SDR 16 TDI (5188); -RUNTEST 1800 TCK; -SDR 16 TDI (8EE7); -RUNTEST 1800 TCK; -SDR 16 TDI (BBBB); -RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6677); -RUNTEST 1800 TCK; -SDR 16 TDI (6947); -RUNTEST 1800 TCK; -SDR 16 TDI (6727); -RUNTEST 1800 TCK; -SDR 16 TDI (9333); -RUNTEST 1800 TCK; -SDR 16 TDI (34A3); -RUNTEST 1800 TCK; -SDR 16 TDI (4673); -RUNTEST 1800 TCK; -SDR 16 TDI (3CCC); -RUNTEST 1800 TCK; -SDR 16 TDI (BBA5); -RUNTEST 1800 TCK; -SDR 16 TDI (4D99); -RUNTEST 1800 TCK; -SDR 16 TDI (7BDD); -RUNTEST 1800 TCK; -SDR 16 TDI (DC42); -RUNTEST 1800 TCK; -SDR 16 TDI (B111); -RUNTEST 1800 TCK; -SDR 16 TDI (117F); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4767,9 +4447,97 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (DEFF); RUNTEST 1800 TCK; -SDR 16 TDI (57DE); +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (F3EF); +RUNTEST 1800 TCK; +SDR 16 TDI (9BAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBF7); +RUNTEST 1800 TCK; +SDR 16 TDI (4EAF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77BF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (9BAB); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (313B); +RUNTEST 1800 TCK; +SDR 16 TDI (4FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (F4F3); +RUNTEST 1800 TCK; +SDR 16 TDI (BE9F); +RUNTEST 1800 TCK; +SDR 16 TDI (DFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6B4B); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (8FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEE); +RUNTEST 1800 TCK; +SDR 16 TDI (9EEE); +RUNTEST 1800 TCK; +SDR 16 TDI (ADFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BAFC); +RUNTEST 1800 TCK; +SDR 16 TDI (CED7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF8); +RUNTEST 1800 TCK; +SDR 16 TDI (7B5F); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FB); +RUNTEST 1800 TCK; +SDR 16 TDI (277F); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFE); +RUNTEST 1800 TCK; +SDR 16 TDI (78EF); +RUNTEST 1800 TCK; +SDR 16 TDI (9F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF8); +RUNTEST 1800 TCK; +SDR 16 TDI (5FED); +RUNTEST 1800 TCK; +SDR 16 TDI (76DF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBB); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -4777,149 +4545,157 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFEF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77EF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (8FAF); RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); +SDR 16 TDI (9B7F); RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (FBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (57B7); +RUNTEST 1800 TCK; +SDR 16 TDI (F71F); +RUNTEST 1800 TCK; +SDR 16 TDI (B09F); +RUNTEST 1800 TCK; +SDR 16 TDI (DC47); +RUNTEST 1800 TCK; +SDR 16 TDI (655B); +RUNTEST 1800 TCK; +SDR 16 TDI (893F); +RUNTEST 1800 TCK; +SDR 16 TDI (BDD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FB7F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (97FF); +SDR 16 TDI (855F); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DB8C); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5FCE); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (0E62); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A040); RUNTEST 1800 TCK; -SDR 16 TDI (BFAF); +SDR 16 TDI (0FE5); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (78A6); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (1043); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BD9F); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (07A7); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (71C0); RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (CA0F); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (9099); RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (0875); RUNTEST 1800 TCK; -SDR 16 TDI (6FF7); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BF77); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EEFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBBF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (5BDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF5F); -RUNTEST 1800 TCK; -SDR 16 TDI (B7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBEF); -RUNTEST 1800 TCK; -SDR 16 TDI (5F6F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (8FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (4FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF77); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (4BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (AFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FAF); +SDR 16 TDI (9E84); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FA6); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (0402); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (804C); RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (03F5); +RUNTEST 1800 TCK; +SDR 16 TDI (7806); +RUNTEST 1800 TCK; +SDR 16 TDI (74C3); +RUNTEST 1800 TCK; +SDR 16 TDI (B95E); +RUNTEST 1800 TCK; +SDR 16 TDI (8080); +RUNTEST 1800 TCK; +SDR 16 TDI (7100); +RUNTEST 1800 TCK; +SDR 16 TDI (084D); +RUNTEST 1800 TCK; +SDR 16 TDI (B881); +RUNTEST 1800 TCK; +SDR 16 TDI (087F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9DFF); +SDR 16 TDI (B55F); RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (DF40); RUNTEST 1800 TCK; -SDR 16 TDI (5FB7); +SDR 16 TDI (67F7); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (2473); RUNTEST 1800 TCK; -SDR 16 TDI (BEF7); +SDR 16 TDI (9003); RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (0BF2); +RUNTEST 1800 TCK; +SDR 16 TDI (4928); +RUNTEST 1800 TCK; +SDR 16 TDI (1F43); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (E067); +RUNTEST 1800 TCK; +SDR 16 TDI (7001); +RUNTEST 1800 TCK; +SDR 16 TDI (091F); +RUNTEST 1800 TCK; +SDR 16 TDI (B911); +RUNTEST 1800 TCK; +SDR 16 TDI (08F5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (5F48); +RUNTEST 1800 TCK; +SDR 16 TDI (47F6); +RUNTEST 1800 TCK; +SDR 16 TDI (6433); +RUNTEST 1800 TCK; +SDR 16 TDI (B00F); +RUNTEST 1800 TCK; +SDR 16 TDI (07F2); +RUNTEST 1800 TCK; +SDR 16 TDI (6829); +RUNTEST 1800 TCK; +SDR 16 TDI (9F43); +RUNTEST 1800 TCK; +SDR 16 TDI (A01F); +RUNTEST 1800 TCK; +SDR 16 TDI (E060); +RUNTEST 1800 TCK; +SDR 16 TDI (6001); +RUNTEST 1800 TCK; +SDR 16 TDI (990F); +RUNTEST 1800 TCK; +SDR 16 TDI (9909); +RUNTEST 1800 TCK; +SDR 16 TDI (097F); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -4927,31 +4703,63 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (333A); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (63E6); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (62E7); RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (A33B); +RUNTEST 1800 TCK; +SDR 16 TDI (3973); +RUNTEST 1800 TCK; +SDR 16 TDI (6626); +RUNTEST 1800 TCK; +SDR 16 TDI (FEC8); +RUNTEST 1800 TCK; +SDR 16 TDI (9D8F); +RUNTEST 1800 TCK; +SDR 16 TDI (9BBB); +RUNTEST 1800 TCK; +SDR 16 TDI (53CC); +RUNTEST 1800 TCK; +SDR 16 TDI (CCC7); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBB); +RUNTEST 1800 TCK; +SDR 16 TDI (99FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7773); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (6957); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (3677); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (8733); RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (70A3); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (4766); RUNTEST 1800 TCK; -SDR 16 TDI (BDFB); +SDR 16 TDI (7CDD); +RUNTEST 1800 TCK; +SDR 16 TDI (B9A5); +RUNTEST 1800 TCK; +SDR 16 TDI (5999); +RUNTEST 1800 TCK; +SDR 16 TDI (79DD); +RUNTEST 1800 TCK; +SDR 16 TDI (DDD2); +RUNTEST 1800 TCK; +SDR 16 TDI (B199); +RUNTEST 1800 TCK; +SDR 16 TDI (DDFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -4959,17 +4767,33 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FBBF); RUNTEST 1800 TCK; -SDR 16 TDI (4FFF); +SDR 16 TDI (57CF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DFF7); +SDR 16 TDI (BFEF); RUNTEST 1800 TCK; -SDR 16 TDI (5F7F); +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEE); +RUNTEST 1800 TCK; +SDR 16 TDI (EEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (97FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -4979,73 +4803,25 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFB); -RUNTEST 1800 TCK; SDR 16 TDI (FF3F); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFEF); RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; -SDR 16 TDI (FF6F); +SDR 16 TDI (FFF7); RUNTEST 1800 TCK; -SDR 16 TDI (5FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (F77F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEE); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFC); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; @@ -5053,80 +4829,304 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9FFE); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFF7); +SDR 16 TDI (D6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFB); +RUNTEST 1800 TCK; +SDR 16 TDI (9DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (5B7E); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (8FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFBE); +SDR 16 TDI (7F3F); RUNTEST 1800 TCK; -SDR 16 TDI (BEDF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7BF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFB); +RUNTEST 1800 TCK; +SDR 16 TDI (EFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (97FF); +RUNTEST 1800 TCK; +SDR 16 TDI (F5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (57FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (EFFB); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FBDD); -RUNTEST 1800 TCK; -SDR 16 TDI (7AFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FB77); -RUNTEST 1800 TCK; -SDR 16 TDI (BB7D); +SDR 16 TDI (BF9F); RUNTEST 1800 TCK; SDR 16 TDI (F7FF); RUNTEST 1800 TCK; SDR 16 TDI (7DEF); RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (2F7F); RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FB2F); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6EEF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (F6DF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DF5F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFD); RUNTEST 1800 TCK; +SDR 16 TDI (DFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; SDR 16 TDI (F7FF); RUNTEST 1800 TCK; SDR 16 TDI (7FF7); @@ -5135,79 +5135,79 @@ SDR 16 TDI (DFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDF7); RUNTEST 1800 TCK; -SDR 16 TDI (7EBE); +SDR 16 TDI (7F7F); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFDB); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (D7DF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (9FFE); -RUNTEST 1800 TCK; -SDR 16 TDI (DFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7B2E); -RUNTEST 1800 TCK; -SDR 16 TDI (B96F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FB3B); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FCFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BE9F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEBE); +RUNTEST 1800 TCK; +SDR 16 TDI (75DF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (AD5E); +SDR 16 TDI (AD5F); +RUNTEST 1800 TCK; +SDR 16 TDI (FBEB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7777); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (AFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFF7); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (BBFD); RUNTEST 1800 TCK; SDR 16 TDI (7BFF); RUNTEST 1800 TCK; @@ -5215,31 +5215,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (ABFF); RUNTEST 1800 TCK; -SDR 16 TDI (DF6F); +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; -SDR 16 TDI (76FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7F7F); RUNTEST 1800 TCK; SDR 16 TDI (FF7F); RUNTEST 1800 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FF7F); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDF3); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; -SDR 16 TDI (79FF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (DDFF); RUNTEST 1800 TCK; -SDR 16 TDI (BBDF); +SDR 16 TDI (7FF7); RUNTEST 1800 TCK; -SDR 16 TDI (EEFF); +SDR 16 TDI (DD9F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF3); +RUNTEST 1800 TCK; +SDR 16 TDI (F77F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -5247,125 +5247,93 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (A95F); RUNTEST 1800 TCK; -SDR 16 TDI (77FB); +SDR 16 TDI (FF7F); RUNTEST 1800 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (76FF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEAE); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AEFE); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7EF7); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7E); -RUNTEST 1800 TCK; -SDR 16 TDI (FFBE); -RUNTEST 1800 TCK; -SDR 16 TDI (9DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (577F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDBD); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEEF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FED); -RUNTEST 1800 TCK; -SDR 16 TDI (EDFF); -RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFA); -RUNTEST 1800 TCK; -SDR 16 TDI (5FD7); -RUNTEST 1800 TCK; -SDR 16 TDI (FAFF); +SDR 16 TDI (EDED); RUNTEST 1800 TCK; SDR 16 TDI (B7FB); RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DDF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (3F5F); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (ABFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFD5); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EEFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FAEB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (EF6F); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (9FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (B7BF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBD); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5F7D); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (79FE); +RUNTEST 1800 TCK; +SDR 16 TDI (DDEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDD7); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -5375,95 +5343,127 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFD7); +SDR 16 TDI (7FFE); RUNTEST 1800 TCK; -SDR 16 TDI (4FFF); +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (99BF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FCD); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FD); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFF5); +SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (DEFF); +SDR 16 TDI (FBEF); RUNTEST 1800 TCK; -SDR 16 TDI (7DFB); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (F95E); +SDR 16 TDI (E7E6); RUNTEST 1800 TCK; -SDR 16 TDI (BBBD); +SDR 16 TDI (A9F7); RUNTEST 1800 TCK; -SDR 16 TDI (FBCB); +SDR 16 TDI (F77F); RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (760B); RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (9D6E); +SDR 16 TDI (A3FF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDF5); +RUNTEST 1800 TCK; +SDR 16 TDI (66FF); +RUNTEST 1800 TCK; +SDR 16 TDI (9DAF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (977F); -RUNTEST 1800 TCK; -SDR 16 TDI (7FF6); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (3D74); RUNTEST 1800 TCK; -SDR 16 TDI (7E4F); +SDR 16 TDI (6EFF); RUNTEST 1800 TCK; -SDR 16 TDI (57AF); +SDR 16 TDI (DA7B); RUNTEST 1800 TCK; -SDR 16 TDI (95FF); +SDR 16 TDI (BEBD); RUNTEST 1800 TCK; -SDR 16 TDI (F6FE); +SDR 16 TDI (7EFF); RUNTEST 1800 TCK; -SDR 16 TDI (55BF); +SDR 16 TDI (6BFC); RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (7D9F); RUNTEST 1800 TCK; -SDR 16 TDI (BBBF); +SDR 16 TDI (9DDF); RUNTEST 1800 TCK; -SDR 16 TDI (FF7A); +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5BFA); +RUNTEST 1800 TCK; +SDR 16 TDI (EA7E); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEE); +RUNTEST 1800 TCK; +SDR 16 TDI (DDFA); RUNTEST 1800 TCK; SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F69B); +RUNTEST 1800 TCK; +SDR 16 TDI (77EF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (97FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEF); +RUNTEST 1800 TCK; SDR 16 TDI (BFFD); RUNTEST 1800 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (DBCF); RUNTEST 1800 TCK; -SDR 16 TDI (7EE9); +SDR 16 TDI (7DF5); RUNTEST 1800 TCK; -SDR 16 TDI (E96F); +SDR 16 TDI (F7DF); RUNTEST 1800 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BA35); RUNTEST 1800 TCK; -SDR 16 TDI (FF7C); -RUNTEST 1800 TCK; -SDR 16 TDI (57F6); -RUNTEST 1800 TCK; -SDR 16 TDI (FEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FD35); -RUNTEST 1800 TCK; -SDR 16 TDI (7EFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BED7); -RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (6A7F); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -5471,127 +5471,127 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (895C); RUNTEST 1800 TCK; -SDR 16 TDI (0003); +SDR 16 TDI (0023); RUNTEST 1800 TCK; -SDR 16 TDI (5DC0); +SDR 16 TDI (5DC7); RUNTEST 1800 TCK; -SDR 16 TDI (6267); +SDR 16 TDI (FE00); RUNTEST 1800 TCK; -SDR 16 TDI (BFC3); +SDR 16 TDI (A343); RUNTEST 1800 TCK; -SDR 16 TDI (FEE3); +SDR 16 TDI (C2E3); RUNTEST 1800 TCK; -SDR 16 TDI (61EE); +SDR 16 TDI (6000); RUNTEST 1800 TCK; SDR 16 TDI (100C); RUNTEST 1800 TCK; -SDR 16 TDI (B017); +SDR 16 TDI (A197); RUNTEST 1800 TCK; -SDR 16 TDI (7FA8); +SDR 16 TDI (0000); RUNTEST 1800 TCK; -SDR 16 TDI (70A7); +SDR 16 TDI (63F0); RUNTEST 1800 TCK; -SDR 16 TDI (F3CF); +SDR 16 TDI (080F); RUNTEST 1800 TCK; -SDR 16 TDI (9005); +SDR 16 TDI (9490); RUNTEST 1800 TCK; -SDR 16 TDI (7E74); +SDR 16 TDI (0074); RUNTEST 1800 TCK; SDR 16 TDI (59FF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (A6FE); +SDR 16 TDI (A6FC); RUNTEST 1800 TCK; -SDR 16 TDI (0003); +SDR 16 TDI (03EA); RUNTEST 1800 TCK; -SDR 16 TDI (7FE0); +SDR 16 TDI (67E6); RUNTEST 1800 TCK; -SDR 16 TDI (6067); +SDR 16 TDI (0E02); RUNTEST 1800 TCK; -SDR 16 TDI (BFC0); +SDR 16 TDI (A300); RUNTEST 1800 TCK; -SDR 16 TDI (03F7); +SDR 16 TDI (03F3); RUNTEST 1800 TCK; -SDR 16 TDI (600E); +SDR 16 TDI (6180); RUNTEST 1800 TCK; SDR 16 TDI (100C); RUNTEST 1800 TCK; -SDR 16 TDI (901B); +SDR 16 TDI (819B); RUNTEST 1800 TCK; -SDR 16 TDI (8028); +SDR 16 TDI (8050); RUNTEST 1800 TCK; -SDR 16 TDI (50A7); +SDR 16 TDI (43F0); RUNTEST 1800 TCK; -SDR 16 TDI (0F3F); +SDR 16 TDI (084D); RUNTEST 1800 TCK; -SDR 16 TDI (A805); +SDR 16 TDI (B880); RUNTEST 1800 TCK; -SDR 16 TDI (07FF); +SDR 16 TDI (02FF); RUNTEST 1800 TCK; SDR 16 TDI (7DFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF5E); +SDR 16 TDI (BF5F); RUNTEST 1800 TCK; -SDR 16 TDI (3E7C); +SDR 16 TDI (0E22); RUNTEST 1800 TCK; -SDR 16 TDI (67E2); +SDR 16 TDI (67E7); RUNTEST 1800 TCK; -SDR 16 TDI (6207); +SDR 16 TDI (FC60); RUNTEST 1800 TCK; -SDR 16 TDI (BFC3); +SDR 16 TDI (A383); RUNTEST 1800 TCK; -SDR 16 TDI (FFF3); +SDR 16 TDI (C3F3); RUNTEST 1800 TCK; -SDR 16 TDI (7FE6); +SDR 16 TDI (6422); RUNTEST 1800 TCK; -SDR 16 TDI (108C); +SDR 16 TDI (3C8C); RUNTEST 1800 TCK; -SDR 16 TDI (B01F); +SDR 16 TDI (A59F); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (8187); RUNTEST 1800 TCK; -SDR 16 TDI (704A); +SDR 16 TDI (71F0); RUNTEST 1800 TCK; -SDR 16 TDI (0C3F); +SDR 16 TDI (1EDF); RUNTEST 1800 TCK; -SDR 16 TDI (B88C); +SDR 16 TDI (BA92); RUNTEST 1800 TCK; -SDR 16 TDI (81F4); +SDR 16 TDI (08F4); RUNTEST 1800 TCK; SDR 16 TDI (7BFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BE7A); +SDR 16 TDI (BE7B); RUNTEST 1800 TCK; -SDR 16 TDI (0240); +SDR 16 TDI (0FFE); RUNTEST 1800 TCK; -SDR 16 TDI (67E0); +SDR 16 TDI (67E6); RUNTEST 1800 TCK; -SDR 16 TDI (6007); +SDR 16 TDI (1E60); RUNTEST 1800 TCK; -SDR 16 TDI (BFC0); +SDR 16 TDI (B303); RUNTEST 1800 TCK; -SDR 16 TDI (03FB); +SDR 16 TDI (03F3); RUNTEST 1800 TCK; -SDR 16 TDI (6187); +SDR 16 TDI (6400); RUNTEST 1800 TCK; -SDR 16 TDI (980C); +SDR 16 TDI (3C8C); RUNTEST 1800 TCK; -SDR 16 TDI (A01F); +SDR 16 TDI (B19F); RUNTEST 1800 TCK; -SDR 16 TDI (844F); +SDR 16 TDI (8180); RUNTEST 1800 TCK; -SDR 16 TDI (784A); +SDR 16 TDI (63F8); RUNTEST 1800 TCK; -SDR 16 TDI (C3FF); +SDR 16 TDI (1C0F); RUNTEST 1800 TCK; -SDR 16 TDI (9804); +SDR 16 TDI (9880); RUNTEST 1800 TCK; -SDR 16 TDI (9E7F); +SDR 16 TDI (077F); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; @@ -5599,31 +5599,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFE); RUNTEST 1800 TCK; -SDR 16 TDI (3323); +SDR 16 TDI (3332); RUNTEST 1800 TCK; -SDR 16 TDI (63E6); +SDR 16 TDI (63EE); RUNTEST 1800 TCK; -SDR 16 TDI (E66F); +SDR 16 TDI (E627); RUNTEST 1800 TCK; SDR 16 TDI (B33B); RUNTEST 1800 TCK; -SDR 16 TDI (BBF3); +SDR 16 TDI (3BF7); RUNTEST 1800 TCK; SDR 16 TDI (6666); RUNTEST 1800 TCK; -SDR 16 TDI (3CCE); +SDR 16 TDI (784E); RUNTEST 1800 TCK; SDR 16 TDI (B9CF); RUNTEST 1800 TCK; -SDR 16 TDI (B999); +SDR 16 TDI (B889); RUNTEST 1800 TCK; -SDR 16 TDI (71EE); +SDR 16 TDI (73CC); RUNTEST 1800 TCK; -SDR 16 TDI (EEE7); +SDR 16 TDI (CCC7); RUNTEST 1800 TCK; SDR 16 TDI (B99B); RUNTEST 1800 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (89FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -5631,31 +5631,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFBF); RUNTEST 1800 TCK; -SDR 16 TDI (6677); +SDR 16 TDI (7737); RUNTEST 1800 TCK; -SDR 16 TDI (6146); +SDR 16 TDI (6946); RUNTEST 1800 TCK; -SDR 16 TDI (7327); +SDR 16 TDI (7373); RUNTEST 1800 TCK; -SDR 16 TDI (9773); +SDR 16 TDI (9332); RUNTEST 1800 TCK; -SDR 16 TDI (32A3); +SDR 16 TDI (72A3); RUNTEST 1800 TCK; -SDR 16 TDI (5267); +SDR 16 TDI (5372); RUNTEST 1800 TCK; -SDR 16 TDI (7DDC); +SDR 16 TDI (7D8C); RUNTEST 1800 TCK; SDR 16 TDI (B395); RUNTEST 1800 TCK; -SDR 16 TDI (1999); +SDR 16 TDI (1DDC); RUNTEST 1800 TCK; -SDR 16 TDI (7B44); +SDR 16 TDI (79D9); RUNTEST 1800 TCK; -SDR 16 TDI (4442); +SDR 16 TDI (D992); RUNTEST 1800 TCK; -SDR 16 TDI (B5C9); +SDR 16 TDI (B5D9); RUNTEST 1800 TCK; -SDR 16 TDI (117F); +SDR 16 TDI (DDFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -5663,31 +5663,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (9EFB); RUNTEST 1800 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FBFB); RUNTEST 1800 TCK; SDR 16 TDI (5FDF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 1800 TCK; SDR 16 TDI (BFDF); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (9FEF); RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (6EFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEEF); +SDR 16 TDI (FF7F); RUNTEST 1800 TCK; -SDR 16 TDI (BCFF); +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (B3BF); RUNTEST 1800 TCK; -SDR 16 TDI (B3FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BBFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -5695,29 +5695,29 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DEFE); +SDR 16 TDI (DFFD); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FD3F); RUNTEST 1800 TCK; -SDR 16 TDI (AAFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); -RUNTEST 1800 TCK; -SDR 16 TDI (7CDD); +SDR 16 TDI (A77F); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FE7F); +RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 1800 TCK; -SDR 16 TDI (4B7B); +SDR 16 TDI (5FFB); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFDD); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -5727,63 +5727,31 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF7); RUNTEST 1800 TCK; -SDR 16 TDI (6FF5); +SDR 16 TDI (6FFD); RUNTEST 1800 TCK; -SDR 16 TDI (F77F); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DFFB); +SDR 16 TDI (F7FB); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (BAFF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DDD7); +SDR 16 TDI (D577); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (BBBF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BD7F); RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); -RUNTEST 1800 TCK; -SDR 16 TDI (7BFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (AFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FDFD); -RUNTEST 1800 TCK; -SDR 16 TDI (6FEB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFEF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFF9); -RUNTEST 1800 TCK; -SDR 16 TDI (5DDD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BDDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FB7); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FDF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -5791,30 +5759,62 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (AFFF); RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (6FFD); RUNTEST 1800 TCK; -SDR 16 TDI (F6FE); -RUNTEST 1800 TCK; -SDR 16 TDI (B5FF); -RUNTEST 1800 TCK; -SDR 16 TDI (DFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7F7F); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (7DFF); RUNTEST 1800 TCK; SDR 16 TDI (BBFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFF6); +SDR 16 TDI (FFFB); RUNTEST 1800 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (5FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFF6); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF3E); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE7F); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; +SDR 16 TDI (B777); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BCDF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); @@ -5823,29 +5823,29 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FDEF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFDE); RUNTEST 1800 TCK; -SDR 16 TDI (8EFF); +SDR 16 TDI (9FFE); RUNTEST 1800 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FDF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (4FFF); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFBF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -5863,63 +5863,23 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5DFF); -RUNTEST 1800 TCK; -SDR 16 TDI (EFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (77FF); -RUNTEST 1800 TCK; -SDR 16 TDI (FF7F); -RUNTEST 1800 TCK; -SDR 16 TDI (BFF7); -RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFE); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (DFBF); -RUNTEST 1800 TCK; -SDR 16 TDI (ADFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FBFF); -RUNTEST 1800 TCK; -SDR 16 TDI (6FFF); -RUNTEST 1800 TCK; SDR 16 TDI (FFBF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FEDE); +SDR 16 TDI (FDFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -5927,32 +5887,72 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFBE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; SDR 16 TDI (FFFD); RUNTEST 1800 TCK; -SDR 16 TDI (7BBF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (EFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFEE); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FEFF); @@ -5971,9 +5971,9 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -5983,7 +5983,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (57FF); RUNTEST 1800 TCK; @@ -6015,15 +6015,15 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 1800 TCK; SDR 16 TDI (6FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FF6F); +SDR 16 TDI (FF7F); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF7); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -6035,9 +6035,9 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -6047,7 +6047,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; @@ -6085,6 +6085,14 @@ SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); @@ -6097,6 +6105,62 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FBFF); @@ -6105,19 +6169,19 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEF); +RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEDF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFB); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -6129,15 +6193,15 @@ SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (5FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; @@ -6149,70 +6213,6 @@ SDR 16 TDI (7FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FEEE); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFD); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (BFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; -SDR 16 TDI (7FFF); -RUNTEST 1800 TCK; -SDR 16 TDI (FFFF); -RUNTEST 1800 TCK; SDR 16 TDI (9FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFD); @@ -6227,7 +6227,7 @@ SDR 16 TDI (FFFF); RUNTEST 1800 TCK; SDR 16 TDI (5FFF); RUNTEST 1800 TCK; -SDR 16 TDI (939F); +SDR 16 TDI (9B9F); RUNTEST 1800 TCK; SDR 16 TDI (BCCF); RUNTEST 1800 TCK; @@ -6241,11 +6241,11 @@ SDR 16 TDI (9FFF); RUNTEST 1800 TCK; SDR 16 TDI (FFFF); RUNTEST 1800 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5FFB); RUNTEST 1800 TCK; SDR 16 TDI (BFFF); RUNTEST 1800 TCK; -SDR 16 TDI (BFEE); +SDR 16 TDI (BFEF); RUNTEST 1800 TCK; SDR 16 TDI (EFFF); RUNTEST 1800 TCK; @@ -7805,7 +7805,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FEFE); SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFEE); @@ -7817,11 +7817,11 @@ SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (67FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEEE); +SDR 16 TDI (FFFF) TDO (FECE); SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFFF); @@ -7829,15 +7829,15 @@ SDR 16 TDI (FFFF) TDO (EFF9); SDR 16 TDI (FFFF) TDO (733F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FC47); +SDR 16 TDI (FFFF) TDO (F8C7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BF4E); +SDR 16 TDI (FFFF) TDO (C7FE); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (BFCE); -SDR 16 TDI (FFFF) TDO (8FFF); -SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -7845,11 +7845,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BEB7); -SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (BFCF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -7861,15 +7861,15 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FCFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -7877,15 +7877,15 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BF3F); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -7893,11 +7893,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (EFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (CFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -7907,2764 +7907,2764 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (EFF5); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FE6F); +SDR 16 TDI (FFFF) TDO (BD6F); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BAAF); -SDR 16 TDI (FFFF) TDO (BBBD); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F5FE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (EFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFAF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (CFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7BF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6F7F); +SDR 16 TDI (FFFF) TDO (EBBF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BEDE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6F7F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFE); SDR 16 TDI (FFFF) TDO (EFBF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (57FF); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FB6F); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (EFFE); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDDD); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6F7F); SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDBD); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDDD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FF3); -SDR 16 TDI (FFFF) TDO (EFBE); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFC); -SDR 16 TDI (FFFF) TDO (7DF7); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7F9D); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (BFF6); -SDR 16 TDI (FFFF) TDO (EBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (6EF5); -SDR 16 TDI (FFFF) TDO (5EFF); -SDR 16 TDI (FFFF) TDO (BFF5); -SDR 16 TDI (FFFF) TDO (55DF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7F6B); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (BFDB); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (DF77); SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFDB); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DF3F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77F3); -SDR 16 TDI (FFFF) TDO (FFBE); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7F9F); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (55FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (EF77); -SDR 16 TDI (FFFF) TDO (B77B); -SDR 16 TDI (FFFF) TDO (DBF6); -SDR 16 TDI (FFFF) TDO (6DEC); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77AD); -SDR 16 TDI (FFFF) TDO (6EEF); -SDR 16 TDI (FFFF) TDO (BBB7); -SDR 16 TDI (FFFF) TDO (BAF5); -SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (757F); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (FB7B); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7F99); +SDR 16 TDI (FFFF) TDO (996F); +SDR 16 TDI (FFFF) TDO (BEBB); +SDR 16 TDI (FFFF) TDO (4EF5); +SDR 16 TDI (FFFF) TDO (6DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FBFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFCE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (F7B7); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7F7B); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7F5); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AAFE); -SDR 16 TDI (FFFF) TDO (AEEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEBF); -SDR 16 TDI (FFFF) TDO (B77B); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77EF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FB5B); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (76DF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7CDD); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFDE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (7ED5); -SDR 16 TDI (FFFF) TDO (D77F); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAFE); -SDR 16 TDI (FFFF) TDO (F373); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBE); -SDR 16 TDI (FFFF) TDO (B77B); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7CDF); -SDR 16 TDI (FFFF) TDO (DBFC); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBBD); -SDR 16 TDI (FFFF) TDO (7DBD); -SDR 16 TDI (FFFF) TDO (B67E); -SDR 16 TDI (FFFF) TDO (BF6F); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (2FEF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (F67F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFE); -SDR 16 TDI (FFFF) TDO (7F6D); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (637F); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (2EEE); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DEDF); -SDR 16 TDI (FFFF) TDO (6FEE); -SDR 16 TDI (FFFF) TDO (FFD3); -SDR 16 TDI (FFFF) TDO (ABB7); -SDR 16 TDI (FFFF) TDO (637B); -SDR 16 TDI (FFFF) TDO (63B2); -SDR 16 TDI (FFFF) TDO (3DFF); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (BE5B); -SDR 16 TDI (FFFF) TDO (7EC2); -SDR 16 TDI (FFFF) TDO (CD8F); -SDR 16 TDI (FFFF) TDO (BCD3); -SDR 16 TDI (FFFF) TDO (DB7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B56D); -SDR 16 TDI (FFFF) TDO (1038); -SDR 16 TDI (FFFF) TDO (67C6); -SDR 16 TDI (FFFF) TDO (6001); -SDR 16 TDI (FFFF) TDO (B4C0); -SDR 16 TDI (FFFF) TDO (3FE0); -SDR 16 TDI (FFFF) TDO (7540); -SDR 16 TDI (FFFF) TDO (BA00); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (1819); -SDR 16 TDI (FFFF) TDO (7455); -SDR 16 TDI (FFFF) TDO (553F); -SDR 16 TDI (FFFF) TDO (B680); -SDR 16 TDI (FFFF) TDO (6475); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (5038); -SDR 16 TDI (FFFF) TDO (67E6); -SDR 16 TDI (FFFF) TDO (6101); -SDR 16 TDI (FFFF) TDO (A0C0); -SDR 16 TDI (FFFF) TDO (2BF0); -SDR 16 TDI (FFFF) TDO (7414); -SDR 16 TDI (FFFF) TDO (BF00); -SDR 16 TDI (FFFF) TDO (A01B); -SDR 16 TDI (FFFF) TDO (9C7F); -SDR 16 TDI (FFFF) TDO (7057); -SDR 16 TDI (FFFF) TDO (553D); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7F5F); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (FD57); +SDR 16 TDI (FFFF) TDO (7AFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD6F); +SDR 16 TDI (FFFF) TDO (F7F4); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AAFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7FF6); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (96FF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (D7D7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (DF7E); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (7B77); +SDR 16 TDI (FFFF) TDO (FF7E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FD7D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (7EFD); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (DF7D); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (8FFC); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (BFEE); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BBAE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (EF6F); +SDR 16 TDI (FFFF) TDO (7FF6); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F3B3); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (7D05); +SDR 16 TDI (FFFF) TDO (545F); +SDR 16 TDI (FFFF) TDO (BE5F); +SDR 16 TDI (FFFF) TDO (D1EE); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D7D7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (3FEF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FE5B); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (DD57); +SDR 16 TDI (FFFF) TDO (7AFA); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BDE1); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B66F); +SDR 16 TDI (FFFF) TDO (D038); +SDR 16 TDI (FFFF) TDO (67C0); +SDR 16 TDI (FFFF) TDO (1E1D); +SDR 16 TDI (FFFF) TDO (BFC3); +SDR 16 TDI (FFFF) TDO (03E0); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (13C8); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (0740); +SDR 16 TDI (FFFF) TDO (6553); +SDR 16 TDI (FFFF) TDO (535F); +SDR 16 TDI (FFFF) TDO (B600); +SDR 16 TDI (FFFF) TDO (51F5); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDEF); +SDR 16 TDI (FFFF) TDO (D038); +SDR 16 TDI (FFFF) TDO (66E1); +SDR 16 TDI (FFFF) TDO (5E03); +SDR 16 TDI (FFFF) TDO (BFC3); +SDR 16 TDI (FFFF) TDO (0770); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (13E8); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (8040); +SDR 16 TDI (FFFF) TDO (6835); +SDR 16 TDI (FFFF) TDO (355D); SDR 16 TDI (FFFF) TDO (BE00); -SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (607F); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55C); -SDR 16 TDI (FFFF) TDO (3650); -SDR 16 TDI (FFFF) TDO (67E6); -SDR 16 TDI (FFFF) TDO (6012); -SDR 16 TDI (FFFF) TDO (B830); -SDR 16 TDI (FFFF) TDO (33F0); -SDR 16 TDI (FFFF) TDO (7EE1); -SDR 16 TDI (FFFF) TDO (F90C); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (F410); +SDR 16 TDI (FFFF) TDO (67E0); +SDR 16 TDI (FFFF) TDO (1E1D); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (03F0); +SDR 16 TDI (FFFF) TDO (7FE2); +SDR 16 TDI (FFFF) TDO (13D8); SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (9819); -SDR 16 TDI (FFFF) TDO (7000); +SDR 16 TDI (FFFF) TDO (8600); +SDR 16 TDI (FFFF) TDO (6100); SDR 16 TDI (FFFF) TDO (000F); SDR 16 TDI (FFFF) TDO (BE09); -SDR 16 TDI (FFFF) TDO (8275); +SDR 16 TDI (FFFF) TDO (87F5); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFC); -SDR 16 TDI (FFFF) TDO (1274); -SDR 16 TDI (FFFF) TDO (67E6); -SDR 16 TDI (FFFF) TDO (6012); -SDR 16 TDI (FFFF) TDO (A030); -SDR 16 TDI (FFFF) TDO (03FF); -SDR 16 TDI (FFFF) TDO (7A69); -SDR 16 TDI (FFFF) TDO (700C); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (D034); +SDR 16 TDI (FFFF) TDO (67E0); +SDR 16 TDI (FFFF) TDO (5E03); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (03F8); +SDR 16 TDI (FFFF) TDO (7FE2); +SDR 16 TDI (FFFF) TDO (13D8); SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (9A00); -SDR 16 TDI (FFFF) TDO (6031); -SDR 16 TDI (FFFF) TDO (336F); -SDR 16 TDI (FFFF) TDO (BE00); -SDR 16 TDI (FFFF) TDO (307F); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (80A0); +SDR 16 TDI (FFFF) TDO (6936); +SDR 16 TDI (FFFF) TDO (363F); +SDR 16 TDI (FFFF) TDO (BE80); +SDR 16 TDI (FFFF) TDO (667F); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (3A3B); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (3B3B); SDR 16 TDI (FFFF) TDO (62E6); -SDR 16 TDI (FFFF) TDO (6623); -SDR 16 TDI (FFFF) TDO (B23B); -SDR 16 TDI (FFFF) TDO (3171); -SDR 16 TDI (FFFF) TDO (6226); -SDR 16 TDI (FFFF) TDO (70CE); +SDR 16 TDI (FFFF) TDO (6663); +SDR 16 TDI (FFFF) TDO (B33B); +SDR 16 TDI (FFFF) TDO (2173); +SDR 16 TDI (FFFF) TDO (6664); +SDR 16 TDI (FFFF) TDO (388E); SDR 16 TDI (FFFF) TDO (B98B); -SDR 16 TDI (FFFF) TDO (9991); -SDR 16 TDI (FFFF) TDO (63EE); +SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (71EE); SDR 16 TDI (FFFF) TDO (EEE7); SDR 16 TDI (FFFF) TDO (BCD9); SDR 16 TDI (FFFF) TDO (BBF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7373); -SDR 16 TDI (FFFF) TDO (6546); -SDR 16 TDI (FFFF) TDO (6777); +SDR 16 TDI (FFFF) TDO (7333); +SDR 16 TDI (FFFF) TDO (6547); +SDR 16 TDI (FFFF) TDO (7677); SDR 16 TDI (FFFF) TDO (B773); -SDR 16 TDI (FFFF) TDO (36AB); -SDR 16 TDI (FFFF) TDO (7773); -SDR 16 TDI (FFFF) TDO (3C9C); +SDR 16 TDI (FFFF) TDO (36A3); +SDR 16 TDI (FFFF) TDO (7763); +SDR 16 TDI (FFFF) TDO (3DDC); SDR 16 TDI (FFFF) TDO (B995); -SDR 16 TDI (FFFF) TDO (1C88); -SDR 16 TDI (FFFF) TDO (73CC); +SDR 16 TDI (FFFF) TDO (19CC); +SDR 16 TDI (FFFF) TDO (7BCC); SDR 16 TDI (FFFF) TDO (CCC2); SDR 16 TDI (FFFF) TDO (B5CC); -SDR 16 TDI (FFFF) TDO (99FF); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (99F7); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFB); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (7FDC); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BBBD); -SDR 16 TDI (FFFF) TDO (FBE7); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B3FF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (76ED); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7BEF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6FEE); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7BF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (FBBB); -SDR 16 TDI (FFFF) TDO (77FB); -SDR 16 TDI (FFFF) TDO (EEBF); -SDR 16 TDI (FFFF) TDO (BFB3); -SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FF6D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFDE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (EF6F); +SDR 16 TDI (FFFF) TDO (BDFB); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FEFB); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (ABDF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7F6F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6FED); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (BDFD); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FF6E); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DEE); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (6F7E); +SDR 16 TDI (FFFF) TDO (DF7F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (AAFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7B7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEEF); -SDR 16 TDI (FFFF) TDO (B7AF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (6CDF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FEED); -SDR 16 TDI (FFFF) TDO (7DFE); -SDR 16 TDI (FFFF) TDO (FEEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FD7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7BE); -SDR 16 TDI (FFFF) TDO (BDFB); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (67B7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (B5FF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7D); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEBE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFB); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BF6F); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (7BBF); -SDR 16 TDI (FFFF) TDO (CFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7DFD); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (FBDF); SDR 16 TDI (FFFF) TDO (BFBE); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF3D); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (F9F7); SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BF9D); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF37); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFDE); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (F7FE); -SDR 16 TDI (FFFF) TDO (BE7E); -SDR 16 TDI (FFFF) TDO (B7F9); -SDR 16 TDI (FFFF) TDO (7AFC); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBF5); -SDR 16 TDI (FFFF) TDO (79FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BB5F); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (B7F7); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (DF73); -SDR 16 TDI (FFFF) TDO (6EFE); -SDR 16 TDI (FFFF) TDO (DF77); -SDR 16 TDI (FFFF) TDO (BCFA); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5AF); -SDR 16 TDI (FFFF) TDO (F76E); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (BB7F); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (F6FF); SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (77DF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DF74); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (D7FB); +SDR 16 TDI (FFFF) TDO (7E77); +SDR 16 TDI (FFFF) TDO (FF9F); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFAF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FAEF); +SDR 16 TDI (FFFF) TDO (767D); +SDR 16 TDI (FFFF) TDO (EEDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBDD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEDB); +SDR 16 TDI (FFFF) TDO (BFEE); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (AFFB); SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF5B); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FEF4); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (CF7D); +SDR 16 TDI (FFFF) TDO (BDBF); SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (7AFB); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FFAF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FF6); -SDR 16 TDI (FFFF) TDO (B7EF); -SDR 16 TDI (FFFF) TDO (F75F); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (FEAF); -SDR 16 TDI (FFFF) TDO (667F); -SDR 16 TDI (FFFF) TDO (EEBF); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (F3FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (55BF); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (F75D); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FEEF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (F6FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (F7EB); -SDR 16 TDI (FFFF) TDO (7FF6); -SDR 16 TDI (FFFF) TDO (216D); -SDR 16 TDI (FFFF) TDO (B7E7); -SDR 16 TDI (FFFF) TDO (7DBB); -SDR 16 TDI (FFFF) TDO (6FD7); -SDR 16 TDI (FFFF) TDO (B66E); -SDR 16 TDI (FFFF) TDO (B9DF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (AFFE); -SDR 16 TDI (FFFF) TDO (B9FD); -SDR 16 TDI (FFFF) TDO (76FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (9D1F); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (AD79); -SDR 16 TDI (FFFF) TDO (FFEE); -SDR 16 TDI (FFFF) TDO (75FB); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (BE3F); -SDR 16 TDI (FFFF) TDO (F846); -SDR 16 TDI (FFFF) TDO (73FD); -SDR 16 TDI (FFFF) TDO (5E0F); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FDEA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EBF4); -SDR 16 TDI (FFFF) TDO (66EB); -SDR 16 TDI (FFFF) TDO (FEBE); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (B3F7); -SDR 16 TDI (FFFF) TDO (7F6D); -SDR 16 TDI (FFFF) TDO (799F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFB9); -SDR 16 TDI (FFFF) TDO (7D0F); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (CB7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBAE); -SDR 16 TDI (FFFF) TDO (03FC); -SDR 16 TDI (FFFF) TDO (67C0); -SDR 16 TDI (FFFF) TDO (2007); -SDR 16 TDI (FFFF) TDO (BFF3); -SDR 16 TDI (FFFF) TDO (CFCF); -SDR 16 TDI (FFFF) TDO (7E08); -SDR 16 TDI (FFFF) TDO (1F80); -SDR 16 TDI (FFFF) TDO (B81F); -SDR 16 TDI (FFFF) TDO (2800); -SDR 16 TDI (FFFF) TDO (6BD0); -SDR 16 TDI (FFFF) TDO (0C8F); -SDR 16 TDI (FFFF) TDO (B018); -SDR 16 TDI (FFFF) TDO (50F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BB5E); -SDR 16 TDI (FFFF) TDO (0330); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (2800); -SDR 16 TDI (FFFF) TDO (B333); -SDR 16 TDI (FFFF) TDO (0F70); -SDR 16 TDI (FFFF) TDO (6600); -SDR 16 TDI (FFFF) TDO (1E00); -SDR 16 TDI (FFFF) TDO (B8BE); -SDR 16 TDI (FFFF) TDO (AD52); -SDR 16 TDI (FFFF) TDO (7B80); -SDR 16 TDI (FFFF) TDO (0C0D); -SDR 16 TDI (FFFF) TDO (BC18); -SDR 16 TDI (FFFF) TDO (007F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFE); -SDR 16 TDI (FFFF) TDO (033D); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (1E87); -SDR 16 TDI (FFFF) TDO (BFE7); -SDR 16 TDI (FFFF) TDO (C1FF); -SDR 16 TDI (FFFF) TDO (7FE1); -SDR 16 TDI (FFFF) TDO (377C); -SDR 16 TDI (FFFF) TDO (A69F); -SDR 16 TDI (FFFF) TDO (D111); -SDR 16 TDI (FFFF) TDO (7370); -SDR 16 TDI (FFFF) TDO (0C07); -SDR 16 TDI (FFFF) TDO (B818); -SDR 16 TDI (FFFF) TDO (7875); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDB6); -SDR 16 TDI (FFFF) TDO (0B34); -SDR 16 TDI (FFFF) TDO (67E4); -SDR 16 TDI (FFFF) TDO (1601); -SDR 16 TDI (FFFF) TDO (B024); -SDR 16 TDI (FFFF) TDO (03F3); -SDR 16 TDI (FFFF) TDO (6001); -SDR 16 TDI (FFFF) TDO (3FF0); -SDR 16 TDI (FFFF) TDO (A69F); -SDR 16 TDI (FFFF) TDO (D115); -SDR 16 TDI (FFFF) TDO (7F10); -SDR 16 TDI (FFFF) TDO (8C0F); -SDR 16 TDI (FFFF) TDO (B81F); -SDR 16 TDI (FFFF) TDO (C83F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (3BB3); -SDR 16 TDI (FFFF) TDO (63EE); -SDR 16 TDI (FFFF) TDO (26E3); -SDR 16 TDI (FFFF) TDO (B3B3); -SDR 16 TDI (FFFF) TDO (A3F7); -SDR 16 TDI (FFFF) TDO (6E22); -SDR 16 TDI (FFFF) TDO (3CC6); -SDR 16 TDI (FFFF) TDO (B9CF); -SDR 16 TDI (FFFF) TDO (9BBB); -SDR 16 TDI (FFFF) TDO (778E); -SDR 16 TDI (FFFF) TDO (2E2F); -SDR 16 TDI (FFFF) TDO (BB3B); -SDR 16 TDI (FFFF) TDO (1A7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (DFFD); SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (7327); -SDR 16 TDI (FFFF) TDO (6947); -SDR 16 TDI (FFFF) TDO (6677); -SDR 16 TDI (FFFF) TDO (A733); -SDR 16 TDI (FFFF) TDO (34A3); -SDR 16 TDI (FFFF) TDO (6777); -SDR 16 TDI (FFFF) TDO (7DDC); -SDR 16 TDI (FFFF) TDO (BB95); -SDR 16 TDI (FFFF) TDO (5999); -SDR 16 TDI (FFFF) TDO (73DC); -SDR 16 TDI (FFFF) TDO (CCCA); -SDR 16 TDI (FFFF) TDO (B199); -SDR 16 TDI (FFFF) TDO (99FF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFB); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77DF); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (B9DF); +SDR 16 TDI (FFFF) TDO (BFAF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (F8EF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEE6); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (3FFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (B37B); -SDR 16 TDI (FFFF) TDO (7F5F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFE); -SDR 16 TDI (FFFF) TDO (B66F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7DED); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (EFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (BDFE); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7DF); -SDR 16 TDI (FFFF) TDO (DFBB); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (F7BF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7F79); -SDR 16 TDI (FFFF) TDO (FF9F); -SDR 16 TDI (FFFF) TDO (BEEF); -SDR 16 TDI (FFFF) TDO (FEF5); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFE); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7BEB); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (B7EF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6F7F); -SDR 16 TDI (FFFF) TDO (F6FF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (AFD7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DDF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7EFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BDDB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7D); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (EFDB); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFD); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (B7B7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFA); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BDD); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FD7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B9DD); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEDB); -SDR 16 TDI (FFFF) TDO (775B); -SDR 16 TDI (FFFF) TDO (6AFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFD7); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FB5F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7B4F); -SDR 16 TDI (FFFF) TDO (EF9E); -SDR 16 TDI (FFFF) TDO (B3BF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (7EFE); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (7D77); -SDR 16 TDI (FFFF) TDO (FF5D); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FDDD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7DDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5DD); -SDR 16 TDI (FFFF) TDO (EFD7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (6969); -SDR 16 TDI (FFFF) TDO (E7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (7FBB); -SDR 16 TDI (FFFF) TDO (BDFE); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (DDFD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFED); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AF7F); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (E77B); -SDR 16 TDI (FFFF) TDO (77EF); -SDR 16 TDI (FFFF) TDO (6F77); -SDR 16 TDI (FFFF) TDO (A7FA); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7737); -SDR 16 TDI (FFFF) TDO (7F5F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7BE7); -SDR 16 TDI (FFFF) TDO (F5FE); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (75DD); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (EFFD); -SDR 16 TDI (FFFF) TDO (B6EF); -SDR 16 TDI (FFFF) TDO (7FAD); -SDR 16 TDI (FFFF) TDO (7F6E); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFE); -SDR 16 TDI (FFFF) TDO (7B6F); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FDFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (3EDF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (F5EE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DDBB); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7D); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBBB); -SDR 16 TDI (FFFF) TDO (BB5F); -SDR 16 TDI (FFFF) TDO (EFEE); -SDR 16 TDI (FFFF) TDO (7D7D); -SDR 16 TDI (FFFF) TDO (F75F); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (B6FF); -SDR 16 TDI (FFFF) TDO (B57B); -SDR 16 TDI (FFFF) TDO (DFFA); -SDR 16 TDI (FFFF) TDO (6FDC); -SDR 16 TDI (FFFF) TDO (F662); -SDR 16 TDI (FFFF) TDO (BE9B); -SDR 16 TDI (FFFF) TDO (F7B3); -SDR 16 TDI (FFFF) TDO (665F); -SDR 16 TDI (FFFF) TDO (E7DF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5FF); -SDR 16 TDI (FFFF) TDO (DA4C); -SDR 16 TDI (FFFF) TDO (7FED); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (BACF); -SDR 16 TDI (FFFF) TDO (77E5); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (AFDD); -SDR 16 TDI (FFFF) TDO (79AA); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BB0F); -SDR 16 TDI (FFFF) TDO (EF6A); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFC); -SDR 16 TDI (FFFF) TDO (A7B3); -SDR 16 TDI (FFFF) TDO (67FA); -SDR 16 TDI (FFFF) TDO (EFBA); -SDR 16 TDI (FFFF) TDO (AFB4); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (7637); -SDR 16 TDI (FFFF) TDO (5D9D); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F9EE); SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (187D); -SDR 16 TDI (FFFF) TDO (BDF8); -SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FF2B); +SDR 16 TDI (FFFF) TDO (6BF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFAC); -SDR 16 TDI (FFFF) TDO (0203); -SDR 16 TDI (FFFF) TDO (67C0); -SDR 16 TDI (FFFF) TDO (0021); -SDR 16 TDI (FFFF) TDO (A208); -SDR 16 TDI (FFFF) TDO (0FC1); -SDR 16 TDI (FFFF) TDO (61E1); -SDR 16 TDI (FFFF) TDO (B880); -SDR 16 TDI (FFFF) TDO (A61F); -SDR 16 TDI (FFFF) TDO (1188); -SDR 16 TDI (FFFF) TDO (60AB); -SDR 16 TDI (FFFF) TDO (08FF); -SDR 16 TDI (FFFF) TDO (B7E9); -SDR 16 TDI (FFFF) TDO (A9F5); +SDR 16 TDI (FFFF) TDO (BBAF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7ECC); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BDB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (EFF5); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (6F7F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FBE); +SDR 16 TDI (FFFF) TDO (B9E7); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEAF); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD7B); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (BFAD); +SDR 16 TDI (FFFF) TDO (7FF5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFC); -SDR 16 TDI (FFFF) TDO (0203); -SDR 16 TDI (FFFF) TDO (66F0); -SDR 16 TDI (FFFF) TDO (0021); -SDR 16 TDI (FFFF) TDO (B00F); -SDR 16 TDI (FFFF) TDO (CF71); -SDR 16 TDI (FFFF) TDO (60A0); -SDR 16 TDI (FFFF) TDO (B08A); -SDR 16 TDI (FFFF) TDO (A61F); -SDR 16 TDI (FFFF) TDO (818A); -SDR 16 TDI (FFFF) TDO (73CB); -SDR 16 TDI (FFFF) TDO (003F); -SDR 16 TDI (FFFF) TDO (BE6C); -SDR 16 TDI (FFFF) TDO (0FFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FB9B); +SDR 16 TDI (FFFF) TDO (7FEC); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (BA9F); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7ECC); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B33B); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (7BFE); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FA); +SDR 16 TDI (FFFF) TDO (7E7F); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B95E); -SDR 16 TDI (FFFF) TDO (0023); -SDR 16 TDI (FFFF) TDO (77E0); -SDR 16 TDI (FFFF) TDO (2613); -SDR 16 TDI (FFFF) TDO (BB86); -SDR 16 TDI (FFFF) TDO (0FF9); -SDR 16 TDI (FFFF) TDO (67E1); -SDR 16 TDI (FFFF) TDO (788C); -SDR 16 TDI (FFFF) TDO (A67F); -SDR 16 TDI (FFFF) TDO (9789); -SDR 16 TDI (FFFF) TDO (7998); -SDR 16 TDI (FFFF) TDO (06CF); -SDR 16 TDI (FFFF) TDO (B988); -SDR 16 TDI (FFFF) TDO (C9F5); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BEED); +SDR 16 TDI (FFFF) TDO (BFED); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEF7); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7E2); +SDR 16 TDI (FFFF) TDO (7FE8); +SDR 16 TDI (FFFF) TDO (1FEF); +SDR 16 TDI (FFFF) TDO (AE5B); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (77BB); +SDR 16 TDI (FFFF) TDO (DBED); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FEFC); +SDR 16 TDI (FFFF) TDO (79BC); +SDR 16 TDI (FFFF) TDO (3CFF); +SDR 16 TDI (FFFF) TDO (BDFD); +SDR 16 TDI (FFFF) TDO (37FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FBBD); +SDR 16 TDI (FFFF) TDO (66FF); +SDR 16 TDI (FFFF) TDO (F65C); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (7ECC); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BA7F); +SDR 16 TDI (FFFF) TDO (5DDB); +SDR 16 TDI (FFFF) TDO (7F47); +SDR 16 TDI (FFFF) TDO (E3FE); +SDR 16 TDI (FFFF) TDO (BFCE); +SDR 16 TDI (FFFF) TDO (DBEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7E7F); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (B7E5); +SDR 16 TDI (FFFF) TDO (E3FE); +SDR 16 TDI (FFFF) TDO (6D77); +SDR 16 TDI (FFFF) TDO (7DDA); +SDR 16 TDI (FFFF) TDO (B5D7); +SDR 16 TDI (FFFF) TDO (ABB7); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (DFD7); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6AC); +SDR 16 TDI (FFFF) TDO (0C47); +SDR 16 TDI (FFFF) TDO (67CF); +SDR 16 TDI (FFFF) TDO (40E1); +SDR 16 TDI (FFFF) TDO (BA03); +SDR 16 TDI (FFFF) TDO (17E5); +SDR 16 TDI (FFFF) TDO (661E); +SDR 16 TDI (FFFF) TDO (100C); +SDR 16 TDI (FFFF) TDO (BD9E); +SDR 16 TDI (FFFF) TDO (3BFA); +SDR 16 TDI (FFFF) TDO (7781); +SDR 16 TDI (FFFF) TDO (000F); +SDR 16 TDI (FFFF) TDO (B002); +SDR 16 TDI (FFFF) TDO (89F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFC); +SDR 16 TDI (FFFF) TDO (0C47); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (0079); +SDR 16 TDI (FFFF) TDO (BA28); +SDR 16 TDI (FFFF) TDO (03F0); +SDR 16 TDI (FFFF) TDO (7E06); +SDR 16 TDI (FFFF) TDO (100C); +SDR 16 TDI (FFFF) TDO (BDDB); +SDR 16 TDI (FFFF) TDO (BB9A); +SDR 16 TDI (FFFF) TDO (770B); +SDR 16 TDI (FFFF) TDO (100D); +SDR 16 TDI (FFFF) TDO (B802); +SDR 16 TDI (FFFF) TDO (81FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBAF); +SDR 16 TDI (FFFF) TDO (02C3); +SDR 16 TDI (FFFF) TDO (77F2); +SDR 16 TDI (FFFF) TDO (46E0); +SDR 16 TDI (FFFF) TDO (AEF3); +SDR 16 TDI (FFFF) TDO (3BFF); +SDR 16 TDI (FFFF) TDO (662D); +SDR 16 TDI (FFFF) TDO (99CD); +SDR 16 TDI (FFFF) TDO (B99F); +SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (7302); +SDR 16 TDI (FFFF) TDO (0007); +SDR 16 TDI (FFFF) TDO (B836); +SDR 16 TDI (FFFF) TDO (01F5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFF8); -SDR 16 TDI (FFFF) TDO (1003); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (2613); -SDR 16 TDI (FFFF) TDO (B007); -SDR 16 TDI (FFFF) TDO (C3F0); -SDR 16 TDI (FFFF) TDO (67C7); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (A61F); -SDR 16 TDI (FFFF) TDO (87FD); -SDR 16 TDI (FFFF) TDO (7398); -SDR 16 TDI (FFFF) TDO (270F); -SDR 16 TDI (FFFF) TDO (B809); -SDR 16 TDI (FFFF) TDO (E87F); +SDR 16 TDI (FFFF) TDO (02C3); +SDR 16 TDI (FFFF) TDO (6FFA); +SDR 16 TDI (FFFF) TDO (0679); +SDR 16 TDI (FFFF) TDO (AEE4); +SDR 16 TDI (FFFF) TDO (23F6); +SDR 16 TDI (FFFF) TDO (601C); +SDR 16 TDI (FFFF) TDO (19CD); +SDR 16 TDI (FFFF) TDO (B9BF); +SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (7302); +SDR 16 TDI (FFFF) TDO (080F); +SDR 16 TDI (FFFF) TDO (B831); +SDR 16 TDI (FFFF) TDO (81FD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (333A); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (23B3); SDR 16 TDI (FFFF) TDO (63E6); -SDR 16 TDI (FFFF) TDO (E6E7); -SDR 16 TDI (FFFF) TDO (B333); -SDR 16 TDI (FFFF) TDO (31F3); -SDR 16 TDI (FFFF) TDO (6E66); -SDR 16 TDI (FFFF) TDO (7EEE); -SDR 16 TDI (FFFF) TDO (B90F); -SDR 16 TDI (FFFF) TDO (99BB); -SDR 16 TDI (FFFF) TDO (77E4); -SDR 16 TDI (FFFF) TDO (8C45); -SDR 16 TDI (FFFF) TDO (B911); -SDR 16 TDI (FFFF) TDO (B97E); +SDR 16 TDI (FFFF) TDO (6E67); +SDR 16 TDI (FFFF) TDO (B33A); +SDR 16 TDI (FFFF) TDO (3973); +SDR 16 TDI (FFFF) TDO (6022); +SDR 16 TDI (FFFF) TDO (7CCE); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (BBB9); +SDR 16 TDI (FFFF) TDO (776C); +SDR 16 TDI (FFFF) TDO (66EF); +SDR 16 TDI (FFFF) TDO (BBB1); +SDR 16 TDI (FFFF) TDO (B3FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (7673); -SDR 16 TDI (FFFF) TDO (6956); -SDR 16 TDI (FFFF) TDO (7677); -SDR 16 TDI (FFFF) TDO (B373); -SDR 16 TDI (FFFF) TDO (30AB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7737); +SDR 16 TDI (FFFF) TDO (6146); +SDR 16 TDI (FFFF) TDO (6673); +SDR 16 TDI (FFFF) TDO (A773); +SDR 16 TDI (FFFF) TDO (72AB); SDR 16 TDI (FFFF) TDO (6777); -SDR 16 TDI (FFFF) TDO (7CCC); -SDR 16 TDI (FFFF) TDO (B3A5); -SDR 16 TDI (FFFF) TDO (5999); -SDR 16 TDI (FFFF) TDO (73C8); -SDR 16 TDI (FFFF) TDO (DC8A); -SDR 16 TDI (FFFF) TDO (B08D); -SDR 16 TDI (FFFF) TDO (98FF); +SDR 16 TDI (FFFF) TDO (399C); +SDR 16 TDI (FFFF) TDO (B985); +SDR 16 TDI (FFFF) TDO (1999); +SDR 16 TDI (FFFF) TDO (738C); +SDR 16 TDI (FFFF) TDO (C8CA); +SDR 16 TDI (FFFF) TDO (B19C); +SDR 16 TDI (FFFF) TDO (89FD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FCF); -SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (BDFB); +SDR 16 TDI (FFFF) TDO (7BDF); +SDR 16 TDI (FFFF) TDO (FDEF); SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FDE7); -SDR 16 TDI (FFFF) TDO (7CEE); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (3FFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (B773); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFE7); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DDFE); -SDR 16 TDI (FFFF) TDO (77F5); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFF6); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (7BEF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DDFB); -SDR 16 TDI (FFFF) TDO (7BDD); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (77FB); SDR 16 TDI (FFFF) TDO (6F7F); -SDR 16 TDI (FFFF) TDO (FEBF); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (BB7F); -SDR 16 TDI (FFFF) TDO (BF6C); -SDR 16 TDI (FFFF) TDO (D3FF); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDF7); -SDR 16 TDI (FFFF) TDO (6BF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (DDFB); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (F3BF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7D6E); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BCFD); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (677F); +SDR 16 TDI (FFFF) TDO (B737); SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFAF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (D6FF); -SDR 16 TDI (FFFF) TDO (6EF7); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BDF7); -SDR 16 TDI (FFFF) TDO (FBEF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFEE); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (3BFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EE7F); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7DD); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFBE); -SDR 16 TDI (FFFF) TDO (BF9F); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7DFE); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (CF7E); -SDR 16 TDI (FFFF) TDO (79FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (BF9B); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FCFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FAFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (7FF5); SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFAF); SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FD7); -SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (DFD3); +SDR 16 TDI (FFFF) TDO (77B7); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (6EEE); +SDR 16 TDI (FFFF) TDO (BDBB); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (EEFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (D7BF); +SDR 16 TDI (FFFF) TDO (BEDE); +SDR 16 TDI (FFFF) TDO (F3FD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFF7); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFA); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (DFEF); SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BE7); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (57FF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDB7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BD7); +SDR 16 TDI (FFFF) TDO (5F7F); +SDR 16 TDI (FFFF) TDO (AF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FEEB); +SDR 16 TDI (FFFF) TDO (7DF7); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7E); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (77EE); +SDR 16 TDI (FFFF) TDO (D7BF); +SDR 16 TDI (FFFF) TDO (B566); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7EF3); +SDR 16 TDI (FFFF) TDO (6F7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7F75); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BDF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (B73F); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7EFB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (CDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (E5BF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FADF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (BFBD); +SDR 16 TDI (FFFF) TDO (CDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFB); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAFD); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (32FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDBE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (FEFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FE77); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FF2F); +SDR 16 TDI (FFFF) TDO (AAAB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BF67); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (F37F); +SDR 16 TDI (FFFF) TDO (6FBF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (BFBD); +SDR 16 TDI (FFFF) TDO (FFB5); +SDR 16 TDI (FFFF) TDO (7B7E); +SDR 16 TDI (FFFF) TDO (EDDF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFB9); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (66F7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F5DF); +SDR 16 TDI (FFFF) TDO (6FFB); +SDR 16 TDI (FFFF) TDO (F7D6); +SDR 16 TDI (FFFF) TDO (BEFD); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FB9F); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (4EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AFED); +SDR 16 TDI (FFFF) TDO (7FEC); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BBBE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (7EFE); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (67FA); +SDR 16 TDI (FFFF) TDO (FFEC); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (CFDD); +SDR 16 TDI (FFFF) TDO (7DCD); +SDR 16 TDI (FFFF) TDO (DEDF); +SDR 16 TDI (FFFF) TDO (BD5A); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (EAAB); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (EE97); +SDR 16 TDI (FFFF) TDO (B335); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DAFC); +SDR 16 TDI (FFFF) TDO (BE3F); +SDR 16 TDI (FFFF) TDO (F9AF); +SDR 16 TDI (FFFF) TDO (72F7); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FEEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DF54); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (F17F); +SDR 16 TDI (FFFF) TDO (ADDB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFD); +SDR 16 TDI (FFFF) TDO (F767); +SDR 16 TDI (FFFF) TDO (ABDB); +SDR 16 TDI (FFFF) TDO (F776); +SDR 16 TDI (FFFF) TDO (6F3A); +SDR 16 TDI (FFFF) TDO (A7AF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBAC); +SDR 16 TDI (FFFF) TDO (BE02); +SDR 16 TDI (FFFF) TDO (77CB); +SDR 16 TDI (FFFF) TDO (9E06); +SDR 16 TDI (FFFF) TDO (A6B1); +SDR 16 TDI (FFFF) TDO (73E2); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (90CC); +SDR 16 TDI (FFFF) TDO (B99F); +SDR 16 TDI (FFFF) TDO (298A); +SDR 16 TDI (FFFF) TDO (7787); +SDR 16 TDI (FFFF) TDO (0CFF); +SDR 16 TDI (FFFF) TDO (B001); +SDR 16 TDI (FFFF) TDO (9075); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFAC); +SDR 16 TDI (FFFF) TDO (AE02); +SDR 16 TDI (FFFF) TDO (77AB); +SDR 16 TDI (FFFF) TDO (9C00); +SDR 16 TDI (FFFF) TDO (A03E); +SDR 16 TDI (FFFF) TDO (CFD2); +SDR 16 TDI (FFFF) TDO (61C1); +SDR 16 TDI (FFFF) TDO (F0FF); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (FFCF); +SDR 16 TDI (FFFF) TDO (740F); +SDR 16 TDI (FFFF) TDO (40FF); +SDR 16 TDI (FFFF) TDO (A800); +SDR 16 TDI (FFFF) TDO (107F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9FC); +SDR 16 TDI (FFFF) TDO (7E22); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (801E); +SDR 16 TDI (FFFF) TDO (A701); +SDR 16 TDI (FFFF) TDO (8FF9); +SDR 16 TDI (FFFF) TDO (60A0); +SDR 16 TDI (FFFF) TDO (72CC); +SDR 16 TDI (FFFF) TDO (B99F); +SDR 16 TDI (FFFF) TDO (C9CC); +SDR 16 TDI (FFFF) TDO (7F00); +SDR 16 TDI (FFFF) TDO (084F); +SDR 16 TDI (FFFF) TDO (BF99); +SDR 16 TDI (FFFF) TDO (9075); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF8); +SDR 16 TDI (FFFF) TDO (6C02); +SDR 16 TDI (FFFF) TDO (6FF3); +SDR 16 TDI (FFFF) TDO (811F); +SDR 16 TDI (FFFF) TDO (A43E); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (66A7); +SDR 16 TDI (FFFF) TDO (9000); +SDR 16 TDI (FFFF) TDO (A19F); +SDR 16 TDI (FFFF) TDO (8040); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (004F); +SDR 16 TDI (FFFF) TDO (B818); +SDR 16 TDI (FFFF) TDO (917F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (2333); +SDR 16 TDI (FFFF) TDO (73EE); +SDR 16 TDI (FFFF) TDO (E26F); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (B9F7); +SDR 16 TDI (FFFF) TDO (6EEE); +SDR 16 TDI (FFFF) TDO (FC44); +SDR 16 TDI (FFFF) TDO (A9CB); +SDR 16 TDI (FFFF) TDO (9119); +SDR 16 TDI (FFFF) TDO (678C); +SDR 16 TDI (FFFF) TDO (C8E7); +SDR 16 TDI (FFFF) TDO (BB9B); +SDR 16 TDI (FFFF) TDO (89FE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (7677); +SDR 16 TDI (FFFF) TDO (6146); +SDR 16 TDI (FFFF) TDO (7727); +SDR 16 TDI (FFFF) TDO (A667); +SDR 16 TDI (FFFF) TDO (10A2); +SDR 16 TDI (FFFF) TDO (6444); +SDR 16 TDI (FFFF) TDO (5988); +SDR 16 TDI (FFFF) TDO (B195); +SDR 16 TDI (FFFF) TDO (08D8); +SDR 16 TDI (FFFF) TDO (73D9); +SDR 16 TDI (FFFF) TDO (DDC2); +SDR 16 TDI (FFFF) TDO (B1D9); +SDR 16 TDI (FFFF) TDO (DCFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (773F); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFBB); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FD56); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F3FF); +SDR 16 TDI (FFFF) TDO (BEFD); +SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FEDE); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (BB5F); +SDR 16 TDI (FFFF) TDO (BF7E); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDEE); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF9F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EF5F); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (AFEF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FF9F); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FBB); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7BF3); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7D); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (BFCF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFFE); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7B); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ABFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFF5); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (3EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FCBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (E96F); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (FECB); +SDR 16 TDI (FFFF) TDO (6EFB); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B7FE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FD3E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7AA); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FF3F); +SDR 16 TDI (FFFF) TDO (65FF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55E); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FBFD); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FE69); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (7FBB); +SDR 16 TDI (FFFF) TDO (FEED); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (EFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFDB); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFD7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7DFE); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (BFB7); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A75D); +SDR 16 TDI (FFFF) TDO (57AD); +SDR 16 TDI (FFFF) TDO (6FFC); +SDR 16 TDI (FFFF) TDO (ED5F); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFEB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FB3); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FAFE); -SDR 16 TDI (FFFF) TDO (77E7); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFB7); -SDR 16 TDI (FFFF) TDO (3F7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A75F); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (E7F6); -SDR 16 TDI (FFFF) TDO (6FBB); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7F6F); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (BB7F); -SDR 16 TDI (FFFF) TDO (B3F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (F9BB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5FD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (76FD); +SDR 16 TDI (FFFF) TDO (EFDD); +SDR 16 TDI (FFFF) TDO (79DF); SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A75F); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (BD76); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (7D6E); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (F99F); -SDR 16 TDI (FFFF) TDO (BFDC); -SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (BB7B); +SDR 16 TDI (FFFF) TDO (34F5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (ACFF); -SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (BFAE); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (FBBB); -SDR 16 TDI (FFFF) TDO (7F9E); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BCFB); -SDR 16 TDI (FFFF) TDO (CAEA); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FCFC); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBEA); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FB9F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (B7FD); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (F76F); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (FFD7); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFB); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (67F7); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (DEEE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (7FD7); -SDR 16 TDI (FFFF) TDO (7FF4); -SDR 16 TDI (FFFF) TDO (D6B5); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEF7); -SDR 16 TDI (FFFF) TDO (AF7F); -SDR 16 TDI (FFFF) TDO (3F6F); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (DE32); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (50FD); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (6FBF); +SDR 16 TDI (FFFF) TDO (F977); +SDR 16 TDI (FFFF) TDO (AF9B); +SDR 16 TDI (FFFF) TDO (FBFC); +SDR 16 TDI (FFFF) TDO (659F); +SDR 16 TDI (FFFF) TDO (5FED); +SDR 16 TDI (FFFF) TDO (B97F); +SDR 16 TDI (FFFF) TDO (13FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (BF23); -SDR 16 TDI (FFFF) TDO (6FEB); -SDR 16 TDI (FFFF) TDO (464F); -SDR 16 TDI (FFFF) TDO (AFDB); -SDR 16 TDI (FFFF) TDO (DFF9); -SDR 16 TDI (FFFF) TDO (62CD); -SDR 16 TDI (FFFF) TDO (FFE7); -SDR 16 TDI (FFFF) TDO (AF7B); -SDR 16 TDI (FFFF) TDO (FF6E); -SDR 16 TDI (FFFF) TDO (7DFE); -SDR 16 TDI (FFFF) TDO (E55F); -SDR 16 TDI (FFFF) TDO (BFDA); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFD); -SDR 16 TDI (FFFF) TDO (70DE); -SDR 16 TDI (FFFF) TDO (7FFC); -SDR 16 TDI (FFFF) TDO (BBF5); -SDR 16 TDI (FFFF) TDO (B5FD); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7DF7); -SDR 16 TDI (FFFF) TDO (BBBC); -SDR 16 TDI (FFFF) TDO (B9FF); -SDR 16 TDI (FFFF) TDO (E9F7); -SDR 16 TDI (FFFF) TDO (7737); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (BE7D); -SDR 16 TDI (FFFF) TDO (E6EA); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (EFCF); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (FF82); +SDR 16 TDI (FFFF) TDO (BFED); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (D6D9); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FEBB); +SDR 16 TDI (FFFF) TDO (7AEB); +SDR 16 TDI (FFFF) TDO (F5BF); +SDR 16 TDI (FFFF) TDO (BED7); +SDR 16 TDI (FFFF) TDO (EE6A); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (CFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFBE); -SDR 16 TDI (FFFF) TDO (BB36); -SDR 16 TDI (FFFF) TDO (63FE); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (54DB); -SDR 16 TDI (FFFF) TDO (B69F); -SDR 16 TDI (FFFF) TDO (16BB); -SDR 16 TDI (FFFF) TDO (7AC9); -SDR 16 TDI (FFFF) TDO (BBAF); -SDR 16 TDI (FFFF) TDO (B9EF); -SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (33FF); +SDR 16 TDI (FFFF) TDO (77EC); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (FFAE); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (CD4F); +SDR 16 TDI (FFFF) TDO (7F75); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (BFB8); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A95C); -SDR 16 TDI (FFFF) TDO (3001); -SDR 16 TDI (FFFF) TDO (6FC0); -SDR 16 TDI (FFFF) TDO (70E1); -SDR 16 TDI (FFFF) TDO (ABF2); -SDR 16 TDI (FFFF) TDO (BBED); -SDR 16 TDI (FFFF) TDO (7C00); -SDR 16 TDI (FFFF) TDO (7056); -SDR 16 TDI (FFFF) TDO (B1BE); -SDR 16 TDI (FFFF) TDO (07FA); -SDR 16 TDI (FFFF) TDO (6A0F); -SDR 16 TDI (FFFF) TDO (D82F); -SDR 16 TDI (FFFF) TDO (B700); +SDR 16 TDI (FFFF) TDO (A95D); +SDR 16 TDI (FFFF) TDO (684A); +SDR 16 TDI (FFFF) TDO (7FD2); +SDR 16 TDI (FFFF) TDO (6AE1); +SDR 16 TDI (FFFF) TDO (BDE8); +SDR 16 TDI (FFFF) TDO (F3E0); +SDR 16 TDI (FFFF) TDO (615F); +SDR 16 TDI (FFFF) TDO (9E58); +SDR 16 TDI (FFFF) TDO (B01F); +SDR 16 TDI (FFFF) TDO (2800); +SDR 16 TDI (FFFF) TDO (6B80); +SDR 16 TDI (FFFF) TDO (E00F); +SDR 16 TDI (FFFF) TDO (B001); +SDR 16 TDI (FFFF) TDO (1475); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (6842); +SDR 16 TDI (FFFF) TDO (7FA2); +SDR 16 TDI (FFFF) TDO (6250); +SDR 16 TDI (FFFF) TDO (A1EB); +SDR 16 TDI (FFFF) TDO (CFD0); +SDR 16 TDI (FFFF) TDO (6141); +SDR 16 TDI (FFFF) TDO (FA08); +SDR 16 TDI (FFFF) TDO (B01F); +SDR 16 TDI (FFFF) TDO (A802); +SDR 16 TDI (FFFF) TDO (7100); +SDR 16 TDI (FFFF) TDO (A00F); +SDR 16 TDI (FFFF) TDO (B900); +SDR 16 TDI (FFFF) TDO (007F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B95F); +SDR 16 TDI (FFFF) TDO (FDB7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7D8); +SDR 16 TDI (FFFF) TDO (A293); +SDR 16 TDI (FFFF) TDO (0FF1); +SDR 16 TDI (FFFF) TDO (6320); +SDR 16 TDI (FFFF) TDO (7CE8); +SDR 16 TDI (FFFF) TDO (B03F); +SDR 16 TDI (FFFF) TDO (F841); +SDR 16 TDI (FFFF) TDO (7F09); +SDR 16 TDI (FFFF) TDO (9F8F); +SDR 16 TDI (FFFF) TDO (B863); SDR 16 TDI (FFFF) TDO (07F5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A7FC); -SDR 16 TDI (FFFF) TDO (0001); -SDR 16 TDI (FFFF) TDO (6FB0); -SDR 16 TDI (FFFF) TDO (1000); -SDR 16 TDI (FFFF) TDO (A0F0); -SDR 16 TDI (FFFF) TDO (F3D4); -SDR 16 TDI (FFFF) TDO (6000); -SDR 16 TDI (FFFF) TDO (5156); -SDR 16 TDI (FFFF) TDO (B1FF); -SDR 16 TDI (FFFF) TDO (83DA); -SDR 16 TDI (FFFF) TDO (6F00); -SDR 16 TDI (FFFF) TDO (D00F); -SDR 16 TDI (FFFF) TDO (AF82); -SDR 16 TDI (FFFF) TDO (27FF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (C583); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (1759); +SDR 16 TDI (FFFF) TDO (B290); +SDR 16 TDI (FFFF) TDO (FFF0); +SDR 16 TDI (FFFF) TDO (6127); +SDR 16 TDI (FFFF) TDO (90CF); +SDR 16 TDI (FFFF) TDO (BE3F); +SDR 16 TDI (FFFF) TDO (FA45); +SDR 16 TDI (FFFF) TDO (7000); +SDR 16 TDI (FFFF) TDO (900F); +SDR 16 TDI (FFFF) TDO (B802); +SDR 16 TDI (FFFF) TDO (05FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD5D); -SDR 16 TDI (FFFF) TDO (3400); -SDR 16 TDI (FFFF) TDO (67E1); -SDR 16 TDI (FFFF) TDO (61E7); -SDR 16 TDI (FFFF) TDO (A7FF); -SDR 16 TDI (FFFF) TDO (37FD); -SDR 16 TDI (FFFF) TDO (7FE0); -SDR 16 TDI (FFFF) TDO (1BC7); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (867C); -SDR 16 TDI (FFFF) TDO (668F); -SDR 16 TDI (FFFF) TDO (6F0F); -SDR 16 TDI (FFFF) TDO (B848); -SDR 16 TDI (FFFF) TDO (0175); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFC); -SDR 16 TDI (FFFF) TDO (0104); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (0001); -SDR 16 TDI (FFFF) TDO (A3C2); -SDR 16 TDI (FFFF) TDO (F7F9); -SDR 16 TDI (FFFF) TDO (7266); -SDR 16 TDI (FFFF) TDO (5CCF); -SDR 16 TDI (FFFF) TDO (B41F); -SDR 16 TDI (FFFF) TDO (C53C); -SDR 16 TDI (FFFF) TDO (6B02); -SDR 16 TDI (FFFF) TDO (600F); -SDR 16 TDI (FFFF) TDO (B84D); -SDR 16 TDI (FFFF) TDO (817F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (3333); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (33B2); SDR 16 TDI (FFFF) TDO (63E6); -SDR 16 TDI (FFFF) TDO (6227); -SDR 16 TDI (FFFF) TDO (BB33); -SDR 16 TDI (FFFF) TDO (71F7); -SDR 16 TDI (FFFF) TDO (6663); -SDR 16 TDI (FFFF) TDO (FCEC); -SDR 16 TDI (FFFF) TDO (B98F); -SDR 16 TDI (FFFF) TDO (9999); -SDR 16 TDI (FFFF) TDO (718E); -SDR 16 TDI (FFFF) TDO (EE87); -SDR 16 TDI (FFFF) TDO (B9BB); -SDR 16 TDI (FFFF) TDO (89FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (6957); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (B277); -SDR 16 TDI (FFFF) TDO (74A3); -SDR 16 TDI (FFFF) TDO (7376); -SDR 16 TDI (FFFF) TDO (79CD); -SDR 16 TDI (FFFF) TDO (BB25); -SDR 16 TDI (FFFF) TDO (4DCD); -SDR 16 TDI (FFFF) TDO (7B9C); -SDR 16 TDI (FFFF) TDO (CCD2); -SDR 16 TDI (FFFF) TDO (B499); -SDR 16 TDI (FFFF) TDO (DCFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (7E6F); SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (77CE); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FF7E); -SDR 16 TDI (FFFF) TDO (BDEF); -SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (B9F3); +SDR 16 TDI (FFFF) TDO (66EE); +SDR 16 TDI (FFFF) TDO (FECE); +SDR 16 TDI (FFFF) TDO (BD0F); +SDR 16 TDI (FFFF) TDO (999B); +SDR 16 TDI (FFFF) TDO (73CC); +SDR 16 TDI (FFFF) TDO (DCC7); +SDR 16 TDI (FFFF) TDO (BB99); +SDR 16 TDI (FFFF) TDO (B9FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7737); +SDR 16 TDI (FFFF) TDO (6957); +SDR 16 TDI (FFFF) TDO (6766); +SDR 16 TDI (FFFF) TDO (B111); +SDR 16 TDI (FFFF) TDO (10AB); +SDR 16 TDI (FFFF) TDO (7244); +SDR 16 TDI (FFFF) TDO (5CCC); +SDR 16 TDI (FFFF) TDO (B9A5); +SDR 16 TDI (FFFF) TDO (1DD9); +SDR 16 TDI (FFFF) TDO (73DD); +SDR 16 TDI (FFFF) TDO (C9C2); +SDR 16 TDI (FFFF) TDO (B1C9); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (77CE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (6BF7); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5BBF); -SDR 16 TDI (FFFF) TDO (7FEB); -SDR 16 TDI (FFFF) TDO (F3BF); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (DFDD); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (CDFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (DEEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BBFD); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF5D); -SDR 16 TDI (FFFF) TDO (BB7F); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F6FF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DDFE); -SDR 16 TDI (FFFF) TDO (77E7); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF9); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7DFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFE9); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (BDFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FCF); -SDR 16 TDI (FFFF) TDO (EF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDA); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFF6); -SDR 16 TDI (FFFF) TDO (7BFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (F677); SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (DFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (ABFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A65F); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFE); -SDR 16 TDI (FFFF) TDO (FEEF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (DEFE); -SDR 16 TDI (FFFF) TDO (7FAF); +SDR 16 TDI (FFFF) TDO (D5FC); +SDR 16 TDI (FFFF) TDO (7BEB); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A65F); -SDR 16 TDI (FFFF) TDO (B7B7); -SDR 16 TDI (FFFF) TDO (6FEB); -SDR 16 TDI (FFFF) TDO (6AEF); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (3D9D); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBCD); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (7FF6); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (EFDE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFDE); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B6FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (DDF7); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEA); -SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (F9BB); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FBBD); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (D5FE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (9EAB); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (7EBF); -SDR 16 TDI (FFFF) TDO (FFEE); -SDR 16 TDI (FFFF) TDO (97FF); -SDR 16 TDI (FFFF) TDO (F6BB); -SDR 16 TDI (FFFF) TDO (57BF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BEB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FDFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFED); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6F7F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (8BFE); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (4DFF); -SDR 16 TDI (FFFF) TDO (DAFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBDE); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7BFD); SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (9FEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FBF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (F1B9); -SDR 16 TDI (FFFF) TDO (4FEF); -SDR 16 TDI (FFFF) TDO (F2D7); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (BBF7); -SDR 16 TDI (FFFF) TDO (6EF3); -SDR 16 TDI (FFFF) TDO (FFB7); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FBEF); -SDR 16 TDI (FFFF) TDO (7FBE); -SDR 16 TDI (FFFF) TDO (9F7F); -SDR 16 TDI (FFFF) TDO (9EFE); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FCDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAFD); -SDR 16 TDI (FFFF) TDO (FED7); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (5D7C); -SDR 16 TDI (FFFF) TDO (A6EC); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (7DBF); -SDR 16 TDI (FFFF) TDO (9EDE); -SDR 16 TDI (FFFF) TDO (8DDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FD9); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (576F); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (6F7F); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FD7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FBDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDD7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (AFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (7FAF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (BFDB); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (AB77); +SDR 16 TDI (FFFF) TDO (6EEE); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A75D); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BBBE); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A9FF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEBF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FECB); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FF6A); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (4F6E); -SDR 16 TDI (FFFF) TDO (7F73); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (9F77); -SDR 16 TDI (FFFF) TDO (FF7B); -SDR 16 TDI (FFFF) TDO (5F7F); -SDR 16 TDI (FFFF) TDO (7169); -SDR 16 TDI (FFFF) TDO (B3BF); -SDR 16 TDI (FFFF) TDO (8C30); -SDR 16 TDI (FFFF) TDO (6FDD); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (7FF6); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (9EFB); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (7BFA); SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (855C); -SDR 16 TDI (FFFF) TDO (0281); -SDR 16 TDI (FFFF) TDO (47C2); -SDR 16 TDI (FFFF) TDO (0000); -SDR 16 TDI (FFFF) TDO (B068); -SDR 16 TDI (FFFF) TDO (73EC); -SDR 16 TDI (FFFF) TDO (6074); -SDR 16 TDI (FFFF) TDO (1ECE); -SDR 16 TDI (FFFF) TDO (A81F); -SDR 16 TDI (FFFF) TDO (102F); -SDR 16 TDI (FFFF) TDO (7C00); -SDR 16 TDI (FFFF) TDO (A5CF); -SDR 16 TDI (FFFF) TDO (917F); -SDR 16 TDI (FFFF) TDO (7E75); -SDR 16 TDI (FFFF) TDO (4BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFC); -SDR 16 TDI (FFFF) TDO (0000); -SDR 16 TDI (FFFF) TDO (66E2); -SDR 16 TDI (FFFF) TDO (0000); -SDR 16 TDI (FFFF) TDO (8069); -SDR 16 TDI (FFFF) TDO (03FC); -SDR 16 TDI (FFFF) TDO (7874); -SDR 16 TDI (FFFF) TDO (1CCC); -SDR 16 TDI (FFFF) TDO (B81E); -SDR 16 TDI (FFFF) TDO (90A8); -SDR 16 TDI (FFFF) TDO (7C00); -SDR 16 TDI (FFFF) TDO (0B3F); -SDR 16 TDI (FFFF) TDO (A907); -SDR 16 TDI (FFFF) TDO (07FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55C); -SDR 16 TDI (FFFF) TDO (0700); -SDR 16 TDI (FFFF) TDO (67F0); -SDR 16 TDI (FFFF) TDO (0C20); -SDR 16 TDI (FFFF) TDO (8810); -SDR 16 TDI (FFFF) TDO (B3F4); -SDR 16 TDI (FFFF) TDO (4081); -SDR 16 TDI (FFFF) TDO (F0E0); -SDR 16 TDI (FFFF) TDO (A07F); -SDR 16 TDI (FFFF) TDO (D848); -SDR 16 TDI (FFFF) TDO (6CCC); -SDR 16 TDI (FFFF) TDO (963F); -SDR 16 TDI (FFFF) TDO (BC82); -SDR 16 TDI (FFFF) TDO (81F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (4320); -SDR 16 TDI (FFFF) TDO (47E3); -SDR 16 TDI (FFFF) TDO (321E); -SDR 16 TDI (FFFF) TDO (B811); -SDR 16 TDI (FFFF) TDO (03F4); -SDR 16 TDI (FFFF) TDO (7881); -SDR 16 TDI (FFFF) TDO (10C0); -SDR 16 TDI (FFFF) TDO (B87F); -SDR 16 TDI (FFFF) TDO (DBE8); -SDR 16 TDI (FFFF) TDO (6C9C); +SDR 16 TDI (FFFF) TDO (9DFF); SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (9C9A); -SDR 16 TDI (FFFF) TDO (9E7F); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (63EE); -SDR 16 TDI (FFFF) TDO (6E6F); -SDR 16 TDI (FFFF) TDO (BB33); -SDR 16 TDI (FFFF) TDO (B173); -SDR 16 TDI (FFFF) TDO (6E67); -SDR 16 TDI (FFFF) TDO (7EEE); -SDR 16 TDI (FFFF) TDO (998F); -SDR 16 TDI (FFFF) TDO (99BB); -SDR 16 TDI (FFFF) TDO (5188); -SDR 16 TDI (FFFF) TDO (8EE7); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6677); -SDR 16 TDI (FFFF) TDO (6947); -SDR 16 TDI (FFFF) TDO (6727); -SDR 16 TDI (FFFF) TDO (9333); -SDR 16 TDI (FFFF) TDO (34A3); -SDR 16 TDI (FFFF) TDO (4673); -SDR 16 TDI (FFFF) TDO (3CCC); -SDR 16 TDI (FFFF) TDO (BBA5); -SDR 16 TDI (FFFF) TDO (4D99); -SDR 16 TDI (FFFF) TDO (7BDD); -SDR 16 TDI (FFFF) TDO (DC42); -SDR 16 TDI (FFFF) TDO (B111); -SDR 16 TDI (FFFF) TDO (117F); +SDR 16 TDI (FFFF) TDO (4EDE); +SDR 16 TDI (FFFF) TDO (E6EF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (57DE); +SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (F3EF); +SDR 16 TDI (FFFF) TDO (9BAF); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (4EAF); +SDR 16 TDI (FFFF) TDO (BFEE); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (9BAB); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (313B); +SDR 16 TDI (FFFF) TDO (4FF7); +SDR 16 TDI (FFFF) TDO (F4F3); +SDR 16 TDI (FFFF) TDO (BE9F); +SDR 16 TDI (FFFF) TDO (DFF5); +SDR 16 TDI (FFFF) TDO (6B4B); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (7BFE); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (9EEE); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAFC); +SDR 16 TDI (FFFF) TDO (CED7); +SDR 16 TDI (FFFF) TDO (7FF8); +SDR 16 TDI (FFFF) TDO (7B5F); +SDR 16 TDI (FFFF) TDO (B7FB); +SDR 16 TDI (FFFF) TDO (277F); +SDR 16 TDI (FFFF) TDO (7EFE); +SDR 16 TDI (FFFF) TDO (78EF); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (BFF8); +SDR 16 TDI (FFFF) TDO (5FED); +SDR 16 TDI (FFFF) TDO (76DF); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (FEEA); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (8FAF); +SDR 16 TDI (FFFF) TDO (9B7F); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (57B7); +SDR 16 TDI (FFFF) TDO (F71F); +SDR 16 TDI (FFFF) TDO (B09F); +SDR 16 TDI (FFFF) TDO (DC47); +SDR 16 TDI (FFFF) TDO (655B); +SDR 16 TDI (FFFF) TDO (893F); +SDR 16 TDI (FFFF) TDO (BDD7); +SDR 16 TDI (FFFF) TDO (FB7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (97FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFAF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EEFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (DFFB); -SDR 16 TDI (FFFF) TDO (5BDF); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FBEF); -SDR 16 TDI (FFFF) TDO (5F6F); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (EFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (8FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (4FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (855F); +SDR 16 TDI (FFFF) TDO (DB8C); +SDR 16 TDI (FFFF) TDO (5FCE); +SDR 16 TDI (FFFF) TDO (0E62); +SDR 16 TDI (FFFF) TDO (A040); +SDR 16 TDI (FFFF) TDO (0FE5); +SDR 16 TDI (FFFF) TDO (78A6); +SDR 16 TDI (FFFF) TDO (1043); +SDR 16 TDI (FFFF) TDO (BD9F); +SDR 16 TDI (FFFF) TDO (07A7); +SDR 16 TDI (FFFF) TDO (71C0); +SDR 16 TDI (FFFF) TDO (CA0F); +SDR 16 TDI (FFFF) TDO (9099); +SDR 16 TDI (FFFF) TDO (0875); +SDR 16 TDI (FFFF) TDO (4BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7FAF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (9E84); +SDR 16 TDI (FFFF) TDO (7FA6); +SDR 16 TDI (FFFF) TDO (0402); +SDR 16 TDI (FFFF) TDO (804C); +SDR 16 TDI (FFFF) TDO (03F5); +SDR 16 TDI (FFFF) TDO (7806); +SDR 16 TDI (FFFF) TDO (74C3); +SDR 16 TDI (FFFF) TDO (B95E); +SDR 16 TDI (FFFF) TDO (8080); +SDR 16 TDI (FFFF) TDO (7100); +SDR 16 TDI (FFFF) TDO (084D); +SDR 16 TDI (FFFF) TDO (B881); +SDR 16 TDI (FFFF) TDO (087F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (9DFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (5FB7); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BEF7); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (DF40); +SDR 16 TDI (FFFF) TDO (67F7); +SDR 16 TDI (FFFF) TDO (2473); +SDR 16 TDI (FFFF) TDO (9003); +SDR 16 TDI (FFFF) TDO (0BF2); +SDR 16 TDI (FFFF) TDO (4928); +SDR 16 TDI (FFFF) TDO (1F43); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (E067); +SDR 16 TDI (FFFF) TDO (7001); +SDR 16 TDI (FFFF) TDO (091F); +SDR 16 TDI (FFFF) TDO (B911); +SDR 16 TDI (FFFF) TDO (08F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFD); +SDR 16 TDI (FFFF) TDO (5F48); +SDR 16 TDI (FFFF) TDO (47F6); +SDR 16 TDI (FFFF) TDO (6433); +SDR 16 TDI (FFFF) TDO (B00F); +SDR 16 TDI (FFFF) TDO (07F2); +SDR 16 TDI (FFFF) TDO (6829); +SDR 16 TDI (FFFF) TDO (9F43); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (E060); +SDR 16 TDI (FFFF) TDO (6001); +SDR 16 TDI (FFFF) TDO (990F); +SDR 16 TDI (FFFF) TDO (9909); +SDR 16 TDI (FFFF) TDO (097F); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (333A); +SDR 16 TDI (FFFF) TDO (63E6); +SDR 16 TDI (FFFF) TDO (62E7); +SDR 16 TDI (FFFF) TDO (A33B); +SDR 16 TDI (FFFF) TDO (3973); +SDR 16 TDI (FFFF) TDO (6626); +SDR 16 TDI (FFFF) TDO (FEC8); +SDR 16 TDI (FFFF) TDO (9D8F); +SDR 16 TDI (FFFF) TDO (9BBB); +SDR 16 TDI (FFFF) TDO (53CC); +SDR 16 TDI (FFFF) TDO (CCC7); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BDFB); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (7773); +SDR 16 TDI (FFFF) TDO (6957); +SDR 16 TDI (FFFF) TDO (3677); +SDR 16 TDI (FFFF) TDO (8733); +SDR 16 TDI (FFFF) TDO (70A3); +SDR 16 TDI (FFFF) TDO (4766); +SDR 16 TDI (FFFF) TDO (7CDD); +SDR 16 TDI (FFFF) TDO (B9A5); +SDR 16 TDI (FFFF) TDO (5999); +SDR 16 TDI (FFFF) TDO (79DD); +SDR 16 TDI (FFFF) TDO (DDD2); +SDR 16 TDI (FFFF) TDO (B199); +SDR 16 TDI (FFFF) TDO (DDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (57CF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (4FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFF7); -SDR 16 TDI (FFFF) TDO (5F7F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFB); SDR 16 TDI (FFFF) TDO (FF3F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (5FFB); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (BFEE); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFC); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFBE); -SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D6FF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (9DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (5B7E); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7F3F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FEF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F3FF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (F7BF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFB); +SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (9FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (EFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBDD); -SDR 16 TDI (FFFF) TDO (7AFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (FB77); -SDR 16 TDI (FFFF) TDO (BB7D); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BF9F); SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7DEF); -SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (2F7F); SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FB2F); +SDR 16 TDI (FFFF) TDO (6EEF); +SDR 16 TDI (FFFF) TDO (F6DF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DF5F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FF7); SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EBE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFDB); +SDR 16 TDI (FFFF) TDO (D7DF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (DFF7); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7B2E); -SDR 16 TDI (FFFF) TDO (B96F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FB3B); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FCFE); +SDR 16 TDI (FFFF) TDO (BE9F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFE); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEBE); +SDR 16 TDI (FFFF) TDO (75DF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AD5E); +SDR 16 TDI (FFFF) TDO (AD5F); +SDR 16 TDI (FFFF) TDO (FBEB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DBFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7EF7); -SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BEF7); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BBFD); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (DF6F); -SDR 16 TDI (FFFF) TDO (76FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FDF3); -SDR 16 TDI (FFFF) TDO (79FF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (DD9F); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (F77F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A95F); -SDR 16 TDI (FFFF) TDO (77FB); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (76FF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FEAE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AEFE); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7F7E); -SDR 16 TDI (FFFF) TDO (FFBE); -SDR 16 TDI (FFFF) TDO (9DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (577F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FDBD); -SDR 16 TDI (FFFF) TDO (7EFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FED); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FEFA); -SDR 16 TDI (FFFF) TDO (5FD7); -SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (EDED); SDR 16 TDI (FFFF) TDO (B7FB); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DDF); +SDR 16 TDI (FFFF) TDO (3F5F); +SDR 16 TDI (FFFF) TDO (ABFF); +SDR 16 TDI (FFFF) TDO (FFD5); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (EEFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (FAEB); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EF6F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (9FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FF7); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBD); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5F7D); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (79FE); +SDR 16 TDI (FFFF) TDO (DDEF); +SDR 16 TDI (FFFF) TDO (BDD7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFD7); -SDR 16 TDI (FFFF) TDO (4FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF5); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (7DFB); -SDR 16 TDI (FFFF) TDO (F95E); -SDR 16 TDI (FFFF) TDO (BBBD); -SDR 16 TDI (FFFF) TDO (FBCB); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (9D6E); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (99BF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (5FCD); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (E7E6); +SDR 16 TDI (FFFF) TDO (A9F7); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (760B); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (A3FF); +SDR 16 TDI (FFFF) TDO (FDF5); +SDR 16 TDI (FFFF) TDO (66FF); +SDR 16 TDI (FFFF) TDO (9DAF); +SDR 16 TDI (FFFF) TDO (9FFB); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (977F); -SDR 16 TDI (FFFF) TDO (7FF6); -SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7E4F); -SDR 16 TDI (FFFF) TDO (57AF); -SDR 16 TDI (FFFF) TDO (95FF); -SDR 16 TDI (FFFF) TDO (F6FE); -SDR 16 TDI (FFFF) TDO (55BF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (FF7A); +SDR 16 TDI (FFFF) TDO (3D74); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (DA7B); +SDR 16 TDI (FFFF) TDO (BEBD); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (6BFC); +SDR 16 TDI (FFFF) TDO (7D9F); +SDR 16 TDI (FFFF) TDO (9DDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5BFA); +SDR 16 TDI (FFFF) TDO (EA7E); +SDR 16 TDI (FFFF) TDO (BFEE); +SDR 16 TDI (FFFF) TDO (DDFA); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F69B); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (5FF7); +SDR 16 TDI (FFFF) TDO (FEEF); SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (7EE9); -SDR 16 TDI (FFFF) TDO (E96F); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FF7C); -SDR 16 TDI (FFFF) TDO (57F6); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FD35); -SDR 16 TDI (FFFF) TDO (7EFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BED7); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (DBCF); +SDR 16 TDI (FFFF) TDO (7DF5); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (BA35); +SDR 16 TDI (FFFF) TDO (6A7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (895C); -SDR 16 TDI (FFFF) TDO (0003); -SDR 16 TDI (FFFF) TDO (5DC0); -SDR 16 TDI (FFFF) TDO (6267); -SDR 16 TDI (FFFF) TDO (BFC3); -SDR 16 TDI (FFFF) TDO (FEE3); -SDR 16 TDI (FFFF) TDO (61EE); +SDR 16 TDI (FFFF) TDO (0023); +SDR 16 TDI (FFFF) TDO (5DC7); +SDR 16 TDI (FFFF) TDO (FE00); +SDR 16 TDI (FFFF) TDO (A343); +SDR 16 TDI (FFFF) TDO (C2E3); +SDR 16 TDI (FFFF) TDO (6000); SDR 16 TDI (FFFF) TDO (100C); -SDR 16 TDI (FFFF) TDO (B017); -SDR 16 TDI (FFFF) TDO (7FA8); -SDR 16 TDI (FFFF) TDO (70A7); -SDR 16 TDI (FFFF) TDO (F3CF); -SDR 16 TDI (FFFF) TDO (9005); -SDR 16 TDI (FFFF) TDO (7E74); +SDR 16 TDI (FFFF) TDO (A197); +SDR 16 TDI (FFFF) TDO (0000); +SDR 16 TDI (FFFF) TDO (63F0); +SDR 16 TDI (FFFF) TDO (080F); +SDR 16 TDI (FFFF) TDO (9490); +SDR 16 TDI (FFFF) TDO (0074); SDR 16 TDI (FFFF) TDO (59FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A6FE); -SDR 16 TDI (FFFF) TDO (0003); -SDR 16 TDI (FFFF) TDO (7FE0); -SDR 16 TDI (FFFF) TDO (6067); -SDR 16 TDI (FFFF) TDO (BFC0); -SDR 16 TDI (FFFF) TDO (03F7); -SDR 16 TDI (FFFF) TDO (600E); +SDR 16 TDI (FFFF) TDO (A6FC); +SDR 16 TDI (FFFF) TDO (03EA); +SDR 16 TDI (FFFF) TDO (67E6); +SDR 16 TDI (FFFF) TDO (0E02); +SDR 16 TDI (FFFF) TDO (A300); +SDR 16 TDI (FFFF) TDO (03F3); +SDR 16 TDI (FFFF) TDO (6180); SDR 16 TDI (FFFF) TDO (100C); -SDR 16 TDI (FFFF) TDO (901B); -SDR 16 TDI (FFFF) TDO (8028); -SDR 16 TDI (FFFF) TDO (50A7); -SDR 16 TDI (FFFF) TDO (0F3F); -SDR 16 TDI (FFFF) TDO (A805); -SDR 16 TDI (FFFF) TDO (07FF); +SDR 16 TDI (FFFF) TDO (819B); +SDR 16 TDI (FFFF) TDO (8050); +SDR 16 TDI (FFFF) TDO (43F0); +SDR 16 TDI (FFFF) TDO (084D); +SDR 16 TDI (FFFF) TDO (B880); +SDR 16 TDI (FFFF) TDO (02FF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5E); -SDR 16 TDI (FFFF) TDO (3E7C); -SDR 16 TDI (FFFF) TDO (67E2); -SDR 16 TDI (FFFF) TDO (6207); -SDR 16 TDI (FFFF) TDO (BFC3); -SDR 16 TDI (FFFF) TDO (FFF3); -SDR 16 TDI (FFFF) TDO (7FE6); -SDR 16 TDI (FFFF) TDO (108C); -SDR 16 TDI (FFFF) TDO (B01F); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (704A); -SDR 16 TDI (FFFF) TDO (0C3F); -SDR 16 TDI (FFFF) TDO (B88C); -SDR 16 TDI (FFFF) TDO (81F4); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (0E22); +SDR 16 TDI (FFFF) TDO (67E7); +SDR 16 TDI (FFFF) TDO (FC60); +SDR 16 TDI (FFFF) TDO (A383); +SDR 16 TDI (FFFF) TDO (C3F3); +SDR 16 TDI (FFFF) TDO (6422); +SDR 16 TDI (FFFF) TDO (3C8C); +SDR 16 TDI (FFFF) TDO (A59F); +SDR 16 TDI (FFFF) TDO (8187); +SDR 16 TDI (FFFF) TDO (71F0); +SDR 16 TDI (FFFF) TDO (1EDF); +SDR 16 TDI (FFFF) TDO (BA92); +SDR 16 TDI (FFFF) TDO (08F4); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BE7A); -SDR 16 TDI (FFFF) TDO (0240); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (6007); -SDR 16 TDI (FFFF) TDO (BFC0); -SDR 16 TDI (FFFF) TDO (03FB); -SDR 16 TDI (FFFF) TDO (6187); -SDR 16 TDI (FFFF) TDO (980C); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (844F); -SDR 16 TDI (FFFF) TDO (784A); -SDR 16 TDI (FFFF) TDO (C3FF); -SDR 16 TDI (FFFF) TDO (9804); -SDR 16 TDI (FFFF) TDO (9E7F); +SDR 16 TDI (FFFF) TDO (BE7B); +SDR 16 TDI (FFFF) TDO (0FFE); +SDR 16 TDI (FFFF) TDO (67E6); +SDR 16 TDI (FFFF) TDO (1E60); +SDR 16 TDI (FFFF) TDO (B303); +SDR 16 TDI (FFFF) TDO (03F3); +SDR 16 TDI (FFFF) TDO (6400); +SDR 16 TDI (FFFF) TDO (3C8C); +SDR 16 TDI (FFFF) TDO (B19F); +SDR 16 TDI (FFFF) TDO (8180); +SDR 16 TDI (FFFF) TDO (63F8); +SDR 16 TDI (FFFF) TDO (1C0F); +SDR 16 TDI (FFFF) TDO (9880); +SDR 16 TDI (FFFF) TDO (077F); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (3323); -SDR 16 TDI (FFFF) TDO (63E6); -SDR 16 TDI (FFFF) TDO (E66F); +SDR 16 TDI (FFFF) TDO (3332); +SDR 16 TDI (FFFF) TDO (63EE); +SDR 16 TDI (FFFF) TDO (E627); SDR 16 TDI (FFFF) TDO (B33B); -SDR 16 TDI (FFFF) TDO (BBF3); +SDR 16 TDI (FFFF) TDO (3BF7); SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (3CCE); +SDR 16 TDI (FFFF) TDO (784E); SDR 16 TDI (FFFF) TDO (B9CF); -SDR 16 TDI (FFFF) TDO (B999); -SDR 16 TDI (FFFF) TDO (71EE); -SDR 16 TDI (FFFF) TDO (EEE7); +SDR 16 TDI (FFFF) TDO (B889); +SDR 16 TDI (FFFF) TDO (73CC); +SDR 16 TDI (FFFF) TDO (CCC7); SDR 16 TDI (FFFF) TDO (B99B); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (89FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (6677); -SDR 16 TDI (FFFF) TDO (6146); -SDR 16 TDI (FFFF) TDO (7327); -SDR 16 TDI (FFFF) TDO (9773); -SDR 16 TDI (FFFF) TDO (32A3); -SDR 16 TDI (FFFF) TDO (5267); -SDR 16 TDI (FFFF) TDO (7DDC); +SDR 16 TDI (FFFF) TDO (7737); +SDR 16 TDI (FFFF) TDO (6946); +SDR 16 TDI (FFFF) TDO (7373); +SDR 16 TDI (FFFF) TDO (9332); +SDR 16 TDI (FFFF) TDO (72A3); +SDR 16 TDI (FFFF) TDO (5372); +SDR 16 TDI (FFFF) TDO (7D8C); SDR 16 TDI (FFFF) TDO (B395); -SDR 16 TDI (FFFF) TDO (1999); -SDR 16 TDI (FFFF) TDO (7B44); -SDR 16 TDI (FFFF) TDO (4442); -SDR 16 TDI (FFFF) TDO (B5C9); -SDR 16 TDI (FFFF) TDO (117F); +SDR 16 TDI (FFFF) TDO (1DDC); +SDR 16 TDI (FFFF) TDO (79D9); +SDR 16 TDI (FFFF) TDO (D992); +SDR 16 TDI (FFFF) TDO (B5D9); +SDR 16 TDI (FFFF) TDO (DDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9EFB); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (FBFB); SDR 16 TDI (FFFF) TDO (5FDF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FEEF); -SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (9FEF); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B3FF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B3BF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DEFE); +SDR 16 TDI (FFFF) TDO (DFFD); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (AAFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7CDD); +SDR 16 TDI (FFFF) TDO (FD3F); +SDR 16 TDI (FFFF) TDO (A77F); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FE7F); SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (5FFB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (4B7B); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFDD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FF5); -SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (F7FB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (DDD7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D577); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (6FEB); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF9); -SDR 16 TDI (FFFF) TDO (5DDD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (7FB7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (F6FE); -SDR 16 TDI (FFFF) TDO (B5FF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFF6); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (5FFE); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFE); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (DFF6); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FF3E); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BCDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (8EFF); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFDE); +SDR 16 TDI (FFFF) TDO (9FFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FDF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (4FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10673,51 +10673,51 @@ SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5DFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFE); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEDE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (DFBE); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7BBF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEE); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -10727,13 +10727,13 @@ SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (57FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -10749,23 +10749,23 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -10784,70 +10784,70 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEDD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEEF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEDF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEEE); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (5BFF); @@ -10855,16 +10855,16 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (939F); +SDR 16 TDI (FFFF) TDO (9B9F); SDR 16 TDI (FFFF) TDO (BCCF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (5FFB); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFEE); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFB); diff --git a/CPLD/MAXV/output_files/RAM2E.asm.rpt b/CPLD/MAXV/output_files/RAM2E.asm.rpt index 26fcc5e..a2399f9 100644 --- a/CPLD/MAXV/output_files/RAM2E.asm.rpt +++ b/CPLD/MAXV/output_files/RAM2E.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2E -Sat Jun 08 01:44:21 2024 +Fri Jul 12 16:08:52 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -39,7 +39,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sat Jun 08 01:44:21 2024 ; +; Assembler Status ; Successful - Fri Jul 12 16:08:52 2024 ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; @@ -69,8 +69,8 @@ https://fpgasoftware.intel.com/eula. +----------------+--------------------------------------------------------+ ; Option ; Setting ; +----------------+--------------------------------------------------------+ -; JTAG usercode ; 0x00165B8C ; -; Checksum ; 0x00165F04 ; +; JTAG usercode ; 0x001677A3 ; +; Checksum ; 0x00167A93 ; +----------------+--------------------------------------------------------+ @@ -89,14 +89,14 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Jun 08 01:44:20 2024 + Info: Processing started: Fri Jul 12 16:08:48 2024 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E-MAXV -c RAM2E Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 13099 megabytes - Info: Processing ended: Sat Jun 08 01:44:21 2024 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 13098 megabytes + Info: Processing ended: Fri Jul 12 16:08:52 2024 + Info: Elapsed time: 00:00:04 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXV/output_files/RAM2E.done b/CPLD/MAXV/output_files/RAM2E.done index 9ee1d0f..b6c2953 100644 --- a/CPLD/MAXV/output_files/RAM2E.done +++ b/CPLD/MAXV/output_files/RAM2E.done @@ -1 +1 @@ -Sat Jun 08 01:44:26 2024 +Fri Jul 12 16:09:07 2024 diff --git a/CPLD/MAXV/output_files/RAM2E.fit.rpt b/CPLD/MAXV/output_files/RAM2E.fit.rpt index ffd9b2c..17a5b00 100644 --- a/CPLD/MAXV/output_files/RAM2E.fit.rpt +++ b/CPLD/MAXV/output_files/RAM2E.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2E -Sat Jun 08 01:44:18 2024 +Fri Jul 12 16:08:43 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -57,14 +57,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Sat Jun 08 01:44:18 2024 ; +; Fitter Status ; Successful - Fri Jul 12 16:08:42 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; ; Device ; 5M240ZT100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 238 / 240 ( 99 % ) ; +; Total logic elements ; 233 / 240 ( 97 % ) ; ; Total pins ; 71 / 79 ( 90 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -129,13 +129,12 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.03 ; +; Average used ; 1.01 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 1.0% ; -; Processors 3-4 ; 0.9% ; +; Processors 2-4 ; 0.3% ; +----------------------------+-------------+ @@ -150,27 +149,27 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. +---------------------------------------------+-----------------------+ ; Resource ; Usage ; +---------------------------------------------+-----------------------+ -; Total logic elements ; 238 / 240 ( 99 % ) ; -; -- Combinational with no register ; 112 ; +; Total logic elements ; 233 / 240 ( 97 % ) ; +; -- Combinational with no register ; 108 ; ; -- Register only ; 19 ; -; -- Combinational with a register ; 107 ; +; -- Combinational with a register ; 106 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 116 ; -; -- 3 input functions ; 53 ; -; -- 2 input functions ; 46 ; +; -- 4 input functions ; 111 ; +; -- 3 input functions ; 56 ; +; -- 2 input functions ; 43 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 224 ; +; -- normal mode ; 219 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 14 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 25 ; +; -- synchronous clear/load mode ; 29 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 126 / 240 ( 53 % ) ; +; Total registers ; 125 / 240 ( 52 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 15 ; ; Virtual pins ; 0 ; @@ -185,12 +184,12 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. ; Global signals ; 2 ; ; -- Global clocks ; 2 / 4 ( 50 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 29.6% / 34.3% / 24.8% ; -; Peak interconnect usage (total/H/V) ; 29.6% / 34.3% / 24.8% ; -; Maximum fan-out ; 122 ; +; Average interconnect usage (total/H/V) ; 27.0% / 29.1% / 24.8% ; +; Peak interconnect usage (total/H/V) ; 27.0% / 29.1% / 24.8% ; +; Maximum fan-out ; 121 ; ; Highest non-global fan-out ; 34 ; -; Total fan-out ; 992 ; -; Average fan-out ; 3.20 ; +; Total fan-out ; 973 ; +; Average fan-out ; 3.19 ; +---------------------------------------------+-----------------------+ @@ -207,16 +206,16 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. ; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 122 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 121 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 15 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 6 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nC07X ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; @@ -259,8 +258,8 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. ; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nCASout ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -268,7 +267,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. ; nDHGROE ; 87 ; 2 ; 5 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nRASout ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nRWEout ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nRWEout ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nVOE ; 50 ; 1 ; 7 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +-----------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -278,9 +277,9 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; RD[0] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; RDOE ; - ; +; RD[0] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; -; RD[2] ; 99 ; 2 ; 2 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; RDOE ; - ; +; RD[2] ; 99 ; 2 ; 2 ; 5 ; 1 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[4] ; 91 ; 2 ; 4 ; 5 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; ; RD[5] ; 92 ; 2 ; 3 ; 5 ; 0 ; 2 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; RDOE ; - ; @@ -432,7 +431,7 @@ Note: User assignments will override these defaults. The user specified values a +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 238 (182) ; 126 ; 1 ; 71 ; 0 ; 112 (88) ; 19 (16) ; 107 (78) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 233 (177) ; 125 ; 1 ; 71 ; 0 ; 108 (84) ; 19 (16) ; 106 (77) ; 15 (15) ; 14 (9) ; |RAM2E ; RAM2E ; work ; ; |RAM2E_UFM:ram2e_ufm| ; 56 (56) ; 32 ; 1 ; 0 ; 0 ; 24 (24) ; 3 (3) ; 29 (29) ; 0 (0) ; 5 (5) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_p8r:UFM_altufm_none_p8r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component ; UFM_altufm_none_p8r ; work ; @@ -513,8 +512,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Din[5] ; Input ; (0) ; ; Din[7] ; Input ; (0) ; ; Din[4] ; Input ; (0) ; -; Din[2] ; Input ; (0) ; ; Din[3] ; Input ; (0) ; +; Din[2] ; Input ; (0) ; ; nC07X ; Input ; (0) ; +-----------+----------+---------------+ @@ -524,22 +523,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ -; BA[0]~0 ; LC_X2_Y3_N6 ; 2 ; Clock enable ; no ; -- ; -- ; -; BA[0]~1 ; LC_X3_Y3_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -; C14M ; PIN_12 ; 122 ; Clock ; yes ; Global Clock ; GCLK0 ; -; CS[0]~2 ; LC_X6_Y3_N5 ; 3 ; Clock enable ; no ; -- ; -- ; -; DQML~0 ; LC_X2_Y4_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -; Equal1~1 ; LC_X3_Y3_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal1~2 ; LC_X5_Y2_N9 ; 8 ; Clock enable ; no ; -- ; -- ; -; Mux14~0 ; LC_X4_Y1_N7 ; 2 ; Clock enable ; no ; -- ; -- ; -; PHI1 ; PIN_37 ; 5 ; Clock ; yes ; Global Clock ; GCLK3 ; +; BA[0]~0 ; LC_X2_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ; +; BA[0]~1 ; LC_X3_Y4_N5 ; 3 ; Clock enable ; no ; -- ; -- ; +; C14M ; PIN_12 ; 121 ; Clock ; yes ; Global Clock ; GCLK0 ; +; CS[0]~2 ; LC_X6_Y3_N7 ; 3 ; Clock enable ; no ; -- ; -- ; +; DQMH~0 ; LC_X2_Y4_N8 ; 2 ; Clock enable ; no ; -- ; -- ; +; Equal1~1 ; LC_X4_Y2_N0 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal1~2 ; LC_X7_Y4_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; Mux14~0 ; LC_X4_Y2_N7 ; 2 ; Clock enable ; no ; -- ; -- ; +; PHI1 ; PIN_37 ; 6 ; Clock ; yes ; Global Clock ; GCLK3 ; ; RAM2E_UFM:ram2e_ufm|RWMask~1 ; LC_X2_Y1_N9 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X5_Y1_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X7_Y3_N8 ; 16 ; Clock enable ; no ; -- ; -- ; -; RA[1]~2 ; LC_X5_Y2_N0 ; 6 ; Clock enable ; no ; -- ; -- ; -; RDOE ; LC_X4_Y3_N3 ; 8 ; Output enable ; no ; -- ; -- ; -; S[0] ; LC_X3_Y2_N5 ; 32 ; Sync. clear ; no ; -- ; -- ; -; S[3] ; LC_X3_Y2_N7 ; 34 ; Sync. clear ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X4_Y1_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; RAM2E_UFM:ram2e_ufm|always2~8 ; LC_X6_Y3_N0 ; 15 ; Clock enable ; no ; -- ; -- ; +; RA[2]~2 ; LC_X2_Y2_N5 ; 6 ; Clock enable ; no ; -- ; -- ; +; RDOE ; LC_X7_Y4_N2 ; 8 ; Output enable ; no ; -- ; -- ; +; S[0] ; LC_X3_Y4_N9 ; 32 ; Sync. clear ; no ; -- ; -- ; +; S[3] ; LC_X3_Y4_N6 ; 34 ; Sync. clear ; no ; -- ; -- ; +--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+ @@ -548,8 +547,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+----------+---------+----------------------+------------------+ -; C14M ; PIN_12 ; 122 ; Global Clock ; GCLK0 ; -; PHI1 ; PIN_37 ; 5 ; Global Clock ; GCLK3 ; +; C14M ; PIN_12 ; 121 ; Global Clock ; GCLK0 ; +; PHI1 ; PIN_37 ; 6 ; Global Clock ; GCLK3 ; +------+----------+---------+----------------------+------------------+ @@ -558,85 +557,86 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 156 / 784 ( 20 % ) ; -; Direct links ; 53 / 888 ( 6 % ) ; +; C4s ; 157 / 784 ( 20 % ) ; +; Direct links ; 55 / 888 ( 6 % ) ; ; Global clocks ; 2 / 4 ( 50 % ) ; ; LAB clocks ; 7 / 32 ( 22 % ) ; -; LUT chains ; 5 / 216 ( 2 % ) ; -; Local interconnects ; 340 / 888 ( 38 % ) ; -; R4s ; 190 / 704 ( 27 % ) ; +; LUT chains ; 4 / 216 ( 2 % ) ; +; Local interconnects ; 335 / 888 ( 38 % ) ; +; R4s ; 167 / 704 ( 24 % ) ; +-----------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.92) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.71) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; ; 4 ; 0 ; ; 5 ; 0 ; -; 6 ; 0 ; +; 6 ; 1 ; ; 7 ; 0 ; ; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 23 ; +; 9 ; 1 ; +; 10 ; 21 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.50) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.46) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Clock ; 22 ; -; 1 Clock enable ; 12 ; -; 2 Clock enables ; 1 ; +; 1 Clock ; 23 ; +; 1 Clock enable ; 7 ; +; 1 Sync. clear ; 1 ; +; 2 Clock enables ; 3 ; ; 2 Clocks ; 1 ; +------------------------------------+------------------------------+ -+-----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.13) ; Number of LABs (Total = 24) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 22 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 1 ; -+----------------------------------------------+------------------------------+ ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 9.96) ; Number of LABs (Total = 24) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 1 ; +; 10 ; 19 ; +; 11 ; 1 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 1 ; ++---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.17) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.13) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 2 ; -; 4 ; 0 ; -; 5 ; 3 ; -; 6 ; 4 ; -; 7 ; 2 ; -; 8 ; 4 ; -; 9 ; 3 ; +; 2 ; 0 ; +; 3 ; 3 ; +; 4 ; 1 ; +; 5 ; 2 ; +; 6 ; 2 ; +; 7 ; 5 ; +; 8 ; 5 ; +; 9 ; 1 ; ; 10 ; 4 ; ; 11 ; 0 ; ; 12 ; 1 ; @@ -646,32 +646,32 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 12.54) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 12.17) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 1 ; -; 4 ; 1 ; +; 4 ; 2 ; ; 5 ; 0 ; -; 6 ; 2 ; +; 6 ; 0 ; ; 7 ; 1 ; ; 8 ; 0 ; -; 9 ; 2 ; -; 10 ; 2 ; -; 11 ; 1 ; -; 12 ; 0 ; -; 13 ; 2 ; -; 14 ; 3 ; -; 15 ; 2 ; -; 16 ; 3 ; -; 17 ; 1 ; +; 9 ; 1 ; +; 10 ; 4 ; +; 11 ; 4 ; +; 12 ; 1 ; +; 13 ; 3 ; +; 14 ; 2 ; +; 15 ; 0 ; +; 16 ; 1 ; +; 17 ; 0 ; ; 18 ; 1 ; ; 19 ; 0 ; ; 20 ; 0 ; ; 21 ; 1 ; ; 22 ; 0 ; -; 23 ; 0 ; +; 23 ; 1 ; ; 24 ; 1 ; +----------------------------------------------+------------------------------+ @@ -722,7 +722,8 @@ Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186216): Automatically promoted some destinations of signal "PHI1" to use Global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186217): Destination "PHI1r" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 20 - Info (186217): Destination "S~0" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19 + Info (186217): Destination "S~2" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 19 + Info (186217): Destination "S[3]~9" may be non-global or may not use global clock File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 21 Info (186228): Pin "PHI1" drives global clock, but is not placed in a dedicated clock pin position File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 8 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing @@ -738,25 +739,25 @@ Warning (186473): Ignored the FAST_OUTPUT_REGISTER assignment made to the follow Warning (186484): Ignored assignment to node "RAout[7]" because node "RAr[7]", which is feeding it, is not a register File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 85 Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:05 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:02 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:13 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 26% of the available device resources - Info (170196): Router estimated peak interconnect usage is 26% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 24% of the available device resources + Info (170196): Router estimated peak interconnect usage is 24% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170202): The Fitter performed an Auto Fit compilation. No optimizations were skipped because the design's timing and routability requirements required full optimization. -Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 1.09 seconds. +Info (170194): Fitter routing operations ending: elapsed time is 00:00:02 +Info (11888): Total time spent on timing analysis during the Fitter is 11.71 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 11 warnings - Info: Peak virtual memory: 13778 megabytes - Info: Processing ended: Sat Jun 08 01:44:18 2024 - Info: Elapsed time: 00:00:07 + Info: Peak virtual memory: 13774 megabytes + Info: Processing ended: Fri Jul 12 16:08:43 2024 + Info: Elapsed time: 00:00:32 Info: Total CPU time (on all processors): 00:00:04 diff --git a/CPLD/MAXV/output_files/RAM2E.fit.smsg b/CPLD/MAXV/output_files/RAM2E.fit.smsg index a3cd98a..b6f2d5e 100644 --- a/CPLD/MAXV/output_files/RAM2E.fit.smsg +++ b/CPLD/MAXV/output_files/RAM2E.fit.smsg @@ -1,4 +1,4 @@ Extra Info (176273): Performing register packing on registers with non-logic cell location assignments Extra Info (176274): Completed register packing on registers with non-logic cell location assignments Extra Info (176244): Moving registers into LUTs to improve timing and density -Extra Info (176245): Finished moving registers into LUTs: elapsed time is 00:00:00 +Extra Info (176245): Finished moving registers into LUTs: elapsed time is 00:00:01 diff --git a/CPLD/MAXV/output_files/RAM2E.fit.summary b/CPLD/MAXV/output_files/RAM2E.fit.summary index 63e0443..3a7ff8f 100644 --- a/CPLD/MAXV/output_files/RAM2E.fit.summary +++ b/CPLD/MAXV/output_files/RAM2E.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Sat Jun 08 01:44:18 2024 +Fitter Status : Successful - Fri Jul 12 16:08:42 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V Device : 5M240ZT100C5 Timing Models : Final -Total logic elements : 238 / 240 ( 99 % ) +Total logic elements : 233 / 240 ( 97 % ) Total pins : 71 / 79 ( 90 % ) Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXV/output_files/RAM2E.flow.rpt b/CPLD/MAXV/output_files/RAM2E.flow.rpt index a578b35..0f11c75 100644 --- a/CPLD/MAXV/output_files/RAM2E.flow.rpt +++ b/CPLD/MAXV/output_files/RAM2E.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2E -Sat Jun 08 01:44:25 2024 +Fri Jul 12 16:09:06 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -41,14 +41,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Sat Jun 08 01:44:21 2024 ; +; Flow Status ; Successful - Fri Jul 12 16:08:52 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; ; Device ; 5M240ZT100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 238 / 240 ( 99 % ) ; +; Total logic elements ; 233 / 240 ( 97 % ) ; ; Total pins ; 71 / 79 ( 90 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 06/08/2024 01:43:22 ; +; Start date & time ; 07/12/2024 16:06:48 ; ; Main task ; Compilation ; ; Revision Name ; RAM2E ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------+------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------+------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 121380219419.171782540209972 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121380219419.172081480806028 ; -- ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ; @@ -85,11 +85,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:50 ; 1.0 ; 13150 MB ; 00:00:39 ; -; Fitter ; 00:00:07 ; 1.0 ; 13778 MB ; 00:00:04 ; -; Assembler ; 00:00:01 ; 1.0 ; 13098 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:02 ; 1.0 ; 13096 MB ; 00:00:02 ; -; Total ; 00:01:00 ; -- ; -- ; 00:00:46 ; +; Analysis & Synthesis ; 00:01:18 ; 1.0 ; 13143 MB ; 00:00:46 ; +; Fitter ; 00:00:31 ; 1.0 ; 13774 MB ; 00:00:04 ; +; Assembler ; 00:00:04 ; 1.0 ; 13097 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:10 ; 1.0 ; 13087 MB ; 00:00:02 ; +; Total ; 00:02:03 ; -- ; -- ; 00:00:53 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/CPLD/MAXV/output_files/RAM2E.map.rpt b/CPLD/MAXV/output_files/RAM2E.map.rpt index 6ea326f..8a42870 100644 --- a/CPLD/MAXV/output_files/RAM2E.map.rpt +++ b/CPLD/MAXV/output_files/RAM2E.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2E -Sat Jun 08 01:44:10 2024 +Fri Jul 12 16:08:05 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -46,12 +46,12 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sat Jun 08 01:44:10 2024 ; +; Analysis & Synthesis Status ; Successful - Fri Jul 12 16:08:05 2024 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; -; Total logic elements ; 252 ; +; Total logic elements ; 247 ; ; Total pins ; 71 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -164,34 +164,34 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 252 ; -; -- Combinational with no register ; 126 ; +; Total logic elements ; 247 ; +; -- Combinational with no register ; 122 ; ; -- Register only ; 33 ; -; -- Combinational with a register ; 93 ; +; -- Combinational with a register ; 92 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 116 ; -; -- 3 input functions ; 53 ; -; -- 2 input functions ; 46 ; +; -- 4 input functions ; 111 ; +; -- 3 input functions ; 56 ; +; -- 2 input functions ; 43 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 238 ; +; -- normal mode ; 233 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 3 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 126 ; +; Total registers ; 125 ; ; Total logic cells in carry chains ; 15 ; ; I/O pins ; 71 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; -; Maximum fan-out ; 122 ; -; Total fan-out ; 1001 ; -; Average fan-out ; 3.09 ; +; Maximum fan-out ; 121 ; +; Total fan-out ; 982 ; +; Average fan-out ; 3.08 ; +---------------------------------------------+-------+ @@ -200,7 +200,7 @@ https://fpgasoftware.intel.com/eula. +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 252 (191) ; 126 ; 1 ; 71 ; 0 ; 126 (97) ; 33 (25) ; 93 (69) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 247 (186) ; 125 ; 1 ; 71 ; 0 ; 122 (93) ; 33 (25) ; 92 (68) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; ; |RAM2E_UFM:ram2e_ufm| ; 61 (61) ; 32 ; 1 ; 0 ; 0 ; 29 (29) ; 8 (8) ; 24 (24) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm ; RAM2E_UFM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_p8r:UFM_altufm_none_p8r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component ; UFM_altufm_none_p8r ; work ; @@ -222,12 +222,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 126 ; +; Total registers ; 125 ; ; Number of registers using Synchronous Clear ; 3 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 59 ; +; Number of registers using Clock Enable ; 58 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -256,13 +256,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[2] ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[3] ; ; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[1] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[5] ; +; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[4] ; ; 16:1 ; 2 bits ; 20 LEs ; 2 LEs ; 18 LEs ; Yes ; |RAM2E|BA[0]~reg0 ; -; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[6] ; -; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[1] ; -; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQML~reg0 ; +; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |RAM2E|RA[7] ; +; 19:1 ; 2 bits ; 24 LEs ; 4 LEs ; 20 LEs ; Yes ; |RAM2E|RA[2] ; +; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; Yes ; |RAM2E|DQMH~reg0 ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ @@ -283,7 +283,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Jun 08 01:43:20 2024 + Info: Processing started: Fri Jul 12 16:06:46 2024 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXV -c RAM2E Info (20032): Parallel compilation is enabled and will use up to 4 processors Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2e/cpld/ram2e.v @@ -296,12 +296,12 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v Info (12023): Found entity 1: UFM_altufm_none_p8r File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 47 Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 166 Info (12127): Elaborating entity "RAM2E" for the top level hierarchy -Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136 +Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 132 Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2E/CPLD/UFM-MAX.v Line: 77 Info (12128): Elaborating entity "UFM_altufm_none_p8r" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component" File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 217 -Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 140 +Info (12128): Elaborating entity "DHGR" for hierarchy "DHGR:dhgr" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 136 Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nDHGROE" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 139 + Warning (13410): Pin "nDHGROE" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 135 Warning (13410): Pin "nCSout" is stuck at GND File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 75 Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 @@ -313,18 +313,18 @@ Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 50 Warning (21074): Design contains 1 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "nWE80" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v Line: 11 -Info (21057): Implemented 324 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 319 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins Info (21059): Implemented 41 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 252 logic cells + Info (21061): Implemented 247 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.map.smsg Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 13 warnings - Info: Peak virtual memory: 13150 megabytes - Info: Processing ended: Sat Jun 08 01:44:10 2024 - Info: Elapsed time: 00:00:50 - Info: Total CPU time (on all processors): 00:00:39 + Info: Peak virtual memory: 13143 megabytes + Info: Processing ended: Fri Jul 12 16:08:08 2024 + Info: Elapsed time: 00:01:22 + Info: Total CPU time (on all processors): 00:00:46 +------------------------------------------+ diff --git a/CPLD/MAXV/output_files/RAM2E.map.summary b/CPLD/MAXV/output_files/RAM2E.map.summary index 1c28efa..7de7e09 100644 --- a/CPLD/MAXV/output_files/RAM2E.map.summary +++ b/CPLD/MAXV/output_files/RAM2E.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Sat Jun 08 01:44:10 2024 +Analysis & Synthesis Status : Successful - Fri Jul 12 16:08:05 2024 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V -Total logic elements : 252 +Total logic elements : 247 Total pins : 71 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXV/output_files/RAM2E.pof b/CPLD/MAXV/output_files/RAM2E.pof index de74997e4452cc2ef44b3831d0c4d5950c69653a..67e90a5e3e871c9355c5815f274e68a67ff33bcb 100644 GIT binary patch literal 7861 zcmeHM{dbgAexKm-ri-xkZM%x3p4PT|_CPRI7Evc%d^uYccWc$tRg8Kh94ZhS3uuwMLv|w>{{w3h61uDDjz_6^Sn;8M`**gz!8UL6Vg?&l8L@Pv&`Y@3)_O zpGkoA59kkd&Y8J$@Av)vJ~KbKcoqRh8dsT($b)%4-%?RaPxtaMe`{uBuwNxbn`wsJv~} zV=F3edU(}iz)eg^%mT}+Z@=o#s($oW3#+P@UY$56F%w63t$l3OW2;v@oR|t6{rX1Y z>)$e8^v8!xq*cMeq~gQB0pF;z|@i?+0mpPGva08$8e# zRi7-7)uf0=PBeKAcu`rvb6HTf(zB$=FFkS^jT;RApa{93 z&vh%NU>*yyzx;M+h5wjx0hf?pr13y%`vP`YijI@_*9K%iSup(96wkYC@SF{A$o-wu zmH*xaQ^$@@dFg`>!ZnG1Xw!bNgYzz{d-Cy4x&P?-fL}6otYXT=uf4Xez#ct%<~l_4LPvUW)U+GdLaexz2epykhEet5=U(6?Mw+rz1yh zURl@GedOaM)(Z!`bh-27B3HFW(B2l=S=?>YF=v9(^lXY~QE>#Zf3SDvqStE-pp zs%|!LTX3$Hn0yWtCu$^5YPxQ`Ou5{F)wB%2fqinh@Cr1`_)#DtEQBA0q2 z;1@0AiDTe8KjOOA^a@eYdkSnGP!`_-FY9T}*XN;1F&!z*SLcVchynjC$%!k(!0==6 zE4Y;9gC6_A@rUfw{M#X)El`oRdx9_g!~|KozQkzOhJ)@GEQ3&QIV&PapOSXniBe{Mxh{z`2a|=aPG5wafO1=`LdV&^OZZL=30=E$Wi7pz&}? zdCow)6r?7r{Co@qDUu+EO0jCW04;B4zI+wXn0 z^`W|+6Ftj%2fY^;XMXj3bI-yH?%cL`dra@!!i~6Y>_b`Y?;+jo2UY&Fa(!`(oi_Bv zf{!fmIr93yyu2ORz}51l3bBUB%L1)W*-kg5n4)26HRTL{%o$%HcV*oSxyUoBAaSM1D zgHS-&h8}jIe&KhlkjrgCU+F`hGsa!bS27QM>5IF1k&i+SHbQ(6LZ#4r;a?CMewtpQ zKiRa)O}9sO1ba0-MHyqio-go&MS-k?M?e>cpe7VkSzkbJL^?_6!wcpq(iV8yncTU0c&I#c?^ z55148Xx8SLyLEq&S2xypy^9l5H;vx9_3vhr3~6-!$P~ILF~i8uB-1x4zhc9Q&8J$Y zOB=i!_{O$Z=3Lsdqhsl|9ZO>W z3FLRA{fK)ha$QLF3IR6}}Z(n`@`3!g^+`~gx1%XB7_%n|b^0pB8Jgw&f6iREn zh=Ou`YC7HjA;;X~gmOAy&j9wo=P)WTeVg2zxgTfKNF_N+Jgz^?2Z4Jr@=>LQnODjU zpii0J=Y~%&Y5qZ*p1&@g1piIu+(A7QDG9Z-J^x&^CHrW-;L$|gh6MduSZT)fW zoLOTR)jXA$I+95w;`$4D9)0`Lo8BM!dDqu&xMbG&ydSHlOQ+^`9gXK7``2G9_S+Y3 zpGmW~ell~^8n6AA^Aj^i=GOeOZ2!UAyH=))j+4pNrPw-qq`AMRddYF8Ia7vvpFMYS zux{H=X7nz*;@axW&Np`SbUd?k+cV8&xFfuE#{ZandUd6K-q3w_A}*LOkXL`-h~G?oX&!?1v!;2rh{-zScVus4vuq zt{;kQyj^Moeav4X>tS~+FRxmG2mQgv)U$bhlU`5&{}|sPj((zWpJj3VaXFy4it@78 zTb~jV$&^x53wi;*L?`y`Ks?ZIG(9e@$Xo}{4|-l1`e)Hn5e*UZXLx>QA1R@<8Rh(^ zKEyZLC<@%I_32IUZD?8%ma1>rXoWJ8&>n&FfTq)X?A%~QgU9{zBY24iTQ^<8=NZiDErsAs3w#y%wzd!SMMa5HzIsY+t%N#?$D~zTL`hVCnv-86{{^`Q8x2KLxA3T5a z(_@*R%rX8c@$mPK)n9pV*2vL2F8)PH!>3K#+&t3!^wUb~FX`ZYT`O-MI&kF0p1OzG z1#h0}cRr}DKJGZ>`eI(sb0=4OA0Isbz_Gd;Ix;(7Xm07~xOH1|N7+9@o*dQrBlY|X zWHe4Hl?py3$M`3X3yqi80CiyMK_;c4m-Gl$SG);b4g&@}b~ueVW4^gKJB2z!r=O%p zzYg?y3GvhVvLb{OZi;q|HQfW zPke4MqO=I)r^tQHN6)R8AM!s4qSO2d(=Dcp`O7FI#%!D^8Z!J`tsm~w8ZMchf1G3q z_w(QjB(C^keNd13i$VkEk-+axkOzEyZ;;$qFh~vzoBKftoI*-63x;HwfE~=*9WmI& zjDCAV(WhuY=z8)n5a7Sq|KxBN@_TjtDqUK7fgXgo`W+>igBZY{h4YKl-kt}CY&0JB z620njB8yUnzL9GC@LXK9iA0eRD@pjv$NUtoi02R4Sefy27Jd^%EvzH3(Q7pS5-A2h zvSw*hxCe13!Lo$=vO*pixClZTTKTN+tF<3Wc7S+W9lcp7iM+8-(p&S_)4i@`r|D2K zTY3|5K3scGTBbT`WBK*{UcmXMcwz|ka|s_9&9?c`^4IP*_HDm5@y@>EEOFrNrSDEl z%&2b0_3kqcHT3%^3j?DiO$^CiWyZ~`YXh%J4&K(4TKP%$kt2Rx-Q^9L(Yf1uP=A^J?Qwoc%)f_vdfvZn*?|w1Wfyk8 z^2U;u9Xr0iYe!sv8-)-d?s76J1?%BbiEf5_7IjM}rl*L9#K%v-nTj!mIIvJa?~d62 z2$!#a`9i-IK;e>J^+NPi8$Wtsuk!`JjAfC3BtoX)oauU!po!s8J>s`X;=+bG0Qq_S zGbde-IK=g#>s&UK81^`Wy!aenG#(ZnuMrEOH}*2#^DsFS#1AleZJf;&-Cwv{$H(U$MgT%AKy%T{cDr|2vzET Ygpdqhy}t6mS04C(>VX;Nzhcq#zihsmWdHyG literal 7861 zcmeHMeQ;FQb$=KmWCsb8mE|8hVUiXrXd;E)Nx#BQzEDd62U=I&4(6{ zcP~slKvF~E@fa+3R!TqI)U;w7GoS%^_o=nP^;o-d;$jTq?Uf0v3S!?A$ornOPxqew z?tQzmowR@Vk6NSMyZ4@Re&^$!bMAe+-+XkXVHlH)srr2t-XGiX#MbRQwpD&}>*mIK zyf<&!`b1-6{npAg^;@@XZf>fqUOcyYZdK(&J2r3GSh;XfRb|!UdGqJbn_pGExbpFb zD<9dsy}t5m8#iwUuW_4E2FUwY&HuxyzxdPYs;U+D8Ngrwz@z?V z7}I`Re^77lD3c@+iQ10Ab|RMBB}r1>U~-U1KHc6YK+|-GnB?FK={e6zFIWfN#!Coz5Xo zLX3+7zBz#}6#?uvIV8M~>@4EAlq2DjkW`nm;vdJgl$_Epf>HV5{Dys6Uh{ERSl(~Y zwH`sRCqjTP*lz+?;}zlsJtpS77(eZYZ*k%QpV=+(!SYEBus5Oo%)%$hVZXvv@~l7y z5BP*|h`Ty|W*nPA@ov4Y>;P`7_RsC}!WL0&!by`b{X*Ov5BmVWflr>F6(-43zAu{3 zD_j)^tv{fX4@R>kwwpxksFnwQza$^K0e|`^e1bU1F|PF~`Iqw&etUK{-QRq2QMZae z*(X{&D_a*k26_&!K#vqsa1%J&^xPymspXw40>P1Ah^j-dpUbq$H%H5_W;;AdOk~r* z#V0}h;xTIS1!r2`A_w^-8P=($8=KFyJ|=7g82CC44z()#F*nurMgDn6hUu85*Rj47 z*247cZiwDKmpz+4yXrL( z@$kjSisLtzzum9*xASD_7c<{2*>m6I@u|mdt23sI&U$g~(WB#ylYaM@t`GChFJ?CF z+cRbIe@>tKd%~FV{$g2v{pf39Bct=FbIF!FGn-1jQ8BG|dSrh`)s)fMFP0m|aQG(= z2J*Gvn5*bhruERe!{wlV+bDUq*9{xfP$#gDzH@2iriM3GU3&J=%8ljQqQ7YS;n`4V zaQWX@h?}CPQvbLiV`o!a&e^GDi=(f6bw+Bzf)$+$+DQ8c52bIC73YJ}b7%)iN7>-gsM{<&QO-hvPE$bUVK zPy%v6{xHhoa{@g|;Y*BhkFbM9{m5IT54orI*>%)-QsW2v?$ByZl-BE}nTCRi`hzay zmzRYh3;ht92kS~O20Ki>e}R8aILJ z7bj|UnZv@7Y{$AE0~)IvEHHJda&PNzoE5B(GE z6gtK!79PK6L(1GeETs8oL4Kc~*KnSNEs=EO$@VeW8%+$86Bh64_*gr7YBQ5>9}s;b zBaypCCsmBzZM^rHs+(oA?u@+$`>owQ(=t=|ts_;k?!NtRX* zSB!pc9DX7%E+x-~Holomo^e|KXxVq8BNr_DsqG(Hm;PMi3z52V_;Q1NwfEjL89TAm zdgZ0I)Y1iyA8cz2{O5?X%4`1~m8YBHG>$x!GMSd>ylQ{YEQzs3S5l&j97}jiQjFp( ztL3x4Z%O6|!93MZ1X9jsrILkf0oK~)F0|i*Dq{w zWi$Lj9cuq1$7tq;P@g`BJ)9y8ikyhjhdGSZDTy~uKJ;^m^j3AG?03+PXGJLm_zD6iue?Jm?29i0ypW#VSzO&mKl4Rn(0X!IY4#VNYgTM{qD`bu&M;-W{ zBds_ST%DJ~tD|l+4gD5Tm$D-#)jq)ga}qo#+pPF(%@+ zmKW?;w~TpaqbEt*o`&hhGiAdghf1cDJyt)u_p#Kw#&~$)u$GVRHr}wOZu}4H ziQ$gS{yEk4^4fFlv;T4=I^+33{+`mF4CrM8uTRhXN}BbfADF4xSB5Ko^7(>)z27;z zY13e`_u0OdhDazn+-BKdss6j@foMeg&ze$)pKP*|scGjjcFlt1%c#H4Eq$!)=d%O* zIVU;d;o@ix)`Sp8U4JCAsp9>to7pksQ?qa4{8RDg>24GqVjjd-ji^T?xQj+!r`9?8 z?ZUT7^$+l?dZW1Wo;r^i@`mG@i#!PS&qATNP9M~xU$>~d#fBq)mYapVXF;9ja%2YQ z+xMvj*Wscx3Cz4 ze%24Ukw`n%<75I)$*Vqq^$U=PO>wG4pJ2$(^#Pr?h7d+5P7lHlalJrCKR^u7 zeu{I{8E_G^03Y>*nh@tfPm-``J+lXapB>lxdo}MtpUs3b*z8)qX(_v0l%h=P{5U}! zmcr>Y(Vxgc92{ywZ78eN`qjKNr4w%w(nWk@P%wmg=@=7BNz2cquD}kQ{kAQ3#C>eu zE|Zq?$Ak07bG}@B4!i<3~>(q<>rM8lM@?J$Oai7e9Tkk=eg*@G9Lf<<$3oHD&aPnueS1aK&f$ z==0C2-BX#FYV0|)!s+;vh9x8SjsE?z_Z}!2u6T8?-k&)2_MY9e@`}}a$Z0rRyC{0I zt?kfLp%0?gbAkVe>f!6Qy~>_@Ce_q_-1>)CkEa(e_~ZN9mTGz(S(d#`#Y^BGPLa5m z=4#*6eNc^vqdFJW$Ph7=UaFGNs*&}Yeo@HteIhQ9XWZ|uqvNQCR^VBtI?r-6R>U#E ze;UaGJnSD;gGG$!!rwXS}VD>qdCpsH^2ga1N#KWxr1!OxrB93#I=4MkD@&4 z199TYUOe|f0p^8%pyP*i)V;pP_mib@it9?w5AeCdCl_I4l1#;~=2V~8eWHj5|5cu= zI0f~S!(AHvj%$7g4_AIGlR4D}LIwG3);+(*vn;YB^x7%lA4i+S{UVS*M_w!NT7?OH zCg&E;ZwViP7vw)feWS(fI!CbxK(A4=bsfYoY*Y4)q5s(U@C$a1UZu5=^KsAMG^WmT zMQ1;b-trzDvMkGK>7(ozaZiTFpGa+`h{@f@3x@#-_!TCqG zT4YLyF`LqPdFXIbR8MoeVV@J^$KNh_VDIG>hEeugsYNAocW#?GTKZv)@$$$IW#rf| zfuE~2jE1k{+nU2YwR7fvbwS0>J7(4x?~K1NFre-0Tryx}rdQoQqcl11w!PD*PLW^u z?7{_`$Cp32SJ&?t`}f-~zxl|{iUU3K=Ikwr zGLcZ*%{J>tGoBiRzZzdqgMIjN=E_^Re{M)ETVTEXYFoN{=|cw(9MJWPn+Ct$s&G^t zpzr%>Eq4u{1ALLgIm1C$>$pGqc>c>63P zXE^e=d!5#&OC4MQWZbqAc)s&+KZO|NiuDrE6~8(^9M}zkNEqnK5v-3f*iGr}QQYrO zBEG=Cq|SBNi6e#R#BRmMNHCuwxaR9~7O04inFjqF`ECi~S9CX)1dtZ<3E|NIb$3kYas7 zbH1w!bhbV}w4SnD;qi$+1Gyo+Ke1Ly@Q&S9oWF|FcKnc*r?x$-qUtc*OUZ*~} zskFLml>G8L2zM@frHRmN(OIVq!fsfe$&R@q@Yy z*25Z4$vJdAkT2kWBp29^_~2jR7VXsQm<016k0$hfjPDctpIGMwdz60WORmNuUeT|K zx%t22X!#K8>J#$+6F>6bya*lYUX-V|#+Hu+_*lmr{UoIO7Z>WV#M*>C_