From 5e32b9cbe9d2420ffd359938f9b36ce8fa406cab Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 31 Jan 2024 09:44:04 -0500 Subject: [PATCH] change nVOE to be solely falling-edge register output --- CPLD/MAXII/RAM2E.qsf | 8 +- CPLD/MAXII/RAM2E.qws | Bin 619 -> 619 bytes CPLD/MAXII/output_files/RAM2E.asm.rpt | 14 +- CPLD/MAXII/output_files/RAM2E.done | 2 +- CPLD/MAXII/output_files/RAM2E.fit.rpt | 201 +++++---- CPLD/MAXII/output_files/RAM2E.fit.summary | 2 +- CPLD/MAXII/output_files/RAM2E.flow.rpt | 18 +- CPLD/MAXII/output_files/RAM2E.map.rpt | 28 +- CPLD/MAXII/output_files/RAM2E.map.smsg | 2 +- CPLD/MAXII/output_files/RAM2E.map.summary | 2 +- CPLD/MAXII/output_files/RAM2E.pof | Bin 7877 -> 7877 bytes CPLD/MAXII/output_files/RAM2E.sta.rpt | 490 +++++++++++----------- CPLD/MAXII/output_files/RAM2E.sta.summary | 22 +- CPLD/MAXV/RAM2E.qsf | 27 +- CPLD/MAXV/RAM2E.qws | Bin 619 -> 619 bytes CPLD/MAXV/output_files/RAM2E.asm.rpt | 16 +- CPLD/MAXV/output_files/RAM2E.done | 2 +- CPLD/MAXV/output_files/RAM2E.fit.rpt | 217 +++++----- CPLD/MAXV/output_files/RAM2E.fit.summary | 2 +- CPLD/MAXV/output_files/RAM2E.flow.rpt | 18 +- CPLD/MAXV/output_files/RAM2E.map.rpt | 28 +- CPLD/MAXV/output_files/RAM2E.map.smsg | 2 +- CPLD/MAXV/output_files/RAM2E.map.summary | 2 +- CPLD/MAXV/output_files/RAM2E.pof | Bin 7877 -> 7877 bytes CPLD/MAXV/output_files/RAM2E.sta.rpt | 410 +++++++++--------- CPLD/MAXV/output_files/RAM2E.sta.summary | 18 +- CPLD/RAM2E.v | 9 +- 27 files changed, 772 insertions(+), 768 deletions(-) diff --git a/CPLD/MAXII/RAM2E.qsf b/CPLD/MAXII/RAM2E.qsf index f1854f5..78f57a3 100644 --- a/CPLD/MAXII/RAM2E.qsf +++ b/CPLD/MAXII/RAM2E.qsf @@ -109,8 +109,8 @@ set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to Din set_location_assignment PIN_55 -to nDOE set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nDOE set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nDOE -set_instance_assignment -name SLOW_SLEW_RATE ON -to nDOE -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nDOE +set_instance_assignment -name SLOW_SLEW_RATE OFF -to nDOE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nDOE set_location_assignment PIN_77 -to Dout[0] set_location_assignment PIN_76 -to Dout[1] @@ -128,8 +128,8 @@ set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to Dout set_location_assignment PIN_50 -to nVOE set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nVOE set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nVOE -set_instance_assignment -name SLOW_SLEW_RATE ON -to nVOE -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nVOE +set_instance_assignment -name SLOW_SLEW_RATE OFF -to nVOE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nVOE set_location_assignment PIN_70 -to Vout[0] set_location_assignment PIN_67 -to Vout[1] diff --git a/CPLD/MAXII/RAM2E.qws b/CPLD/MAXII/RAM2E.qws index 33c1c992f887c4e98cfd46344d70561d1f79682e..a885882903e8aa932e6ef688116474d62ad94923 100644 GIT binary patch delta 63 zcmaFO@|tDBLMFz%iHog-81ony7!nx%|NqaxzyxG6=52hR$S8dp$jSwB!2l@v4}?J+ GCI$eAY7~qB delta 63 zcmaFO@|tDBLMEojiHog-m?9Y%7!nx%|Nqaxz<7>W}yOllw5sC(1@ZRAHmqWaeNQ0oK54J+ zJ^kJLRs#O$|DCB}v{&z*d%l0?ocmU59=azK3YCTC*w03MemDHYmTlp!wQII)ZfU}2 z+oml~w6rvBsa@N&W$Wg)*4ia27B5*`SNpf&%|F~&d&ly++PW3D-G2LRx7RIMQM=}e zZQuXLrmeMgi|cORx@}|a!}rzRzj<3z?dpx2w}Ce_D^vv)tJgem``7Efx1_G_TgyVT zLsw(#k=AXSxBakbW2hWw%)bSp%D=R~n2-N5CTb#54f}`p5wZLZO_~OW2Zo9Cu6=_F zG)wmwvungYi=6g@eeh%rKe4S^kXGdHPfwZWhEI(o_5Dw&VCmo!W*;jAflk`@bW9Zk zxn>_f0o}K&i%-SGG~J(}lc6)5_muK{HY1;x_${5=^D(6^$tB{789jOM`yxeAa!6c8 zS2%6xM!v*~=ZJsF{uzElALl99puj()f7*WR2680i8+q0)AO0p49^jWW^f-|poHKN0 z&M_rD(r(F*RB1K*QIc9~J;wBatMr-sXQ$TK?CI zCJ%)|viKQw7mFiGHoUQxF>;RbL<;ir__2CCtgtDFzcvYB-JrdGY;?4sInSAMNTP#S zX_6B5Ck|ro5fTD;03d|ezFydPq-c{LTYr*_e4MxPyRfD_sg)ov<14MC$usnES=7Wi z{6vcEDzVR#B|e9Izkriplf~S=>|0MdNiMhZ&kN3-M=ZY+b>aVD+Ujv&BC$gm;<4C} zh-9q%p;K|{=~3qgsX6*M+>*Px{dF>F>J0Rj_}h18XD`~YEY!FB`8l^PE1&oy&6`~{ zRrRYq2^06^%0K*BcFx?|WwXXs-PnF>W%+-X9jKUH{$|aqdrZ73x2nG`J16w?d-Z({ z6<_5`%O^he7tgMqs2RGp+wgZboc$|9Up=d@q5aUWtI8*yxHu2=`nRwBgY}=zoVjOH zbM}EV&!wC1xpPP7hbyYnk%gajc2o!PVd?&Z_qC?ar8mBqKA&3I`O9DRrk5UmxU1tW zi>pS&O3QKSaDg}xg<%z-NCPB>tb9&x!6TkC`K35RoRj)ENvD?w^2ue$9|d`r(!?=C z$fF;%^gK}Sk|!L`^c~48-8J~;HotlB8hwyUnlXca$KWWLlw|Oun9+lL8#;+zB`F#= z{bL6Iz^5ogTkx6D401e?8Iq6_;8*dKuQ|zFj3{-&H+2kuSy6e^9mLP*9o0uj2~7!K zNk7`{4VC;M0vq%iI~4pXWOAneX-THPn09#wfx}k;|7U-5t!TD+A(Ln!@HvJ;z`#hsw7|kPbS|8pKiyy;2 zz!I+dQ}*ZlrgHHgbJ{I}nsX|}9uzpwB zjc(toZ`NFsvixeNUZfvd^T(KZlCbl;I{ns9fZCC28KG9A8>`DQ~1j94%VDlZtDpD{n=m(%{&=qobm zaS_BfLi$nEv1x*%F`;-YoC1$Q2NomG*MjK9{iCQ0Dmp~M_G3OZP3OAJp*;Ux?0sG2 z18S(p^;@WhuM6lqnbbX+?;Zo+$I0J@DY-7Q|Dz-7H$A2L0}h0Y#s)u^t5C*C&T@DB ziA%Nfy!Eez?YNMg{fnDc*Z0qfubz8VY$Ehe6;lK10o~Yr0`_%=chu|hTc7?~WxsxW zb=8_5i?UF~t5vG;n(tYD#eY_*@4bJ+Bg5~^Zx<^+?wE*8HzXo>(pVRqA|D)3VLvd#0 zC-GAt7SspzP;$M=qtTDa2Dy1NFU*`&9CbjbdVAdj9fJ<@*@lg59S1*SZ{J3R)l(YRQbq;)k zHg32-7E_v1rlD{xQ|vg27MwTsTYTaEDKPh7Z$`t9lg6)o0`&^nM*izXj~AdjY40zJ zaz)$=x*GGbb;~Ti9wCNvy^yaCxnZ13QUd+K=(G5baa&)Gne({D?#HCVy`-ntfu_KI zqdyW+i8g|vqzXc5ANg$+0i7BCK_`}=$SM!-X^LNb&|g0p`_$luD8}Wy!tknQB?ePk z?0X}|CtuKwug5zZPpu8=zZK{5G%&TxD?AkwyGPcmqY3S+)GEvW;?Av15A+RIgcpa(&m5RvF)Q?DP1&`!ev;qZ z_4kECcfYCn`n_z^{80JCy`g)qyXInyLY7}O+;v^{(5||CU;kkHMoVv>H!Cz&Q}#^2 z&+e~$aMSkez`%gl9Jy)JGP%2>^)Hrx+<7XfFGbn(!A~}32hLW$_h55s;qG7VUGeNWQeH!RNs-;4%;1QQ?R)6SVBjgXty-C^?Xa9gk+G4*8VJ?FIN zkjf$t8SXn^&~%iNnIQhahsAHqbv+kliT44@v~erf@aKt>nNNT`>FqobR&=xgbk(={ zP7r@1&(P(1)C+DcG?XE~i2Ky~U|)08jZuqpf}8q_3!FE7#q!1B7w%J*9!Vr68-JWx z{CXKnCx&xF(4Q!fAk0glJ>OU0viy*Rl^OZ2dep;wV5l@>Pe8}Mtz%#g^A9gxR7iP{ z0|v`a{z=gBerle*0`P}*6RDvRJ&+H1V8ly1*a|P<)BHF5O_;>Mw~yB|4%4KPyg|nh z$48Fa`pHwo;wTJq*14Cw};z2 z5B!^?<$e*qsO3Y6qvQHt@>6(Eez-9|dHx&~iKvlHN%uGRp3P-G7p?IIn&fos6LnbE zP%6;RfA^ejIJR=ZXC6DP2G#D-1*=otu2iqAv-m%-bIHz@c&`@4?S-l7}YU*7Sf zzWKBE{pH^x4*(z&ALJ>Ba}QR@_UBcO+y02kG5HPXj+j)$f14)RNHqR? z4AA6|u=EQ!W95L~^-w5Ns6U9B#P<5E%+~<>uc}19}JWi*<6WH%d$l=raiYVS-e_B4~ znS{{{^+m$Zpd*>J*mI-*T8iqPCOog;chHZ*_i>J5v!Uxsf@#LBXdKHYwOsBd1yRoATPD3+Do^6j#* znhhbH0v*ARXCDgnHTCns<_&ZAI#V=xV~8eduB)~0$FU5Xl^qN{^Oedz&=>v0nJRlV z^UVCQj!d9c&8xO{j(2vX$Cr9eXQ}>_+yBxhXVZV@R=#-OgZTdC zz}}x`R=)ME-7A(_`*#S`AFze+0Z+`xPZq%w1}-z0V(JpzEBYSG8GI9e(vb<^((~&j z&Vv{FeJzyQf!|N}n)&CmBJNU25R7~*ytJ~Nn4x$EKR4?%or*%rB+jL{p?h9IfPTsT zXo(;GkuZElFV4^KBQ926F_3@RzR~ZQzHjNkeQ70fN^&4ipdPh(p4Lx?RRM#xNX3?T+7S$|VT)q6h z%|E`sX;XF0f|^B}Hm|L|`@7Y*uixBMee>G&n}Lm75Sb1YH!r_q(X}=AEUc-yu`V(x zav_#hv~FI%c|+6MNF{jmzuA##Uoa2)&rxlnA|lz)IkcOI6}Bi+)Hl>SM5G?x-6uga zbeEVC;ES2L$SW^g2R(<6Bo+f*N%A@~<9gokfg+dWkN?PuAw4j1@EkXDFndZ`!SFdb z6Ldu$@LVYp$^!2v>sqd!YdU$rlXQtf`TU%G1=}>AqxEdfpN|)My-1!J)3jPGjbUG! z4P3=Vk1mnI=?_9=Q94}zA*wvsHKFCTh*BgfVBIB}AJnFGhM zo3b68C{L2>x$OmI`*}DTeoy1QxaM~U%5)0%50DZb6(|r2@>A#JldVYaMcG7<|!{sT(AN7S4ywgy&vlZOp$qxmB#~g*a%(6bov+{pU-VZYZ_JTt$FMTL}*|0S79Ts_y&ZSws%Y~Bk9_&vyh z{5^s6hGGywlINkio!PSARb#uDEgD9h!G2{4rL_FL*F|o~OUX~p2JFS4nZRgNvI zsJc2b{*9GabZNiI>o5IWZpxa-^opaI+)rkJ{>7>)Co-Nodk}hnukWn^Jtx0%O1#Lv z1Ns{khaevKJbIHd_R$XqZ&}y;_RWKjJ>J}W z!=}W0b2}c7{q{rKw##*u$-MZZoRiONIOaI@ixV&ZTWz*>*KIpGIzqaTTqWT*F1&U| z)JBf+1Hx(SlboW8;)p-3E)qxHBX8s6rGkn4w$TqQqNw*AvUnnPzI+B9GAL2coKg67@Jg`C*G`^TJ zR)hX>XK0U+x1R$K|LFV+a?)QpNB|-&po~NKaO;Sl()tv=CPf>>G2-}BaIOr}D!`d-h?JKpMsma(O=^zV+H zmC&qldAbAs+EIE1``{-~>N>9R4e!63vnJ1}n>9XT-ikSq zrk_+yn|E;}nV4H`@GXUbK>e)o;Wm1`PklrUFVKfas{V88$B8YG5FZ`L7l$g_FVXZ3 zW1v?YsoE6LbluiqpWSmf)|~78;bVQxw?6#-#{WIo?KYTIuc`I35^r#^6;(>*hG zwmS9u63;!;k=Zx!@RfLFZ=_ z@zorV&pP$UrR9+`jA$cS(%{wXhE7W7dpz$_XI6FV^Q#~A23)y69K>la5a+aD>DB|t zS3ype3c!c`jaCECM8WmkVt`YIynKE%OYnvbQz^MdE$2=uQ&*PE7W0k20{k~mMfYZGH}>>pWe_Ls*k$>5V7 zOOG?lCa<7&MUkg5p4B)@_b0MLeZ#0j;wVEU(Y&-=+tTs{<(;f>T;<6isn@v-YC}AU zK=Z9wk?%f!`(EmmoBE1dbsb0%<`?Q$Ko58{AL>3(s7y)eaBlhq;sP@0NsBnGG<;!v zC42J~B?Rb!R6bKO89dP*{JTm8PaAxmLmmGMZsR4w~z&)?j6uN<$T`T9+(d#oWoqToVj6tbUeOf-;Fyu7MuMy3OEjD4*a9>>P4ibaC0(`dRZ0z)?^D=;VuB;>xKchkyUUL&;mdeA|3f^tX$X3JVNro+ zl~k5nrt82#z451_n2j7Pt~-G974-(JM9re)klik?=X$=CrHM=EEB7CbFP}%A0G%jn za1-`hs6U+VF_+S=XCoQ4-?0@k_dCdEs2gb$?9Xa^Ku@7&B&34R+|%_F@`SR=Yb~IZ za;Qyhu%Uwo^~Wq-f5RKY^=K6J753+_&Xku&mZh~kDUwAO_fzEj9Gh;k{em1Kc>lufghm$MK>Tx!uPh?-gKGlp6CTjCj`PN}3U+@L$V6{gBop z%&f}`B=}(o3koS^@Rxu`^A(B#$GL@lyQ9b3Jl_^}Isb7^#~?L|?JG`}DzUH|v*z&_^xtzBzA^Hq{=R;f0<|e%~zw({8rc}kJ zkF<<0dn0qv%#nQmBW8aEwq>bm`s!HavSTx<;_tlPGWf-xR!y2TlIVZL;1dUbIZd(K z*WBFm))Q6RrY)R4_6E(EQu}`5$yW{D=D!?K>%KFu^7Y)ztJb^|nLbweg{s=xvxz64 zEAP*?Gwa&D-rk?~wcm8vmv+9_VLu+be$+l;8+x8U`sns|zx@2^wF`FUob=os|MIUL z+1grt|19I-N8|$h|koJdI~vf8f`z7kCxZr!?r04ZF4dumk5S*0kR9M%`b_^O&Z`y9gH~^nKKg?jE?$ zk$sGCf6d~Yz?u@+Cal%CJaJ7gNUr6M8ae5p+l*zoct6AbVN{xiqOhMEyI^35*ZYPZ z5A`V?=ms5fI68_Rv5vD6`IpBz2E3jV?+SE3!7+>Z3;r>3*s2wF67giXT%v8L0(p&+ z!u-N{sql7bsX)Mletmg0a=e2^KI%8Ec#2tqcxHT8N{%l{wz91xd5GVMn~v6FGuXq3 z*=}#2@u%m8^~bqy=7pkzIEoT@eMjIP6v~IaR6O9iCE>Ea+za~!1pvJiof2^ zPF&-$-~3r1Bx8lH!LOH6wH1&+^xQ*_Z9lW;uh;ZmbaYC*@}*gq%Shyw$PFVG-aobL z_n;Tpw!@A*HKk(e(acpVeiKQYtccul!8HGpHR1hp?>ApRq^`ezO~v;|X8Mz-F1E&= z+`V8<%}COK<{A#J=$#*Zl`2EDI<@#dIhNu3@agO9>9QsjneKPUfq9vJR^0vLnCB}Z^&r#ez z@kWpRc!eS4o#)XJTNwYqzlbmMkRIS?Tn3z(^CqqZkA^0D0#0T}neK+c*$mHqP5+UFuJQ^^|sXt#B z`DVQY4xDymb%T#Lhx`D2(*GI0e_^Knlq~pC@~$Fk8+8@-2go*c4#`ChoaAUA;4k;L z62&3^VM;YZzI;|+bFWh*sDwm51MDll5RT{R_X7uCkD>lJhrJBvbUF(<`i9blD$zuX z>9n@b$0NSa0m0y7Oo;(eo)78%5+~0?e7MFp;RC+FP8mVo7W5{#QAyX!mhT_OiZ1Gl zD0&dq!7RL|)$i2k(9beH#mQ^Uz?-CyjogRX{(RiEePw0Gd z2EFgIBiJ_))SiuF#PK22-AzWa9WXa798Zk^(Hp1SDS#P}~BnK$jx(WR4X%>A=5 z==*j^f3vf7+ssZme(}#Qt=={|_sWWL{q^*q{)P?>CQyI%n-Zgmr!%#i-nUPL^=DJ2 zXZv?sGwU=+cn14LrK5?{p^L?7e8UC>ThE*94 z{hYEMNB(NPTE4bJdRm{)CiLp_>zsacPMwzlI~bm8S}x?BqkE`Z&Cl?)&-u8reDoXi z9en?6`bDTWI3Ew3*(dlX^iGU=og06I`p#dUupj;*_9uFcJz6i;S$RCie{TMX-cbL< zd}0j!LrEn*O)sziFY?8C_Hgv4PvieYKG$>jpP$5N?gwwF9JI?0|{rnl<{{=tHiA6Bk9qm7aGJ&@Ra(q7$r z`rZ3hLeBK>{!?SG?!J4@`OdlLe&^h~yZ7F+Iur_(gs!xIYw-8`+aBDqbz5`gy<0Xl z*5Pkc!1kdF!@Kk8G^Gd2v-`)zTYoyzzz`s}?S; zy!XMa5C3&tb7j?nsvDcPZmhiTuF5r=w$@dCZ{wz|unk=ingJJ!*4}ti)nESk!m6rO zi$c>vS7B=1=B=ByK2o5YpowI23;lDWjQ11Na9vs zV_rZ`#rR|}W)o(#@wr7@?=rqdz7S7(n4+*t8GAT1a`UWdz=zz}ON=Z%vVfNJLC>tuy^5?r4rKMX#ILl*9_GLc;?xd0|R3L{x$Hl;=y_y5{{>hd|A*GzLHsfJSUY}f&+6O8NpUH3^T!mAz7PQ()|JWRedZmdQ{x=36-oAUrq48sbuT*@wY;p0@rEXs=?hVYFmX&*;yOPJ?{((Fe+_ZW@kn`N6@Hu#eLF z--Z3mMLpwv^`%!q{>=x=L!}hI_x@N=zh}>`Zm9p{duJcpvwGtt=hu+wTshmc{?&x$1QFYpb4YYXdJVKe(3C?7I)@(l)!>L|Mqvc-ee= z#1#qB!sOTH5$h_B@ZwSn^gyf@f&EGjZ0IsPAF%#&6Z!%F{3JNCff-y$DadlzKHX&S zftSL*5f9=9{z1%P9!&QW=m(V6%IIZvFdy*9*x}_!k8AEii_!f?jviCgGyR1(X7gU& z_j5`MHwGQxOvo|%ptHv|gq=>zOS^AH`y}}V{C|9$ajS7 zH2eZC{^NuIxE2SbO7a-$*LNHtEWh{`<-giQI^Znsj*b+V{b=?xLqIWng+$kG{19%4*CPjk`&$S zQY-9feZcSf=XBQ#cR8)S9r-=uUjNelzu53A8i_x1+UCz$^t(P?9e-3E9$s9&>u1CB zM`t}y9x54M`@kGqKiTlprTQx~cFj$Xe7*elPYf^r;NmvOFVs9R$J!?gz2QOr)4oNY zT$)$^lRKm{c5$h@u;fzBwe2=PQL%Z&p!e*#`JWA6UB2p$WzHB|wx?>>Xw97KQrhOP zr>D1}C3E~p+`D;ecy@fG?R0PT?2L1w&8`o0aCe`tce9_ZIWkz^-tD~j=HB+#x>r59 zcWJPG&vA4!_5(b>nTRd-(<;ww{qX?b@T3;~woq%&JxL>JHxGN>Luc+ zThxK6YdOUp3Y6;v9!K5o2W6>-My!9w@WbMpz8svZb_7?7{!bZ(;C?`E!r+kM8OBt= z4|EFX#he8Ek|!M^fqjgjzCnysjt6pbaSq}cG35t5#7!av zV?_lkkHS|m4vZQ2WmBGQo`i-=)rwO}`9nEn^gUBwR`0-sJ-Ptq(Qk@)MLE>rANKIC z(X;}5&#u$>&GIBdu6`}YJaJO+E{FE>mt~%kQN`1cBk^1djM*HIZNrGU%kdidK$F`? zSsr(Er1~{w?rn-oM|HKPV=<-1y<&a?yndXhvGuA6Dm+Cvr$uCV7U6V>tj7VxsyKEZmJ>5NxIqY*68zZSo@mX{9XA!+0|NBI(}^T<6jCr zJ{Ah?t_oe45z5>8N#+i$)bsy7Q+C_0-!H$dB2@OlRiT-oidW}`zH05W;qa^OcmAlV zY}$BVEVOKPeC*M$l`ow&Jok!B(4U&Z7xdx>-duk8uqfZYWZK+O$gi3;9KT}F+9#=} z=V-&mL8m9~)wf)CP5fdU_1BznPS0b(`s+78`=0yRad-NW-oaGj_&+Uqs=a&Jswbc7 z4(vT8wDf)DkRM|>2r#Q7K4on_i~9+#WIX{%4ChRObS>p4`y2V#CzeBAu%8~PA<}C4 ztn(I8&|k41*b~K#J?3Qsd?IQ0$;=x+7T@S?4)}8lR7jXejDJ(-K8JpAUxa=Pdw~02 zZ6J>k_K9H{dbs!+{d6lOWwHLyS4=-~7)VZjM8PPAHh|LZAK4CAxmv;33 zp}^iDV~2AZF`mTz`vB@Mh8tbr&)A#$B~g^Q6l3epC?#ZGgWnd<)+g|Zl8Ead4T0Z* zzO6UR|w4&_BrXBS$#~dvm4Hse|yRH3EA^gg~u=Hd+u*a?y=tMTedHbkHt^yS-3P4Z*z+4AKbJ1Ti<$V?IVvo zbF_X%HR^9~I+0j4zq+lse&hl5lI$RV1xYWNF?nXFqlx@sL_QtxCl>CcoJ_jl8~B9{ zxBK8Qv%gVab)lg0405H<-xubdB`^q&WAV5ea)dMa*N}ca|`zS&F2~P0aa`J zpNT`*{jLrC;Tiucsr@O>4EusO1@&Vxh4?4=fygW$$jE)e6U2-AvCp`vn+d&hjBq{+ zN(T10kD5G8)(@*O_H-Y2Fs5wx5ms*OEl$Lve5)^!w^Ld)U9LYyxL*=WLW1Q{zr{(R zf7o+j(tnoW{FgtD0Pj@nQ-OJI@$vZ&>onQ+*^1VLTuuq81t+z3qW-WRe4I=w&($bV z_-{%xV_#$K&lODEsJ{Wt-XOyLqej{rq1)Tepq4|1x}|D;Mi8 zLYgTWvvCu3!?Lg~gVWI9QZO%w{u?+2!Qj_B6I0YRp^uRlM4HV{d*L*{i*J&Bm-4-^ zdxhhMfqM|c{Ujo}>MW#F1N@F%|_)YS{P1suO~G? zpb627J9k|CXxcw_9EtS%`ahP29v=;@+%s$Tg`fSj5P}Gm>HTj9&ZThZ;U^Ep>X9L z*Uc>*xq9~tw}j%OB~?b=_MLBP6Tc$I>Ak(hkN;Qv?&~Ar>b8q*ZSU1L3_5$2#aH}^ zCw8B|{-rzLS@Za<^{Irj@2zF&*|YC^viob3`75-d{v<`f+elKLRqmjtKSdi0@Pj;` zb8WxTNC3GRUi>^{{2M+K?eJyo`Qxm$kwo)OoyErq#m)Rhyey9A*1EV>sd4kU&ZE=C zc~hT|v#gRP9@&jo;ZuhCBRY>4c!GH*i~0ilOFM33OuejQv}xJOlk3v`b9DX~!R6~pJ^q=Kv%=L4^%@=-5K7^@L;J_FB+5LZ}kOomB zzN1OBAmSuKh~c|6u*2GKBjnvn%5#wEKGF4WXsg4f|9QU^LI2Bkqi=XS9I7o83ITp% zKl&eF;cJYfI8Qt^Mj}>_9&S_gHWsVl$L42@`q(fv3`RY*+*L{cIOqF&&!+X5m$BHk3VgFVlLPj)|LOAlmp6w zQrvt`WbJHy2k|p*)@$dnxrmnvooqs{I0nxX_>=m99r#zYFZwt4X@eKw+w;-11e1>` zekbA&>T9aL787_=aQsRAiFFn6S>g}kne_X=%;SDxaBRMdIIPRYDJ{MT`MVwiur+Ge7XKPzxaLN`9Aaez={s@`@p;SoLOMzM8BLLqi=CA z{^9)8cs_><-7lU$&y)Y_e9Q?=FP;2FsM7o*giZP4-xnG9A_MmtVJZ>2*shmn^Ti^MNft z`G@+=6_txCmu}v&zT&R$SKPjFOMS)a^&7W9H!(Fa8z!#5W9hdl@AC*3>R~Q07X~*^5zARQ;KzD)J(?u5dMc^|zR-2B zE}YW(c@BD{9`dP`VT7QT8L6Be${PDb1-s8zLc|XqFnsJ!Q9AZBam>eouPHC78*Hxc zMFib(GWqdRA(P27^*b*-0$k?}#6bnpA(xBpPVjSh43NT;0tWQ)Nm7N9-n9CL9U8jO z@QHG%N4uEARvP?uMn0r;&d&z<>?>T6tM5DFClHt0d=b{AUUkNm5)|wk+NSuP5?_c`rlZ<$+|7yt zS3*gBSM|7a26X{kYbP4zxuO^5o1ac0|6g9H^5hPEWcEpW^M88z@K1I;R^3;;bxz;Y ziRlyNmy|vEPsPT>%lnM~oCy34iEF0!_04%|<52w?eNHqf8E>P2Y*~tIelVzqU^gjpK%h`>a1Pg zv14mCtp8--*pq{6*4*^7jt|-&dn%b6sow3`^}!GQFP|6=>Ibhq90Z*=4($1t_H0$v zEqkllV}8iFmOMgSM^ePdfv-)z4B$b&WB>3zYRM0&OLO!I3I8w?mt3q*(hty56lL*| zpbO8iFom%;(TQ;APd7(7rG?wXO`odcvA)5HOxch= zkO2<*f-w38tKZQa2~FKSrmh3t!`>kBk+0BCT7F!&Sa*c_N{s|canV2wd01K>C)9a2 zqzF zZ|lz`IT>_ITYs83H~VM`Rj5ST)?Z@|_)MbjC@0=;+o;xUR7;K5Wg)9LYtwZ+WAqT; z(67VTrr`$?as07v^gXwiWLl2))+*ks!wC7Z->zSAjnH&wyjowhF2e*k_FVCRgLlQ% zkRIvj-5VCWgLGQtBH0rZ;s2UNmhZ9?WBIOkKT%bg*3Lg3UFII>I+`EV$!hP+-Ld^e zseoxwdyJ^{hpgbs6y5h{Z(%>a)%S8YIr^KI&%M5{|KOT!Tbb9^*)e!?VtjV_%(#B& z!dvz1@N|Ax?BN*`s}4Q>@6D<5=c_u3FHGv6CdR$;GHvQaQUAbTvSIj8|MOwPnpD!2 zN4>$zwnW~s-&=e|!Dl;81cCp_tV2z~O;sH)Jlj6_TGd@U=g0Nuk|%UqGV-W5Y4Juh z4zPd*bT$>o%j8eXsNy6vxg2?YulBpqU*rAzGQfFE!dD-YPE*FiB!zTzQ^NWO_RTq? z6f^mZI+W7USrCxJpp&w3+8o()B`bRskw{TNJZqo=>jEw zv&C_t2Rxjs8KJdAKPc57tZPB!q5iUAUOLE8Yd0bu`>#0!K663}1Id)99Hl+fX{r9s ztrNXJpa{5v(q`SL7mI^;gM)1#f0I%vastBnx0apbpOwQXeQfYP27QQIddUyi9_pS! zT{z|*ptlTdZf5qzikkurN zJu?p#Cyvpq-|B-7PhxKP5Oe9sHjP3=L&?Vew{(X3V_Np|7NVgP*wx&#_=-ky=G66r zf=H(W<2Z(vg8F1JpJ`VM00$az(c`-)Q#-7BsrEn|H=l>bKKDF7Y+B1|RWaACmqK6U zOZAt@*j&R?fx_NT+Y=3U#T7H2Gci9T@E4HxdHOpMK* z__?j0#+q5*(pP=wk<}j@{FBr*LmzBcH$Dsg>2(uRV*j`3a=rG>;~mrbp4OGi=c z6LY52jH^X){rSPc&-L277an^2;Kixe=FEL*V)meyg186E0>a;T|k z@fFn{%s)CfZ`p|F{hV39>foszS6=yIW5dyN#6bv$A+DjCY^0t{c0_1*ytkxx z-Z^@TnPf2WD!9~Z@jV2pWCwFS>M22;=6q>8s}~CJWo{DddC1rsGJP7Oxo4Y^Ll5^I zAutLT<`poPXhbA69Y7ScHM~06%p0lGMiMYe*}Hh1A{+3;52LEQ42a7T218LTWj&_3&0Hpb!fp;Or%;! zpu5`d2UdR_2fv$`Vv5oq_J&GEerH3z#}nBf#{R`Q51vOyR0`|x$m9bf+)%$*zkq%y z!+mm;DdCd#;m1;6!MeB>8LAh4s6YP<{V~a&+SD_?AT!_UJB&%4)HPnynVN>%+Vsu? zy-1NELext2hfQ}Q-$;0!)U~KZdXKjbJi=6U?7v-G9~~Q>_M1i1Z|@r^n>r!3PrNy$ zY~K6DI~G1*>+cWj(P};YnhEd+%MurT-0}OKb^8)?57b@|*N?mZm$US(x8J{d>f5wt zW%>MpiFxgVbEllHUGby%{OkVZX+7h63s+C=qq3Fdb3K~Z+nGq5u1zeD<4c}5cx!9q z^=}_)O|mQ94`&_=s;Wl3`A1{_@F$+waq8+9?+C8l)zGkFUdNti+6UjNx@G5EyDi@} zenPI}95Uxvfb&Y5c>AQz`j?w{J5n&yiEAY{qf))`{_Q=?YvL$@C}_@BgbGT*4J+D+53;RW8?+;AXY_`!lTe*OC* zh}->)8eAUpA%lI!_fKRe^!KIdh*Y>9Sbc*N5p$uVaX)s=9EXp}V8nX~--u(~1qS># z)1w0Ui{k?P`U002xdO1JW`j?8Mp9{Q>nBG-1T1OjA}!U331cd^3;n1T@IQZu>AD9`HLu(2pt)!^S-+Eh-8x)Hg-Lyq&>C!d!qcAIf+DWX4$3t{uB84J>`=8p(PjGyz2NX;3uX(GCAe4MB=pt zWpk~6_ZGsM;YC+GK66!H*Wa(2mDpZf@amk)E*k47`-ZK*NeZtz(R{_p+2EJ0{NB`; zit`g?fAx#8`DJJ9`px}w%?8{*-+nSgeO0xOwYR^wY}v_<_EP=P@Mk+7Y#7|wwD{0_ z{m=If{M-H;J70hOw!KxmOa38IFyG5bnEFd9c}xY2Mel-Q{DXWhAkT9yhod>?o}@M{ zIH?romT#((_+)gX>mHP^kKVc!w?mv$G7J6~=>l*LBThoIW`Y}?^aw?hh>yWXyy*-^ zmjh1#2Nny&3Fm3azQs53HFFLedJfC-3?AM~bm4Pt`!M_K# zv0pOrQTPve*p2lKKI~}Vmv9W7I~JEk9DJei@kM`U0`(`nZu9-_je@Hv)oJR3nAL}9 zX!?-i;D@|aM^@j)e-hmU`0hFZdrakECw;ebZeR4qR)PMIK~|bN56MxZC0yL6fqR(J zVZfWEiblG!88jZE&7BhXl(qOTp(t?R$qv(J5lC0?I?Y+GHWS}JTNuk}QHSqSBf!t9p%@3Oxjdi29$3VtTVitnW8AfY~0&>YfOhG3>{oDJt%_vLg8!!E|{2yDF-E?~9!t#C30)H2~ z?&MPL#zv`XS{LN6`u!H&#)~>N1X|8D_=vXK0GVo1)BU_-ah~wT1d2ZxQ zU47}?G;^#+QwMU$;$pD+>?}TSv*$DPZCx38JVr0ZHzSL2V*K;yMlPgN=j5$@@I^^J z#zFn%J%h&#jsSjW`7yq^x5jc`wfn!&A^c@|Cbckf@p)tSTf!^JaXZSh`!e>#dG=Yd zz@cCIy~@Gb&hpP+5BePc7`XBGlB1K==-CE0?tkcF%+AG^{RUk8ec-tv^Y?)jUAR|{ zyo5 Iil3PNKV0o|bN~PV diff --git a/CPLD/MAXV/output_files/RAM2E.sta.rpt b/CPLD/MAXV/output_files/RAM2E.sta.rpt index bb73226..3a33edc 100644 --- a/CPLD/MAXV/output_files/RAM2E.sta.rpt +++ b/CPLD/MAXV/output_files/RAM2E.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for RAM2E -Tue Jan 16 14:28:05 2024 +Wed Jan 31 09:41:44 2024 Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition @@ -20,8 +20,8 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Editio 12. Setup: 'ram2e_ufm|DRCLK|regout' 13. Setup: 'ram2e_ufm|ARCLK|regout' 14. Setup: 'C14M' - 15. Hold: 'ram2e_ufm|DRCLK|regout' - 16. Hold: 'ram2e_ufm|ARCLK|regout' + 15. Hold: 'ram2e_ufm|ARCLK|regout' + 16. Hold: 'ram2e_ufm|DRCLK|regout' 17. Hold: 'C14M' 18. Setup Transfers 19. Hold Transfers @@ -93,8 +93,8 @@ https://fpgasoftware.intel.com/eula. +------------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +------------------+--------+--------------------------+ -; ../RAM2E.sdc ; OK ; Tue Jan 16 14:28:05 2024 ; -; ../RAM2E-MAX.sdc ; OK ; Tue Jan 16 14:28:05 2024 ; +; ../RAM2E-MAX.sdc ; OK ; Wed Jan 31 09:41:43 2024 ; +; ../RAM2E.sdc ; OK ; Wed Jan 31 09:41:43 2024 ; +------------------+--------+--------------------------+ @@ -116,7 +116,7 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------------------+------+ ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|ARCLK|regout ; ; ; 10.0 MHz ; 10.0 MHz ; ram2e_ufm|DRCLK|regout ; ; -; 27.62 MHz ; 27.62 MHz ; C14M ; ; +; 27.75 MHz ; 27.75 MHz ; C14M ; ; +-----------+-----------------+------------------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -126,9 +126,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ -; ram2e_ufm|DRCLK|regout ; -25.457 ; -25.457 ; +; ram2e_ufm|DRCLK|regout ; -25.469 ; -25.469 ; ; ram2e_ufm|ARCLK|regout ; -25.439 ; -25.439 ; -; C14M ; -17.639 ; -171.643 ; +; C14M ; -18.223 ; -201.658 ; +------------------------+---------+---------------+ @@ -137,9 +137,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------------------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +------------------------+---------+---------------+ -; ram2e_ufm|DRCLK|regout ; -14.583 ; -14.583 ; ; ram2e_ufm|ARCLK|regout ; -14.560 ; -14.560 ; -; C14M ; 2.730 ; 0.000 ; +; ram2e_ufm|DRCLK|regout ; -14.560 ; -14.560 ; +; C14M ; 3.156 ; 0.000 ; +------------------------+---------+---------------+ @@ -171,8 +171,8 @@ No paths to report. +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -25.457 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -0.912 ; 4.546 ; -; -25.416 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -0.912 ; 4.505 ; +; -25.469 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -2.477 ; 2.993 ; +; -25.439 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.001 ; -2.477 ; 2.963 ; ; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ @@ -182,7 +182,7 @@ No paths to report. +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -25.439 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -0.958 ; 4.482 ; +; -25.439 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.001 ; -2.477 ; 2.963 ; ; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 200.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ @@ -192,235 +192,235 @@ No paths to report. +---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ -; -17.639 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 18.231 ; -; -17.637 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 18.229 ; -; -15.030 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 15.622 ; -; -14.952 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 15.544 ; -; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; -; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; -; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; -; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; -; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; -; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; -; -13.751 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 14.343 ; -; -10.128 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 0.912 ; 10.720 ; -; 16.820 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.779 ; -; 16.820 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.779 ; -; 16.820 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.779 ; -; 16.844 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.755 ; -; 16.844 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.755 ; -; 16.844 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.755 ; -; 17.014 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.585 ; -; 17.014 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.585 ; -; 17.014 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.585 ; -; 17.038 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.561 ; -; 17.038 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.561 ; -; 17.038 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.561 ; -; 18.060 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.539 ; -; 18.060 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.539 ; -; 18.060 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.539 ; -; 18.084 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.515 ; -; 18.084 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.515 ; -; 18.084 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.515 ; -; 18.321 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.278 ; -; 18.321 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.278 ; -; 18.321 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.278 ; -; 18.345 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.254 ; -; 18.345 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.254 ; -; 18.345 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.254 ; -; 20.092 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 14.507 ; -; 20.492 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.107 ; -; 20.492 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.107 ; -; 20.686 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.913 ; -; 20.686 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.913 ; -; 21.317 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 13.282 ; -; 21.732 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.867 ; -; 21.732 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.867 ; -; 21.993 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.606 ; -; 21.993 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.606 ; -; 26.069 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 8.530 ; -; 26.118 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 8.481 ; -; 28.496 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.103 ; -; 28.497 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.102 ; -; 28.552 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.047 ; -; 28.590 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.009 ; -; 30.057 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.542 ; -; 30.106 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.493 ; -; 30.124 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.475 ; -; 30.337 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.262 ; -; 30.381 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.218 ; -; 30.386 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.213 ; -; 30.391 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.208 ; -; 31.414 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.185 ; -; 31.415 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.184 ; -; 31.443 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.156 ; +; -18.223 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 20.380 ; +; -18.223 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 20.380 ; +; -18.223 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 20.380 ; +; -18.223 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 20.380 ; +; -18.223 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 20.380 ; +; -18.223 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 20.380 ; +; -18.223 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 20.380 ; +; -18.223 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 20.380 ; +; -17.497 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 19.654 ; +; -14.015 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 16.172 ; +; -14.004 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 16.161 ; +; -10.358 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; ram2e_ufm|DRCLK|regout ; C14M ; 0.001 ; 2.477 ; 12.515 ; +; 16.903 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.696 ; +; 16.903 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.696 ; +; 16.903 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.696 ; +; 16.993 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.606 ; +; 16.993 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.606 ; +; 16.993 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.606 ; +; 17.034 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.565 ; +; 17.034 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.565 ; +; 17.034 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.565 ; +; 17.124 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.475 ; +; 17.124 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.475 ; +; 17.124 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.475 ; +; 17.625 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.974 ; +; 17.625 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.974 ; +; 17.625 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.974 ; +; 17.715 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.884 ; +; 17.715 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.884 ; +; 17.715 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.884 ; +; 17.828 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.771 ; +; 17.828 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.771 ; +; 17.828 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.771 ; +; 17.918 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.681 ; +; 17.918 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.681 ; +; 17.918 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.681 ; +; 20.070 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.529 ; +; 20.070 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.529 ; +; 20.201 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.398 ; +; 20.201 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.398 ; +; 20.792 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.807 ; +; 20.792 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.807 ; +; 20.995 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.604 ; +; 20.995 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.604 ; +; 22.233 ; S[2] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 12.366 ; +; 23.933 ; S[3] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 10.666 ; +; 24.168 ; S[0] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 10.431 ; +; 25.342 ; S[1] ; VOE ; C14M ; C14M ; 34.920 ; 0.000 ; 9.257 ; +; 25.631 ; S[2] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 8.968 ; +; 27.763 ; S[3] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 6.836 ; +; 28.027 ; RA[8] ; RAr[8] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.572 ; +; 28.362 ; RA[11] ; RAr[11] ; C14M ; C14M ; 34.920 ; 0.000 ; 6.237 ; +; 28.707 ; nCAS ; nCASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.892 ; +; 29.373 ; S[0] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 5.226 ; +; 29.587 ; S[1] ; RAT ; C14M ; C14M ; 34.920 ; 0.000 ; 5.012 ; +; 30.153 ; nRWE ; nRWEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.446 ; +; 30.163 ; nRAS ; nRASout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.436 ; +; 30.336 ; RA[6] ; RAr[6] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.263 ; +; 30.364 ; RA[2] ; RAr[2] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.235 ; +; 30.410 ; RA[9] ; RAr[9] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.189 ; +; 30.411 ; CKE ; CKEout~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.188 ; +; 30.417 ; RA[10] ; RAr[10] ; C14M ; C14M ; 34.920 ; 0.000 ; 4.182 ; +; 31.443 ; RA[0] ; RAr[0] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.156 ; ; 31.443 ; RA[3] ; RAr[3] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.156 ; -; 31.453 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.146 ; -; 34.230 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.290 ; -; 34.657 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 34.863 ; -; 35.260 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 34.260 ; -; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; -; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; -; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; -; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; -; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; -; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; -; 35.431 ; S[0] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.089 ; -; 36.333 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 33.187 ; -; 36.936 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 32.584 ; -; 37.042 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.478 ; -; 37.390 ; S[0] ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 32.130 ; -; 37.395 ; S[0] ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 32.125 ; -; 37.408 ; FS[1] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 32.112 ; -; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; -; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; -; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; -; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; -; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; -; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; -; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; -; 37.689 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.831 ; -; 37.794 ; S[3] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.726 ; -; 38.141 ; FS[2] ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 69.841 ; 0.000 ; 31.379 ; -; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; -; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; -; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; -; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; -; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; -; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; -; 38.243 ; S[1] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.277 ; -; 38.292 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.228 ; -; 38.292 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.228 ; -; 38.292 ; S[1] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 31.228 ; +; 31.443 ; RA[4] ; RAr[4] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.156 ; +; 31.444 ; RA[1] ; RAr[1] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.155 ; +; 31.444 ; RA[7] ; RAr[7] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.155 ; +; 31.452 ; RA[5] ; RAr[5] ; C14M ; C14M ; 34.920 ; 0.000 ; 3.147 ; +; 33.825 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.695 ; +; 33.825 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.695 ; +; 33.825 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.695 ; +; 33.825 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.695 ; +; 33.825 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.695 ; +; 33.825 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.695 ; +; 33.825 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.695 ; +; 33.825 ; S[2] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 35.695 ; +; 35.938 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.582 ; +; 35.938 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.582 ; +; 35.938 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.582 ; +; 35.938 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.582 ; +; 35.938 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.582 ; +; 35.938 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.582 ; +; 35.938 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.582 ; +; 35.938 ; S[3] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.582 ; +; 36.829 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.691 ; +; 36.829 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.691 ; +; 36.829 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.691 ; +; 36.829 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.691 ; +; 36.829 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.691 ; +; 36.829 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.691 ; +; 36.829 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.691 ; +; 36.829 ; S[2] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.691 ; +; 36.851 ; S[2] ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 32.669 ; +; 36.947 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.573 ; +; 36.947 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.573 ; +; 36.947 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.573 ; +; 36.947 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.573 ; +; 36.947 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.573 ; +; 36.947 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.573 ; +; 36.947 ; S[0] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.573 ; +---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'ram2e_ufm|DRCLK|regout' ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -14.583 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -0.912 ; 4.505 ; -; -14.542 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -0.912 ; 4.546 ; -; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 0.000 ; 0.000 ; 80.000 ; -+---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ - - +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Hold: 'ram2e_ufm|ARCLK|regout' ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ -; -14.560 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -0.958 ; 4.482 ; +; -14.560 ; RAM2E_UFM:ram2e_ufm|ARShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ram2e_ufm|ARCLK|regout ; 0.000 ; -2.477 ; 2.963 ; ; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 0.000 ; 0.000 ; 80.000 ; +---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'ram2e_ufm|DRCLK|regout' ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ +; -14.560 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -2.477 ; 2.963 ; +; -14.530 ; RAM2E_UFM:ram2e_ufm|DRShift ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; ram2e_ufm|DRCLK|regout ; 0.000 ; -2.477 ; 2.993 ; +; 60.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 0.000 ; 0.000 ; 80.000 ; ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+ + + +---------------------------------------------------------------------------------------------------------------------------------------------------+ ; Hold: 'C14M' ; +-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; 2.730 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.769 ; -; 3.117 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.156 ; -; 3.171 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.210 ; -; 3.193 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.232 ; -; 3.363 ; RWBank[7] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.402 ; -; 3.367 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.406 ; -; 3.385 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.424 ; -; 3.403 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.442 ; -; 3.441 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.480 ; -; 3.448 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.487 ; -; 3.458 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.497 ; -; 3.464 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 3.503 ; -; 3.740 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 3.779 ; -; 3.740 ; RWBank[1] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.779 ; -; 3.766 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 3.805 ; -; 3.832 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|RWMask[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.871 ; -; 3.846 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 3.885 ; -; 3.854 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.893 ; -; 3.858 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.897 ; -; 3.862 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.901 ; -; 4.411 ; PHI1r ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.450 ; -; 4.806 ; RWBank[6] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 4.845 ; -; 4.857 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.896 ; +; 3.156 ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.195 ; +; 3.164 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.203 ; +; 3.170 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.209 ; +; 3.364 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.403 ; +; 3.394 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 3.433 ; +; 3.418 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.457 ; +; 3.450 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.489 ; +; 3.543 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.582 ; +; 3.547 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.586 ; +; 3.741 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 3.780 ; +; 3.752 ; RAM2E_UFM:ram2e_ufm|UFMProgram ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 3.791 ; +; 3.752 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 3.791 ; +; 3.776 ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 3.815 ; +; 3.814 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.853 ; +; 3.817 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.856 ; +; 3.829 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.868 ; +; 3.865 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 3.904 ; +; 3.935 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.974 ; +; 3.938 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.977 ; +; 3.951 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.990 ; +; 4.101 ; PHI1r ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.140 ; +; 4.102 ; PHI1r ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.141 ; +; 4.106 ; PHI1r ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.145 ; +; 4.224 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.263 ; +; 4.479 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.518 ; +; 4.839 ; CmdSetRWBankFFChip ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.878 ; +; 4.849 ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 4.888 ; +; 5.135 ; S[3] ; BA[0]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 5.174 ; ; 5.217 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; ; 5.217 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; -; 5.217 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; -; 5.227 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 5.266 ; -; 5.247 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.286 ; -; 5.257 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.296 ; +; 5.218 ; RWBank[7] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.257 ; +; 5.231 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.270 ; ; 5.266 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.305 ; ; 5.267 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.306 ; ; 5.271 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.310 ; -; 5.286 ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; RAM2E_UFM:ram2e_ufm|DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 5.325 ; -; 5.320 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.359 ; -; 5.323 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.362 ; -; 5.429 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.468 ; -; 5.429 ; RAM2E_UFM:ram2e_ufm|DRDIn ; RAM2E_UFM:ram2e_ufm|DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 5.468 ; +; 5.284 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.323 ; +; 5.287 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.326 ; +; 5.420 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 5.459 ; +; 5.443 ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.482 ; +; 5.443 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.482 ; ; 5.452 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.491 ; -; 5.452 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.491 ; +; 5.453 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.492 ; ; 5.455 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.494 ; +; 5.457 ; S[1] ; RDOE ; C14M ; C14M ; 0.000 ; 0.000 ; 5.496 ; ; 5.464 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.503 ; -; 5.465 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.504 ; ; 5.465 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.504 ; ; 5.466 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.505 ; -; 5.467 ; S[0] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.506 ; ; 5.482 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.521 ; ; 5.486 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.525 ; -; 5.508 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.547 ; -; 5.522 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 5.561 ; -; 5.533 ; RA[10] ; RA[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.572 ; -; 5.538 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.577 ; -; 5.546 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.585 ; -; 5.563 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.602 ; -; 5.580 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.619 ; -; 5.581 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.620 ; +; 5.514 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.553 ; +; 5.515 ; S[0] ; nCAS ; C14M ; C14M ; 0.000 ; 0.000 ; 5.554 ; +; 5.530 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.569 ; +; 5.534 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.573 ; +; 5.564 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.603 ; +; 5.574 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.613 ; +; 5.704 ; CmdLEDGet ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.743 ; +; 5.895 ; CmdSetRWBankFFLED ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.934 ; ; 6.001 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.040 ; ; 6.002 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.041 ; ; 6.006 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.045 ; -; 6.037 ; RAM2E_UFM:ram2e_ufm|UFMErase ; RAM2E_UFM:ram2e_ufm|UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 6.076 ; +; 6.064 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.103 ; ; 6.145 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.184 ; ; 6.146 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.185 ; ; 6.150 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.189 ; -; 6.289 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.328 ; +; 6.174 ; PHI1r ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.213 ; ; 6.290 ; FS[9] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.329 ; -; 6.298 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.337 ; +; 6.294 ; RWBank[1] ; RA[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.333 ; +; 6.298 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.337 ; +; 6.310 ; RAM2E_UFM:ram2e_ufm|UFMD[11] ; RAM2E_UFM:ram2e_ufm|RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.349 ; +; 6.318 ; RWBank[6] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.357 ; +; 6.321 ; FS[15] ; DQML~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.360 ; +; 6.323 ; FS[15] ; DQMH~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.362 ; +; 6.348 ; RAM2E_UFM:ram2e_ufm|LEDEN ; RAM2E_UFM:ram2e_ufm|LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 6.387 ; +; 6.351 ; RWBank[4] ; RA[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.390 ; ; 6.371 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.410 ; -; 6.430 ; FS[13] ; RA[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.469 ; -; 6.454 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.493 ; +; 6.389 ; Ready ; RDOE ; C14M ; C14M ; 0.000 ; 0.000 ; 6.428 ; +; 6.393 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 6.432 ; +; 6.425 ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.464 ; +; 6.452 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.491 ; ; 6.454 ; FS[13] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.493 ; +; 6.455 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.494 ; ; 6.457 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.496 ; ; 6.466 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.505 ; ; 6.484 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.523 ; ; 6.488 ; FS[3] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.527 ; -; 6.503 ; RAM2E_UFM:ram2e_ufm|UFMErase ; RAM2E_UFM:ram2e_ufm|UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 6.542 ; -; 6.527 ; RAM2E_UFM:ram2e_ufm|UFMD[13] ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.566 ; +; 6.509 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.548 ; ; 6.537 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.576 ; -; 6.557 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.596 ; -; 6.562 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.601 ; -; 6.576 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.615 ; +; 6.542 ; RAM2E_UFM:ram2e_ufm|UFMD[8] ; RAM2E_UFM:ram2e_ufm|UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.581 ; +; 6.549 ; RAM2E_UFM:ram2e_ufm|RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.588 ; ; 6.598 ; FS[13] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.637 ; ; 6.610 ; FS[4] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.649 ; -; 6.617 ; S[3] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.656 ; -; 6.618 ; RWBank[5] ; BA[0]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.657 ; +; 6.613 ; RAM2E_UFM:ram2e_ufm|UFMD[10] ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.652 ; ; 6.632 ; FS[3] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.671 ; -; 6.644 ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.683 ; -; 6.647 ; RAM2E_UFM:ram2e_ufm|UFMD[14] ; RAM2E_UFM:ram2e_ufm|UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.686 ; -; 6.675 ; RAM2E_UFM:ram2e_ufm|UFMD[12] ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.714 ; -; 6.705 ; RWSel ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.744 ; -; 6.705 ; RWSel ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.744 ; -; 6.712 ; RWSel ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.751 ; -; 6.715 ; RWSel ; RAM2E_UFM:ram2e_ufm|DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 6.754 ; -; 6.742 ; FS[11] ; RA[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.781 ; +; 6.692 ; RAM2E_UFM:ram2e_ufm|RWMask[2] ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.731 ; ; 6.754 ; FS[4] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.793 ; +; 6.774 ; FS[10] ; RA[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.813 ; ; 6.776 ; FS[3] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.815 ; ; 6.786 ; FS[10] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.825 ; ; 6.786 ; FS[10] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.825 ; ; 6.786 ; FS[10] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.825 ; -; 6.803 ; RAM2E_UFM:ram2e_ufm|RWMask[7] ; RWBank[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.842 ; -; 6.836 ; RAM2E_UFM:ram2e_ufm|RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.875 ; -; 6.838 ; RWBank[2] ; RA[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.877 ; +-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ @@ -429,7 +429,7 @@ No paths to report. +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1550 ; 0 ; 52 ; 0 ; +; C14M ; C14M ; 1539 ; 0 ; 56 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -444,7 +444,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------------------+------------------------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------------------+------------------------+----------+----------+----------+----------+ -; C14M ; C14M ; 1550 ; 0 ; 52 ; 0 ; +; C14M ; C14M ; 1539 ; 0 ; 56 ; 0 ; ; ram2e_ufm|DRCLK|regout ; C14M ; 13 ; 0 ; 0 ; 0 ; ; C14M ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1 ; 0 ; 0 ; 0 ; @@ -474,9 +474,9 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 1 ; 1 ; ; Unconstrained Input Ports ; 28 ; 28 ; -; Unconstrained Input Port Paths ; 170 ; 170 ; +; Unconstrained Input Port Paths ; 169 ; 169 ; ; Unconstrained Output Ports ; 47 ; 47 ; -; Unconstrained Output Port Paths ; 84 ; 84 ; +; Unconstrained Output Port Paths ; 83 ; 83 ; +---------------------------------+-------+------+ @@ -680,7 +680,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition - Info: Processing started: Tue Jan 16 14:28:04 2024 + Info: Processing started: Wed Jan 31 09:41:42 2024 Info: Command: quartus_sta RAM2E-MAXV -c RAM2E Info: qsta_default_script.tcl version: #1 Info (20032): Parallel compilation is enabled and will use up to 4 processors @@ -688,8 +688,8 @@ Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully -Info (332104): Reading SDC File: '../RAM2E.sdc' Info (332104): Reading SDC File: '../RAM2E-MAX.sdc' +Info (332104): Reading SDC File: '../RAM2E.sdc' Warning (332060): Node: PHI1 was determined to be a clock but was found without an associated clock assignment. Info (13166): Register RefReq is being clocked by PHI1 Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON @@ -697,18 +697,18 @@ Info: Can't run Report Timing Closure Recommendations. The current device family Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|ARCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|DRCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -25.457 +Info (332146): Worst-case setup slack is -25.469 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -25.457 -25.457 ram2e_ufm|DRCLK|regout + Info (332119): -25.469 -25.469 ram2e_ufm|DRCLK|regout Info (332119): -25.439 -25.439 ram2e_ufm|ARCLK|regout - Info (332119): -17.639 -171.643 C14M -Info (332146): Worst-case hold slack is -14.583 + Info (332119): -18.223 -201.658 C14M +Info (332146): Worst-case hold slack is -14.560 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -14.583 -14.583 ram2e_ufm|DRCLK|regout Info (332119): -14.560 -14.560 ram2e_ufm|ARCLK|regout - Info (332119): 2.730 0.000 C14M + Info (332119): -14.560 -14.560 ram2e_ufm|DRCLK|regout + Info (332119): 3.156 0.000 C14M Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 34.581 @@ -723,9 +723,9 @@ Warning (332009): The launch and latch times for the relationship between source Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 6 warnings - Info: Peak virtual memory: 13072 megabytes - Info: Processing ended: Tue Jan 16 14:28:05 2024 + Info: Peak virtual memory: 13073 megabytes + Info: Processing ended: Wed Jan 31 09:41:43 2024 Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 + Info: Total CPU time (on all processors): 00:00:02 diff --git a/CPLD/MAXV/output_files/RAM2E.sta.summary b/CPLD/MAXV/output_files/RAM2E.sta.summary index f9a86c4..801c9c9 100644 --- a/CPLD/MAXV/output_files/RAM2E.sta.summary +++ b/CPLD/MAXV/output_files/RAM2E.sta.summary @@ -3,27 +3,27 @@ Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'ram2e_ufm|DRCLK|regout' -Slack : -25.457 -TNS : -25.457 +Slack : -25.469 +TNS : -25.469 Type : Setup 'ram2e_ufm|ARCLK|regout' Slack : -25.439 TNS : -25.439 Type : Setup 'C14M' -Slack : -17.639 -TNS : -171.643 - -Type : Hold 'ram2e_ufm|DRCLK|regout' -Slack : -14.583 -TNS : -14.583 +Slack : -18.223 +TNS : -201.658 Type : Hold 'ram2e_ufm|ARCLK|regout' Slack : -14.560 TNS : -14.560 +Type : Hold 'ram2e_ufm|DRCLK|regout' +Slack : -14.560 +TNS : -14.560 + Type : Hold 'C14M' -Slack : 2.730 +Slack : 3.156 TNS : 0.000 Type : Minimum Pulse Width 'C14M' diff --git a/CPLD/RAM2E.v b/CPLD/RAM2E.v index ad78a7f..a86de2e 100644 --- a/CPLD/RAM2E.v +++ b/CPLD/RAM2E.v @@ -50,13 +50,12 @@ module RAM2E(C14M, PHI1, LED, output [7:0] Dout; assign Dout[7:0] = RD[7:0]; /* Video Data Bus */ - reg VOEENf, VOEENr; - always @(negedge C14M) VOEENf <= S==4'h7; + reg VOE; always @(negedge C14M) begin - VOEENr <= S==4'h7 || S==4'h8 || S==4'h9 || - S==4'hA || S==4'hB || S==4'hC; + VOE <= S==4'h7 || S==4'h8 || S==4'h9 || + S==4'hA || S==4'hB || S==4'hC; end - output nVOE; assign nVOE = !(VOEENf && VOEENr); + output nVOE; assign nVOE = !VOE; output reg [7:0] Vout; // Video data bus always @(negedge C14M) if (S==4'h6) Vout[7:0] <= RD[7:0];