From 8eb7ead8eea65033f5ae363bf945bf4183888b48 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 16 Sep 2020 19:49:18 -0400 Subject: [PATCH 1/2] Improve power consumption Gated RAM access during PHI0, squeezed video access and MPU access together, gated data bus output buffer OE to only output at end of PHI0 --- cpld/RAM2E.qsf | 34 +- cpld/RAM2E.qws | Bin 2098 -> 2098 bytes cpld/RAM2E.v | 196 +++-- cpld/UFM.bsf | 127 --- cpld/UFM.qip | 2 - cpld/UFM.v | 26 +- cpld/UFM_bb.v | 113 --- cpld/db/RAM2E.(0).cnf.cdb | Bin 34557 -> 36983 bytes cpld/db/RAM2E.(0).cnf.hdb | Bin 4007 -> 4164 bytes cpld/db/RAM2E.(1).cnf.cdb | Bin 1261 -> 1259 bytes cpld/db/RAM2E.(1).cnf.hdb | Bin 932 -> 924 bytes cpld/db/RAM2E.(2).cnf.cdb | Bin 1264 -> 1262 bytes cpld/db/RAM2E.(2).cnf.hdb | Bin 1019 -> 1012 bytes cpld/db/RAM2E.ace_cmp.cdb | Bin 44942 -> 0 bytes cpld/db/RAM2E.ace_cmp.hdb | Bin 18273 -> 0 bytes cpld/db/RAM2E.asm 2.rdb | Bin 1367 -> 0 bytes cpld/db/RAM2E.asm(1).rdb | Bin 1367 -> 0 bytes cpld/db/RAM2E.asm.qmsg | 12 +- cpld/db/RAM2E.asm.rdb | Bin 1381 -> 1384 bytes cpld/db/RAM2E.asm_labs 2.ddb | Bin 2757 -> 0 bytes cpld/db/RAM2E.asm_labs.ddb | Bin 2737 -> 2863 bytes cpld/db/RAM2E.cmp 2.cdb | Bin 44942 -> 0 bytes cpld/db/RAM2E.cmp 2.hdb | Bin 18088 -> 0 bytes cpld/db/RAM2E.cmp 2.rdb | Bin 15006 -> 0 bytes cpld/db/RAM2E.cmp 3.cdb | Bin 44331 -> 0 bytes cpld/db/RAM2E.cmp 3.hdb | Bin 18715 -> 0 bytes cpld/db/RAM2E.cmp 3.rdb | Bin 1920 -> 0 bytes cpld/db/RAM2E.cmp 4.rdb | Bin 15062 -> 0 bytes cpld/db/RAM2E.cmp 5.rdb | Bin 6450 -> 0 bytes cpld/db/RAM2E.cmp.cdb | Bin 44492 -> 47300 bytes cpld/db/RAM2E.cmp.hdb | Bin 18357 -> 18552 bytes cpld/db/RAM2E.cmp.idb | Bin 13242 -> 14236 bytes cpld/db/RAM2E.cmp.rdb | Bin 15182 -> 15087 bytes cpld/db/RAM2E.cmp0.ddb | Bin 83577 -> 85254 bytes cpld/db/RAM2E.db_info | 2 +- cpld/db/RAM2E.eco.cdb | Bin 628 -> 0 bytes cpld/db/RAM2E.fit 2.qmsg | 39 - cpld/db/RAM2E.fit 3.qmsg | 39 - cpld/db/RAM2E.fit 4.qmsg | 40 - cpld/db/RAM2E.fit.qmsg | 77 +- cpld/db/RAM2E.hier_info | 40 +- cpld/db/RAM2E.hif | Bin 577 -> 576 bytes cpld/db/RAM2E.ipinfo | Bin 339 -> 259 bytes cpld/db/RAM2E.lpc.html | 2 +- cpld/db/RAM2E.lpc.rdb | Bin 508 -> 508 bytes cpld/db/RAM2E.lpc.txt | 2 +- cpld/db/RAM2E.map 2.cdb | Bin 13039 -> 0 bytes cpld/db/RAM2E.map 2.hdb | Bin 17046 -> 0 bytes cpld/db/RAM2E.map 2.rdb | Bin 1240 -> 0 bytes cpld/db/RAM2E.map 3.cdb | Bin 16765 -> 0 bytes cpld/db/RAM2E.map 3.hdb | Bin 17576 -> 0 bytes cpld/db/RAM2E.map 3.rdb | Bin 1242 -> 0 bytes cpld/db/RAM2E.map 4.cdb | Bin 16786 -> 0 bytes cpld/db/RAM2E.map 4.hdb | Bin 18139 -> 0 bytes cpld/db/RAM2E.map 4.rdb | Bin 1242 -> 0 bytes cpld/db/RAM2E.map 5.cdb | Bin 16664 -> 0 bytes cpld/db/RAM2E.map.cdb | Bin 16723 -> 17790 bytes cpld/db/RAM2E.map.hdb | Bin 17606 -> 17748 bytes cpld/db/RAM2E.map.qmsg | 39 +- cpld/db/RAM2E.map.rdb | Bin 1249 -> 1246 bytes cpld/db/RAM2E.pow.qmsg | 5 - cpld/db/RAM2E.pplq.rdb | Bin 245 -> 0 bytes cpld/db/RAM2E.pre_map.hdb | Bin 15789 -> 16440 bytes cpld/db/RAM2E.routing.rdb | Bin 1460 -> 1504 bytes cpld/db/RAM2E.rtlv.hdb | Bin 15688 -> 16184 bytes cpld/db/RAM2E.rtlv_sg.cdb | Bin 28252 -> 29054 bytes cpld/db/RAM2E.rtlv_sg_swap.cdb | Bin 812 -> 816 bytes cpld/db/RAM2E.sgdiff.cdb | Bin 17110 -> 17954 bytes cpld/db/RAM2E.sgdiff.hdb | Bin 15990 -> 16505 bytes cpld/db/RAM2E.sta 2.rdb | Bin 10616 -> 0 bytes cpld/db/RAM2E.sta 3.rdb | Bin 10550 -> 0 bytes cpld/db/RAM2E.sta 4.rdb | Bin 10574 -> 0 bytes cpld/db/RAM2E.sta 5.rdb | Bin 10654 -> 0 bytes cpld/db/RAM2E.sta.qmsg | 44 +- cpld/db/RAM2E.sta.rdb | Bin 10845 -> 10820 bytes cpld/db/RAM2E.sta_cmp.5_slow.tdb | Bin 42968 -> 45239 bytes cpld/db/RAM2E.taw.rdb | Bin 5302 -> 0 bytes cpld/db/RAM2E.tmw_info | 4 +- cpld/db/RAM2E.vpr.ammdb | Bin 610 -> 600 bytes cpld/db/UFM.v | 269 ------ cpld/db/logic_util_heursitic.dat | Bin 11176 -> 11836 bytes cpld/db/prev_cmp_RAM2E.qmsg | 46 +- cpld/greybox_tmp/cbx_args.txt | 5 +- .../compiled_partitions/RAM2E.db_info | 3 - .../RAM2E.root_partition.map.kpt | Bin 2883 -> 3096 bytes cpld/output_files/RAM2E.asm.rpt | 14 +- cpld/output_files/RAM2E.done | 2 +- cpld/output_files/RAM2E.fit.rpt | 385 ++++----- cpld/output_files/RAM2E.fit.summary | 4 +- cpld/output_files/RAM2E.flow.rpt | 22 +- cpld/output_files/RAM2E.jdi | 2 +- cpld/output_files/RAM2E.map.rpt | 83 +- cpld/output_files/RAM2E.map.smsg | 2 +- cpld/output_files/RAM2E.map.summary | 4 +- cpld/output_files/RAM2E.pof | Bin 7879 -> 7879 bytes cpld/output_files/RAM2E.pow.rpt | 89 -- cpld/output_files/RAM2E.pow.summary | 6 - cpld/output_files/RAM2E.sta.rpt | 778 +++++++++--------- cpld/output_files/RAM2E.sta.summary | 4 +- cpld/output_files/UFM.qip | 0 cpld/output_files/greybox_tmp/cbx_args.txt | 26 - 101 files changed, 962 insertions(+), 1625 deletions(-) delete mode 100755 cpld/UFM.bsf delete mode 100755 cpld/UFM_bb.v delete mode 100755 cpld/db/RAM2E.ace_cmp.cdb delete mode 100755 cpld/db/RAM2E.ace_cmp.hdb delete mode 100644 cpld/db/RAM2E.asm 2.rdb delete mode 100644 cpld/db/RAM2E.asm(1).rdb delete mode 100644 cpld/db/RAM2E.asm_labs 2.ddb delete mode 100644 cpld/db/RAM2E.cmp 2.cdb delete mode 100644 cpld/db/RAM2E.cmp 2.hdb delete mode 100755 cpld/db/RAM2E.cmp 2.rdb delete mode 100644 cpld/db/RAM2E.cmp 3.cdb delete mode 100644 cpld/db/RAM2E.cmp 3.hdb delete mode 100755 cpld/db/RAM2E.cmp 3.rdb delete mode 100644 cpld/db/RAM2E.cmp 4.rdb delete mode 100644 cpld/db/RAM2E.cmp 5.rdb delete mode 100755 cpld/db/RAM2E.eco.cdb delete mode 100755 cpld/db/RAM2E.fit 2.qmsg delete mode 100644 cpld/db/RAM2E.fit 3.qmsg delete mode 100644 cpld/db/RAM2E.fit 4.qmsg delete mode 100755 cpld/db/RAM2E.map 2.cdb delete mode 100755 cpld/db/RAM2E.map 2.hdb delete mode 100755 cpld/db/RAM2E.map 2.rdb delete mode 100644 cpld/db/RAM2E.map 3.cdb delete mode 100644 cpld/db/RAM2E.map 3.hdb delete mode 100644 cpld/db/RAM2E.map 3.rdb delete mode 100644 cpld/db/RAM2E.map 4.cdb delete mode 100644 cpld/db/RAM2E.map 4.hdb delete mode 100644 cpld/db/RAM2E.map 4.rdb delete mode 100644 cpld/db/RAM2E.map 5.cdb delete mode 100755 cpld/db/RAM2E.pow.qmsg delete mode 100755 cpld/db/RAM2E.pplq.rdb delete mode 100644 cpld/db/RAM2E.sta 2.rdb delete mode 100644 cpld/db/RAM2E.sta 3.rdb delete mode 100644 cpld/db/RAM2E.sta 4.rdb delete mode 100755 cpld/db/RAM2E.sta 5.rdb delete mode 100644 cpld/db/RAM2E.taw.rdb delete mode 100644 cpld/db/UFM.v delete mode 100755 cpld/incremental_db/compiled_partitions/RAM2E.db_info delete mode 100755 cpld/output_files/RAM2E.pow.rpt delete mode 100755 cpld/output_files/RAM2E.pow.summary delete mode 100644 cpld/output_files/UFM.qip delete mode 100644 cpld/output_files/greybox_tmp/cbx_args.txt diff --git a/cpld/RAM2E.qsf b/cpld/RAM2E.qsf index 12ba41e..43d7247 100755 --- a/cpld/RAM2E.qsf +++ b/cpld/RAM2E.qsf @@ -56,7 +56,6 @@ set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS OFF set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO PATHS AND MINIMUM TPD PATHS" set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON set_global_assignment -name FITTER_EFFORT "STANDARD FIT" -set_global_assignment -name QIP_FILE UFM.qip set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE BALANCED set_global_assignment -name ALLOW_POWER_UP_DONT_CARE OFF set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF @@ -76,26 +75,32 @@ set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE "12.5 %" set_location_assignment PIN_12 -to C14M set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to C14M +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to C14M set_location_assignment PIN_37 -to PHI1 set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to PHI1 set_instance_assignment -name PAD_TO_CORE_DELAY 1 -to PHI1 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to PHI1 set_location_assignment PIN_51 -to nWE set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nWE set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nWE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nWE set_location_assignment PIN_28 -to nEN80 set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nEN80 set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nEN80 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nEN80 set_location_assignment PIN_33 -to nWE80 set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nWE80 set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nWE80 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nWE80 set_location_assignment PIN_52 -to nC07X set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nC07X set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nC07X +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nC07X set_location_assignment PIN_56 -to Ain[0] set_location_assignment PIN_54 -to Ain[1] @@ -107,6 +112,7 @@ set_location_assignment PIN_39 -to Ain[6] set_location_assignment PIN_53 -to Ain[7] set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Ain set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to Ain +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to Ain set_location_assignment PIN_38 -to Din[0] set_location_assignment PIN_40 -to Din[1] @@ -118,11 +124,13 @@ set_location_assignment PIN_36 -to Din[6] set_location_assignment PIN_35 -to Din[7] set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Din set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to Din +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to Din set_location_assignment PIN_55 -to nDOE set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nDOE set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nDOE set_instance_assignment -name SLOW_SLEW_RATE ON -to nDOE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nDOE set_location_assignment PIN_77 -to Dout[0] set_location_assignment PIN_76 -to Dout[1] @@ -134,13 +142,15 @@ set_location_assignment PIN_84 -to Dout[6] set_location_assignment PIN_85 -to Dout[7] set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Dout set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to Dout -set_instance_assignment -name SLOW_SLEW_RATE OFF -to Dout +set_instance_assignment -name SLOW_SLEW_RATE ON -to Dout set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to Dout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to Dout set_location_assignment PIN_50 -to nVOE set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nVOE set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nVOE -set_instance_assignment -name SLOW_SLEW_RATE OFF -to nVOE +set_instance_assignment -name SLOW_SLEW_RATE ON -to nVOE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nVOE set_location_assignment PIN_70 -to Vout[0] set_location_assignment PIN_67 -to Vout[1] @@ -152,38 +162,44 @@ set_location_assignment PIN_58 -to Vout[6] set_location_assignment PIN_57 -to Vout[7] set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Vout set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to Vout -set_instance_assignment -name SLOW_SLEW_RATE OFF -to Vout +set_instance_assignment -name SLOW_SLEW_RATE ON -to Vout set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to Vout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to Vout set_location_assignment PIN_4 -to CKE set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CKE set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to CKE set_instance_assignment -name SLOW_SLEW_RATE ON -to CKE set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to CKE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to CKE set_location_assignment PIN_8 -to nCS set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCS set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCS set_instance_assignment -name SLOW_SLEW_RATE ON -to nCS set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nCS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCS set_location_assignment PIN_2 -to nRWE set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRWE set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRWE set_instance_assignment -name SLOW_SLEW_RATE ON -to nRWE set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRWE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRWE set_location_assignment PIN_5 -to nRAS set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRAS set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRAS set_instance_assignment -name SLOW_SLEW_RATE ON -to nRAS set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRAS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRAS set_location_assignment PIN_3 -to nCAS set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCAS set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCAS set_instance_assignment -name SLOW_SLEW_RATE ON -to nCAS set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nCAS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCAS set_location_assignment PIN_6 -to BA[0] set_location_assignment PIN_14 -to BA[1] @@ -191,6 +207,7 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to BA set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to BA set_instance_assignment -name SLOW_SLEW_RATE ON -to BA set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to BA +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to BA set_location_assignment PIN_18 -to RA[0] set_location_assignment PIN_20 -to RA[1] @@ -208,18 +225,21 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RA set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RA set_instance_assignment -name SLOW_SLEW_RATE ON -to RA set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to RA +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA set_location_assignment PIN_100 -to DQMH set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to DQMH set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQMH set_instance_assignment -name SLOW_SLEW_RATE ON -to DQMH set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DQMH +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQMH set_location_assignment PIN_98 -to DQML set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to DQML set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQML set_instance_assignment -name SLOW_SLEW_RATE ON -to DQML set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to DQML +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQML set_location_assignment PIN_97 -to RD[0] set_location_assignment PIN_90 -to RD[1] @@ -230,6 +250,10 @@ set_location_assignment PIN_92 -to RD[5] set_location_assignment PIN_95 -to RD[6] set_location_assignment PIN_96 -to RD[7] set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RD -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RD +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD set_instance_assignment -name SLOW_SLEW_RATE ON -to RD set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to RD +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD + +set_global_assignment -name QIP_FILE UFM.qip \ No newline at end of file diff --git a/cpld/RAM2E.qws b/cpld/RAM2E.qws index 914613f927ec9216aaf17c815973cd837a079437..6cdca8db0d1c4d47c68c2184e1fd8c12e1a5dce2 100644 GIT binary patch delta 129 zcmdlaut{KpBBN(2gCRp2gC&CzLn08TFz7NE0AUiCWeAi@0gCDZcDtSQm&5 zfT|3DB56RF2-K4X)>%7Ir)Bdy#$rY#Ms5ZMhC2)lY)T9a%ux&sjKVY?=kMz6bx&MU zL`+2d-qQeA4~Kgak`njCq(#LgL?y(;CGQzJ`USe$JKlR~Ywvzf{GOrqJrhT}d(RzQ z-w=ATY>^Pu5D|fs{;P;0{>Ku%P5B=mM0{vP(e7l3!c*C6X+P4+s-|Scd}^|HIy{iM zZ$U-IAeqKWKcL~7&U9pykrGI+o$)YQ`m+@HPyeUdL$y`>Rr8hNRrpBosQ+=}i9*+} zlaZFy)|19jc<2l~$A9*1P*4yOfPBHh!V*M$keYl#(oksqQ$$Qmj2kC>Xui{h4n}@F zm^ZBn2H`p+*dS;2v#Z~(4XPUdHu3&#fzK>{ER%brDk?DW($5Bc{L#7g&$<=eyQ+{b4J9*A;t8}@n1NcW|wz0k56ec+)z#vWJ?xV%8y1EGLRYP9`o zCEzkK#2GRMTpC!>`2YFZFPTO056hn4+B+>}^xS{`ch!E&9mk~xgkP~>zC!!fX0Mgh zp*tty*HYl*GZ<1nyi>20+W&4_wl-IoXRabIn0vtR{3`YTlK>3VSR@a0p{9{XAv!I7 zUeX|Xc$wvbQK`fxdEZ5cVjK5Phw{(Q79Z0jbW0Xl{tECmgdRF?aUJSNH(=71?P=eB zy_$n^zD;gj^u3y^ClE^ihzicD-d0^+&MUrFUpUeG`H4Q_Tl1KKI*N&*1-%&UA$~;q z9z#ejiNZYA0sY?Exm_<1@J0FCEUJQ_^QXU9R^<@QqP>(@I|Hrfhu`)qO%53VkIxKD zvYFyx^QWhD`d+7MU(JwI6`f@xojH&sUqplW1ew%rzaTuk$dhQXm+RHLbxpI%F8BmAy%J$gR#K>y-`cqIv|$Xs<;E@QSDVb- zxX_nid9?Yw{POI6CZ{O!kNX|&x`fOa+XBQD_&NH=3irf8c-7Gzbe4&{=L6gx@_wDv z2IT+6;1h7ZHU00bBd`O}xN(G*-66*}{m@6l^>gd->bLeTl)Xe-45t0QC_KG=WpVxB zD7^@`cwM=hYn=Jh%8wy(Bt96*$!51VQzQT3#H*q-RIV00noC*y-E64BHU&@zn-Wd4r`T4AwixLxycsK9YpyW*X7@({N=?y;%$afy$QadA7TP;ws9MrcvlddGq{ z;^@cNh<>!bryxh^ZICkSz-W?ke*1mo++#gAEqrkXg1^2XGdOWqYuOMD{>iIc?nHAZ zJE2=V8ZS2ne|JBV-%^zjfs z^gq?;4L?i%bKskTSZ@$ONOHMbTZDdO( z3hZ_l>tTnG(Oe)}vCfRU8?fN5@)+5eKUG72?;;X$Z3AwM1Me&cy2Ly`7%&~NuBz6# zswlBJ*;SZCG=aCr4shve6&Mqm)?=U?L6F*3oVSa6j-L2}w7<|;h6;uY(x8Fp%-+-n zF+R9m=o~Z)HYGI!{RKr-*jEZ;6BMUCWl2be>rK`$_oei1EQ>iA4q_gylX^lO)`LO=(3z;u!Ro}BZZPCyI1im`2VWxUV( zWcQ>#dF<_oNiwnqqZolm2<8R97Qy5nCqF<9&ly>tR?L3fg110M;pTX@asG`Nv+(3W-aWH2(yR5O$L{bPfwk*YRr>)VynZLxq+0N|KZQ@j|30p;+z4K{ z@#?PgZ*o3P88UE*g5n_cRcuSXP*RzqiG^rO%YAVBM^}u(CI1uAy5|TZwI@+x4VVIf zSUFr(dwRXYoezsfx!0D4kNIK>eRa}^D2=m{Wax-CL{AZv+arGZ0(qst(Meq5%lb0J z{+E|c-WS?VSd%wJi^9tTiC51fFwM8jf5|t}j3AcIRBi_V1KT1uxGDC+dINko-UE5p zpOG-X%Iy@M-n;bGRIP+^Hf4q#)6OUN8LhS54E=E!ML$ekog8(DVK_{zE_#^7?!Zks zPy29=-H|)X#w#V9$^npN+_F}(B`2}mB>L*_@_r0}-sjPBVE8k5) zyL7l`AcJB^58`9Fi_KUuZbJSN=Q**?@64bgm(f>K9S{ zT~4~h{p{jVxBAN83XyuLe-PIkI;G9QnN1GRE2 zpPI3Rex0vDsNG5v_fDDL7jD%Y{EyO~i5Bn77PWys>y`4RNgv4da^>th44#kdpQ=B4o zoo`_1Qs+oZz1n#`RFJ6U7TL6>SZV8#U}kI}WCDIe^0u+QZ)K7!+L^YPADD|g>KY=3n>WRhctlxiS*OU&Yv%!qB>L+{UB9$G76vGbo= zRB38>gRvY=I_DvB!x>uv{#n8U_Wz25f9Tb(1faFTKj7HF!k+s!pOzdF3Q=+B7n&*1#n5B#VqXPQ6(kW#Z*Wiz$tmALN` z+KJpxT(;x*Z$u4ty*bz6*^y=j0SmuC6^)of${l&|zyDruugeG9otwDth|j~@%Jul^W(meba{$!9JWlpRU~t^&3yjhn(Yp+!<*kKfmwWczn8Cc<;WO==QbNEWfPa z%z)y!_t)cjS~xvc4EmFMrw3yT3IGgO?8gRIaqQ6A+xzEO|*)|7i!tM<+^W3AyOXC{x$@6Fynot+tz!Y2>`V#gOKAN&7!KtshR zzK!xnA9~o+@vG0=YZH7paaOHK$Mo@0s!~Ds<9;W-ZGHRgY$$iF#zmJR5HKwJz$EZB zXSGM{I$xsG&RH+ZHFuv!`t7pRj~zkQcO_NzHu!YqZY)}6AD z|M0U&pIjpsY{10rGY`E|Q$S8Xr#G@u-ryHkycl`(?-o-H=NuZzfIQ9Icv}Z&kT#~* z;OylyjK=`}Fc#GT%HrOQMd3hMCquSeo>O1IvO8iW+sz|h#gaJ(Q2!y$x~!0HTw0Mv z#ozKW!`o8^F2^G&bqU5UKJUF4zhdr3$82X_J?s2*Uc-j2#)&YQyL$$kd^4-CrLW__ zWSE<}97jGiaItgOx#OxYH1!gdMEbULY-#8I_l}y-#WJke>I5zPFIEg+%)JA+>Wob~dg95<5sZRmrC)PE7~hiFs)>ja-Umc#kH z6ogYLfUHuQU6p{^6w!@1E^{`}Y{uIwcz3SrlbphrqKB|qlitkdu~?29vDRj~4^L%n z7`t9lV3o?o)b}WQV%Cx_;J}7Bm(xQK$QUpTIEh6Kg0d0yJ zxp&}bTik0JxW^BZ+=DFp_#Ww8%TK$HP$aT66(WB<8hP7N2Q8$fV@4+>yURQ;gqDQd zFG^0Hh8%uIn)rp;7oKGjG#UVgfrM$MBxoc&HX0H%TGGG=`#a{=PR;t3_!8qKK?WD> z&hr%4@l>ip>hulQ0>|BOH+~k1>u($trW9dM0mFS)U5Zn*a9^z0<^&L4^?tk3o}gKU z2Ck=mgL^Y8RCDcoq^kVp%G9s+8i||2_PjnDBUNf2Zz@0T6MC&kLl}?}R!pBzrGT<% zk7H5!psb=TF>Z&4r;$mGu{V=|#^CJKc8tTVklOV2_>%qEegs+k@be_(YA%mxOI1yi zbqD$A$8|^lu7+jF_rgxBnGM!wI$aG`5?j0<6EgECVtf~!Zdpu8i1;QU{JLZPwZr>`lyq`B0nqU6-5;s-=7Z6UXXIh_YNk1GeJHxLRXjKXu08o z^yq3ZmL%vD0!WoW|QGln{ydz=oTNiZOmDW{~(z;kdi4@e$BWbvKd(3W5j;n zBcAL1nG;Hw|46D19$L?KeWNQe;qso=Mcv5gmYc1jObN5NdnDKUc(Yt?{B%Dvn^Ws) zm_JnSSqWUB&3x_>Oz>ehO+gYWww+GUdqAv8$}702500 zkS_p4Zyp7-%R*#wJv_^^Z)^X?_Ota|CDp>cagOQ7@viD;7DjGKup7%oAJ@Za_4Mye zA2%r2TjO3qj|ff1xR*gDuh3s?r=*lLeai39qWdEc2@3{ay^sF9;9DP z4-H~$<+r5Y`14=ugYV=z{wrQ{i0J>?$18_^mQZKw9jm_dG!*zf^s}B-I{eQ+oyhKO zwl2OGKiOfE>kSr*Mn9ir>SnV^xBh1k`2s#0qZ@nm$i-)HizJ!mvnbSy?tqx*eWpI%;o6#~3R(-J(oea^&g_)W>Ugo=}z44buHFTKavYTQVb6+L;(#)?8k5 z8y**D9F*HSD_FJgP;HE|VLUx#FqQAbea?(+qXRY3l?!ovV zTdMlaZi;|@USH;*)Z?H~myzZeT^^&?@s~N-5^;-`YjEy6+UD>aVr`2%OOt4@C zFwJGikpFtVHJrC>`IxGN-udrq<4+9&6M6k9Oy;~Y(Ohx|yB$c%&||Utmp(C1y6U?3 zM$)Mlaj87QPK#^h3f{Jhfkn29%d8Vri}bK!lP4a{!fNI&?6IGH!=5wGSZ$w*bd|D8 z-eh&+Ef;PX5IaCqxr)~e$|Uy;H}#1f>{F@6n8mw;gjk%cGI)fal;kwi>d8CotB#W^ zmZsG+JH|;+=~yPxdi!OZpG8r*ezSeib*}4Q6|`=a>T-d79doa%H1X)m-)i-i5rW5G zdxCT;H!oI*U?Rie#sr*J7=HIuyju z$j52VQ1hxWUFykEH)8+>?6=mIkFeLZP0Zt=KiyL#P?{~_`^Vv|8y=H`#dapG zeWDr9bhA@=4pMEYQW57AujetM)OrX%Y@+r0qt=H0a-Pyi{E}Hm%PMd@)qE-)u`iIudtKIEcao zDew}9U$b~Vh`!F~vAox`uY6i!_uy{~%Lc_}xu#EryZ zF=V?_UP;sbj(SVo7v+mM3dx`PckYB>3v8G6mE!zk85Blyd{2i5CjZ=>cdFZe{{3=? ztKd#ah+kj@vQQIyS19^JrDG_ax^+->SZR#udS~7@=G0mv+Un1?XF7cixhpz`cj`=O zJ1sv@>B%kqNt{${jcXtcr7QAC07hlD3EX(jV$HsY9?qtJ&DBWh)S5x=QK2{)r>LFdzK&B+ilclK(O2HfPLpVT=X1bHlmUW!~Xy&-_5x;oC_F zC)ZPV+i=YnVu45|(+!k)7&%E+A@O1d-T20pl6z$4 z^4(?g)1IcI+MohwVV@<&@pmULKe(RteponteqwHO&NRLOY)Iq#8U3f{!TJ8H)*Vv6 z2j59ozw^*v$R8y{hs@j{Rr=m@q=d4o&8v;WY^bUw%o@wH2UppRn%fY?AvfIADC6}M zMORj8n0$e!kxs`H09RqM(3y8_Yc`|X@>>O5F8Jl(+-I-o8vr^1Z9zxLZ}gm)x*OSo ze)Z)S_Yo&s=N3{ekUI>x0TCm&A<7oa##zJM-GQN4g%AVqih3xKljL`*ndpUHzK5@M zc-T9dj1?}xWs}g(VubDCJeV{zQlUh6;vlF@yJU~-J8cv{hN!Xn<1Vm=qz*#hgY+(PM*X|m&k1EK1bc^e(n12z4sO|0G zy!DD>>Bg&D;c-!+jUVX9XR$wI8_x2B1_%CjbWC49q7k`b)~|2xxHuw^mCQNG26&Ee zpXYKPqI4E|YJ`1|YP+9IVrq?(4w(fEZU^YL*$ujlk z0={B}N{7fy^yjR@Pte)(*6UFUX>A#j8oR~#M`5N>?hYQB3Rlrb!+28#NRgKtP-R-H z*t>hy_cOZkmYq*Y3H}?z5EAJQW!X8UQ)S}W#Dy)bvna0{+FK#lt0zZm65zZhdE6Jp-whaV=f^hxMsM!H zy-D!tV_@1bcy66JB$)--_mp|W;whS+`r3pDppJhwfJBt-0V)q8EocyA2wgX&tJ4XMG3?25rq(rh zl85a`B+H$=x;V0p9Sxp`PW$p6#Xo*tkf^KLY)meH(cSk&5|L1hk`CxhFXB;}jlH{X z#x#n0LDzKVU>V4F2; zcoI-X_0l=DS+VWay9?>Bu#dQRw10?zm%|4~#$lM*)$^isfPXKb33nPsiRpQRptRE8 zV!O(Sdu6)XeO#3L^*Zls>?s?gDS(t#^`Sik4< z(E|t1QwXV2I0|9;+aCNDIZKT1QN-6tZ%H&KC?Cvm6Z;D9v%r7>-e>jW9v97D)!;LU zb8&S#;9WX%?Cd9u!MF+A+XJ8leJyh+EI!bxPdX5#_BVjjp3|qo?P`DYoD2)JUG$q~ z$Xsc0|ELP4-L=PF{UuX^xrm31z<(()m*I2Cu!3Y*PBN?;8P<~w%SMJ3&UeO|Q7C_9 zhY_C!&5!YqgQ2nVr*<=t+ML$Rt~SsJ$u-3_{yh$3oA`2&u*_=f_EmHl$1IMFmv@h$ zOgJ55=LvKR5^aHdaX=v=D$;~IbVX$PcQBLyLRk^82vD;oc78D*z>cU2sZLhK>qg<0 z$*^W**fuik7cy*)V)7tbQZ{pc6lgOmjBKF{Y{|NC)`jW!LLq2>NhEXGg?BcLsdd_G znmb`-AIOBwaZ8?6S_Y54!4*AI!i_`#2!p&E1(M%gUW{%*b-}37uKCFqtD=O(&WZp& z*`<}*g*uGE6@6Ynrh?a4!uJ)$FrvVVCo(&Q;02ZM+2I7+dg%7p^ZXl|z<>vDtj$`13e2D89 zxHliLl#ee}#al<={K=FKD8f;Nji2$u;fm*g_gmmsaL83XAPHlgRfydJz!PzeY&f0_ zi`(%N&I{}pb{zDG z_Z(0G>{ohs1TWHS-OxgUrIkfZ@T+Kg6a?nY+!ebwpNxmRJHcN|TmnX|TJK)O!YZ~n zLI5~0TN{3UXbvv}eTIX{Ma3f#+4#}+D@BbL9JP1d*t6kYSUf{mrB5y(w@Kdnz4pS=cNNt5|G%rjITtP9o|T{w8&YV0-aj-Fj$l=+B+j68BnMzULDma@P& zP&XdY0CSdZC`_R)hsEH*3LD_2x;03@!ERmSI(UUv$p8dVI+U`-6l^jB%b*Jt|&nXAOs0L^6$a}xc?t$A@yth zS*B|Sv)jwku&A;-$T~Po}k3(nXR$0cO=drNZ##BJt;(>SGQtEZ_ zwZsN~kT$tgb^?5mXW)6a<1K2xHhD{bJ0tZv74d7Vc_~qHU_n+yn_@CD(wh?@CuLe2i zqV)F1EgV1c$9VY9enIX z9ZkFC6<{@6d;_03f)5iNjQbaIa9}t7v9xurZ4_Z+tc7@egoe=$pu+Hr{aF5m>1wNf zvROiG*?^wRgw^l>roWp^Fk^PM`sUig?7u;&pF?mg19(9p_4CyePUP!1wMNv|m?0(b zj31Qbf~p*o7Z*%f0mzG8-J@HaSH9gAw7DC(@hzY83F0|Qgk5*Ej#8yrHfZbWW_;JG zDjz2pE?dA)>sYZ9n1b_kX=eH3F)JI^7UPil(1&9Y?Y(6z<-&e7{YhTZMAReLfY>3XicfX|g)qSKCq*18&Y13gSUw?j8el)8zR z>A(*Z3!E{2)1f#e4jj#VmZrjXo4^Fk9mgaO`hH#|N3Rcoxd2*Ov?t-P*^_l_a^>o}4AoZ&nyxP$q060z^1at`8Ot1vB=C_wN~E+f)h#;O}LJ_(ph=-GO>}FVk0l zKuK+2yZLADySxVGn|;~cwhBix=&(h?1%RRfk}#!pK1Rm*cE&T9xom`C#(B^FYu<$! z)Iz#jl(Y$8ew;8~eo?e)fW;oR15Srh=7gHG!xhLeo!8Es3AGFlW@zd&S-x4O4g?-K z7u$Qx2393eB+oD`I(n#uq3@}W$}>$GZn}gQBt;`X88#kea1$umCM%5UJ_{kyz@Trg ze9sJQ2O7I{18Z|qUbrT}-|mj9i(ue6Ka;1$7YYx^uw&{Xn=3Imy?%Rcd1m(`=MI60 zqQx)uVKYw--+BN56ErIlcreRa6ks1**XJ`=F)z~O^H*NQ!v0cQ*`4cK(XmJ7l^`MG zKx%PY>5GT={wxpZHxNj4IOdHQ^&Z0|t8nklZr#Z)PDUIo1y+8RPz%|E76%@Y@68s> zfYUep z5y2_QhdlFggvRk?$OlpX#h#TEYqb4ut3yZ20>CHh1C(r=B$yDcZ1k%~CTRP)6(;^^ zZtnL<&>js;O)#MJaN()c&RYpT9tWn?#zX|>E8QdAplD0 zTd+zYJX?@?Ss2NWnHvFV0Ai7I#&y`c?z*D#)mEdcb0?a8SPAeVtEVpZc!CIsUXF>( z%enI7D9AGx!EkO{Gp&u`J&Keq{lB%oRyg9-)f@%QPKjL76OhJhlOffs6iGk5_eFDb zQj;mq3Rk0#Jbg<+eYgvqekwTe#u?@~DAOxn-w^!pb#coi1jipZrx!LLtUG$i(i-hw zG^x~`d{LpMG8ER_d$vW`ae!~&EPzKEJiptnrJHYgKa1{@q$;i;{k+dZK&q7V7 z*g!UzF*Oo=YnQj8^YUlQ?L9n=WtG`MmtrF^<|%d_WM9D9QkXpO!?)YnR$Fr z9fYJPrvtXn)WacAqj4$`FCeG*rwVeYHYI}zAU)98Y=FJQ^C-4uCD40bw|C{pZJSFQ!+q6oDLeYadTuy1bT$ck>N*xte-j~r zr&u(Eb8nnxeQ*~+BoBjoWt$6g17e_&Y}o*Ki2WQ*+q;&fJ}Kr6|0RA;LMqQrSaQoX z_I`QeEt>iO%efI0+&$vLRE0Ymkd}u!1?N*=IAg3*1NTRrU7m*Y%It9OpU>WE?M}eB zkTdVw_Z(1+EwgvnTG|~=!3D-*K@nLKqr;+uTTdIoB*J@Y&AYMSI+vm)79}i$dlA^~ zXoEYC{r4Y_OMR+XTzu`MvtlM)O}(zdQR4XIiYcM0O=z`*EwFceYpuGx6Iya@tK4^O zUz^-rf4-=xYyx80gQjzHxd>7{xFt$$KcXN0K=cRm9+0rNaAuW zAQImlG{{wOILbOq8yW1qbjAVaa7@mY+qS91OMgQH7=G)|ImRA!fHFGtX7Y@|biUyJ%1@}Y8da+z8i2RT*uRoZ@n73 zej*PQ0??%o279X+CCk_AARn61L+=8oK7NUL!-ibn?V^BWVn)Z|-;RnkfpH z$ou^1RW`%n$eZi(x_XyM{M0Z`F~;|A#XR1nayCzApshF_#TertA%U_Nh>BltUprQK zkr(jj8Weip*-Nazd1siL>T=;+l4`pcdBO=N?zl;$|HAgTvv|m9VRLRq51-5Pl~v}y zG?hp|`Y}`r={{Y=@;gm@=tNBXs|0k9c?}IBE9_RA`GYV6;y@3>AgM_w9U z@-9b#yj)UT+tbNHJ=>qF<0d17sCHh=XR;sG9wsnUi%MomI^u_IDjYWlzp*yxP!rk! zQgiO}Cf{6I^9v-wd)~HTnI*NKm=q^+!scG3CFe;o&38JI9@=H}f0x|hk3qgT5*43w zKf>ppF7=T4|2#|}_$B>wZ{_<@odc$CFQ`c^c!j&nU}K2n=*PqRdK7o`dmWckC&xQf zv?iB3j$J9{0n#tt<}I>C0>&g;e}oL|7jWC_#Gd}zc7U#OlHFsV)J`=h{H$Mv{{{$@ zBiet3p2ei$aJV`0?D_HKA9>CYXr^F~Ue7{Xa=x{90GgxB5V@IHk*yiCmk#pdt zY1Y*D;8B^NFXG2JulG&YVkrVSD8-fy;b+`WY5>l|RIbP?>dOUpf%#Q;JJ4VjLrUs| z*|Q)Yol$KKcoHd>E)uH{^TwFp0Cnye)mnF5`hIalGHWQy1V(R2PO_?9*}Mt;ybohL ztle+^-(<#V{i(>A6m6f!-UzD!M%185WhI%{uAiOf(fl`=O9Q*||0Yv_Vh<=&;2fA+ z!FpJGUThyZ$pPQ%KpyJ394Y$uc1ZH;t4(9F#e4EEirCm3*iMb3TBXt+f*@S(!|Ov+6_!~KMJ7{L)~-}DCM9i% zUEJst;ej-?Ec~}Mc;+9Lm4MBaXF~qzIOL`*NCN0f)484LJe1!rqH+rV9^f6HX>m}Q z`?&)mPlYMy01Dyn6x3x@M1wnRM!qnDmew4Hi)fFP?~sZ}30-G(lw&i#ehq&Tg=e+T zXdl7diGp5QXd~lwhrx+dUYg(0Hz*hc$w{Kgr2V0Tu8l)t_+Kp3W{5ImOg)_y_HfRoJf;j>JpyXTsu6 z3PY#9V{k9jK;^ht_Ul?4AaM)2g$t$Lv0Rs=!kHrrDb^?dF_Q#FmaB7bA7zKKCG#&o zRinn42kj5eg;F_zW1=wDx`YY3)ws`Xcj{aWuI$JWy1o|$Wy<@?sVAOw#$@zXHE`nY z%49(6zW35p_^}E}6j^`zU(S%V3ThR#aHgE$A1t-?Z4rIHBK7?NvYI+{ zalea@l@lNeS|=~nu}~k+Iy=y8IpdZCCe1BnI)cBI(E`ZWUMVM`#FrSu;&dCJRan>E|Pmu0MhG{ug9Pqr3JyAtWzt+gw=Pt)Qe{Q7$re)H% z(nkGK#Lmo<#7MJF9Ou6{DX?7E;ze|Bt*+RVqgR>Iir`G&jSzK`L~eUQB?YW#PwE|y zy+szHTEx@~XZNUi$e!ZYF|ZH`j|EH>R$nGBEZO7p6_FJOECJ>fb|G$)tOc{d` z zRabr|-k68#(h}8TDS4}5f8{3dj#Pj{C2t8rpo>+(FBssQ6wT~kPIP}I`f=!nj2_TF zED-f3nhM-(Z5!vw)Uy~N_*0~(-ZoD3tFUPQqBu@IOCui8iVaN5&618|DHmo|zjWRpP9npLKKCH$@$c;B zBGTqJl9_z`wSODtw*~n0%W0I2NE`I~E?{yvPq2sekoMtc@WMZ@SKePiB#1s=6uWk( z{qtEW4b(~W^4zcxxtfHHUVCcKyP7K-_T<$Eykq~U^qMb;3Tp)a^=rcKi;fZQJxTi>Zu20hKZ$B7EPEF+aj9_`}pXDp|!<@uS+T0>* zLmx=T;k>f)E0V_%6PNpPnLYFZ*U20xTHX(Htz;3OAivwe-cI_)YgCtDzR&nsg2fV~mK~8owwR zN8lYQXGxJ6&uDQ!{yADs9xDCs`xAX9R9^auD^S46oMAd*J|Gb?d@WcB>Y#zCUP#F~sN+}lJ2H-aKb z=6G(Y_7N9%hPLsvmCHBG_YLQA6I{A7Xu`J>#+vuLe${(RZ+h{Bbt&(>xan+}BVwwn zZPk~^Fsgr#J;Cs;07yY2@-r!nmB>6Yo4)qMf(#1loDzWh`xA*s9LsDTk;7yoyh%k$ zpZG99ix@6ohaA+GnuthmHlhG>?Ep}VtyIWzfm53>4{Dgt>GZ4B)knUaO$I%M)_A$} zi&dXt%rmu}V;B8G>}+;HkpbZls|HdXp9ATg&1nwT9ow)2<(t(b6wm z6dG3XV5p+9X3hY<-PQNf88&$9Sen3Q|XxAmP59c9_s>6qg`U#A*I9MpvBS=`w_3BmR zrs-nubgk!``^$PB^bl>C79r97%i~Gf;ug$HX>CDb{eE>V$Bi?Z!do2s+fM}$|jHVX|c~QqyY^k)MLQ(Om zK;i=Xg{4?gNs!?|df|yb3p**-#RP%Wa1u-%9DhJXr5|sUG$KLN8CM|j#)+?2h5;4a z&?S5Cln?HogNc6koF0W*dBJHgFW1$++Z4-_o;T^QYJidxW`3BSA1jwqOOhGVn5sRd z=3lGnxEo4Er=MUHqbEhw^!=0exSF4;b$hCuLAfdn@BsrjMoEmp4!=--Hvy#Jwf@q=et!OZ~G=**`ssC3oet?3AB=ICV)`8%>K znXbtT^CD9Z^lO_Wy7l1G;9o0A@jTsvorff92Jp^jZZvEy->8d+mwMVyAt^7mw@1uw z6_+jvQ1YawDahYcj92dF(LQGUMeAqSg`zRrFr05_jeMD)pSZcmIt;pL5ZvI&)Hxad zc&S$<<#EfS)MyL#mNr~5$C4mHLzIORPl2D09n(`ma(>yHI0N$o4h{&fGNFOxmYJL^ z;bv){HP~^Vavk&LqdDU7IVX*6Pdj)!wE?44v)-+BUGMCgGT8OEhRz@uS@-z85tmm+ zG(n(CN=!>UK3(Rv&ewS;B1usLxmB#VG^f%(*p*1-1Pl|Tl^K4DEFV<$+E-j^=XuP$ z#p6Bw=A+i@6Fd@MT6Xipog~I+DWJn?YE#~g)dCU#X2-t zAYyBOY1VZ!h?9A#g3|2U3Y_Z3x|App zQt?NWvXHcAlH4Z(-}cg+N94Ei&-s6-TAUh6h-0n*elz2y)Q{ga`3MK!JW@%8Q-N%} z8En7hkT}cBT^S{hnAm)dQj$!1yj}XlEx(<(YK2#D?ZyZlpte9DY+NBAqUo9y@gY8T zQqqaDJy73uP!;oeFRk%_l}JffjOz`27uGs`LgCB(Mp(tF!*_M~!HUq1$Swb~N2Z0{ zW#wLvBYLxKgugV7g?kBx4ylojJ+XK#8~s65+IPGeTvbIJYZb7(UU zGvI@<&$WI2pQTk6Kfvwz@5wXVv(G;~uR3WYg1sdh(V)uVCL-roZuY_1tmU|@g{`(X zj<=&KBjHLUuw=54SgM>8Hdz^VS$-DTRbm?d_x?Vd6&8#maG}>Cy%-HMBGoE(QNG@!Z`tXy*aV{erby}YioyN`nVlVPdG{3B@g^u>3J*bxw!-6Fyn zve+&yiPFw3tIf{!56b*)LL_Q-#aKAk+NNgsZopgxwwN1MQe$RIr_(o7R3Yc~g)!V1 zU0FXCprCA}Oovv<-oA{m9|EjP2cGRl2-8ciIb^%b?PaB%Lql*|b ze%7DvJ($n@0o51H;iRf_2@gY?|M(2yrR;>vr>seq$=74AHW)_IDhzy$!g@r0TW#K=o;5!v z9i)A#hCh9<>WDR{gX-?3*=NoG_(ub{cGjRj96{DopK#ggIL*fmGrryW_e;Xcg&&2X z^>C1rb(u+-zd|6kVIk$UJwx|9yN0!ruPZJ#7E1}8!zFPC#(A^5?WQ-5Xzl+>QZ7I$ z-1i`}Lg5J61_qx~wi-XZAAPDyqf-clcR2FY;GXC~$X5{gSlK;!boI`MTM)X8t5r%hP>v4uAWO~=CKNqH8U%=M~p}Y4wUR6(K z>O2a6eGriW%M9Z9%Z%Iig}Dn~xGIQ1N)g4iCZ0yGFkq7h;M0x9Z%_rG3*O@xRV3Z; ztA2_MTT}3<3|qtH6Qpr3{Efx7-b~}h)ga(2$ZBj;`RY6fI61@~_vrOxGHL6_p|3bH z5-?{JPCW`&My7PkR|*Fn)JX3#$Aqt=9F+7c&&^?)cP>1oe3k)Ww z2w;Wkt2Q~9tW)lSMbD*2fipAloo3rSqr}mh=RtocjA@kpo2Xm8D|`aQ=DuUREv_|Q zCeOk2qL%~SeEX5q+&eq__DOh|83es#k6i7%!Wz08ArZOgmJiGoUw^Mv3~n$bRp+^c zj!N!AV_U*+DN0rWC;i)mf61Zpv6k)?e(z1$z~L3pxPnMK%H1|HWm{0&;$)FpGLVOT zLDcR9=fd!t0}Hu4b*H@D@oRfYwTqbVLMNs%YydKrUto>M#Wokk65u+-zG;JQ#cZXr% z4(3u?bNrAJ{>?6cMybBr`NpMth;=MIA(pkPe*mOW?up<^80713Q@Ri+Cq!&j?-a2& z2Dz1Eufo01%)anmPy^4~@_$IW>aeE2?+>CNQYxLZ5D@8>7$G7eAfkk{h;%n>3d%)V zs;jd6&+NNYrUCwqlI|X8+;|nHnOC z;?XqDZBj-dP$*zYg?C+#UTJ+UoX5jt)RXI5tcJq0pw3WKip^1Vo2vv5_u4M)bQ9bT z&jrR%$Z->F9CO%;a0xxY{=s^BLdq%?4q4sq=k>dzf2_9+>3wdUD~MG70ASiwt@&LI zU~5ouvvdAq(e_~+(wBF24AXwRU$6CYU+52N(`b(7j~fV8K_R_9C>K>+Sy~uw%B@ib zjDKh(0}J=22hZc3UGqDLs#$d;t(kGSULqq0#+q7gy@dk4Y|{NBL{5 zKoPYQ8p!u$bY2U~o6JjW()om=@oBC?2*%U!m$x<@UUjD!1wX)Q$Wnc~Xg63wNC^Dq zgT9rOJL}qoON7L;>Ly<}JAh#utG;Nt>uA?tCC-m!JGv6vMZt#*GrdjaJMgX1X&!L` z_Ss4$oZ<6Sgm1H~d8l_ReXBQDtrCLV;?EF@ulKh!dRcre@G*Lx5q9%(T{|1{d`!8D z3f;m2;IXzNAf&e)b)4)1N|jm|XAmolyX(^t9JRmeui=;LCXm-VfhF)HZU2d_dQJ4uOQn?nxPd8y1ccu*#ojRW2(VRz%1E_RrH&(xh8CBl?EB#SN)NN_gMx*xBwBJvd%#2{5l52tY(d&)jl|UkN6^c6U>=-#~yl0-mflgL30Q*rL z`@%UtpeNxjd1NHbE=$0xSpZ%^0xnOX>2`Ydi z4X(hJDT3M+r_cAQGX_g%jgTW57@@t+*a6lLP-jcQy-tt{7-O#$ScVh5gVDF#D-Hox zNFOg#224=})j)!rM_jK3;B&U#7ym!Z`1BN@BDsC5a-rNbVWd^Ij@iNcoMxy6|!c9Uc;@A|l>6g)=#`@?NQ+#J_>o zowWlY?@dhK=uSnP-cy^V8elSWbl9wZ11VKk}ylM(gTAdWL2 z20KaO0VVNCPW&X5W6QdAM-|R3CB$`ylo?GEbS_#7Kib~LE*T#HxHX)Q(uGc|oxwBg zMCSP#B^fn@ewE06Jf`dizvA9vZ|>fiN(<3yB>HuE?Uha6=q~1E7;G9K)uZaxoJe%tMSt?ys_{ce zawyLq24EO?ZYj`Y&T%rrCKz!U-En#l&w)|UWh-UCj8?1S-vm+xoHo7xqoiOpLPI${ zx1?cantd(1XWD%fJue%;6TdZ5{|`}jW~KNLMheaj{AghW*y;r7fpX8F4CkiNF!aM? zyFlm#-q>@3t!oTxKN-QAgJ|a1QW=dG!_(xvppgQ2ItlUxJv! zrhZ*%8Tb!Q6nG4$GjpXqMqP!cYVCEpXP$*1mhHhT(%VpS#UQ8pJs!hl3Wm==e$VCuHL(BVNO|?YNP^Z;t=0N0IeKk^VF46|GPYJOjuwLNR zT$8j=YC5xLUS1`Qn z-UC0)(Gg}NNAT5FhAgzIcNVFI7m`|7MMj-+W;FXJ(Jk5c-*J$ZM?wjXP-PM?9qHJ- zktRpW57@Rxi=$E@J@Wbr&L*~GM~GRaBGYS?<`_OemLhavlNbXMpdz8s70~0`-Uft7uhlYb`;m@WuYs@N(r^I!&mB%mqJLQ~ zf_o(5LYXTfzRs{$eGksFJu4E#y$xi?|E#U4-{Q}Yb*%hpQB~hCHG(u)k$`bt$H6Ca z;4J8tAda33x+^el1jei{x9l3k#bv~TE?_EK6qdn`CSCPg|F>Q;Wr_dKX`pqEJmMc- zV5Bzy(D7+x`3vGC1Y#hHZluSF!di-+@WDz$vr(-S;^7gcvTsQPcdLrNJ%lkADGS4X zUP>t;Y^im>z6}x#x`7{^x!6)Bb&Qbij+~lLio&Kmr~W|4gGplB`De4EZKONf?8}u9 zXV;NxIN&PO2m=gP6bqaVJJemNerI{~kCNb%v)NirLy0bB$KkJE^-dy>pX%L(3kGMS zz_K}zvF`8E+kW<3v53nLAJ0$bRVf?AwXMp3?Bs)1%~7xRw)T0%8*~x+Lz;S((|Eig2%|_O%Uw%c6Cw+{F+?~ zmC`a@E=opf8^{12K(T_-m%bf+$*~3b6W)wpB7jrudK1Z(6i%ClTb+R81hACUoDvP_ zeEFDooJUKDryvX@U~m`uQTe;Ztw)_xS27M7Y6Eg$VvYijN6&-0a%>fo0+*!-Rxcn6 z+)XWapb^)=Q!>Y__$B))8sWu1|Vo>BYBVS&wDUFf86w)QtOut zdzcSMVEk957}r!NA3N?;X(IL^s&#q1h;ezkbJ!kp3|5$1s^G*>kwH<5^2bPfN&N1DnGPuWLwlbwx9)zG=*7&^>FLn$dQ#xvt7aT#FQ4*eC?E-XZPyJe8XtW5p zWXHcnNN+$+DIi5dBy+ObBIf8u2yvPXCqmbXJ%o$GuHri?!h!y=vAv8RNE)BkN1H4{8=efkdW3u$x@Y+T>Pd&64+caZ1=8ZCuau?x=)w>6 zckR-Q)dKf!?^I2fH1}oh@tpKNn^DEm)@bymAA}xl1=3}}V;gcwN4X402P=?1QbMjU zh|KQ;DR#m8g~MA%25wCD`ySs#WhfmjjxiVvegsp1F$-R)+~u+~=b3cmQC@f}oHFPs ztcEWfagiqui?Av?s9lq1_8QX0y&Jc%?b7<>QMN-sK6EHqt8cRfVS{dH!=qMpm3)1! zT>-=DrS@Cd&>$8W1q)QtD(OSDGD(E<+@odv^j@w~ASbRe8_tRYJ*&Kk<_XHW!z*0X zxJ?v?YgiogUL6wa-RLx{?b9nbr|C2*M9I(}^ACsrhYe1@vKh^Hk03k4l>pZXMLCF} z|HdDXRsRe!Oit;&`s<9{b^G)^1<7&*dg~7b1$cuQ#~dV-yW(r?)sifJ;{U!#*N7w{ zgU%(Is6rR7f&GACegD{Xg}^V^aSC?Zr_V-CmnzCAz<%06I@_n76I5HC+t4#w$cf@u zgvxngh?3)KASd`mZN3I2KPX|s-a2|%7YdVu%#GD&0V~GdlHSt=oNM$vskxAiaa{YE ziC<6*5s{sPGWcOst)Ph2QxDbmfdKJh(tBZFDWYQwh-ElrO|J+Esf0*P$Lyta{sH2P zX;3$OtGG+WQvjW8B8b4hV97aN1ovxAL<5lG!&+o^{xStl>vEr2kbXf;zNL1XKD+kt z&zvS4fD;j8`KrS~(%*(VAzgX4&mSu5W(mJ1n4=#lLMq;mTQ|3f^fQh1NbUHSrJ`|! z_fEZsKe8{0+vr}Y{?#M-1^CCZvtznL9>gzhxF7t!QqB_Ge%m=*0=(AoH`jr^rx=CUl8lC28X^fv-0=vEM-cCUioRyDZVRErCC8Wog%XQeN&{v%FQqfH`zz1y+73;Qm(`lY%& zNPMod;dD{BYcy!(EC3c=z3j8g*tx2B&3TSgwp{?JBDv6U8&lbx+P#Os=yCs0xkOcl zA16ySY>LZjRi4TSj==m7xX?NG?Gb&bK#m19099bZ>iZ?RR=^4VwssG9`rZ&S+!nNI zm3`X813`Q_-}P}yan9rm_s*8eLO(lnx)ipX6((9K+GeHrlp*|6p^3?tHKxT#tIN@H zB19x71vli__Ca@7H!HGiFBcPo74gmp@Ziz|`+nh5{VTF1l=i4Cn-(C5zn6 z6Tj?p;@yJ>DJUD@ucvFC2gxV(Dh>M@}gU z)bqT$Gv#L+Smik{!(b8jPk~S4oTlAPS$t3m!RHLG1qmWjt&(-P_1Xv`AGLoN@vc)f z)CX^HFiPZWt&2Vv@6{B5Cw71ep;4XZSKINe`X$z!_u{QnG}K#uryF6_j7hr!JPt4e ztIhTakL$V-_v6s4v7HJ$;K4JiOeLbL2p{zPG zJYcmp!RO7_LOOg<4D)KFH_2V$^F{;99BY*G>X5Z)2+uoNZ6cP>&YGCu({3wN0Ljlf zX|yA~ahcq`)TkdvtN|9w35<2okdAXjGvH>+3;filqt2YzS;2#kN50A$fdyvsUk}6& zlS=1#0bZ93Di5z@>+Cusd>($_Ilkoj?|vZs;=!CC%y;iEoC^H4xy2{yXm)t1(q$VI z#3cD3^x*DtrP*5Pyj-D{;>1B$0w(+*Mr6~TbNJdY>-UIN*_i%|cS7=1AXfoPg~8^#Ld)&HQ3Vi`F`z7dob6QPprwqJ@6m{GGAiQ0V?{+2jNkvdie<+u{?1@{9+9%#OSh$$&e*G~) zcebzn$BR$a!V<^BLcaVEQ;w6WdYUgD)a}K(wAUgy!)6+BXD@@f7|HLqy`bl(R0WWI zx;EoVu|xBU#w%g$E9WyTekVY3^lVdgisJL@dB7i2rErGD$I(8kl=P}g`wz_9Ce>dp zf5uHbd_0t@bmL`%Ot*>Tt)rg>ZvIOKZ$#njZ2ysUq2#lbjS$Dz6hpwgU*3tTxCLB= zTAN<`B6m`0@O-bTT#ed#&Y3Q5rbRt3Mmz7*?q3}ab${uCL6dR*S1HX_3}db5bcxC* zrc*J}j>0g8(T_MyC9mFeeCUl{FvU8(!tMCe{tlKH>CV-NON~4*@fo(nlrhRRB;UxV zKDv*?o@w*O5Lv7G+Nbd%>LFMy{Cbr3UAQ1 zXwbUok5~DBQWLCi97gPptuPg}YtRZV-22--@$ej~K(I^=iPC26Yoy;WB&4I1Y+r0^ ziPXEByiB3wlcQ70lFs|H#ONEwUPVsWqYs;INflGnSPzvOZOiI3`+R(8{rQZQP9jx* z^Lx;7&DgEsZylMqADs<sy=@1`nH}$X(92)a#9`o``cu)$pa4Yx8H>JIic?S zDQL&uC^?^%MX*^mK4(1mj+Ihm@>_Q~j#%jRGvlT+)iYz8e!mcX62d6)MQUqOU6Zud zhKA<^@ZK*XRVHQZuG*mRyg*t^>`>9TghRqy(-ShKwRfZ|y!&&f3U1cp7}!?|EPLHup(}^efv~rAF+@P&ZMqI`Epd}FLhrOog4 zW(Zz^WmgtU%Nr55n|@e%-MXjp%jMDK38lP**TR2PYM~6+pP-FZjI?-L|roF_0;6U5jQw;Xz4(AO|3X)Zz4k<4t-(fQjL6I zwO?{E@=K73mYn?Q?PLc+zk8?oBQVLVO zWgCAh$+k;G<`+o5IXAhdxom=m*^&Wb552y|h3j(2$k0pve35evA1&Xih0FyYLL&rHMLdkjap$hUkE>T7&SK?^A9=lco;>m`eMt-J#$}+ z7ZshLKE}mKo47B;Ray1^TPT#z)qRX{ zKQYJDPKinQH1r#d;{vGeFe2UtRuG(0g8y|%TTNjN4r!a1zRP=2`^v1iY3bR{oh`nV zy0`Bo6&Y3U!*$+2o@dGAT#vogxo|%^?xbTF5k2=)Oe&Yr2jxBZ$oRM1fV|qCQPS#d z`ZabvC9-!0NkW8H{?kY$7Mqf`Uytt4Uz+(QO>1jlJDw-0k4pqZ{l)lux$3c;^W?Qy zdM1g4MW6lB_o`_>?7nGO)BJJcZT_OEYiqdmr!_4I znIC!5^Sj7l^jU9|X1i0T|E5ZjytZd4Uo(dluNY=r6&tTA`W-kn=Fq6O6gkZ=9h{7sl__S_(QdD33C2Ofc5YvN-pjCRv` z)q!PNe|#F!M(CsZ37+92dkNI?)Y@w(#YogG+sr!iBV|WyEpIrcif*7=^ z>P6eC+0!h%@ya`mV|~&Ue|-LhUd@l;bBf7wm`{7w5ZwpUXR)?JeccScZ)7Y^mMe>9 z%ZBu;a;_}Vu9Enm8&7ZfH2=Ky)_b`@igOhES59yKMQ;w9itAKXg_6Sy4VB28(=Nll zJ*ut7r(WkNE{GgA=^Xg?ufsgEvBTBx>=zS)*|Vea;3apmD(r4<`XXsZH`^2ULj3D9 zBE=Xyc#@KZ6MyyQAs7A;4U#wy5e``*-5(EMtTE<>D!k+z8>9_6;8fN%m_vjFd4D~< z39ANEPI){O+)2|^ea!HsiooetsWXQ%Oa5rF0-3h5Z(Q~W_#xU0to~^l3n4pB>4xd5 zP{oqy7%V1=&HuDVH|ehD?OeGT_$UCj$<0BS7+mFR6ex8Hsqi%qg;kAbYfTnppdEwO z_H4cox^Gue{CAGkjp5lHi0^i%{Wkm>0dBnwXWhms@2!)!23aN4UHHY|er4I|Hp*ta z*(=#urUi{v2T?1+rfL?7c2-Fx5;Y)zD^hkN-?t72dPn ziq+Qdi->oyM?vh~ zWoQvRi3JxTvgIuu;QivtXMimP+pg}p<84cpcic_UTvXhj%vJWGzgx!E&p2i-#Oih9 zr@yEvdYcC2xdjVqRdMexX83ec&j~A*8~adGoNZ8)Tq{AzP!apT$I^JHP5%6QNG!0} zxMY;#`I$t<3$yerJDWJ*uvP2ZR0um$>zUP?fz7RsoBNNFqf*|9dzoVLc#m$-?|2g$ z8Jr)OjEhhNjPZmMp2fKuiYSi~@6eX3BSy?o6fbX$sV6@e>nXGglnMIBUBKXuZux=@ zZ+VJ++U(VW`Q?|8oM`yLkOm$q^JXQ}v6yDw%XCBifx@p*_pSr>TBYG_Ji}IIzR2_nPS}RGIA|g4&7mu5dMKk;s4Q>z>&p@0I1~_;a8$=H}da*N1WF z=2oDPsE(-I4yjXf$OqUp=G?2RnxDSVY}ibCYB-85!i))0YGBQerVx7gnHy9 z->#W{XWl$quSnPIpyTusB;f1X>58U6vg9rkKdw}e;r8wZT@tl+>-|WRSn0)T!9z%v z>pXi<9^hk8^K@rLp59X$9FP~^gY_<>TeI4nulW=7q2Fe~kq#f5)0(_ry9b&dsMPA* z1(|f5ns8ZMrXjnpmlo<^tgJAJa+iaz;ozs8t{+Gp)%8<-r}0ye$>j4joi&5=lNP%n zU_}_f=)#ZZ9PgwwN9Y2nHA%O z)2bqJz~D^ot@n$ui%JQ)nKYJ1F2j`msB7+1*A9LMXCJHxoh_@){`1MEnCcBnYCmr- zrkWbBOvZA8S_dXHi_YD!z$*h3 zzA_*-9l3S|WH;5SWna3CH%CmLLTZciS9^u8TXxs<&7`1BSo%95F2US8?(f#%=8R#3fpss zZ!k4cC}ytQUJfwCZ(FDLAr{f_J=yEOn9RN>7T=!AidTFtAN9@L(+9L$W#6Q~pjJer z>GQQ;@dIgWAH7BItBD2dK1BNp224rj7A<`d@$Z&C@m4Uzs?5D7Cb=^yc9UwDYizhs z9=9_2$ZxRhcCJ5+zlu4$2|=DSYvzO_Cm@+2&_;vf->4yg4N}>O-|}BU}mCe%5&F zw1?wAgOmFB`uNv+Q&dW5U&@ks% zK1&673@D4-Iu0^X_?c@?-+l>x^GnNkMo#%#Mh->uH&7*fp|JUeVqM|$CgxIHS(a_i-BZuZ?Au=)P)!ZBAQ zN!VX}MBSU!%~wE0IN< zDyl2g{2n}n`6=Suj6g42);vgj>kb)biwqyUSwN3 zX*Vb3`Ja_@t>1p6Jy#B-?|1fB4R8+hb&wwNT;kg3&cI3Xzbj8U3Tzkfk`!6K|6IKS zpgf^QPEUh5Z1A2j5OQkYki{L!KJNMSW3>LXO45uXOEx86c;D{>-`yY9kXe2?KX=As zwW|2rKFcS4%MA=67>UrSL@E5=e8dm=7(26o2nce(tUE8&DmX%zcm7OH$>vfO(mdeV9Vdc9dmzBZW zC3fHRW@N_9={nJ!MJ=*kbGr0%JNjc02Lts1)gu-Y=Yut^1G+O}@!J;M38Igi%%h;Q z!t;{>OJf%0{9glhn`-`)Xn9;`8yozobZ*auW4Yoqk}Gi%X^7{G{CL2?cHl9k)U_&* z%|@@&`eGg+J-7M9Iuen4#Vac@RppbN%P=qJJVSSoIbaW>|C@OeIW|7c`rM7FaB1Q% zF?zl%=v|TN3RN`BM^D+cw)gVV&Kks;R0*$fP9dsul@1#n;QJ@;P4mMnA)v;ZL3<}u z#+PFqZY{q7;#iQ)|S(ULhhS{m5LECXuAIz^e-qw?qTiu1U zwCYFrcV`Vpo%Nc}xqA3*biaKG_~|{Va8!4(N7e5g8#;J@^J})Z!}2>U;=KP@4~hBn zjizFM`M_Z#TuK*Nba!W3>ewB|MdlBl{!!@L^u1gpV7C)<5Qjj$0u1o_MCvv^M7M0sBo%;?6k?Z{H7oX6&hjD(E7|pyu%Gqk{%!NHD@*w$( zELVz!@ImQwG)V&OIv&KgZD=5iW{3~*QJJ4LiuSA&-iCs=P*-vL>-Tm`ypW$~w{|0z zn4MXHBQo=Ad2Fw6S}PfuK2vH-nQpw>^>1XmisGD7_}VgK?m~4TUk@e}o%rZa*=2^A z;Jwc#JQZt5_s3wubFOa=f(4G;IHS+umY2-8ANMXTd@0}bn*%vAR=_;u=)P};Uot+x z|I-w?5mI=0PKH*$=k>--pjZK_2}gC#-3ReBmcQSSe@(wW97|}SQU4_+b3AV;-r;LZZ&rW5!|}RVaBa+~ zj*sU4$?lCC{f$4%%ctD*S&l5CCJ%B45-8;5DELFQd?(8u`96E<8Gb*wXOsD{ON5J- z!-5+$9%diKF)E=mU*4fC*FyN}WtMHv|LwS@NrfzBg367;1Wf)TGJnQGdSE&-Hnc2; z9{4v8mfBAl@HyDi0FXtvkp8Oktq^gYS8H(3?)kf=Vt$UZzm(7IZR?r2oI!$Y{=))q z(`n2#v^-G1pA=-dc{_#--2z43@mWc+V^(l@i03ZOpA-K6aN9<7trziHIJYqvL&u=?;; z)}#qW{_acf%pb}bVI?NF9L(;0<;eb>f74Y%RWtX|O?8>33GcVZ`KUzzOA~JN2br-S#2pL~yDeq8 zBL@K)dNV>Xml0PPc&Dl5I{t17K6JRhfh!DVIgEaBc&f%C6oq;B|+rs+sYE5>g0 zoaQ*V@J7a=n9z*0!Hs06eF>nKuu4JQwMxw|+VhXDGVV;>tmiRTb5|{$?qJ=DGSDCI ztg=AIW1>k;L5StC2fOSU4X(HYui=vsb_8$_S=z2X)9CIntB<-sf2!B-% z-SeXe#T+=!>Sm8a*?FE(JJyXti@&0q-(|Jl%gXS~c$&ZLlu)CSo`ycu@T6IEaZV(9 zBkE2^FIb(55Qjo2$jDtteKN+=gmhFpK{69MBe`hd6+f&&ig=0HLMZs+T}VYT23gw$ zb@gfnZ9QitL_vGL5**nlmowO2BARb2_v)^z2EckL!|;;AB9w$b$x;CU6pFU?@~*A_ zX43TOSuRC21;XF>pjyAzO{9OenD`rWLdWWSjFiHO)Jy>4x=0`htR!F(;LpK|TQ++n z!V#r%{BcAC|EU?Hbn(rH$UZp+^~_jm{eEwr6j(C>jVQZmCQUpS+KKNGb%S+k1U+Ki zLOAr198I>XMYoS!RUp8^W*7dKyB%2*NRE--pdP`V*SJI#h_ci~*UJ{^F;_ZVMNJOm zrp-kZ3wnG)lwDpghxwP1Ha3spLC;!$gu{L0>&)j6nklE%OFn&?z<=|Wa(1`IHo2aI zR*LBr{oh{kHJR+xxfuJ4lzEA~4d{c3k3Gb!#g(5$LYhq1q|j^7y?3OOwg(oGBr=_A zmaM>7njH($kq;q3fo!YD{r*AA3&ibZ7*13OtWwkshuDdvnEOi7=~RRtL9 zN)yuGm$y3YARLSvb?l5ap)PV`HUA>+1OPMqs~~O{+>a5@m?|JCX0P{Mb41q;4l?U( zwNdlte17?x-?4|uXpP#}6M`l_LkZ7+IPI7tnH=c*v!Ft^X*~|(hU9~UKQA?=K7|y2 z^Fg!R9R2FJ>zVYdIm^@NA76jlz||%IDLi}|{2kh}2?<%U>FG*KwAD_Fq^d9Zn(SIn zrX(zZk+gJRzY$=T$TQgBQ@o=;v!DTHAsRM!K3KYb%^-+_buE76(q+x^YkJk`P-qc0 z3dQ!SC#*4*?Z|=M?lHh?v&h{lM`If-gX+0{<|+9%vApRKEY*mh8~ZD#A@C2V zTG6WK+?<2u!|E!JEb!+1$3sTii{$7D&~U+2X*t*}|23--j7eA+00|2$!vE-j^IckQ+9SLiuY?4fG! zlLr((elS+c|6b;p%{CN;+T{vlW#shJpG{6Y=a^sp*ai&u)C95L75H3a)?_6V7sI-0 zbfI#=Vz-1~5q?l^&E2Tez6pQr&gs7vbkkkt*g6qnw5=_kB50U$36e& zvP?7(KcEq#k=LslHF@qW{?&9^P|r`^#L(r0nCRYseO@3rJM0(WXXp8+SoyWuovD6X zkAo(+-dHi$i4IU*^}U!Q3%k2p3^9owU$OMq$6?ONw_hwj1jwK|GKB`?PiMauKybm7 zyWRbB4Kl_-x1bcp8ItpxMHO|?M>MRDX{*P_W9e9a(ugEcJt!(z+9ggZ(^sd z;61IGk7?VVu(v)Bo-B&d|CM$+&pq-JiXI4~nWrpzt#oWR+n%ke)s;T;ef7`DxILKZ zdGT8@h9A`RN4VZAzsc9R1?J}o{ON87kFZ&v01GxVkD}C@bxh-gtWkF!3#rs)P<-p)}`zUlDGoz#Yxc7vC$xAKzPF6s0k|$o(JR`>+sX z;+XUIL6N04&2b#D{FU}_yP5COuZ&Y}X2X8!9$}pa0}o(r7pik__fj4zLQmQnS7|Ci<`q_lJVLGsccy5-6$s0RWi?v=DeCE2E`yp{sm`D1m(xQfrFyGwh zW|Id69V$+>^*Wg23M3jv6^Oytb*Ndy^qfj(pRF^^&P-0fsuZ*MAFEJvR=k4K6cc%o z2%n;1PmZO@K0A4KM{xH1YiK}M82h;5)X}QsmXHpAv%f>aGn(`-Ugruwrrpj@BEDpa zF+G);A7;KbaQ9ZnWn>f1QVJ-11G_$NejB|vaDO!4Yxw)J)0DVO=M7a@>rdw@lyW~h zq8Gk?xaOSsn&m0^&)SdspV-RqceeW1x$ZOoWFqE+yT%T|llHTy zYk1ZDdn+DB-=^T#`gm=)O|-MHjaDt#GCzd;)14%Bm9NHZOm8Sdte8`+-s{QUk+qB5 zCuhFX@xUrUCEn>T2k)2t+a1ZFub$tgR3*X>%P)7&_vR-_GM?TyC*+p*c6TdaIEa>z z*I2kQKc7+9NDS{O==#3|dHVk1DUBb`e?3dT zstSFG2O2Of8NdcG&tt3CN)Vcd0o{tKFB)OX@I;^OUUZ*PSIn@agf zEcK-m@4UsEHRGa$=5b?;7;$^oe}iQ~-+UOk0;wBk$r=DWnnNKS+6Jb0c$)|q_j!A^0;41&pU1sOX4|G?^VJ$ z>SOm($Fc<=W%-yidrY)^iZ>mky@G9c!-zkv4HDW@5~@XfhZhmT!lRCh)&N-KD`JZW zJ~LIhCUWD{`DK+&;#Qh>e^^rst|klf=L0dXb746OpklSsz;L}13rh4?09J_*>F z1W6Wv=;{+^3-LRJc+c0^@z+>n`bkmx$!v+&S{jLJ?N~xr77x17v1&1$MDo;ElCPo9 zme0Sc^@|rZCWK?V)Lk;LN>_<0rRS`{azQ=%Oh$!u@r1t<&by&M z?O(Tg&HRn|JaDi+G9()XeEwe7c{YaVZl^0bDaH87v!&tdxfpPn==*DjOP}bauLKnL zJ>It+Ae9J^MD>ZIh4^(->|>Jq<7_o;EMM9Q+-`srE&y@8noin(JH*~moS#^z{oeR^|b<|qC))8cLpA> z+b;ZRSIb}E+~lKKR?(H}oi=ZCa&b>pu{PzEB3|IL83}?wM7t)QCA5Uvs!#Qmk5f!~ z*B~^F7ZZ&f>|^1bDuEq(h5M}CSC4%EMeCU#BEZ|6h&>lGzBl6Skbw3K-n4Eq2sY`r z6hz~+s-$j<6tkrj0m)l~<=27^SWNtg12yr2&_zCtW$^33IPv37`K20?c%dR+>dCb9 zF(Y*7B2JN2n%MlBq$9Q-QFIyyu9Mo=#J?7LAq)Ec8Z#KBi$OkLw<;mWNR5473;jVI zL4_v>%;RoA7rk_e(DStaX}I2+ z$-iUP3q^?48rChOfSKnQYQGXf^74dbIG!}0PUj#s&ONY$B;Ohdr1oCpPtURafsUuWKu4q@S)wyvFYH)g2toRD%pN#2%!r_-;0zb{7^B z7Kt4i4h43}?+j?S16!jakc=g_co|Q0B~T4G*eq5??0_AP&GsNW2iOiw&-bQnsP=~) z0I&K-50E2@4*mRM*%8*Xfomc%+3`}nB)Kin#+v&Dl_@qO4R>gTbM*EEIu{B+!sUQX z7uABPs6y8vqtottBx+vKv(P{`ZN0pyDOCI z82>it1;3U~;YTvWa3Mig^2~ePzeR3INpc0N4!_@%{6^dgQ8a|gyhwW_t96T&;so%- z&*b!Uk>kDdKX|U3MT{8gsqG1=r|W3gVzWs8!#t99TxktR9xQ2|F8Yj zWjwny_1p_nML6HW{~C@iFFON6u`Xj8udy=eDBu(qLsdfF(PAN9^KlSsyu3x`$2E9I z46<1}P}pV;*;MAT}SI7)as*g;(XeA=OcY$Vj+0J zZ|j0Oj$oUHQrITKTBAth_O`<1EIs^YYFI!=6}SXx}D`jmcPWH=2-w=#<~A!5^$S% zSYDrnwCI`_`mC^THHSPP!YnT@zkXJLOrwu3u0AH}Ni4;Q9duS4ZRY-gr-YY}SWqr5 z>xvO-^ZFq_`$^2>MAwUpT)*P27vgY$eb1>)A>_!Y4|nOjb(&)G`f}*QRr$OS9w&;$ zwl=NZe)V|mwEt>Y7V=h5m80o{Pd%rTg%GIB_jbE?2N7JII$eEctNO>L^U57 zwtT`(PhNavB;_1HQg@aawef@L1V?JmAV~E*L~Wcn9CVXVZ#@U;CZ8vPB!XaebG@+< zz;vAOX9YwD5y6R%LF}danS!&B0xQTslMim6!K_)MH;$YA%EitW$13?S08vakJT0ns za+(_mSF*eWeBKTGWsf-FyjQl4eB?=7?Cv_k?NvTFH(BLdTDU-&Dp&I0e%l1DxZa_+AO2s1N^G9~z5jivBg}ry_|^I*}0R=cHs541fW;&H#usbeE?l z=iSep53thkr<30?2)6pcY+U?HcBf*}>tC(AGUxF@059k;XP56v5h9Z6RUwd>l)5I< z#NDl7eGRcbCIfn}+a&pz7{9l@0mBTCy+&){lqYh$}t; zBOUMx%>`hX08F0B4ltcPeJeiiNb&FBSUA|v*Ux?ynLMTxa6Xz^`0Xf950VR!en0cV zC=;_1_^r) zlYH&;c|Rhz`z&HawDB>9dAFV#Gg>s9yCg!F*W^zYiQ;#Ike6imx}|n_Xz`IN@AA$O zsA#r56r6d~c;K`~3OR1k@MZ$M5s&S%peO34V?=mFiLTU$rC%Nl1rL{{3c+C-7Ied1 z*S(1{qYxmkQhylhtHL8%BMX@&G_*?yPx||P75Z@v2)W-0{MSX&U<59|^Dh^YN@Ad;_w%cvOB_UjGcRdUps3~cqc~D?`biXkkH-{j7WC2o`?)WQ zOF%&|iplP383hBg?=L|n?tI2JiDmJ^{94fgAC*1|g~mQ&dR17^6#@F^DaW(3oEjM+ zHX4+&B3bTQRTN4Ubf|aZ+N;MsiPkjx#y^Z{`{EDMN+j;$%VAV(xLdC0Ik_VE6b}4$ zb67}kErWGNHFRfykBH>Jw68BPeCb{7O^)az7O|#A!h4=O>9CMWzal=MTn+k$Z)bj} zXga@+$oq^3Npy_={v-Sa$*xZ{qz%HY6+g~~%WQ-$r&X*XIk(?(zj}ULYVU{fER{AMw@znvpSAe>3lB( z(_W$IKXvUtSyGa?P)Bc1_FBZ+NqaA0E00jJ=eZ(H%O*a{%YLR9UH-G5U`|F{Ipfa! zXOPbs!K`L^=H?65_S1-)x}3yy?I2c?BSjA)yB0n4w#kG0vH`Pfqk!O!BG{&4AiUfL zf@hPM`BXMt;iwIS<0t^ggOa&5t-i7R&a(gNh107vp~v#-v0v8NBm5pGrt!jtp7Az+ z8d2l#;#qL@($v+NBFN!KRP&obwEXS@KFK9V$oR(ZCvsG@Rfl{c+3Hb|q#nb}6s0>V zc4OCp%N$<#LGwEoHP^^_8BymcG6(fNlKU1y`-?= z?Dxy55M4^Pb`d1XjrwJL)7^+JI>YE%xc5MMD5-!bpO;}4B{Dsb?;^dQHId)QvBKF# z%S=2yx=4c2N5;F7{ArOHQIx>FSt+`p9yMEq>7b0W7Ea^d{Q!gw`+e%F4O7E0+{(Va`}goSAy4S-)V zF=-a=4!w^lcD-|nP3>S_)Yzg{###I^K_~>gw*B&@WSreY)1(r-D7Jc(UgqgX{eWw$ zxm`1gQrCRsFjcn7xO4X|2qu;J{ibXyd?Im9x^LlCw-wlL4fl^jJh)3}*f*5%ZXVMe z?xH%nWWiGoIzCCq-_u?JZ|5loO`z$NjC#0N>gpT|x`dP8vr>q5AvuKP_kH z*J6!MX~}C{a#kY@QbM{ePL0&{E(e&14HGWegPhhEWTw6)??^Ktmyp9Y`%BF>FKw15 zdyhxr%SRQii*6TzS4Zq40Ft>*<^ag|u=@fWCl0JJPO@47ERp5ycHRHv!ls_=hR3qZ zW@+0Fr79aI@c&-`;td`02}{TL$kktps-1g`B+@zQEZ{$(Y$0?omZ&p#{Nxr=L|js0 zC=rRFU(;WxuCfe{P+g!qGdHJq>z$FF6lzabO~Q!U9AOHzQ6{h4uj#3(3i4$Nul`6|QA^qIR^%c|bEM@KKnJdowt_6`4_24@R?r2D z6T}<^qXU-dA(Q(ha0TCli^Kg=Z!!9FXrX^A^!Cs~%r(3fWc|4;7NZ15utl%M(72s0 z^%f(NHOb~9V{D7wR$?qM*Kmu;TA8RO#)x1rEQm;M_Dg7~-eT=_>E8-1U4=C zvqT?mv4D~m@K1d!{l=l;ej!eVx5A4Z=n|;Dm2avp+6D?-!&?FE@K(?zcpe#{{`8PB z$K?7}m@T*xqB#ifZKb_mmeG$Um{IoJXC|WZBrQ5f;6!azqI{>wl2|lw^&+@J_2XU} zxVqM)5mqMMbr5SvNG*5v-2dQNFmQcXVlVNB#cz>%u2DXxgdJa?ynJ>L7w6B|%RT&I z>|66K6j;)$$N0_;kPWZZ5`(USVfrB;{%^Pdpnvt;P=h{8BBSSH>+v zLb!d1@t{|T=W2NvM&fdO#n2F!k*D3b{DWmbVo)gG-R&G7)hh=dk29t4UJ32z1?3-Y4rO2sWovZa$g_VCUyu{yE$io#NT|b+XU&11^MI4_ zgsF|PIMJ_T{&4L{l|Q3#?|vOW(OWN%XWkD8@As5-@^aoi-?@gL_f2=m%L_ZGP8Qm# z9OtvVup2rtZSft$ABmzia-3V}`VBSZlT|*qrhIdi-&iS+WGcI$^y0ZlYBhH z&-AqjL%s}T{CIDr99yufrQc!q@JDr(b@3NCRWX*?6-k@)nWsg>Q1^n$xweLAe#;}7 zaW;!jqP$fOM*7(LI@Z~fep9t4@y0w;Ek_po#m%?QiqsNMvz;ZXR?aAuLszO@F~mH1 zzaaKEt(1fF#|`Bl&iP&ZLRh3MWQt?6USJ`9vV-lb zbAHAo#-_MD%E#F(K8f;`@_u$G^a}X@LG4~wX_sqT^(p^|%CD~Q6IWYz%bVL3-U`mH z239#3i66d$z#P<-qI3MhS|MH^>UwTx=Im-Ya+sTo@_zWcEmUw8_C$V{BhU1cGh~14 z#<=&f-ntoh=oi~p%IWWyi#}c{hoAYYTJGQxZ|3l0f9(7d5x*ym>gMCpg(}~@QV!1Z zR6ey*jx5Rx{${(WowzNX_T$&3B4r^{9Ea==er$TZDB{WEggC#pQV#!?DzDDhtgo1V zi}HSW`o&4N<*b{_GtF{zH6JG#vsV>Gbu;Yzu&|D=lvC#+m5;5IqgP#dBvaXDJYvXt z`MYPeZoxfJK9)a~C%0bv>)K$*>;?;0kwmK(008iDP2_mh?b<9S)M8X%!~R{^%^Xy)vrHw z$J|(6$g{>R$K))OH63XOZNxJWespv2!0+Ip-;19JsKp=pny%+b@^cS@3D3|T7YZ-s zuUV8YBZLRiTqQ*<6$RyA0mw(ro27eV*d_Ag{#pNMiS|^;MK?j*ZBb{bk z?5`0uLgH16z^O9buH>Qce&wNXuC1O9#5tsGl{eN4qg1G`vOI~eNJuzGAMQh?1x*Y^ zO)>)pP~R)_H1RIX*Mc6}2x3~uHqeLKrsxd@ZU}D_ZKcihQ}tYHtQK9%=p%p(0Eg;2 z8(gc2xEbK%`z){mt)lZ-XEw<+v}XUMqXx~49}^O~+;~4KLKZ)OMYcTLC9WSXx z(eX0TRON5fk@4C9qOXjC1c=r+S zA>w6djtT4#m|5`LE;>N6*xc;b?n#n$l&-70&Ymk;PIg^I_78GHZ@5B0ca{BPo5uOI zBqQijcYItj13%cpLm|rWKU1wX3p(G>G1lQ{I^QOt!{w0<=n=4U3^u_hw0oO~e`L{Q zlgA_veb|&_6Z;9-WRB{9^#fc}EWWI=msCdFu<=;c8GB<#>R+WiAJl<|5C82Zx=49! zu({|*%7cfvTvGWE!{G>aHa(xKAwF!vV&fr^K9V~79?^G1j|n3`DqB`EvBRnI-RFdd zjZ@@)NJruXOvj4Y?8ZJvsC-ZHo-W=o$_M}BqZOnxdO^f_$d6V20r7_OksJ?|4Diw> zeKU0g|IOmtM#r^u46*fAI^qNLm1{b$$zP0b#6J(Av{cRX5yae2_?DZ#t>o9clxL&x zw&=KtVQN!#h%HN{nhB}8AeZHjgZIvfJuM?fBYs4+|bzResVX~KW zWGtqStgIvY->UrU>IYk@jf<7%1E3#Qo*z~pt?M7w@%t*fT1R9NOKe8%ul!l!J6Uzv zeBm176Y~S}6IkmAJAfC8`^~;OkNIf>nGVr@KOzqB$9RoL*k?)U#CWoZY;vKF*oCx@yb!aYIR_~K!*oKp}pmmZ_?w1 zNRKncTlGsge_hw62nT&A#?q8${EPd0HIFTteDP~t4|@E!`gE)ZxZ?8_BR~JDaxLbg z-dIx)=8+hC+;1)yu2pq?710WPh|Mi9Fi+I!OW7kL;>3xiPl!L(t(yN=wHsnIg^~48 zTi@=ZvEbQA_BiFoirym%dIZ01pTKxcBqw0h_D-!W+hC0Q&AyU@-{SG9nrFNUWh)D7 zoqy`qmJ<_t-7C4V?Xgcky;z&JwLSgq$UZpvkQMzu9&c7l;5g$SD z7okT}tT*Wq_nQ?Z2VGc`Fjr4fJ7Bm|{fY6PbuIRz?}S)dN!P0}9-r66u3vJ` z(S)@+uFcb2H(7PKzYcy4_uQ3k6#8H?~kmC*731ONa4|NnRa zbW%G{6j2mDm$$rjS=feUd$VQ=nf(9+Lu(1=Q^9Pvtor~hJwGcS*jY;l`AjIybNt5S z#KbIvDFI2*6wbT1QtfPs^Eu%E`OIjdGS2-KXND6PfJEUOSE`J%ohaKRtpfJsWA!J1 zrm_hAuReB|%KB*pxA(V)s%#mCL|EG|mNKDh6{J=&k0hlE7-harT7`c4YO!)&;ALW{ zTr}q#JKaWfh4z3=R}YWwK=(tUAbttyhrSX$rbg@JcMJS9*Z|TcUtONdF%TWKB*rkG z-a1Zkp)8td6SlVxm!>6jPo3ZOMK`U%bU*oLrUSY!=0*uQ(PkivYx>0!-1QN%xt!>w zBzj$5|9a3k8SbC&VUt9Hu7Q2_<7^8mRvV+@wQ)<^P2{V~r`K=d#g?dP;8>!IDloqM z^~Gw;9;xS~5(B0`JKvmjAY=dFtre~G42}=)KA*0j?Md9DAU@_@WFGMhTWAiq*K_wi ljiOQYNVkSeq%mi+?33TOpELJj+O;*+Rwj_*F8}}l|NkIVC7}QS literal 34557 zcmbq)cT`hP6efZK3J6%}5CsGg=}l@xL{vaflq!Ud6e%Jd5{fh_K|nxSs3HhNI!FmU zQY7@=dv6IPedBL;&z`eq|JwuS&7HY-?%eO2`||Q;CahFcRAmeoC|6I)-y=$CX!pU> z(arUil#IBfxYVsjUXCudx1?pHZ%N8aNJ&dbOG?SyGO+vL?Pz0nOW(@I`IgizgXg!5 z?5uBT+B$xu^sK9=p}3)@ronp#+|+KM>i_N6PoY86uCXKh$n;3vNu{#st0}p zsvAKYHlsfF^9S>1vm02|KEDCa0aYKr$cTsti&lr_*49?^WPD({TCmx6Yxs#0Tvo0u45g+3|S!^I)ctgJov{|+{ z*3Hpogj^6;SrvSPY|`wx4>1;DU^DLH`2FtPJ9&16^V@&vjyO%D1G3uFVDTQ9A49L` z}WpEwOTCZPwP&ZE<{wwO1dor~gL)Msa>ftxpirrKle?|Up&)|>fuj@1LM~?1T z1hR-reU`^-)+?FMZyYP0o7XGRXkRrd%2;#vqD|x}e^J-Fw9Uw)_%_Qmxwp#`^`iW= z!8c-&-9P&+c2BdMaLR844)`L9X#Vqc!D6*?hCyxb-f~CN>9C}W2$5Lv@b@4x$Uo?Q zHSiJO_<50QCy*EP>GTQV`u|6Uv%Oc_>cxjdDBxjd2?R&&Uw$ys4B* znE$j6m&w>lOF5#V^QXHpwBwR|)w8%TQt)W0d^a!~( zasCQ^VrBlcJl<@ZrgGY%ZOA`<@N6HZDEgXjY%h<9OY#l4 zawEk|FdQ@77>0QhEE1}4#Er9IQzZ=Mzz6AQvp=o;asmDEnX0tFrH*490v~rAO1;X!2oV|Ha-~Ohl zbA$HHHQ2v->;YID?U0)?Xx-v_37U7f;`KeAl?bLI7_|ZKL>iX=>@qRWCk82 zhGT~Jr4Q^HM_?t{vUcW$dS0JMsiZuQ0`n*-iE%u!(8lei@Na}ZMA6OeZ8I165UIuM zHgOjc+N83uyJ!Sn@j2Rn&;-38=QY1SD#o*Kd8wuPj(|sw8CZbm^$j!OFuaiPj2x+hbKLd@|3%cKu^1M4~l!;DtplJKlJkM$56(+Ad zi1PrtD1a*%j+{P|xS~~e&}0l7X&D8mv8O(+S*o=EPNE@;qXBPu1F)VkK86)4Y-R7EaF+2_4+-R*<5fN zHOM>ra}yD6Q=dQ8Sv>Gev@KK*V*KGHv}w8kx~1r|rR;45AFXJ28~4FDj*on2#qIlj zsm4b^N_N`O{)Ez3r)^)(Q|q%PX0$OpTObK2Nsec)@W6&OFuUjwZ9!<`5Suul{pG6I zYOHt~KH%vq?%nHfYzy!JAp+@byiM5J7LMq2<|@El7oxe=RE^iiZ}RW`x#;*|TwvJ! ziFvcGRsLLjy3~8O7Udryx{Ay;-ws9IXj_=N-(7WNc>GdLLSgI2(D}^KQ|u+kABNx5 zvML=s=LTOdn;5ZKsL!KYX-?gDp^Z*cQJWo%LoT1%dXm2H9h@7{iF1{U&lYX3ZlqXC zh1`oX>wWn$e9PCGkA=B?1eHqn)8>Yrp^Nrj_}Cz6;p$8IJmOOHG=S*|2!CgT`!0!Z zC>t7jH9mYFc%v}$qf}PA*ogDGx@^OWsDdqtF?|~#(x0-*TqqeS2)U97cxF{Ah)h>@ zLLVg%P+-9-C}wp}@6j>hRhn1^^E0cfei;h)RMOjBo@IY+=Sd7?6eKH$PbI3zgewYS z^AiZhSE}y#J-LFW3HjK*_<*Bd>H0I2oi!j+#kChh9E6$3i;}&6uP>hu$oX0zE7WeV z;NP`5C!hNcT$qWb14!GEPbRo?Vk;%-77M(ds!j6#W&|{x>+<)w19WPh>WJOB8%)Gq znnZwtX>ga)vabXydqfaqQEbXARI}S`iRz!lWUbuWQ$|=hTbS>RAkP)|^*DGCf5(-1 zD#`thR1M5;N%}qsuNLJ$ad2y&p7aa9sz=G2UPVWbVp_fJtY*+RQ`01^QOt;ttb}#j zHRx)rme57k>z^IwzlF2QsJ{fn&*FvL^#CYEho}G;+rCq~!npNpWH>X^u{c%wFW*SiSr;KnLUI7>a|UV9 ztFhf?xBJ9GptVMiO8R}bXG0w>V3KYenIyXRIUMK>&05cw0oKn7C7CY{Fns!=TTT?x zcq9A5+v`<;^?V^k^Ie+Evqxx8sQ&Qvz?-(J_D%c;W8V8(UB&o;wAzi*3x=azJGOR} z)X!Pf+c^|d6C|w%?g+-hJTG6)-+KF~eNu_iG#ruO+SLG_OPI9EsHx`do?{ul|7+{1 z<@~K{jlp9~4;~+Vec8<+AW{?_#PAD1FY% zYrK^!wUw`HlC}d|1f@dRTmA?QZx`OHPN;d^g^dE9+?qdqkzJc73RGQ6PL31A?7d23 z54mBrYM551OUYQbBuYlkD^W7B>CL|k?7LyFea!YRv3&|9%@P&#C^mXJ|1M7{7wEq# zRQuoMUuT=4c9q7mB1VV2j%{$)TNdkNqw1}ySEucEQpk?v!u@Y=`z?J^x~{F#-V5Q@ z?j@M*%YZu7lp;S$q?I~Lr0M74E!%#4I9#l|*YN(@;U@=uzmofyJNbBx$fmL^go=6X zxx)Jm3B7M(q`EqEYSCXdvhp;x&%oh$AueSoNopF^%^%^)v0wF3EcZwyt-<8;>Xr{} zasq1}^^|w7PI*U`=9iKJs?j6HC9nI}G}1K0S+>ghZ(BDsLy6mR(T^ymK4v!8!3 z{I=}s%-wLTZ9)9tV@D07OCddP__cffd9Pcv_1mlB^Y?a|owS6WI}1Nmo=(4;J7Iji z(CASZ#rErs3FDVdAAtR$Cml`Jz<#&ANWXA!TIxZhU&D=c8*1U**x4c0^(ViTIgg^U z^&Q0GbDTp=pgEPOmpAIDQaXN*sT#u@pPtbx38{thQ3Yei=Zq5Va9mdl1&e zBuUeNkmzmQ3v~V?2_J5zt2HwG<=~2l&NVYSemfya;D{eQdR4$W&!f-pr%!K^(9aVC z8)vmE29H`)0@m-~tiT$;ez=|$DOT!p%Yc-b<0HB+v3U#v#U&iN4=dWm@c)MC`E&$4(T!e_19OCfrr z`=$z=ey(QLqu11rxSlV4EVl5zc8T!*b?(VTv}iTrOKC-pY5X;=xBgBx=Zd!zeylS{ z21J)Mt!g?1a@+4b_@Z*Xo#m2gK!6V3(0*3mHhsRA@CewCI_n_31@?PVB9{;MEB)e- z?a>c@-RHv_oapet41!vpiJ$Qq=QH?D2X`+o(6}<1_>NCIL7p=x;fu)dww-RKjal?M zJmhf)V{aqY@)_3TQjiIRouRC(wn=oTbVB-a0E3u*c=Wml2V5o#;U>a=;t_5ty`bYB zX&(;JyUgdS@IZiI2JC01#Gw!DhwMdi)~TFkXS;_P9Q2GH`s&gP*WSp&NP{;~FI}i7;?E0-u6g zs9BpMs(gU3GZn>rv;rc2O1J1JNf#Ser$1c`f#0JFyn{Kbf5hD-e8V|Rc@#z7G<_T< z4Bb#T>j*Re_A8ur5F){8Zkv%<95@ZGZKZaFiF12nLdl+2-yc+mz<6dWSgI^obZ}C6l&cT_ zEn8TeV<+&e_Xa)@oCcX=M#FJdE%ku)7)tRAu+H!*%}vsO3>&X_!?<*$?N0L*5eU`B z(ipKP!!6#ez$rN>b_p$fd3n4JcXR(i4Q}jP0-x!qnsS$dAqQ-X8GVMgB3S_Y9VjT~ zz-fpPW^|oF8ceGF+$>&EI9BmbEbKKg{k0JlWRQMQ=Gw(FF8ak|EvSH=X;3HqqC|mj z*Of&BoE0e$*kAu?9KY4NfwNL82CSn_I|8k*ppT#CH5NI9<5ugDi z--=TBAizgw+ui=PR+>huJO-j3)f2_@c;U?D+idrC z)xS$5!o%SDs%9)hQMO5hN8=s(fHJ$cws9Dl2oGPb!m)3xEA3WT$Bz2F>z|strNt{H zKSo*2vRyvr@BWI=pOSlbm~MWPI0o9HF}86#S+!2__%gCYapb0*z%Erzxcygr<=10A zS=Z)^R`tx%nfm-2f_+YUC7&vvgf>NatQ`b-bDoK7Onz3S54iJ79#*}RHXsq>bjZM{ zAU+Z%_*GaL__QQkcNFwX;zGk~=Jw9g0aU5eVGLsx+ktwb^iOn5vFW5QQS;kL3E!t^ zldOfzu|vY-o zy`vp9R8tE&6eAt~0y=f;SLasI+g980558b5Lknt}MmrZfXavk&JKr&nwzh2bmtM)f zXl1CqB>auLp+@?WgtzPHXOp@+PfZ0}#^t}8WO&aS?MMFt3euzhS{vV@alKZ(T^I4j zNSOXu_3*EwKb7>D zcNcyv!em2`-oJ)Dj8R0bWOuq(#>&!?2L9yHVgE&wh?h%NM_e60;KKC&VGcJm+$Fg} zcR1~{Qf~c~6jh0Ci-`@xF0Woxk1+Yd!ef(mQhd=d!el90cpk9_t!ihf9}`))NJa(S4ss$JMuf!lsB>Az;{mfu$6$^ZFDIyPgh z@_zO&Y7-rkF-hlFl&J6PNKxmrS-iN$*#7?7MNWH7Hbvr)<~73l<>6MdLD3JrJIAgY z=~T?}y`SUUvXWqbuN7cltP;bMyqSDfm6-spnYV;xk8Nb9CbI`iEsf=b)J-Q#+<`4K zznp3=Dn`7?m^2h~Fs^wNTK((Il4~H`YHaxOhrpk{yzKAggrxBV(G<@byK9Hqf>8_^ zH}|{U*2`#0qB4zy|5WsUW+c`pmArgBD|)>XYhM9CXAf!E^Qm!wMF)bOWGo$ZjlZce z@~x7~p8E>Fdw(Mbtk&LE$Q|L8*e&BZ9UiX3J?lXyRmOVxYZ@Kn2<3yk_TeAd7G0$D z-ropEh#t#&Mz>5;MIeLWX46-NIVY2Ad}qS+TsJG7B(2A|YXo;=el=eE6kd97Vee+; z=Q<826aLMVi|W3%@8tdL4*4ZOjka=QiKZ;4n&T&zD+BY-ZOJCrT4cHn{A~ zr^4=@t_?H}sc`qg$4AmrPjAIZ8MpL^3DQdpG1rb?Qld9)tTO_X_xI-#D)XCja~`J1rRs@+~uDm)bq& z`{c#QAaMt1#c^7}XDiat9@DfN{yWMFu&-{6{PpWTiRa_f@zVb^76sB21s&#Asg1GX z%h6wJY7mFVMxRTY{Syiq8IY>%dtR(ojc2!FO<2wSsoB5MbTu0_sqyD|@2e+Qie{Gh zO8Z6=h0hxmw!hRi|JbQuuKB)6N3#uD9Co|TF@+hDWda6^dYFo6b zbQR7Ko@8$ZxUH7(VS9WfwXjXI={p-=@s>eTy%-TyM~?uM>0*;` z&ZUJge(xQz7gW#8KLvScp-e5sHQ%Dx8MsWNo>+-x@0c)jK6%KXrX_LhM1DU;*ngb5 z=XOpP&|TMD`|PZv#n}2aJcyDj8%$#E&!1$){9=naE4?Jm?$KOd-!=Fs@Y=ZbNp=lA zJ`|;K97T?Ht}sEF4zlw+Fm~0{=`FsG0M7eyH81*zxFzr&dV70hkDA;Si}JjAm@VeG ztzM)KXG1pQxa5k#Uz9}yal2W6+E>-C;juU$OaUqLGJzxPOTu$cVe1-Ybr zIPyJ;B>ItI)@1LCMcqWnqs8rFAW)&fTg2w+5`1e(C%7za`(~Yz0t?wv^I!#SbPz3J z{R|4PQlPsEC?9*-YNY{h;Q8px#` zD3bQLD&zhlYO#p{=?;CH->hc4$ir+}ixsRs(iP3=dO5joO!yXbxt0(=agq!%0quVz zKP!bFwq-vBF{F!PMqxn_ij_~g<44hxv!$SiYf?SH&Iu23VvyT8*)SCr1cXnUd@KO_ z(%Fsa-C<6!$UYZpxly6r(wB15!pN7AaYEj}zB&YzZG&20>u>k*o+0_5+oP}F`PUqb z8J$1aADiHLc5>X;(tYKFk$jQ8LXd{*RJ^Z`j+DfZjV1@~(~RZ}gZ5@4 z^~BZ0Evza3sZoC%l3h^wN; zRCf)N@fZBNI|gV;XN>-Y-)5@uPUK9-!2|0-2s;L|=FoTU;s(NfAvLhfjQTFtYqY%f zKZ4vNE(Du*apxB{6&NHd@1yQzNt8;oFyT~x{oMj#hUQAh&%r_;Nra@gb|TrK;^c?} zg?7Vk10Io*j^jF~)O~-&{gKF>69SgEP=)a7`oP`e6QB6t?9`QxGfwld(S{##cYW65 zN&d5M|7_{`@{(Or(H^mTh3JlGR{w_Nf~~d6JScNt3@o?#*YwOEW|-WeLvS|w3d};h z8+VT4#+<7Pb!xq-Zl>eLe7HP=gxL&223moCa#DYi)kre5#2#8w2yOFNiykKirf^t9 zS*Ya3kfk>Dq&7YNN>8@LaAD4m{zxy7Xr&5P_84f1q#c`o*ZHhl7#dfa3G5K|NwhtKZwK36S`&WG-DhV6=K%L>zh8UU~ z(=Q`E;6!AX-TbET2m_}Sbd*ARa|*73Irhys&^tB2B`UNqBI{!r`l{+eVuQ4UJXc`T zDTVH4kdYq~E5|cg5ODPAfy3Jt>fZ?NijzAoU)`IbPCpS7JbXd*l*L3hjy>f|{);Ag z<>%zz>f{T9sLP#*aD?)v#t~YVhD@YwCbTk$jS*9K>~04R-02ccCk4@}P7FW7G;(1U zLUv=M{I{#pn06I}4-@_UAZ#=w*9vhxaDOslMQWqId| zYc25#vn)o_jbRQ48Nptc)#{;nOMqD?wgS+V>eS_xjTr8BfGbB*tsQ!L(du*h%!gq3GxMGd_ntgq*=?X_ZK&b#BK;!c?6Qi;9I3mo?s znNVDKJ$G~Zu&747_oQDRQZWwHliXyM!2suT>c(ZDGMmf_hd{?cfYl&`nv(DD&fh)g z7MSgj3%LI0+*V{^8)OKv-AVo5Tn>F7nAgDbK*0=idQW!w;>)g;pS@J|!LSGB_?>^S zwSdE?>mWoii0P4x4xOpS4HR0;M>(f0bnX{rrV|E8cc!s^E;c-9 z^yC+D*Pi^X2EInSTTouRK?oxzS4Dm%hx|hwQvL6r{4xw&t4@{*Bi#)nafFec4vwTM zGaB$EVSA2SAyN~K^Xt1^m*QL2$1*j{qdDfTn{}s%hVQ;M`?)aonlGry0;i!{ST6B# zyWXLv28VYaF`D+;0XdtG2K>$P%d^<1@OT2j-dt{N#@0(g(=7)<7yZvToNV}N8q^^d zHZ`yg3}GadFtBJCiJg`xPfJ8G;`SJEFb<3|2WBHQ8yktb$c$9^j7A#_LJ-j^ht}&b z-OOh8ju!C14*Vi{A(u?|i+A%zGt~4aXo8Wi`3-0-ZlC15gm~>IRE~;)Bi2y$*^5rq zF$2H=E@JqGM=k;F8b;DeINEC^I1J_h=`gtjnVuYSt~#Jmjk_H%uu~Nq*%LupKuWE{ zsv@sAR-Cg3<)zc(MIW=&?R+Q+uGMiVoT}H4OG9&io!OTvUnu_oT zCIjT;A1JL-5?(Wm6n01+3nAg}gn>bWk+_LsTA~^)(UF!oPfOgQC0fuDQBEJa(GgB7B$lR&#HvC)N_0^WZews;25c?lAK2{BY(pm0UFD1q$9e@MaAgntTa{# z9@%>;x_(KBResO^sr{7d&HMrn1 z2)7$tcsB^Q8C=4pS(K>k zJr=Mw(6mDab}&nXll_RxUm6z40ZWkiKDpnqu<0GmW~2T7MiS!e&M|PpZB6wUORU?+ zb-|X-lr6eRh>d^E@bS*br70+xOuYa)mp%nf@L7B&beu#+d$y6!+Rhf*i*#hNfb(a5 z3gp>KfcvkJdPN`~ou&Dv?}$Q&GOw2hUzO46q#Exo-b3I)IG1^Mg5AV_I%K&&eD>P} z23{jdl3j)<<^NC}o^>2$MiR*5tmKguCSX-Nh0OY_0MY?w0jNY~UTjdjqkWL?Z?S{m zC4L?7_jye2+UMHw;vnu5fg^(kJ3+9Z z%JzGK7u%*1 zHm~7#w#UV{n?E?%k~9=3GoV0x3laTS-#>@wo;7ml+011gge~Lt_+n}Y`M6JzKsxpv7_0Pq$CIBBIq!mQC_owgdr1#+Iwa626qm9Y{|4Cood^>D? z>+Lr;ypQM(bjfi1whftkNTM)imR9HSH3#-G4LO6-& zGY0Gf!e6C6H_;G_9f5;p(AZCh0I}2#PS~q>YlH!Bm_!Q)7lf z+3JL+%JadL4MoewrbyeT_>hy)HHmK@=nlcmUjme^-5K4Z@HTF5bJR*V6syKJgvCjS zNHJ)YBuNv3;EQC~(!NuXx6v4IAA)BtKq+_ zU!1?r>Tg|Tf%MA)=)+esv9~`lAK5A6&ik3)V@%625&*5~wXPo%r_w-72}JzrOhWh` zKenwd^>_7_LGJ4Z{mhCO40`r^D!2qqvo}m+RXP(ia#>3O3tU~n(zT}Alx_t4G={_* zNqDSHT#p1#(gWf&a6frd%7s;rAgfDNa3o=CghpTzWN|qYK)3qH)^VaC`|Xz=e?Ryw z;w@tO$uXbIc-@IJ05}eZUpggbzulUsR>$iS$kwCI0W&zclOga3Ze#gfKp_Z!TG?Fi zSGKwHtKPymJjfrXNQYqe??yBkTtzzU(QP5XswaZHcJ6)czV@oX&Jw2#?2-c? z*bI2GRS3IM`^OH*G{qp-3&wfsl@C^0ATuxq<2%02J4I?O`$b%!Wh{HP+Hw_pzQxSg zIG`9>2oaC3=3IG<%XgEQ(VTuVVuOekfwhzYaTtu}Rj>pmHV7nsg8Itc{)M!;g64T@ zeRs7EsSfE$PI0lXH0COpkluPImJ!g5bY=Y_sM-85Dy3UxSX$rN#*Lr~Dgq zZKVpbHaRwq2n>v&Z0_qx{60Uy2m=lA7TaCX`&zqn_jlRfayMS9W*azA$&_#CEEyCH zjMhVBdq=-d=ffB3ss)(^;xO-znp;!fTlZH<^6*<+{jQqM6* zU4{s?33A1G)4gjjVlQtrYQ0Hy6{VjE?Q{@^#CJM)soib3bq8kV=Ozd-^pHyg&Y)kp zHmwau9?w4wr0AW%eQ|YfaZB+iIjPjP#<9gUbIg*B$QtCIiE~k zAg?TS4nY4vlC*%mOJ?5Kz4<3(B$ZkP(SKX7(a_UdUtv$L1(NJ_e_3z;AK&9ZMy2i5 z9hC2ia-hbE5AAkzn~wz^6B`*oec}SuSM}Iu8q~%g8y5x@0w?@_{FF$2&{TW9BvrAl z`?e}X=CZisBAIt#$|#73vXMl!P$CSD$|hYN8v*9}GiVVyPDi~>b}ZTA-=G$33MC$a z{}lf-0Mn0+F#l)paG?-)n-0>+{06~&)DZ>vNal);{Nl?I9l3vWglGmm&F~aO>!>1= z{c*Qnqp+`Ds3M6h&6z&uICm0DUY)xw>DGj~CghtI_MGhQnYYo5rQPgRTwxG6k>CdY zde13}P|sIY9(8o=+@#uQCiF)-C_R*sq{9~&e}?F@bvqC)82G3hCYWf&@tp@NoAR{- z;v_9^EyibtY^@+)P%DR4y_ChXxZb@g5}eUN*By5WO|4S$*&f_x`F;2D!Mr#3FW}9W zM8B}CN;(nzfjg^zdqNb5QF&RhIf(Wl4sUi3mi(pN|9Gh5Js{h>N|=Fnt$YA@x6_xh zU(&(^n>))=^E5Ou87Fc7X@Grh#A$mnE4z1tG1EQg{iq)vngMu^4s(VO@Ak#>_q7qo z{nKevG|>J~xON=Dbo#rd<*HH4{H)Uyl&k*%JD+7#iqwxZxdQ~FMd8`?&tDtUiLkw0 z^iK}-6hLayxyq)JJk(84TBR*(y!+zG6W1TkEms)BlIpUgJ%JDQkDrE-|0b04uINqyMkagLQIWtN$np^Zed>0$$25$`o137nVE+AxoGutiLOA7b4j9J3% zVC-LlV%jqA;-RzwV%&&}+_6g2K~?`6gunPGsxXcsb> z+~E+fUj6HSoJQ<55hZI^*-l|6GF@2nI4L@6!&Nfvx*f>v*F>e!jnoGnHFGJu7{&TK z+0%M}`x}dyo11wz>&5eec6bP;VN-st46-WX&x>;$2ja^qyrTBL*L1fS63%En^LBqS zotmC1u+Ew`r38I#%aqP<8eV+kkF@tfI6J^3mX8;>c59}jri`kkRtoNI%1BEa{Sr8L z$cW=y`g%}s45g5~xtR22QyFk8_fR*s1L>({n`zmJ=zvWrfF+#FH_#W9atl3aa zK9}&#hVQS?bHuRTxwS*!?M4$T}Nrx3*HUBbIoJ;u{%Di!N%yJ{E$lxh9()CMJ9 z7nO4BUecF;1oQkO81(p*`JWCbIq5u=%60v@Rpy{l?pr?A4l9$-e0eB&3bl}wHVbE{ zrhnB~iyLL$NZD-`eet??QL^PxZzqi#m|9AQ#hfM`U1q>U(zeh|X&FaZ?+=GIUkQa5R$JPI4o=3q>2|m#I0VT{BY^t;`y7!#d z8i!xnksaQOm#ya>Sh?vq=Eyx#3gw`xL4SYuVs}TJY=vyf zO_fV51Plog8>2py1*d@PDM|YbpU^flNWiv6Ni^?E(&U_)xukf%<3_(HUX^7Sd|C1i z@mIP)!3EmYuS1hlOB{+?vFGS#+UldD8e|xDD2_TWug|r0RP!$09Cn~zTm)Z`gly`C z(cmd3Txi@~ZEGt5!7jqTr6kxM17xYTZ}~$IL5uZKI6TKN_e%}~h#O6gAy9s1x=%{) z^mzRz>({jROfQs;h2Hv%AWJsEDu4BFPel~dK78NN6=ET%`c5xQ(FDgw`%sK%#JXj` zNMQ{iN#(TVYg;557PZ&N-QP3JI@309pbgb+@Rmu-Feuj&z#&i%pN>O}C?o>q*QN{O z6y?|a;cdJ7J=}E%rK;a`7VtlYXhz<^m&*4QmAP**;XM%LoK#6nRMQ}f?{hNzLBdFa zDf~=W8={wiA;(>PHy8x$NX8Tb<7#2K?K4k_8HRlHW<(rN_<_^rJ3yE1uIDkfW`~@+DMs}?-<>G8RsTL z;jZEtN{H6ebGTzcRKEcswdv+UNjb!q3;H{N42;WUHxK1%DcSf;&#zL_qcQ&pGfzPr zvW1T@uJDlSbj9l4NXQN+RSj{3e|W;HAG+wrnAj6n+B1x-eg@HgeB#Bp9)WR)%}q6T zdrwIqRrxKGpK;jvsFiWqH0}~)`gPI1n?Mq8$ zTju?sY6@0p;f~!uqn6{oK$ts`6q{Mh)_oOd-65?@V;OfmPbW5A1 z+a&q5AQ~}GrGJX-Zknj6BHvkVnc&)GIS)D9k`!hBaF~)FJWH1}6fz@oe6^@YuMZGp+6xBhKR_CgVR;`m~G16prDtlSylc zb}Id23Fsftpru|4BADjUs3LZ1nMRZ2>N3Wz%+tWQFscqk6rGrqRi3-9Oa@qBie!7yDHpbu+Rsux#NT0 zg30+(P+|@^4lf|Nfg*?h2A&So3Y5JoS7cHH*w5lr~uUmNx?Vmo}gyIE|3O zNOk9*ZgbL&p&P+{0q{9C7$UhU%-srDk*m@h3xTk2J)Gd)?Ky_aP$knGHS*aXw~SN3 zLgM~`-S@^fw`JcaJJCGsfyMCLtO;Azkzq^OkiZu7CQLcXn#jDL|Ek0gXh!-hwqPfSVI!WylJ2mzW_I8$)^ zNyX5CQQ0iBW}r_++nm1IdSeV@aQrb!QwK4)=o$r$3t}&z>cjvSs5UnNjoXmywz)x| z5Gj@Rp;n;FDn5LrMUVsQx{5cmUBx%tRx=^PIH`Dv@XsH!U|h33%Mfd-kDVZ1R|A0neBfB6`S`nZhZ zTiVQ_{#x&>AN)lEeHkT-(d5_!xr}x&WP~m&&q89(_ql0(G_Yw0Ev}T<5G+zeXG%SgGLX}i zhdN|92PJ+Rb}~w`o*RekgiKLwQdsaWQrKC-aJ3X!G0`0A zW)uHRtvn7p9`zUIVgsJ6Kgqscs`p@B!f(F3GPKXh;qf$X<^3?^#!KR){Q3-kKaz6B zrb2$5MnE1?9jC~)pryR-k&R4R4)D%cHBROo>*k3$5SU4`Ndyl66PfFz7OqDh2=JgI zRo&2R_{(8Qr&B%>Eq#lPU%J(rbOZ0V0!O=f7!Et8(-v;N#1G1^8^`i3rzsparQFD` znifRz+u3t_-hbjyErk>W%u;*~g-xd&eD2%~iO1eT%><(QKDAvod!h9SEHOMfGV=+^ zkD&@{zz3?ZmEeW*JFUGDw#mka;KAI|rG~1Ryh$DF1zU4#^%_0t=l9FcUOb83sx+dA z!$o)UXIU>}i?7a<&VM&OiZ$lc{F)T$M)b*M?F0 zTB&n6urj2A1DDZsr7Vzei)~Z6Ftn4Os9JohLVsZ>WU=jd>Q_z+zO*mXxK!`?m>`>N z7)A9C;&bn*P7?1cb?c*+AnB)qi*=s2W&+AOf&n|=KUxMG-#UIbBifie}fus})gPNuO9^cDyJyN~Kv8ajPbg z<*?*}Ox}bni(sBl{9_Ckty>kZ%~6v-#Y47{_M@mSbjdUN3SWz^+bV;eD)7t#jr%Ev z&;PZ5env0FJD*N*vvHH+=J<+CcB%r4V75O-}vSQ$hH4=SN?If1@p=eR5Z$Q-6bLexa@S$)UM+@o&#L(T;RFBlktS zQd>ymm&K~i$II&UqOA+7?U$Zc0bANC@@Hu}eOV`z*CSK{=MF6xQH*0u;j4 z&?=mWL+X{fn|vu}JhvjTV?;@bab|QLr5I*Lx5sm;zs>RDx;;Mq8osS{{D>%tpqzR$ z!gMs{w7pe5)Ni4_!#2mzIr&qVWW9?#LSdWJQfn~JDg9@4Vfe7$X7XqZLT?n^u6w01rQT)QdR_3f{om?IaYBupvU zxV_WyZ-~s9ZIFgzuR4*kB2NaEgf6>-8~jOo7{nZw`$!fPnP0vlCF}p}5zhH`H6awp zMK=CJxFL>x6Z{|dPIw1e8m@Oh=He+PMwq@sb%`)=sE}5&6G5;S4jL8it;IVJubVV zwH+8F#C_y4)JKC*$<8B>(t_Ws4|T$qKew1X!n%%KeL2bwJ5&b`51{9x!D<~(V}nv@N^+y1GJOD&xwaW4LPdS36s2Gfh$+B1DS z_;=t1%-htEawam5{UCtZU+5u>q(Mvcpd~KR5`~#?0*pAlU_OV8OV?{_eS|fEJbR6a zOJtiIW{Wl{Jkx{nlPSNn6YNJCL~VUMYxm~?WhX?2^U=8Ll5FBvRdgYqLTZxEQzAHpEzPQ{w9eIPy1403Q=zSm(!m}h zCE$*S?el!zo;Uw`>z2Qr+lR;#T$VO!@pZBF%i0_Vn%w8;%x7_aFUmAu9$0D31f=Hz zk*Svsk2VycveV5^BrmSwkV&E$U@%QYmue~E5n%nRzhm-E+H(%^P zPOLnA4qWyXG#0_YjcT_%K7$v1{qq#!^?27wp$;*jq*H{yra4Y9L;a@}bGDMQ@6hh>-MAZml+p4?oh#8ogCrr3x55mf~ zy+nSaI=nk{g)YCWQ>%u3u}(~~CAM7(!n5#ODt>}Tj<%wj)F`)HkVWdIZGI=OuW|v1 z-nel{J2~~6mt6(}SJVzFalw*zI01Ma#Mk>IW{Am^e7CRrw;j|4s6RoOy&TXaf9`x$fIfeA&mu} zOa?}wL+f`CJ6-(wNbii&e2M}AL=|Zh1pyP~3K8RU&=XX*49}$%%^mdbi$Na=vUoSK z+}h|`N1PrfE;f|!Y()cKtwx{6)prc-CSQ`gn^ZVXn`V{^=2t87J738yXw^O)jeqPEa-ZJvtKPfhHa@+fKrzevij?7mOg}HivU)r!} zuSi_tIUQmMF)*Mps>|;NUa+CxB1gBRKY|DyD&r=2zN`6eE5(3aIxN1(>hChE>a`nl z10c$n6F%?i<_uX(aq;IJ%L&eewg&ijVJ+)Fq@oH>0Ct-w?~~01i5+EW?+n{ z7KYM}O83?>??$#LLaq;lEOTr&7+MCZlr533s;(sXe8#SrqjC0oxq0iI@#70z2Vhfd zZj)N+iq-}y*%utg%Dg~LLb=Cc4OR})w@_Fa)Ph&$rkBjPO!;ZJo)^inTVt;)bVI#P z!-yQ1u%Y|t>oTB^jJQB5Y26?g;gQ3Dl2mM@{5ztU|2#9grNm8kw`S8X@^dUmN1&*@8k_`uY7Wn!y!P3|^?Fs(cSlm-V~`#nDWusmM0 zjC`BIq!RVa4V-eWgCirUU==odv;!Zk36lt*=}OI6U^?u2M0tpi!5u(e9O@ye?9d80 zj;Z3p)O6M8@Nb@4XS0r`b17xM#^$b$oc}#pO3yF2&J(8>P|))I$((Qqs)na`;{3pE z)4+3-qjUIN+aVt0`z&y9Ug8l9v6u$r+e_Wjb5>ba8dE#Zq*Hl+aGNRcX!K#5m*Bt~ zK)comU^>U`jQOt9!r15!Wxn%1OYI;zQl#{e5ncSdvZGYP2V7(x4H!x}fEXCA;4DIP zzU;+ox8u9mob~S^_tJ;|@Q7g9Mk+bMrl_NKZtxrg2F1H<8uYX+gi4&+mqKXF;-Ccv z^lzT4aoTOlaM$;Dfk^~op_Ww?jTP(^#pA)BJcdKLW6`sngq|H~#nTTueYuD~hp4GR zXv-m57;i=gI;H*0If#B8NFZQMDB0T?e+vByWG*J?C+Lkpl&&xI!tgY}WMIa`#NFom z;WH`RWvK2rf{DR5j3H_D1gB404e}2yS<&6DAHx}Alg?xyY_PT9qOFDqF=H+m9GU*v z_y}F(g($Ri|9KQ*@<%ET$a|hk!1`$b+7=C05LX|3&{;9VqJ1LN0Mu8J3_#G48j7W5 z)Z^z##qoyDBJ2Wz_cmw*(L4lk9?hFaANt5G$JwkLxAN^RnQa`fk?wW?M}ncLv#APa z&cvhI-bcTx$%XM|x(I&v!Hq~E8toB^_lK!KfKERw#uj%SXvrB1(1ut55^YS$Aa%sa z5(5xI8aPA^9Cn1ApJS^OL1lMFrA5plxOl`iD8KUcz9hfRz&Ao7m*+BX6}lYwhmd3S@&mO}Fghl$Onx_bvfO?yvHi!9ID`1D~pf*2-3A3RhWp!OC8L)5Nwqlhj;9AqaZY@!;bdklu84FS0>7T6|A@MQ44EF>NMf! z#W%0>x}o%tyohq_d3g+gGqgU=JPH3Qs;lhr9O>nmtTY>U5;*K7o;IvAmQ%}P2i6q9 zJpz$&*o4x{jzLf>UrRz;Qbr-UCo`)NNk&~^IUC^7)n!a;@dh6HTxS&uhr?oN@K5MM z0A!X}Ops1g*RK|*1K?Xwzn%|nI0*3R4Pd1!K>E~WCRMx3nV@xV#T@qQ^On{$U~4kV z2&7r^$nB~;9XL-8I2Sn2xP)~QjQ;`p;T|r@j$noj%GN&b)V3OucK(}o#(&dZ&5Q%v zJK#dABcPQ3>6*aOZzAoF91jn=twOp6c$Y#D9&B=Zb@aMNvO ztjioE$dC>1K@+-hWK*X)og#j^MugGDh4M1sg)-@^Nr2AS>QLD~licLjLdd8XyvwLk zh|f7(J_d{Ij0xW8;p@*k@zX0ZgRHne%opkRHSYR5| zr*J(#hx?Fq4k0fJwY@bDfxy$3*XPlim;@Ug3TyalH?fmLs!1`juou_oK(fyNo(0tx zu;_5`6W#{H#n08<~xe8|j9(8=l?_SRO*Cq)uI^ z;>&P(*Yxwxd)SwIlVI}MSk~e}gWcE(T!URG8^p0z=tsK7PC)$^% zhs?i|%bGFZ?;H3Kf|5W+l1S)1WFoiK*8FAHWC550nfc-}m0cm5->S^_@cA+((v2;^_APl#1U#Vo^9>0vxrct?N99aNKZ-0S1NT&NtSG zVojTPadTZII)0$KUAl5M#3|_>Lwsx$bqJ7_AYjb!1lWFWJVZ1ISaAuTB*RU16SSpA zrUaRepn3y#!q`!xd6Z#+>x$~rK7WX0?I#J~Uc%?fEN(ir`$z~8L27^x6L#;o5@WZh z!Dk==D+v?QKr?*Uf6t_7iHc=vIkEv*Dh>ME50qdXVKQDX@%#TRh$b??+RGuAkMOOQ zIlKlL08&X191C@@l}xM@smK(HFx8NVe1zxSU*B-&WY}8;3Is1W5S6P8yD4~q@cf~C zQe*Cy2jOx>eZ3<42|La6GQ$VR>@96YoER3sOg?--?L>9c_V=>J;LIZcGF)7@=-@eK zcq$r9Tmemg?==~={W;y&ws9G-{X`0h5~SYbzIioFj0P>DeDxst8gc3q1#WPQ0hIOt&N8D z?A?95F$rGlzs^X=u=ohBIp6-?HEJ}UGMH?0gFR3u@)90KhBbc<#bQdKVC40{pNOmqY#9xCJQ`bfZkRSz2o`~bBH%Vawc z$Yizv%0#dklfi<_fMXP5BA4z{`Dq6eW_azW?Z5Us*l@LS^~L+uH`gAw{RO#_hx*`3 z_Z}(OE4{zgM5jpasib!1gN2Uhe=vsK{c4J)lTq5L4IrR_qk7ml>3Xa2(n+X@#vcUy zW;GYo$a%rsfa${OwRKqWKVf#H4^ccK;8WV7l@&{Ei=6d`dB-b?u5~9&Az7F10^(YA z3zLjq+f8=HJR>j_yabcNO!gpT15&7Q?%;dYpJOhND{Jt#7n`JW=edRllg#$ESbHb6 zcZ%d(#+I9>ij93n*hJ*b!;`Hmrub+>oSjmwacVv=>W5dIb&Z<_XLAYsJgEdvKy=&5 zRO@fjNHV>`OtW(OHrB!(GeZe#pd?~%7%;0pOHf5-{pJxCMqQ1ok{S; z?uz}jTWMz%Bs=d6R&TmbB4G%-JE*UI033i4GHCj#_u}ON4DMCA_yc4fg`-!4T{vh# zvz1|}hk#m`OR$ykdI0*XIrD)}PBFM$O$<9z4!#+noOQ}cf-Ke#XpisY7#!k(Iz|N0r(ul`q`Hu6 zYvJXoX2a>Y!+9Ue-9^UgU2Nm9Q@)&H!=ZdKVqGiA&3*;`Ow0jg|sV!L~+ z0&Sgr>bu71D?T)uwIC?EI_{-?!yC=HLh*rN+kVnZvckq;*!J^6&wNb>64zY+e z4`a0Ks%Y@Lr=Wk`v9fKJfuNDLc2CWlug5ihg--@Z4p9}zp8QJ3)vm#f+JhQ}&sK|h z2orjRVCMj+)#6HRroUt7oX_23b<04pF9VtWwqf5bO=_2R@HSr)X(FTC_GN-}G7V2| zzWQ!Y2A_4kbUuflo(VDUiy;M|wLy-veTyD+Q7MBaxbQGUPK`h(>Q5~TwBG8d)B?eN zsDe+Z7XzJp@#ag>ZhZwE_Ps0>z-558u*QbD9}iVTFMzB4g`Gn4@ ztK(AUO_y>#<&aVWX!r7r>t2s@!-)d9AlC0);*FxoCQzxi%;c))s7~NmY ziarOG>xR4zQwMJ*;Gl-+!{BPDwHW&5LM$4`5!Hw90uaEOXsZhrNUPgl>yCx(Le;P# ze2OrB8de0Yfs#oQeg!)BPUffaZ=WB&3bs;nNc2?P@M0F3uVrZkDea`r530F8_Tn>6 zRV8?UBl66143HZ(IJ{uvLg8RoP9mkDL-#ln-Hv4`UEQDHo3G7OlxRECVo^GmW)%G{ zc^oE8)FMW@HQ%kdpx%Cc>kkoZE6@VO$#Ctyu9V}U@CAD9KjWm$*Y<$c|87T^!0iYj z`iK7CLHjfh)NVf)#6KWsBo4AC6~A@VZfDH$?IFKrfc)v@y{S*ECO?X4&9&D8=01{? zPtz|~O$)L`%M9z@3A(SM`+1vufcvQ*0Zq?!cTQrgK=el8cD6QU8KeT1wsaB`$UlVJ54Iudp}#l;~l9wJDjTCcCnu z=WM*FC>yk#wtvGJtsVKkCraPfPScMFE482R#mHm@`$X@qVVNbo|2u?pMC+LySIYhA zBGq@AM_*j2t)v$O7w_jUoSx=Av&)M2eb{}+ra-1^lW1Y4pwuze0M57tz`?A`4p1|Hsg$iHd-Zp9hY=Hb!MgHd0(n zedXw;<0K-9{uVEs%WQw}t%b93{nHy_A<3Og+K-Oh)n;|ZhcCim3gHkoBG=E}ihpmy z_3uz4EZ<-jY%LsZBrSU07f_|6ib!PFh-}VYDZUK@o&VXO8u*;z{p;m*XT+2)&-U0f z2T{+yCeTgy0`c_$XJ?~^e7gXvd3N*dFXK&P;YaDNV6rdasOPEHf8!bG0Pja|>~!nj z%nkQm_zNH?a(pv{A)LRaTtrOaX>nl77mn1^me38~Hu_ zCk;!N1HJ{)A`8q-v12VJvtzjwJyeMoc5 zIG(s&_BK?ljxU#3ww$}jUB3}`BDKKEXGcEh(I7=lk;FWen)*EqA!+k_y(H{%CBcqV z)x;nwk3n*Q%L&A;A9ZE;%zD?%%(1x;!of;9ljqKxx#z)?OZ6B?xfkk8k@V=#f|Txa zvrL|a+t2O-VLX`uwiI~n7zy?}mm+nq9Ph@&6Ak&R5j{JOaF?zw18+yw;?le==M4Fz zOFPyK^6q5lqayHT46b?Yb1Q=R?e5_ZgaalerRP=_^zEIi!~+bW8OetGqAV`hAyCd> z{^xcvopEnoKnFy8f@R=|DhGaznGmG%JJS4dAs|m zwC0$zT`}nK(SC)CLhO3Gvd~=(%cWlpYZ~ou3$H;6=&5$}6LU@5wAbN3?L_vkOf^5X zKm7<6?oC#O^tb60!;AzRNm@d6lQ6^s)wCkn-+Y6$N0+zFh)k5o&x^(1VJ6DOr$_gV zhqTu=WNt;{(!%%S(Y*zckYl06<|O~0z9|b|^$y`55EJdW_vV8&cpe|r5qbQ|sJpQ( zUa(VcZ~e+;3%R`$cHSS`=G?p6a7l9ST!fTuazHHj<7Y)0Xj*Y3YRcnFjgz@YUSK}p z0lgbTUL|PB{No*y78r3uB5X zHEH%~n%p}I>ul`HNWErjLtF%HPwEQ?nq_8mEJ=oAL|I^o5)16^ps?;gKT4}# zX<`Yq&$i}$FivBkTLg63!z~qAo)t*sGjD0X4vPn6VMm-#RwQ)C=dYFnf`ih)>aDk@ z?qA92Wc}te8Xq)TVGr#1DQq0@x}F@s=lt4>J~{HIW|TJ()Kr(0wAtR*bx*M1z*Y5k ze#?u&sF^a+l}*Q}+$Z#!E1S^5@H?;K%|hcepMAm=vF8ok%i~Vai%xjvX z?bzsv#QcY)y`jKczLP7eP{BK#j+I21@ZGK`)Juv~Lfqk3`tmfF8tQXzYiA8(H{fuMrS$Ckf(1r@H z`bkjZXuG=fn=5tLjn&6Q>{R-uLHBji)+CDCoe*k`e(Fv2Y{z&Lb}NRXvO*6APY(K# zOq~eO)%%QFAnWqn4EXRIA2b1sPAS8GR>s>-*!9;24ir27=zeW_9Wr6YjqPX{08-07 z3k{n7&!fgm>lsJ-V8vO0VuorV}E9Ta&F8D0HRxk~^t* zpW6`Awpx@)_5Q`E$PU1D{S*|aC5-G~5q}H2Pd#gnwy`(gc*|7^V6$Voz%f`@Lz1R{ zo}t`!{yr~-s-&P(Gt67XMhA^MUR3@VSy9DCw%|YY4^9SedS+9}&W($-dUGr6d;pul zxF6EHDA|7)rOfjnjYZU)mz2#1`AJQLEj4BT((wFLd$G;rKUe?ON;rUOHyhFYj{7s$ zi*{eDpGWj$Q|Dbf^pm~t5Rxw9zs*|)c~bt(nII2U3A(r6=&kEoXM+!dlg_P%IyuB) z3O$Oa>h@;cE!rmCR`}KH{dd;8+>-6#cE;pi0RfE!OG%V% z>l}Z3T(qAJ2GItO`$#G+W!}#<_z--_;}t(na!3MKAS6nKef!Q3oW$d|ym+ji@K~}l zenPeL--%i!9N4s+R|wb1&3|_%nFW3yw{b4c5|w|hswIZoSSUj@fj{Oq8obSQ33fB? z;{MP(oHe#^0mJOMK7jxUQ zZZ^?#*2U&EyqLBAPB&;J$A5lYJ_(W#4kI%n&gZJN@BDNjQvsKk1D1Gv;zOwB4l1kV z1Tq-_1;)?UCQ+yNgG}o9CHnmG=^``MF3zo8A~I0l@=*_j)f3q1-*EkF$VcUxpSCm3 zt!*LY=*_b|x-%QDxx9Pky`fZL0C-(=zK?!#xwyv{#dF02(k-gw*q=g~SoP#M?iRBC z^od#@>_ zJFPEsjlHyz2KyjLiNI0$8plt-zyA{2e-*7n>Tvwx*?PM*0R$?yV}^&BgXgy11Xs|( z97!SaKf_4X_Uc8%o-OYO^5XuA7LljiQSud>XC+nJjhOjz9GDr~D|?TFiy^qCnnA}F z!!c=aiVfZmn1LJy)^Og1z2u|Xc~_1kfvZF|2p()Jk?0gy!C!Zgr??cI8s1fdoP6Mz z(@<=%zN>ab5B>>F0Myi`KYq6QihJ%xmbXakcC1V385eLwmh|lN;lb~)ankIiAPz_b zQ$1gV1uQ1Xn_XdrrA=_OFuqE+0x581+9o!#8T*PXlvFTFdpkB)+%tjLB)6RSKu_F? zRV`lBeq)_T$o(TNHR<(wV5?35Rj`vroUgF-g~+UAYiRuYD3sQd^YoYu@DPA#p3Y)# zyMFF+uuUz=VURPB2hat4HF_TLip?~T8Qjt?rJ!TuY#iQooAL8G2Sqp~7sK7Qs_U7F zCp)_O`XWV`TND-wlVr|m4%4CH;lDpUO5OYYmywTxQq-&+zPO&Aa{B4hAJQFiGt>VYx86c!AZIvGJ$KK<7 zsWckFQNhQe>>-n#UU^q&0GO|P@;xIYd`*HKXJ`P5s^LFuI|xkuz=w8RBux}96_?~t60i*#o2;NpwqeZkMtrWC;$cEed2HzDNCf(nho z^i~i*ns>38)cgs(#ja#R%Y)^v^vZIgbG+*(ALwOBInk*GB$UjIcU`CN66G3@ojG?B z4^iND7{fhYHcc?@ydUJadPKuO`L97;!RFu0)Sth!Ph`zi_FKFqyIy}n{5RX1bb?u!ELV7oI!B)p$}4Y(xxa0gB_|t$^X*;bF2O>r1S2ww8t_QNv!R$JEq` zLcGNuaJvs}#PVLEuPql4joQ4tgIqriyiYgT)*WL3FBZZS*u?biRr2KJx3J1Cy*hm% z?wdDs=%oG@hlG@ktgy_u3xkNma*QToq0dFa$jkZBo`auaejz!#XVbJ@z_j}z@`}`+ zN2fb`xgVN_Lh3_@qU#BNq4)#bu2P@1nC@IK@=WY0KkU-iPE*NrsN$xjf=bMbY-ckU z5q3Ei%R>`26m?qp=ML5c#LQuAkYJ#2eHD@CGWab(6BTS(Sl zJ|Cca%5q!%WvjQ^E#d;3JVw(9URoVW`}^rz3(Uo*tmDBWv>UL>3y6E;OQ}9xJdAfv zcZNZK6g;l4DwND7rD69o)9`JyQ za!L2{Lb}Nc&9YyuXg7QQ=hCW5FFFz-mwPcI^>Iz3^NF4CT6($7t*W1^mbNuf5tpfR zKOk|q(z;gjscE&s;CI&-s{z*s(@8T4 zSH!hHrR$4<3Os&&IAiR?@r8cXhlLKc?}2F0UX-z@ndl2|($#&#gW>WN{e!sPz=0%* ze!qpsOOyw#T!4A(x_HNbFXiN}cDd@v7L1oX+)^t+)?`w0r6`Ik zx&6`ycm3A5!n=q+(o%}@(M&itCU76{a2Ku9yGCe*UH|C9K&>#p_^jmT?IpV`h>u@s zy9#GUsnEIa8)G{&!xnk$oUTgGpLs=HURc0{Jn%f-kNx> z?f;tN!!{LVYEybm&78M^(X8jI@06#bF*%lU-ZpI#&js5W-1%CkZCND>L<_uvqT_D5 zmOeRrSoVgbbUDY#PfKVo)$~1=>%d0)TIM@D-wJ+5$$Ce>E0J8LZkFXiP&i}AkoN0m z%)fs6p}vq@^lKWW+2l4zPyPja80-mU$_WUXnpvsw6rE|SOn%Q4@hhuYG4gD5HtWfN z=RPXyT=#3_Wq}31TfAf3%>3yIEVJV-NbUnEEbn2Ok#9-r2N#_mBGCkx#hi+AXld%B zsdwf*Cr-+Y%gxM9D{72s?$(~N&L3W{Sxpy}5}JB6?=NEZUrQV>znLYHJ%hyG)7U1v zca3}Js;sp2D!1kyl%XQcBV2^}W^PkEt(S-;H2sKe(yQ6~$QX{5|vN zVPF0skK=qCP+{6SjUB9XJQLH{_?4t3ulT*`XLe%_+=8&}f7<_Y2eL5$zDAROEA9xr5SxWVidJ3zCC(V*?*GT%eoU8$+JJV` z&0&6xz@OGlsE&lTw09M~!$HaEG)~#)J&_%4MW3N_iX*AQp|)YWb1l7%AbCen0GKHZH~w4K7CY{!RR}S)cW^J=lCsD)v!}n?s?3C%#iDt`Z$2 zL;h{d5L;kR#xhSdnB?|e+$!A7V6uKcP&L`@g8VO8&eZ20RK5k9`d3TtI6kq(&4o~B z_Q>O_fnn=PF8nXx1XPJ60q&R-R&_%Lpm-GaaxArLS}Kw&(Td1 z2z*u?)PWyYosFt=+{6npfM+!^3Iy2LcptoxRx5M4OW0v^?Q0zL1wW323f_OtK)BK2 z0Kcdi`W}b|{KfZb;otiG5jBPEnD5C6FWM%E431^}lDQm-e65UFo({4N=&L+i?qk3G zpz1sC0AAefj|)=G@c^n~@A9+Lqc$TWbzjYXlTHTfjvsbeJ9%Fgw=oA~0b>u8m$omz zrTw*1Z0Gn6Sm>j=q4Lnb#RRO5My9;`=3P@fc;)mE`}h-HSmKn082geHNw>l4_b|6g zmC1{|0>)HetrUf8D1f9YLpk?!#tXpo&Q~6+NSNq^v)EW-v4P#<5;HJ(3P7eq{5Cq* zx@WY0=hD6F0($BoIHYr zQ*>UUy2H7g=iCw{W8Z0C$+J`l@%))L94$?haR2KGO{MGZ2eLZo~L zITSnbk=@z{r^~6WwoLJ{f~|`24e@Yk?ny%GD~AD6$k3>7OGH+e<|UjWtYJ1#qi22l zzsLbytxV=i*Or_wd;UMlI!T1$L>)PEQDX0DBV-6QFVb1RW0X`EU^*^^xMI~kEnq1s z_T`h+=o70^G2QJ@j#2j<8oTWdi|YM-)rVRv)^ru zGdZuIl;hrwtV*B;95V~MlIDHo(lQC3Kt6NjDh9APT8(aL(c0{kH{At)?Aqt2H5y`7 z7+bgrcd+3|=sDOp$o+M`7xJ|kH>Y9qBsB=2!FrdlMqlsKvu%ST@>y1AVb!0mCBq(n zLkrYYAlk_%e>=38h+JHYv7Kh)Su85uxM)L0+Yk6Rw8ihedg!6H$puIE(tCF={G8O* z`zq66*5J33r5L_d-b5WcX|^|dZ%xX~M=#7&a#2Bo?HJCJRJK)659CnZV5@EgTqwG; zEIyfXn!R3a5t_a-uuNNlYmQZF2Lv%)!2#V6;>qI+cw-3-BW=5OXvkFcfAP8#xFdd*VYj-r!*XxFKG~vuU9{$v7rRuS2(4Ff zuHP&VgI2_&nrTYL<|=wP|2U0w419h2_4H+?nRp(xo?Whe;iUO1zemv))9Hd85v{_~ z8hX=Zn*Bci3?DN1(RZGn5~#DE4qi#Lo<7R>yZP!jnou}ri=8J`rsYm1tmov_i%?hn zcBLriEvqbfnj?)!fi{bcnZw(KPu*^}e+Mc~8*&&3Y!CZNEemK`a(wsH%oQiI^VM5Twn^_=2-TM^;*fzF|^=w z6m*Rfj86T|)5W6CvP4U+B$EA97L-#RAp0JlJ^f0)VWd*rBkNE7D{szirbiOLIBA1d zy;B&@@3bfHBV9-2h}>XH^%ZmqCDwuY<)FI;v|rQd*b&vyRa7)@IKSj+zv?RQCSOQ} zfdd%pe>}^lTKz!$YCvjIbq)M$N{Z2qGa`>my{pvAje}~t^m*vX<2N?t?5SRE6d146 zZvHiwho`g7#5v!RUo$W4-@HZ6?i1&@)q@hAua=v}XgS)HbVNU-8x0|~{JS~n(BhW$ zxK^S}f3>$owaMXI$GDT)Ky%ZO&qn7CGR#p+)-#xghw*bz##h#OX(p*W+idZxh_Tt- zx3-I$x#PUyiN&U09z3fksG71Y=$k%1h78}5Ri@FywCJe+*YZ|@h5EdO0!OHAj&rPU3+*T!*z zbT&`iHPG{j#u?;wwnPAb;7Lz;mW~n+XxnVWzDw5P^zodwhhEx{bYxFAPGRC#X0H{; zUY4@eRMS9@$RKe_g`0M=dr8|1`#>$#DU z&Bb)q*6O<{PcepEk>KiSp&}q7B0^yvOnL-Z^AS$J8wenQ&@t5-*k)c%?V8wzSVo&D z$m?-ieY|#w0rcU;PaWkj>2@RQ3k;tcWDLVfA2^$XAL1T%L$W`?6ue}{`_@=dTPqd9 z<2*$>+Uw^RA09kb|7856`Lb3k9RK_seiIw=FR`=F!+ZgBx2@$~Q%SjY{qK1Vyx1fp z)*^aX{TAeO+Kk|e>>*r2Oi?d~V&zchwqcKBalbS%hT@3U@T_83=ci^4w35U=G}8Z1 z4~Vy^e2VuT-B=&oLV+A813ms+0iyt2-A%mQcz0|5&dz$}GwRxi z!t`m8xkA?B9WRgj1A?Ve>1#y6GnQU6g+R zb_wu~^!5b!DFgUzsm4!$*~vs*NlN)abs*dPz+%knO}Iq_+PVPlhrbgD8-Hl>`-jH0 zYFtvid+)aimB-$;<3F)uO0PHGc%_>Kd4n?SEX@ZF%Ccd+oSCd4|HREF`4n}P!Oku@D%5lzT#N@?91Iv{M|}!IrNj**>n!{vW1sMS?3813BRA{D2MjQt$>Z@ z;nr>4*M!Blj>BzdV(a6^GMMOE5uuI_p}PkSE@ilXmNAX3O;5Q+A#so`?y21g1BeBB z+3}GNqWpcbwWIxbld9tY3@ncDInK*iPEfQ5)(T)XmvYH(r!!2z}7bxkML*|;@8LzoXnXK@)JN)EZU zZ5dpm%BzCH{qz*Mmgm9ChEp_H}q_O41qYzMhZCm@<_(NZr9&Mjb0q) z1}^dWC|Zh30K8<>G60Z~63G0(;IFH$Lbrq8xQkZJ5TDKROq@dP2Fzt^>sK}cvZXWC zwLCbOCyqUD7(-bD7=VNLBe8k=WU)iXU6@7KX^C>g$DaQ@1OfX4XiynGNQo|p#R!LN;RGD)pJ`bx_h6&QG-r*NV9IF1O~JhO}Pp)|6}AR}K3f;tqf{1+)xi zE??mw5V^Hota+~-G}zAp5c)`hd-s>|Kh*E5>TQ%`3oKyn4-%f2jU%WhTE}u=gnMt`{p=J{j;$YikRUgJzx(cWKU?-z zh&w36#R1$Aa{v6L*!8h;hXa3PHzAu1xC*|y*PF$CAJTd)as`TWiO~b2LHlEv z%Y`8f%?6ujV(gdm={gS+4LtSL^?uvuTY8vA=7Wa!4vK48f2|h=3n&Pdg61w$M((7 zPS+?7v_**H`vadBWdO0O%P^m^PM!B?iRRALZ*gGrG91rw^r{2nE@b(#jn4;TCgg)* za~3PE*Im&F;mrJN)p|(Bb1W6Gbm*3S?Dtn4FU}s0wI>vPT)z3M>R2;4u7OR!h1LXX zIoirwaz4O@NUX_7)&9{*9y*YSu#cA?In0wES z;*Ll`9iJIWLkc_;h=)rQtWmYKIGW)g?Gh;$mESi}JFj`_*`BLJnUor3FjKe*i7?HW zXWWgrYtR?}6Gb_+N=Z6GZ^p<-qDRk*vn6JF6(@LG7uP*fL=mI@;1lr_^KBk=!`n&E z>YcEl-SO)S%sBzQuI!x%|`&qA5ApHI+_ap#o zg$($tm}xk#8z0bk&zN(K3p#M!Yc0RMu~L|c z`>*;x7!;zq?wTjRUtfE&d@OM~ub!V*deZj2wx+X@Y*j1!ABG(7?&4W}>N9Zib~t|; z3TDJ|+~o43(XrS1;tUGC)kWa;OJlv*NN|uV)dJ{C1Kn0D4H&!uAA(sM@2;QMyru;N zYfFO0v5Z~zKa1a|(!}LO1YG&-KysA9K1UK?kYX7}ieik=AU*Y~x$b5q4DJ-jiMv*v zW(ne-$|rZ2T2n>I;mTZ?gnj-w?t?cPaL!4HWLj_HcaB6r_;sbyN3b#>FEAgT^*6pt z|Kt9mSeQ;A4%^ZO-Me`6Y;AV|a?OA~yLpg;sgUyYxV*PP755z|?Fxa(ICj_=eBt*e zdk^hO?L2zLOnep?;@|X_<62F8I~Fv4rOoGYZ4uM84or(kIWn8pSQPS)vAB5-QOc!Y zPv=OpSz zGxQI3W5Mn065a*s`p{cSi#g;Sz4gW}yX09oboFmJEHulG8yPm6C&Nw}$23$d5%LR~ z$8y00og=~)b7BGKJ~dtVkr`vh(JYgD_h8z&ZC}aLH}dBT zJ|X*TP1Mvs;Y{=3Zv6GOwwg7(a{T%sp%m<1?T`2SZjfB89Mb2shtm)3pG5%blhSQm zq~bk_s^to00JzUS*jTXq#k?@Du?s3`TN&q4gh{QI>Ue-@$O~)R72f`<)@c1>v5u=T zhCEuPG_~}$-XC*pQj_2y;HyfGM7TPG0?B20(%rZ`+ag50pklw=Fr20tBbaT94HzM)pDLuJHnhDTgGJj8^wAZXy8o} z9gni<2mW{(#lEgBwObj}$XpfXo?iHU)tgHk^G_i<{5jeKmLg(W@8i{$8*iv+#1t0o zc9d-Dvs5jCk~kmoTBe5kKE)hl{7e4Zuq36~yuLL@XsmZ~4Uh=29W043xPIy$)8P0k zM^d(BYa#PtJNdVyJjqLE$E;Q6lhHaald;w2yp0SQ=fS!_Sp=J;qA3Fzn~5e1$4A#0 zPwm)gi)I4IR*sY3o(uFfhuN!0W$JEq*WK~~x8kThdUFaB+l}F30n6_dUMKH23$WI9 zAx<_)sP9&`$4#A&ll7Mx6`VtirskgfEkEAhate6^`z7!O1*T(;oS5!Md~g|6$53}q zi2t?B8ZX{ zG>hU*!_*^Cj8^vg!qlJ$!luZe)x>k^bwgSoQJ*vjP};Wm@R27|-9}B(hf92Hi-FyJ z|M$S2`SDJdiIB4qyc9_kC75@8kTNtx}^QCA*2={V1n@lCd($ zI5RjwZ1&>K1)I>l9k`#*@2<6^__K|~xW)lh*Vjj|Tk)$>O8FGD{Lk!FU3nUThp z4>vRymmjkQUUEj<tHsMy98WGtyx3t;GDNWJ2q)O4f^SxK`TNF2a zAz%7;YAka@3mBY~?(Mv%N8p@&ThwASe~ZaF0aaf2th&U4iTWVTlq{w+(wz(Ue{y_H zOwN?~#qIjEigRoHG$K29Ok#hbG(TjTi~D{Ayqw>q7}q$ZBj5U#34VWddKXBTowBuSf&G zVwkKE`$Av^&h5eGK<#I&20_GI4m5`r2dgHoJB?=)`Qyp07dh<2t*-$M)asY>9xzxEKHCs16 z&v;|FC#2J!r}86X{L^~h?56PT6b4NEv-$@SJaDYY=|N6G>zT&y$7vZAQ~sTwN%7gm zNdD3qw>rER&FK~?-k%0n9|;zSQ1}KgM@NndoboHY4bH}(bXEf2=e-`}aa-^y#l=|P z^0qeB_WxsX{D?9*hB}=UvjI{If$s=lv+Qf)60~(SmdhqTv%ad=V=f{sx z{J_I|j^u;FLohj>u4xCuLhHa2;x+MtsfiRn&)8zucEt(S0q(R98$-bE`{TT){9RG1 zcW`C6Qs{!%phvM;lnwc+T=I!J%{ouyALCFHH^PX=1bkf93E8$atcRMGN&>Z6T_7X0 zLZMfI$#-=`LvQb-yv6MYayj>w)3`i^Cx>F*jMgK`7c*74Kjj&uO6f_ZTdhByi~dO~ zXd;FxX-Wr9H0LL;D7*kQuwR%<4Q(NmI7iF<>(28IdfP=t-#dC9`bH&ui_Og04Hg`ecF3nU_#DW0)4>7jodNcTHYtlX zz06Oa`6@7^)~n@BNF%7O9P#VKxV?!9_WS6))1pVedCKx_p67qa1&_{vW!-MJ+@EcY zhwJ!1d@-}BVqUaMuHPV6v9#C4%e6?wbn+ zKf~uF=#;re*Aw!mzJ6YU&m-nkdjjXLcqd-FRLVC!QL3PES1k2guP~err1U8&-#I_> z%9bD%R_YL<<8I6r4D}uTLXP1Gx_|ZCV`d(`fMmf=L=C-yb*Hrb$oy@`?EZv}k$Nz0 z^MN{1&TF!#;wE$7ZzxXC?qmYDC%YzLPuN#x-)V&-*od1P{bedD9i+;lmHjBrV%v;Yk#>T4o@&=G zOwZ541`{SsyQ*geaz54A$w(E)$nwb2q*|ep86|*+F=@=W>1T zNK(;YW#RC=_B6J%5JpMFTZ9Mde?j11A{;b5O$NxWM)A9&gv39+FgjhzuV&RL8ACWM$x_byM zJ4@dTt324f6Qf!D_@o$9`Nou|J6gbHfGSeUD7*f*^5nqMN+jO2tE<6DB4(}<^kZr; z9Uy}GzP`Ab*8IK0YUkWg^_RwN^8)0%$qs8FN zzVd}{Iho#~yv4My{J0radmI0x=uBTft9YaG+o|tf63$LapI3T@`1r9x9{T8n9A3Az zf_d6${v*Pt{f9-jsSlw+AKLu>fexDMMUVd5Uwv6e6BsmEGq`DqU#wL0a;Nz#BF*>o zplNb0@1S{gq{(<-zixi!$Bj;IwL0(sfazfT7|*HPzWiVfzbS&%`S!a6@9Wzg?hD?ls|c;aIoerjDY}<@ zH_DG}?BZ9?s2tat&^M2&Z)`lJc3^MY#|ErBmuJ}F=ngyFkzt2d8~ECo_qs36n3Im^ zknzVEGVYLJNA?dkZlacpG(Xy}L$*hqY+d_@ocSxKk5A~3@n$EZpVLzxq|EuJ zuOFT(zIF2j{*caJeb-0ZhtV(p6#xJL|NnRabW*)f6hRb!0|f(axl zC_=d1o4eiQZugj7!a+88UWNcB+jnaXtt9Kc5** zRL1%3r8CY6^g^O=jw@A0*-n&gl9m8_^tt*AKvP+SKCO=(rm`OD!)@cv)hb)AK_aZ} z7fYGYwF*)znMaaR1&lIZ2Q5KAel=e?DeycoR4$rxf*ormx=3GvO;4&}&ou)?X;CBoBbfp2LOTIckmu(>0Yf1dYn7ZmX#p$wWrd8P9&Mb^c=*~L7>x;{@ z4AcGOpYe9+?wA`T>p7bVf_^2(3zjg#U2`5rb&B%jm3 diff --git a/cpld/db/RAM2E.(0).cnf.hdb b/cpld/db/RAM2E.(0).cnf.hdb index 4afe729daf958df56cac00cf9d74d440eff82f32..c4178316918c26962a31cb5caa312384ed09bcd8 100755 GIT binary patch delta 4120 zcmZ9PS3DaG8^zV$BdD4UrBbBCjJ>zku7;R3YtPt0lu)}h8?#!p)Lyl>qLkXJM(j;d zVtoC+_r3bg@A*Cdb8gPXbN3Y8?*=9rBF&ZY{wELWf4G4E4^?RYq5qv-GwnExIvq#! zxmoiopre5G@*3?ra%k7B;i_7?dYA8PHU}nOC^Q>~=-ttuto}As`ath31)NSjGE(L7 zayuPa3@ZT0?FQuL=1!mm@^Ysf_KurOOqgFE%$k-X_qy}0KOEf}`yzb*%J{VHTbWtC zTT87$$yM~?**VM6&6DQUUzJn|AxpP?BytKHmzF+X5-;B`r=~wO;=AQ$?rVF&%7$yN zr1{^Hp_DtM8PG%)-TzQD5VVExT-#m!J;xSbByuRP*4#FVQ@r9!rB9_lkX|8_xo7+b z>6Mau&F`dov?k56#aq_@L{0G> zfHT^Vg2So^ZQ$&(=cogib71R~v`A;Wen zAoifAW1Fr0rITQB$Dp%0G{`N=So3Qa7P zl^g)}BOpTfZ$D|>!`g-Q4k4MO9)~3%tl|S(_=P1Q*&*f+qRCIaKtR0gi$2nll&ju- z!eZInRLK#FA3?z>bEsH!f?CK2hF#}PDaGGOkRrgi{U#(J{(!p12J7l~z5lA-sGg}_ zq8_7OyC}`+EBgD8VIgYfw(GiVwr8?K@Y9HrOzO$hkc7Ehu$LEN=d zGq#a=V6JpSOg?BBsmI^|iWOn#VUAs)RP~Iqq3xFBP0#PQ19>sW!lStUwUdF-K-+t!{bcR9;qehjaDxcTFhVuz06 z*-q;RC&RdERcy$zH(Zb`>()ve|3963-D~?E>XZ0x2&i(4k|1nzfPA-do~O@GVfP8f z^g!0}D`YyX>jPb?ic#75<894T8kmR#R0Jvpg**?=>U(@lX9to){@fMF4Tzu#EeZ

WhyVp!*>+L^eC||x>9QGj%(eu%d z>&lYqx(Q=6+mg#fY5?(56bQM)Nj324k}$Hs8BZmzGg34=S^o?W=zt2tP~m%tlm0if zDkubphhb!WIx>}M0(keqbdgH()97h5Hv_98m(Oy%9tzts-rdH2QBSn`nx8!4O+iQ_ z#T@jY%cIJHf{Ndq!N7ch4+0&qPQVkSRC}{LIV4GdoLVg%v(ocEAUvtbUD!GB_-e!8 zU*i5EQpMs^oK4Rh2W7{VWNMUX9XRdHO3;$vt$ zINS3ySwuDIe{oWI7g_I%(y({BIAwsTsy|rQi4LW`nyC^pyK6t_FFvf9aunbS%Yd%N zG@=TTvRmy^LMFm_9x(Rx_1%x(JHm==(zsQ(`Uiv&mZ5K{n+f-U%dyp!qe2_6AXCMu zCoGxH;{z~~b*+T;N5?~ryk=o$@lldyVT}d(ab!(N)kF;_&)8s&MSwc%{)5l7oYqCh zsylGN&DMBhJ7Z4`${XE%UyEHX+R<+X^C`KpkPj{_5(`+Nk$#T+WrpJPmd#+fie9rz9uS_RhBPinVZa8CrU~ji4EB! zzZ+;#c*cuLMDDXOF@N>e*&K%COZWXv^nb(9xmFA#F8aA{<6u`$u@z}g6qws3+?s;M z#A=Sblacz#ptmtmI-8Kb#?`RZ7f@2gmjK<9yoQu-Sd2*Jg81vp=53L(krp{t+|{l~ z-N+_~n zFyL{pynA9&G%j;qEqs43jRb)`e3YD41~TQt|*HZ^y_jYk;|L z;`$vx$IP+8QCzwC{SFO+0g$P0i1R$S`C|}b#-&JDs&W~5flAZEjuaj*CtW{l$=mU@yXKK ziU$3dACoF3+DVr(H`_BarTYOkfiUfAO>gDN*|gMgGA@NzIid(qy(rzSOZ)J0I^{{y z!h*1P+1j68OrT4J9ZZjU~s6Z1Zj=E^TNJ+ zCve0dK*f$ORm}*XFZK~02&?Ii;8_QcRzwyr6Qb`X(DJZxN1HvM#OAnWs@LsrCi;8|zbK4bh%2W7pJ6_Kkr? zG{am4KK71}Sb}MfT&wZqh9<0l*Df`OQhGws^ApPv36nD6++j3Rwgunso(wqNR#p8- zUDSq1)$&EDtG`?|YtG0`)CNCc*NHKqzh`(MMo%G}{-t=OeO?bH{B97jsSdqkrDKU> zH^WC2#y$zk8LKX25?Gv4e^5w8u#2{bQdv|aPDAWk*!J0o#G_go$x@u$2ie}i1*ZOM zsD%RG%@u=4aaJO;(RvWE4wpn&h`{V)#-Unp>u{PR1rB%3qs;GgUuln{+LY5J?I|hI+L;F{_de5gA@`6})Y!-AJW;1i`_|X5m?teW z{srAtPjKQy%#8fuH7zBgd)^o>c{!tj1#&mUj+N7`c3$6K4HkUiIU<5v39vtx8QHDe zVAeg9SryE2XeO62c^?=rTV9y^+2j12f2;3W>k?94c>m-tMmCGWpR__go$eyk)<*4- z7j3uch`!4^eB84;Ug@CTBX#ZH3V>wFBor3kPN;w7;{{qrt1P}jEdCq!!yU*s&;FgH z3ea0fsR8CqO3GbK0r$>pcVfs zK%3<)wtLp0QVk{}{<O2&{4!CGawmv~Y-t6`-q;Gw?2aV};#vw@iI4*E1q(T7viM5uU`|>F_Wt@85T_HfxMhS44H4COFV;_=Y<#~Jt%UR zmLCBDKGzX$`d~|#rs=v2P*4G(Vo1~RxH@^l&>mz!B_G6?inls?F@d&>os*^C6_BME z1Sbir6dG0&ZsSD}HHy8R)gh)3W)|qTtpL91i1-^KBB`gHE_dI5%4ZYuH*V5%%6AE_ z!0*Lx>=aUYzGq+L-*4!J z@H4`t+xL1>9LiMrYl&&-LSJ9e77Em@NlZU_S~Y~6$iJ_XUb--81@M!>o-DhtsSa+LO)oA%Pjbsc+s^GNSO!7bb3r0M2d z1M$DDG*7NNW|!#II+F`Cj}T)(DQ`hDsyJW%9tjPMFh`+O^!VlSrrM;9rGFA};^JI_YPS8#e<$WwC4Xpmx^y$!O;O|GFir~XjjcttZBy}?Kd>#`ue6md6T7YF?U9@EwA9m9&g=RgzE*G z2QasHUbpr>&3_z_9F|^IkXxtyrigX+t6sD^HJR6df7ODgT(ymwmPEG=+XG~+S+s~RkOiq{n(PV(HjXigW=

Jo{ zo4aP0oE0H|j-LJL^^o~2Hs-DmYK6h64XIP|W+g+TxZ@AQ1F7Fdw$?u&{Ty7YL)LFfkrpQZNG&yznhw3GSZqR<}6$y6zFWQI1rX6{B)qcY&pz zL*=Vpok)zgliJxX%}-0@eg?eBZK^7#?!ymn7Iy?e+s#uX5;CwCkw!9(O2pi66zT=v z?{4$Ip}@ntz4gCiWB_qyP-F;Y6@+}t>=uZz03>%SXt40ali%@l%bv?lnuFPkb4T|m zXhduY)c#besQfV;mThIHPyt21im>uDA^FXOEYwO>Q#lN(UR*N>7U?<m`ya+nc8~@>SkncXZy0cB*J1yDsjfPKvT#1)b^>iMVp)gbIc;<86!ku?nn$ z8##842CorAhorEPn(rvjm8q{LnHRBT{6}jMbb*B1OLMIw17J_1jlPNnUO^m1+r{z9 zwY!iZ-keusOs`bipju2RMFKGN`iE{_?bR8X2)%;4y-5Q~`-aJ4My|2rOXV%y<5Rr0 SrxQX{_93|2zaRhY?SB9?@Buae delta 3961 zcmV-<4~Fo>Ag3RYQ-A6}00000007ku00000007+r00000005^C00000004La>|0HY zTt^Xp%TGf16AmH*iNp9{B}NF%`X?%6itBnN0*l z;ea?JMamh3gt&3y$|0Nqp&;eNH3Sq65kKK4fT^#ltGoK`*nhIu4z|%gY5nS}uCA`G z?yjoWyS{$?`t^?_N%9|h+n;xc_iy4I{T%t=Xb;@~d*IV_UjIes^)NrwHFsOnWFe8Hj~+1BPOYX9^TWg(U09BI9~It0{UCSw>@04(K7TQi zh1S;2=si0;c%2FlCUy4qrz-d%!ffZLbI#l3ndfIpp07w^oc2?3Z=Pklr#5Uya1E(3kcn z--wtp;DA;&Vq-p}I^sd^czW%C3ic+o-QYntJkZ5w;8x(Q9@4M+FMQE5CdeCd3&IFD+6FA+F1OpDGgZ=FM zhEh#WH9S>#D)&_8snk<-rYI;leV{}iDA5N>^nnt6phO=i(Fdx&!84-R@iDR!frS)% zvKh!mAd5iefy@G#2C~j1!jRL;r08W*^fD=WnST_$Op0D6MK6=}4XgkKEnbLrk7q%l ziQg2B1j_;~wP?av7b3)eUE+ujK-IaCs6{0fl$ckdsYFAGLWx|7ObHo{6pD8dx?m5Y z72aL)Hq7aE$S00)`a86Qj^p%qXaOC^>F>DNQ1|)<4p8^PQh)S3=`x^cLBoQ=g4}}4 zf`8Nk9p_Ac62@Vm<1o;180a_*bQ}gc4g(#Bfm?|Sz2UCR1>D|nigJQpGSQV4_@mvU z{p<+wH*xA4pFq>q!8$fv7NImk^^HeJlFAeEW?|^u(3zoAL(87#zKguQnUp=#>b6fm zh4a(u_O9$)p2EToctUhmM|`-X(V4J}RDS`(Nza;O0tgXWqWBN8yS@ez0i;8_XMYNc z+Rsh4Tt75-huc6-n2@W&R!2M2&faL~V0ZX}9dT)AdZ|C!hQE3I?W@aYol+JP#B*5N z-<=F$4{D#4*LT(Y^C>XD)9%D#2-)+e?Uq60VQV-l&m;Wa`uQ2KN2BlgMSD) z8$`(2AVSUt5pp(&ki9^J>;)p^>_G%)4ifTC-CH6EMP@`03Wa<^Y1`n95QCh$)WW}A z`L_e7%N7(0n-lHr9(>|<9lDbrJ7`$xp}y&%F6FcS%3xG-QFy z16c&JVS7px7wyd;Gatx>Kn6>)U`dvGfh>%jg|V|RcGd{8VYDoamW9!>Fj^i)%fo1S z7%dN@xssbjHh0^TJ;1HT!Xmi7U=Of>7%Sb`1I#r$p_s=O ziK7h5*ja>?Di-aIlCV#w&IPO}md(x`5_c$N_}rmciF2tYJO-Mg7(`;4*0@Cz9s^B< z3^YkHa48=-&c{zURI3A23x8`O6(C;&L?{M%DiYcXdaxu!|p$4$|-R6B;54gJ5CU%$4XC-^C1b^V7kdK|iT&|X= ztLaG7lN=?JU^$gxxovXu>CPuWpAvnN^l8&4QlDC7xuH;kKB$nBL!J(~JLL1mhRbL5 z4VTXxPAfR8;Jkv13T{+zQ!yN+-(GMGZ@-&Nj(+J3`f|J_dA=;ZY_1)EbJDKZu5%VuAx=0es<-nNAp=WPuh1a0+TM@X?=>rvk1D0bHW-iNWxE@-RG~ zU<}VEA;a^D%75^DGBZ4%@W6|6xpG3T2Pc#l;=q8#0|AQ{0v1mMEY7UdHyFZHQ?izZ zA?s-vvZjV1W*LUqWf)?ZVTfgh5t*iU3ug9QldFH|9Jm_}l^C^quh3Nj9|35^?-ybQ z6`nDJDpJpYOQcvmz@p_+#axErey_?|IKY`dz@hC{(SJjmt-_(LR^iY_t8i$WRXDWC zDjZ`o7N2r#B*8bCRgUQc2O?8>5yv|IW1g`O-XoX0?{s+B#W=nU14hDdpHqNH29#M>N7~kr&o70f ztV8EIf+554<)o_V;*(neUmqJFONr6=8d>$pjeo^r3>PApS6VreVHVsskA>45eUa_x zhKFe{nP!pNG>u?U5spVGxGyRQ9<*XnQ^&*|U#xXLf@u-MMhsbxAEYv|il(@=sA!4} z%;L#p@yxT>FPZgVMKU%&iw7+#tvnm={VcXWWBdJFD~+-FIh*fgW4(E7V!_bMvW0Fp zuzwau$IHov`#!OTcw6KQy=d&YJa#}HPb!bSmB))6uTaj4aaj0wpV%e%>L36Ta~RP_ zqNgce2fEb*&3(1<B?C?;Jc#OQ#x3d)-ogQQ3zb=WRQmFWjj%)Bk4Ej<(qEtOe2JzDMemQQo5J z|9dg=mPCpVaHf~+l6=VwRQfwCVtX zKegJ7R8nF(s-%3IkOz+&x$+I$qJJU>(eley?3Y$7vn{`{Ej`=Pwk^N5Eq|}umPgev z3~Hp3@+Wo*TUIRU^OkK%ZJ%Sd<#RU#pS3N&wYD{lJ!KSo*KMDl*+HYzX!CWu%hw zTUNn$OjG%oZBey&mytOrH-GghmB71v#}2A@_}qfK0DVd&@Q(X@)g0kiEb4Q|;7uwA zRY@7y_9JD}yEOFu04`ehMv4Dx|0BP>Vf{wCB{&gRQTmih;9c6^^OS$EeSU7_N<$~* z1uOO^1^Afhw$5bBUwQEgk>`iwo!!0d+NsXzT7NRx*&baTj;6Jj`hVlm&S<;VpVpl4 z6N`%{pR7&C`=hP?bU3KJ*x%hB)?V6~Ua3uXzB#PrS#7#kyV{>_ImX`Q+O$939!_gd zq|KAJHr9#!_~iJ_Mmdol9~{5&KqoS4K8fH-=A=z)dySaMdygl{NqS#6-(9)5c{)fBpSOu1($X#_P}IMr@NgM5?Fv z`{U{Uq_(;`htmCJ_7n-|g5KJk)xT*yJG6s~d*R>0yBDU{$OHV`mVdPq-nZso)8qF1 z+o%_Z_HTYK{F{6K!t|O>{J!;XmOillCDZSLf8}b7LoqI5uz$dFcfzCo$p3?x3kQw z#7ByO5QBCpV`mD%#v*nR>;hH_ffRxk3W9~!g82ohk|tdcJa?A&d=_VBIOp6ubMM@c z0$L-Y-7hOk*ncPlY@E7z9f@3N9F)yjpeCS&+OS?c`I#~E7+`NEjfG35xwE-ZY3y(` zRkqM&Ky;6Ka2mY|516UlP?6S(ST=a4;BBU1c%Hxi`k%f10N)TBy^y)Qnl;+HAwHln ztdrs9emnMuz>KhR(FtQj)kQkRgM>@t(K`Y2I#y9bK+xqM{Zf27 zgO7TFBJ*v|pp6pHze~Rq=PK1LnKs3R&p>t4G}hI3FMeR7PN2x6XWqyt5AOQ{R+96N zEOVaAM1u8an zZ&}7)@^XEw#~a%_%y0`?&$P{hUgF<+T^>5dR+sp3K_@MP+CSO$S-B*Rs!a^ree#lj z@Yd1#_rG8U0k-9G5rx&J%SMT;2#z5B{m^&deB#?@X8M4}%&u?;9exT2KKyygE*=AR TsaZ^&nnO33_2u6H009600&=c6 diff --git a/cpld/db/RAM2E.(1).cnf.cdb b/cpld/db/RAM2E.(1).cnf.cdb index d3a3a96e557734fdb3a52d369e761473e23b3be3..0b992e0b8bad6223d8034372f6e6ad5a55335712 100755 GIT binary patch delta 801 zcmV++1K#}Y3F`@vTYqi?00000004jj00000008R*00000004La>{v^0(?Ae*coq%?hK+&FT!+}MGtjU73ODlX)Y@(YllAjW?OnLihB6l(wZLnKk&)^H-CDn&+>wH0g{yc{XIET zI6btQW$1J_w-Cl~+oISPu`nseuLl#0=mKZzsgpXBZ?;9j6?&c2)Adr%%4D3`e7mI1 zx)tMomM1}QZi`V_yegAn5dJ(+JJazS31;41b5F~(n1(%b>}=k;49!cI(7f+*GP0># zCwhRBQ5{v)jDJT3DnT{ZYQym%*Ff90Jzip-Or7=0$}Ev~EodRMvn1>c`qYDaxrjZTaHC-TR8Rq!so z`xEBAOs^^tt)vGg;)(bH|HPev@Y#Gp4QVrU;5LnDe1AtuI`H<6dZ1FPE~{bjMGe4S zl5t4|0>DgK5`kcBc+!dl1O}EAAOOp1DFP4xz6`Mb`~&@7ZjAb+N&d+VvP?dx4J5!> zXIMTXj+<$j_&C6#2fUy?hP-_KB2M-v)4?##i#&_7r{%L2UED8*BiM6IBN(20ysI#* zY2-BrMSr?sN=W6Z!VBW+ca?v z)i6M#bbA;0&@prZKI#H=)<)c$x76Mg)Z?vExpsf->`md@+UA?}ZB?mtA@$K`PSjo& zj?9_ctZy&VvDRnc#K75-|Ao@3Z|Z0X@)?Sm8xmpWH({g5hW#NM&n26lDi5GD{z*u)IbpRa4sMa zM}WwXKqMp_0TNM1WQ4?)ckF@H?${&Gj8-H}%181ANLU)1BNx7{hIgVxPytq#VB*Oe~@coT;Zy>P)`b76n)6by82)OFb)-ac1-F zk~-^FjQd%h1i`s2MrHA`Ool=Dvp{W6$FC)rd3Vh{Ez@Ee_RO)fdFwJXFI__OzRStT zrf!|+0ZvABSbtSB9u=qr)%0K8h)W9RW$Ciwz%`+9e4eucj_oIPkv(bnXtFGuKw#C5!{Un? zfW08&k_rTXnY1JV!PxMm6$uCoEGa+$meo=OAOL(3VEy?A`n}v3^-GidlN)52d{7%m zfV0l9d`28M(=zdKfJOItL3;#w@$7k=>`kVFVVoCv7AH^2r!Bg;UkpdE=bA<^Jok84 zVOZ11Yk&5Oa+ma~L5tyBP2J#*tO%!Aa7yet!O#+t;;cJNA0MFUHgDcz=JV%pN<*3;aZ#@jF5tzYTtKfjru# zi7Tjv0UD*-yTAvIp%d_77of8?;@-Te_NJg7Zh$|O05g2k3Msv z_Oftf&fI2wdzp^3J_9EP&X)WylvaIHM@x{;P|Vzr2s6I{8$~wk57~Gw+4NL-0G%PP hl@~WKuQvcj@z)p|qwxc^ia+1SDs#s953_0mfdSQclM?^{ diff --git a/cpld/db/RAM2E.(1).cnf.hdb b/cpld/db/RAM2E.(1).cnf.hdb index dc1fe09cc0409db305734ecbcf156a3389cc6c3d..eea97fb7c8384c6b9f187456298c93690b8a898c 100755 GIT binary patch delta 523 zcmV+m0`&c)2b>3xQ-90{00000002t@00000000OA00000005i=00000004La#8t^o z!!Qta*@eW3BNCs0x^Uu@6E}{WqqI$-qD?9%0r97N0tfEwg!k+?wS!euR!dGiZ)To7 zOPsPSOM$VA`G)mRO>%PVVZMU*ujUK(dd6&F-wx*K@ktm>@_%A94U;s9!}w4hd9ZMh zPG`_3Ih}Xl_=NYeQ;3#meam?rJ4bRbxp>6(Sc4Elqr-9Hpc~LcGCLpT4ou^rmtrV= z6hl8nETb%Th`C>y4dNtn;7!0YnV!jL>fmd@`$cwbz!k`X95_Z=)Mr-kDqv7MrsS3R z%uC^hO>dPWCx7f3bs|xy=g76Sll>ZXQm4?5*{F>pJI}STn`Z^{VTG+QPuLcPojCcaF-hkgcmjW7${}M8t5aw*K^J54Z#@dw+@*3zu_hN@hdjQ>Dxp>ReK) zD>4^Q3uC8CWK`;dnhPkSvD4);DpfKHU4OP0U%7O&Yu0T7y(Z9Z0v!VPm^U~8Uh3`) zZmR__5g7E#0{;ed=5X269i|l`P&f9H0z1L@1$R6t202_d{zNNgOT&Vq!w)Xs0RRC1 N|9AmhQL|S9O#yEy>)HSS delta 523 zcmV+m0`&cy2c!p(Q-99~00000002`000000000OA00000005)|00000004La#8t^o z!!Qs{*+Jq2HzYm*x*U)=<;0C6=O}GbsA!YQNkIH5f50cOQ{J=V#13u|VzcCS=FRxo zv)Gx|wrw_pjy)_Ik!_Vc!ns*~w`Tj(_uFI0=$8iG%P+96PXJ zkWQwsCpi~yW8oA2zdAjy<+Z-GcojQ`VlcjZ#P*Ouh@sGElvwB*ETPCQhPegPIdW1& z!bK6eDPj?3u|>@N(rgeXp#`r4o{IEbgcA#20p2gN8x1Z&_sD@`q(yaR2`>W%wdG1) zkx!izcGz4kbAM!oU7=Pa5_KH8^0u;Hp;qc7`Y{`ob7oBr>YO3O=TLX zR*9xk=U3GNAyTYoJB00000008v@00000008a;00000004La>{rch)G!csfkI0G zsW${Fss!S|0STVK960uZggCCUe{E>DTV=ZfPU)NR1bqR-DhTNFicigw)?^Alri1FWL_k}*s8TPatTFg2b)C&wQcxqOiw=rUT8 z_4an%%;QS7TKOHipYB%>+R${C?JE#WvdPZyutLPZmx1a|CvO~+5dj=C=ka}zC6fWv zc#4S}sb$8Ko&%o8abO4giG#;F&U8M=#@kska(H$zt`naf9@J8XIvB<0_RC%4GOH>7uj_e^fJonwm><>I zsqe_@m@jy7_OzJ=7_c*DHBi4cr=_GIhv(}$yUXg1sHM}RN5#R)7<;D0^=)G?`e zwi)MbEWApyL8n=iI@N>EL+l4sGq9FZR@N8q$OYN}+pbAH+P%1Cr3B07NL73{zlDFk zU6(wfJ#I!3s$h-oVAigvyB(gi9x|hhHT?{-de4`8y)whs{m-}6fqEWfIvb3 zDLVuTiUeZ80trrF7A)ByA(o?_U(zI#iFz^uR-L($3oU3QA1C{EC>8e@K9jBS8b^yY#wOZZzUS2vb09z!R`9~ilOo*n2S zT9Wm4cihb5O14`09lD?HRS??Hbeio;5KOYk_VA!W#K4z<>P{!G9g`0M97$*KeUK%S z0n~Vki5#hA#*>}{p2cxs2YZQw$2!h*-p|HcSu%2Xb}_CKpB?PiQieJ%qfLjSPW2h! z6Dd9yMb2*qdltpR|rPN?xUDhY8-@9rtz~xD9r^qBI?1a#+B0MXMDT7_M!;h1B5P zhIw%vAsF-Y(UXUd?~l@<*=(;L0lwfCC$Kny|M>(^$E4!fW}G*%@G{K?on}$$R1ZE6 zu}7$8U@fPttk2(*3$y{YU6XpWdvVK336{-~s`zex1Al$HE_p9br!5ZDdtX)xe zD?DjEWJVcl`YB}fo-g-$WrnZGb65VEB)`qBB;G$f;gY^$3f8i&v&o!S3!BV&U9mY5 zJoX*Qy3_CpKP3d*Wr-7O9R)xOa46?bCQbHejgKeM6*E%DF8}}l|NnRabW%G@Bta0a N@o}!+d9yqNvH|Z&BBKBR diff --git a/cpld/db/RAM2E.(2).cnf.hdb b/cpld/db/RAM2E.(2).cnf.hdb index 108cb11e47729f5e1812d29994fdba27a78f7c0f..5fc8aeb3692526016c5ab12b675e4ef19b88496a 100755 GIT binary patch delta 578 zcmV-I0=@nF2lNM!Q-3=N00000005){00000000OA00000008s@00000004La#8tUY z12GWYaEqg$1O%S|qNPejgD9z^%>hIZf;NQXU-=9qZo+#r9v{gjfRMnF6VIFH8PDPM zU@#bD7#o-$SpU_ePY(Khhu^=NZ}9bsS%Kd*%uarLUF#or_J6Qwx4Ej-&%2GYU6NKn zc)|ZqXO>m6%C9!h!gF3U&d;9V9%~rHP_)^;NYFWG@}hToe3ig6fb0FCT zS(MoY+U980HNCYhC093w0jU%c6Ty!&;b1iW`K8r|oVkDV3SfYAid0u76h{4hO6)h#Rd7 zSZCGr-tz9SzqM@~M=m5e8mg{%Ub5s2tSk_kMZjfsieR`KnaYe1vZr=mqJ+`iCZV+ z{AR|0-)Ri*G5~IiHBfMENC~00000004La#8y3X z6G03;69NH3K?w}$X<*V)UFD9F+H>|f#$!9qoIjHM?i5M*a^90x`(fYNVKS5K%=%VO zZzZjyz4L0dT4fj?G5=xxSd%_E==U%DKGysLU%xS1@cSNfQh!`5JByRaH!Oxjt~%ZE zv^P2?=`9Gq@ciGIWv#6Bpw0X6TvWaB=oap=hCvKP%i(E)zJR8vW8vxcnEesawOHj|M>7Xhm z8+bK8t367RA`tr8Op&0QDH512yMx5E;OmoH0U&>qI>9p@9mpN^d0R>(4PmeNK} zsu$P}Hqt$2iQ1h15In6}lhEV^?>6PHY&R&aB~BAsBIxT(H|B{(J}GAUo|>!1BP8cZ XgwGZ=!!NsEf3&}US>6G&paN6@pTH4K diff --git a/cpld/db/RAM2E.ace_cmp.cdb b/cpld/db/RAM2E.ace_cmp.cdb deleted file mode 100755 index bc10969d1c21624634dccdd7ac65caba72beff93..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 44942 zcmeFY>+}5niTA^^&RS=GIBTE1_TDG)b@Aa=K{*xwlo?cGwZqI~8 z1z!pZKU4H^a*xjVbN!XcAgL?8@p$E?`)i(2|qK`cxGz%{+Y6^ zllMPOvfr(L(_FizT=u`>n(>|gCu1uApY*$q$-GZ2H#{RFoFAl$j9OyLXf@sZ^D!R|xgN!@}J7?C6dX0FETrLwxfOb5H za3(YQ6F2X??0o0|>P!`}8%Wq;itgcSgQv=WO7 z!dA4C({v>*)~gwrq8*Hmy?5^N2QBS>Ix9U4q4B9Y8R9*`E)GHj{F-@w={oSr%`aFbskb$8fRB~RJ8^Ab^1rp_~h;q zi%<+k&6cq8lZ=CUhtFK(vSL#J?8%25xL z1~ESEiPND&d>6$T`z@`EbK&eVSVlZDlFv6G#^~`13)nx+3}S;tdev8-^~BD#hL*~> zY*z4;=`W$qn@AYTU7d_x;EFLp;W*$I(uB#lwwDq}fAUB%mwDvJOYg%_i`$2x3lAm0 z5)Ye}{2;-JXV)Aaw|F+MEI6}z56ayDxUS2gdOcQt9^Q$>gMB_vI)lphq2mD8C9^?; zf?j_{6VCM?eufKe8cA+}%^gk0PifuD79hEjFI?W(R;=kO*HC~9L|=d#tzgTr137vK z;}rL#YlU5vIIPi`e%~}EUufUD6qTuQgFjop$Xe#-~5n28k(3Qt7XbdSnJzKyG z`x|#NUn8qXXi~LJ4p1_W#xIKA3Nk)%$=bd!;j+2IC z5*OHsG!L4Nt;KkMh0&8huY!-PUw;1;Mqbayt@4dE9gh;Ih-AYLsL>#QawzEXJ~%<) z)VfD+lKzU!|Ld@um1x;s;`fl=j^u7c)OrroMURWP(Jt3kdT~2wj1o|b z6z2U^`2o2n`AzAerynlCxRT}i3cVMb79o_!V`UTy| z7yyn&$BRH$gx<67R!QP}r1u%APP}>|rBqGv-U&zWsmZIWGWpZgW@UNwCh@6H$p;+z z(`Q{G8r>*o2McDceKDnKF_KI6(&8B$_19qy@DBnA$RD9+glsZ52AkUuaIRSN-+L4y3UD&Cma*zSc5M80LQ7ly%cO2xE0+& z{B7J9721m`04;i)HQ;y@tI)Sl3cJMP4jJ3W=P84#aCb(lTej(|4Xu?yAAgVbVtE4{ z^_z|dNs{xp74$kaKE%bCSil9kt$n&bjT#``Bszt=uSH+JquoAZq9W|*!m`|(E^WT= zm49Q~_-vmrjz{2HiPX3U_^1#Ha@}4S__7))zXB4UDfGWmM8D``uDkwMdl|f!p6%Yn zT#G#m=Y@It2h7!!z>e6LlT(Zwu$NnF-JxFx711|ln3K(!h zoBh~szwKmea>8bDHC)h|C71nV2^fvDW>N(I@I5pd8(giP+2CXU8w^wg2p|#Zk@Y8YYbI-VFj~o$u)$r6(Py;wG8eTh~5cskel}#)Vjg z?v4x1mpG*{D++BXZNx7wAFT&9LW;WrdGHS|E=iV0jVM~L_q(QQ08EUdMKuC;eTh%k{W$Nm3J-JUq;q5rxErLJ7t z>UfVwCcpPuALJM;WY@iZdbe}$ZfxX-bgI!UpO{iF%O#Wy!6GwK#?=5FA!9n#`2K>F zGosvC@1ME1YiWvZ8VNE*=jfzQHRg=a`BeND)L!H@e&h;9H_6OQH&)c3rW=bUxqK>$ zyp%KfLQz7ymSTbFGmRA^K0XzD7+)X3-CFfbzFkXwbj0d;qzuDD)Rs?0sh3seo(#e2 z|IGehkpFK<{r`UhQAReYbOZ&EveHECC_p3J+vj;Pm)d&!6xW!s0j5^QP~#|$9}pZu0oQ_gCK0^{nypp4hA6u8TgRAN?Xrc{A_ko z9smL(>DvB{o*;Y=CVGbB_Z&FhD@`sm|2>5=N&!r2##)!jl%-tUqv?|kh2a}%prbgXcGt(RF%mdE5H zOPt(rxQ5yv55eJDjT^0G4#wr-Y>i6bm#{3|S&RQXb1~UxORp;r*R!F}Q);=>KvpVw zQ86l*+|GidTrRNIZHsM9S>*+|^ii;I)0zFQ@4-a6vPd_}e$PlSK~cx}UdD9=8o#B0O{17^1BjSPwxccP}it z8OM^BD5zA#HUJw^Am8bUiWw<;z#<=Z<%5;nf0+Vu|2?^tEP_7qUolo!Z1o?re;kbK zKuE7v-yK&lRt8ZmPqIf&1kpEA(D!BTX^}fSxoI4E<3py0J7xV?D^8Bu=m4Qy!~qCD zGFY{^+PM?~Nb>i~7X-vCG5{o+1j@ZeSkUOt3z|=MhdTMB@o!)-|;!Dr(biaw$bWD?ZrZN;K3TzN;Z`p;7vhf82FAME6;0m}llV0(EJHAA=KItYcFL%H~6}T5->8LL2D$4hs!K ztNDVluZ$aY+ld->!1KSSS>szqDudYR-(_(d>glIyF8&j`D-cs>?O`I zuQd57Yja5wobI82hd!>QNa(j%Wk{SGGkOdpFfyaG%zXXa(6%Or?rQ&8w!M8KUdi&U zxF{0#lMi_}Lq6^+$WyAflCOlmm5bByotUZYOh?Aj-)dBpPJEyfsUohn9SQ5_LV1pX%ZGAJ4AQ? zVZQT|EIgvD?o)1Vzd$ONW)qh~<9Ml9W1TJnpLAZ7s?2Kr)qAyup%vH@a->-g5dD<~ zP83tVF`zgRFsK#h-cV3Eo-c3~e>%vS_$lO7x6^X{V44z%O72{X{}+`u3e6s#s~}1z z`D)kB@|}A|Ugk&@5|%$cD;$rzl?*{R1ZWbbffL{f)p_5C&ji+()0A}TUeC*mIw8EB z;NzIx1u#4Y&riFuSQ7xr#GuQe;%VT-z&NRNLO!8)+F#G z;~-9)Q}$Gm4RRCtJm|C{WT$LTmjU71LWm0I{+`G}R+@TEjb^hAtJA25Q@`a>?Oe}Z zX~60#*gAhWCwHPJ)-W$4-U)ku#y%YwTWyI{lWs=T&ipfSw??h;MLdK#8FCJssF+fn z-^8mm#}taF^2yOM`G?-L*Kk@m0seja<>_GSSMYCl;ri%k^4mArg2>9dEgx9fJsY!}t!gF-R`Dwdh|6W}!RY2J zQ;!>$%*7$Y*Ia%*=l;yHdR-;&X`E8Bl*Xlo6W96gNI>b5+^0l_k5pq`j7x0XD258d zs))Oa+xwWDWnIEy$!X6YYu({^a6?c%n)RPyNbi|{*?H)v+%kr~aoWsmkS|N1diW25R-UQYmr9SPCkBrq` zOLhs~Mh?11aG`p{*p{Qjv^UCjYueIXdB8eXG%w*&+cWa zDt3{S!JsN5*4QV$(JbYU-|+e`-h7##_%nU}#cT2P1A`g+5ugYB6|wuhJ9f8?nA5e61B+0 zy%IG-MLqIGw3oA%RUXgdv{;DZR=S~O`aa<_{d<8%`i|v1uWQhhYI?K^om0%BC1(fM zrZq((khwPdCDUB2E2G1VMwl+&>c^v~_iysw*3`N{nh`b5#D(1*ltGo*0A}u6U{yop z8#=6SvsDSE>1(A`!amcy$&geUpFp3i+GqG2#rTM)>EeD=qqf>(!taCn!|t7#Vh_nf zgX@K6v6O}v z8)y_sAl~XT6rJ-4TsZb0;ipp=l0_}+EM@|^a>q(9aTu!|*~7Zt%X#R<8e`yOYI1eA9252NHCA<47;sov%r#;}i|%F5~mb}&dk z%BvabLQm<(dSEA+gP~GKxHnv!)@)mB!W?Iv5fTYU5t*_1_UHrYZJh+}e;O4$f<31QQ;Kto_@lH~wx7Q77+Ld1MXveEt4u-*L)>}-=*)#fD z8Oyc089^>J`UUOMKOVf0_a&`6O;R)u?LiuIbU}g9y{{9klAani6I~o3bM=1&y_&7) zcWH!7&%=|I3A1)d`rU(7uWKR??&tOKp^?&yf}{UNCh@a&SRlmQ+Xy1<;|RIb3bC^H zY95;629IpVCo`PkOIlh!B3oKci!cyHhUV)7*zdV{R>r_xBs^y4y`=ntR8A=-A$jJ& zrap*-8LjX&27{fAAalKmTLWLt#?6PCqhAvT*3N_MsMpPB?J)ZVvLeN-0cNzT(W&yw zkaLfgU8XG%*gCO(^k9+-CB@skk6sJ0k{Wh>0-+EXKwm#()jcLENP~t~Am;hHa`Kyy z&+%GX4+@^kGRVAmbP{ATO~vXJX4Gv__DqV=v0!a@VyIHQl9)CVy7Wh|ai4{AKL;4* zv50b8+Dhz-qaQT{Wwaxfg3uI3bFOy(lSJ6R7^mrXFL=0p+Af^*2a#&eCs`BmJll=h zga2-2*~{w~{AEPY?h`ub=}w{UX?U;uY1Q6cxv`Qpk3U72dDkrcI;&Z(l}mq?b3`wx zDT=Z-D+ldf%a^oIgBU%lvKX=`T|3?a9!pLBi(wugfRr+M99|FO#o($JTvve*Xy3kx zxFGSjl_{MrbIgEXEVxr}eAIKHVP`^c*XzGt()!L3MRUtG#Jmb&mBVT>x0wTk_zxCg zJiqg$EO6GVCq7kiPZlzr-IT5$425B;zn{nRHnS}D3YH=U1d*(1RwE@p2SweItri92 zIb!wLzcY)dvguJ{3;PY5X595XP@s9%*Xd z>A?Fz_7e!tLY_5?`JTcFE|m`AUp7TmTH%HBZT=Bd??;H4F&(}M__xH454@4qmlgMh zTg;t+?dhgrOHu+0f-g?zn?<2zG)RMY^{Pv5NKE|9ImV_QOPJjc_ozy@Xmnyq>5^yt zRHp(MWk^KMMYPpMFBI%%jj|G2P_#~SqlGRX2ip=yLx5k?u5aweRiuSw(YJ*6iPd|&_4NbVZPH=a<0Fjb7t+;T7usleXT$} zxc_AT(6c!i&D5T;yxqI#-NMgSMP&T$y7Xjm+K^|$B)w0AAm>mjN_8*EIj4~Gw?Ism zvPGh$!H5^iW4Y33^ZI;hmGvISKpYU`zhay09e3oZ-noVJq~A2VDs~nLyX#b;UZE_F zA3zI&Hy>mLOe%fha!wX+M2XL}|Jee0s3UgYW5IEuwwo}a^uZ6j#}&&r%)J-cc^FQD zJgZRH+ZIz1&Dy~#vw`Y|-0?=JVQzTIQTRe#!*rM0IOmg1gM{nG=$|~O@CS>O6CIfc z@d~Z1<%0yU1nJ{iRf=T4E5*G`pU5EFr}aE>36TI{-hrPbSg;H-nd$PTrkwPI$;*H! z<+7Ez7g&d0e-pxDvakOXSXIRE^Bb@(e_6Tp$ItjfqReX;Wm*p4_~;-gtWZX zYud3D-(TR}`)oJAU7a6nsFNFEXr7ZX`SvfLp&*i7S<-Cee#TBNSF!QT6`#^9&8^YvzBsP$$aPj@7%--><-uU>l#jyZ6=~(3R zzjr5j#EWLXcCALU?5|D5uL(TzmYKwYFW7PZr6ud&j55J$YFeD}-@pDeqw^d>{xaS)rSsw5aORNE+(vp(+Q*mFUk*wgZ=iWjYw}Qu4pD{ zhM2pX3FyO?;r1sFt)7bWv|fBMaTbFJmM8;LJQlGM_*HK@>g1a88$= z*;T(6KXZYdWfxToHgzNFNE$+W;MANG0i7I|r4<9aVG%SqLknHPhpR%<`cn5;7n-dz z>gq6a86qHod<5r+b-_S&83V#M;c`_&N#~io8^J=MFudtTU$5lN(um*qv_myz3a_ zo8jK|{I9pwJ3v+OFVt+9aXhBFVws7*XiW6oZ6oZ1EU)`Kp8xF+pntz; z9xo?BF7F$6RLY?D`XEiwbwUEpl>1Eq1NTcu1jrL@8sx5qT7?NW7+tNVA-_3p`urEi z=yA@~*Sv&7Lrd0jEDf;@AOu2Guuj3l8mzluObzKaPrYF9WZ2-nSS_dL9r0>D~u}%<&0(Rr`Dt z{zs|u&R@0nr}M@{bNUbVUYl{&-}3I6crwWO$n=|w%$U8kxk3cPDy-q@cH;kF(X;V7 zR9mucnR~@H$8seJ9JdiEnt!-KSJ{$*F)Uk^c&N^$_0l3bUz=a>{fJjpEuog9L-Za) z#u}88O?{T*<1Cdyz?_m;x4g@fj4vP5o}fv%R;jrcyFIQdEVRLtgdmojHiklR8Ur9J z!o+5H2dVzP+k^LA>xv=*z?bP_|CZWi5xCv@t!Cvyz5cDBy6s6-+{S8G=kkEtD2s-K zO`7y_8tubOMoHkVw9A(R$ToC4}#&>(?a}?k!p~#d-V`?mJQ?e&rUOs-Hx4p zIk3zRs=itgC0NnMoMqW~MBuMF+zhi0E*g$jwdLi0fOK)*sycAhdHaBSCgm&LWF-yu8IgJ z&>&VGLyL0tKfbO}(5P&4&9Dv2qnQF`?6gFBgB5@a3v(NBUukXfYpizo_cFf4P&J){ z3-67;{)eyvs|rCRh12UdpN@hmx!tyfki$7P5{Ndr07;KSuXeHXHXzi(<#He)_5uv> z7Mf~SQsU^{8+hXTt!*xHynWnH@9ZdPgQw{~celRny?@t;!iP$Xgl7u3%hmp>WudOD z^tHt`J5H_(>dZ&$wH}ofW{={z?MHL4dndHS;+diR3ym$Fx4orVfR5uTz!Dp%6%5_jKw^PVtgT_(#O@o_EMk-w^7)K%0;jAKuVq5Xze+(z>VpF`9hXkno zBXB4WO;0i zRdtTk?)FF%oRzsT^%U3WuGrb?QFarqcgNcoSsRGu%a6e-t%pp>9l1?@J;?RK!j1jc z?YH;8)O55+SI!U|{}B)_ig~Z#4&$m4Bjei5YmW*+>fHW>A8==7qS7*0f8@u8bS8E? zk>%gsIm_Fm`CQ5gxa+9F!NN=RUGpd+%B+iKqmg4Zwu;G0LOrezQf$eW4n6?33t}(l zuPnXh(C}DYZ+Lx!F!r)}Gai)?7^KdMRE6*ph|dwlH${<^9QeM_->cY3!g#$xEqg)G z3;f}C;-LqU#?X2OcGK}NcX5@}+|YYg8Q?|;bVrOfcQ1l0uRKR0Fq!a3=4D>xuH4K= zb^CN)4tb7~q!e1O)2kULOLAH5SBXV%DVEfFt~#Xrlwc`30!;+REoRy_EOUMyP+Vh~ zI!Gp=CmX4X9%`Kz5pHGJY(R;Eruic37M`NqYiXYUwQe&h>2++4`zn`SWUQ(Fc&~e& z8pDkD{k#>$73leMkRj#pCj4eHc~+RE$5b;;Vc)=thA%=wVvoSVA2la2XOw|m_ATWx}< z$8BM?cyBIsGgIm3(_z{o`-ZF|BTxXYI@~W@Rj@xRm1B0p_U!w%a7#M9@U6kV_mVtB z9^{kq*Bbi>1A@5R$ZzQaBewR%bw|s^iS1KjCmqu8%s{m(X zv0xSkV{5;;X$J{e|BOl9wxlzfHZrlA<1nq)h_LD3YM2ccv zC>fQnd>;GG$!;xKT-C_uH~``HAc;T0%JrKfHOd+G>a6LdMpD-w%hJiK1k8uvKy|UP z5v*-h;}DzN<}j}8(Ze8BKY6dAMZ+dq4dLN0GMxO~!hiq7yJ^3IX;!AxOJ>@{+$s*7 zW($PmnHey|MTL!GcH+}BX~uoOyF_HJL|OfEzN*h3Sx_1HUOV`o(9k#n+v;L3((`mM zgk$F&z$&mj6Bs@P@2~jjdAKIefoI`28c0*}?q&ctC|qvteD|{;+IG?er#hH7Y^}prgU&ht!Ba?MA3iK>5{&S%rYd z4|^yb_3K|JFL%DV;d(=rux7C=J8-hUv)?({=i9P?bpJ)}-6TNN+Zc_L0~#0Lo`XhY2RZ#!Jtl3FcsmxKVb@N)T8&X|{XHS(hBSJa} z;IY;t%Y8Lofft`{rU(sz1j%C-*8IZ)MWa{>bTDn#Pw{vi7sC!Zr)OhTCwcJK6+8V8 z0~`nXnqUP^i@r4F@7bLG&~0>9@DSbOL!T!__H1tu!cZYbl|QJ2*(&iVIDM?Zgi{`5u-AdcKYGIF1@pkDIC#HoPTQ z0rgF8o$5H!S*S{P;^R&;I+afaeyZhwhzF=S;AIjWq(mA#XnZ zE0Uwz0d&&*$NelnWwEff^9kmzKx<%0_XDR$As&al6gm%Lhcv`=Zw(Ew`u#;#;{2X- zg<%pDvqzgLXYsR)kb(1U7&zUM*P$zP(l~o4*b%zibGY2oytjz4uM)rR}8_y)@1LWLRWF``-Qg z)dE;p+~Px96HWN`ChemOc$pLrhNB8^AD2G2@-Ru6N%F-Fd0qO-3m5x1j>o(A;`E+3 ziS23RW2};^8&Itlf4>H>wno1~x(cP2r_6)b(#A$l56jtSpr9|4J~BwlwB+ju>nIA? zSGDO@j!VNh1;jVb^c*~Lu>c+?=7*@VF*zKkDgwHElJ~h;Xa*)UCo1toITYH9jrr&r@k-0R)Z@&`5=e6;*NksE|e3k{T~z%9WnA5AvU`BbelQ8+UEJ3MY>>7t#r z!>HuF;<=SUc*;GYC_B)EXfdzw-H2@!LjkwEGZte8_w&N%TswJX_p{O@BM%l054|;m z{)%@P#x^UJP-o#=ni$ZV`Z3LR8#wa}U{-@+*6)E8xZ;`Q4v`T)|5*2%io#Q0PM^-_ zOZyp1?BU{~(gMGX@2LBV+~x&9E}N7oi0jq_u1I)&73aZTXT1ySHi|u0&-CwMryG*d z3WVwO`A_0Dk~nfq0rbj&xuF)k%e!BYQy%9oCjLTvyZmCR!f{)$`U}nfl&bjJ@T09K zh2P)ui=HgdApDkN_8e5Qe=-JbUVNy!6}z0cfO+bM?Y&Pg1nQp${Yaf=`T+krL+yqA z5K4d|8YPQb=}D@%p#XBkiNaWuR;{-s$CgY=p0zaBw_Mt+TIBKo~MieMxtlG zBgppk;`ha!#jf&GxS3G<`0Exbr?Z9!)x@z3svCp*HQB55O=eH*(pNzrT%}y)cCW}5 zGJ6MbV>-$v;wA>ve-?>B5If-NJH_Nhen+@IJv=#*{KWpe=T@?=vtO~?4_7x-;xr(j zkgzL$YGb_qs2^Bbi7HDQpD{&6E@)SBk$iZ!tq)Gnr{^N6OmLcqu>N8xT_9eM-kMNy zWl~7?a)+1GW`AVm3~WyI4(uT{XK}Gl(I98;;U3M9?J5ztmBqI|du0AdFYcgruGoVl z>ENWBqxTx#wYSoFXC&|YM%9z;?c2Xo5#^^qX6{fpy)_DQ1r6^_UTV_}F8h*ZSPQIk z2q%xz;if?Yv>y!Q_G?&sTwv3zX1sU*%Uoj!YBfqCUrQ$YE&~xl_Z&4MpGF`XiOa(@ zt`dEN^N&DnpwmQKRTYzgTj~O@syWbbiAwtO_#UH@wvfU;wZ8{R52wmfP_6nSp$r;c zq;@l`KTB<_LpqULJv}-E>nZX2`<>g>dUc-8Pr>h8%S9Lz`LaBmt)Am4Q|~%0`_0yD z4zxiO#Yi2dvc=Y;D$#4Af@F>kPeKEK$X^44;t9|J=jW+%5~9q%_km5sAh`@7p)}Z* z8fXo<1n!KB6o0vDqMQ4mg4k-6(+W_ge9n{_&QkJIB+c2YP<7B=gmM$2o<0-yYsAwz zc(Od`_4Q&t)xjY_*Rq6n(ZR!tKP0`e_DaobJ;1*yrGJ>%P%UnE{vtguSK2>s_j-kr z%Ixev+K2`5IeC3JZ~SHd(Y5gJo4xa@zfUS$K~CkAb4{j&?yTNU>#S@gBA-pr`3~pJ z1s7cKFEaH#E(Z&us+{Fbc55avU8V#C_gxh*eikm6DW6cldUviXh6Y_$B zDp`kcf-b7lRa@#IbmMwR;xC^=heCQWD2# z2smL>lGYqTPXq-T#pX@V8VyVU_a=ZS)%in$_)DdehNMA?&k^c?V!H*rqY;Zd?}Fep z=EUk+p@!N?Xr9q#LM2to=hbm-W((uJp|L;#CGCg{kY~?=Bs4TBM5XQsA5wh``Lf&Y zklIZBw<){Vk8*@~u+X2wTKY!h?P@i>b$Jx!D}S%su<{9p4+eqbJL~Xr{%e|>D#*+c zmzPXSHm=>h>3Yr4KWT))M{_gjla3jOIN@34PxRVPZHeHxqrRKC-t~b2MXi@qm*vCl4wa#`}&YX7$r( zPegoc1qfvULQhG@Jo;N|`AIqPB7OWlB{8ccCB0dw^s@bEL_9oL;i zsO-%O`}Idna>f_;^exxN^|OS3a31o)N+XA3gYi|>U2!kQ0_$S=qGlqnG)a=oRB6V3 zcexU=`G+UVRAVp2qzBO|o5ZgP*l%DtzQ@3a51)UD3Lv{yO4ZBiOMYYb91NH_X|z3JJSWwpeqiQWhDontmA z8<`Q{^^acG4r*mi)|fLaGc3(9`*Rsw`(uMfdZqa82h+fKn#5}EW5*-WsO1H4mZiM- zbcsK2S;uxvZ{LTBC)Y9nv30Dc%jQY8{5AvN*v3v9Ua1gT>TdO+>k_Trf72>YyGm(s z@r%us$;;audLC`i^Xr*K3e{nc(w9?bP@NR&v5#A-5)!hq`M=jmu(w*pRS5E6W6xq; zdg$4mfvSG@Y>E=rAFJOM)WJzT(0)?wO3~Z@YC5dd)+;gs zM$+~jW)qbqy0Jz?aHCxgo-Dgm@Gk!71E#cm3_Ll=MgMltKlpef&r$FAr5ZInUX>^_ zA$u}c3_F<%<2{*U&l>fpj6*PwM#i`gBA9~zt$(JJj7LVnl{2WnOo2@lL zbziFB9%!l53K)5RG^5zf%}T%nPSAaf^UQKo)ym-4?$-|scxsxfYkNv1AsqoaZJb~F z@x1=&p)jLqux0cecQe3-Y3}i|Tq)Uft^ws&n$zvWQ|Co^S;_ye3h?;0XPRZIb7-2Y z*%+v_Hl0JZM|3&M#l3H3N_9I0HYS^J0uqUYK7g#lmAUp=Q@zX$LEKD*Uo1`H$%}4{ zS(_4akyR+fcB*Q~2KPu~Ls+gD3RKtNfcA?C0z7q-I5*QjDDthLOdZM9PO8|R!R4!0 z@VNW|8dXz2#dSY65oO{z)#>5OtncnDyRo=e2yQz^a(;wbB;f^>6kLvU8t?I;_G?%4^V;Hn{;5uX&$`ke~bs$w1!FmWiMq%5K?4 zEWfcq2vl#|BlOk7STE~}zaKtQ#(S;hH+L3~8Ojs#u*OSpG`@*i`Z9^X?NmaIt?RwO zXusG1@`KqNw5h^rdIAkSU>#wgbFUI?%h+M4ao+f7!2rjNmoHAD#VqKl{J6eu#ji2W z*|TH#f+{_(x=`iIksYw9O^ZU^KzB5b2kSsmVt5&-%1uX1pFA&JeSa4@{;BH?JpuIs zXQ~gikB4YG*vt>boipt*MA!QVTJ9736IAabakTc2=jq@k0~>*HK}&01faFRRWTh{j zxd{flTWs`pFn|(t@P;{+p(RP5A6=J}*W>(#P9ucCxhXB2l`3QrJi!Gbq8^%d&*EjfW2#wM<}w$XfOF5Wpi)&(2COj5<%KkcD zGqJ8#zzd;lM@68YDxtGgGGW1b`vkOe>O{U?&q(~P(7dLVT)7CiEQYsYkwx*A?e%X) zd4n*gm&rcuz6%X+ViiXf|AqwK!F`%Xp{JhG!>BUOoIq7)A&v!J-!_3Pt%0_x4ojY z=>ye%oA~T*5ZP5 zu%WFYZ|@fxZw#801b$Bzu@4+kG(=Qf*6Q)QOfz@hilKrhXs$rz8AMBDR%Xd(ugcm&Y{AKbT zryZezbam!F#~<1gDqp|kIvg61Y+akpz2Yo#7g^7Z;OyKPTXU><3)^LxL6w{}{>BuU zvet47=Eg;AH$AMZ+@aTi$AXaQwokVhB^iFjnI`{(`Qdiv_PIJMEEaTn6EK>wGuLV_ z-M3kIWj0!Pymdvd;9~CZSZ)!gq*#5#$v=9oBv$5XZZucz5k){<(SHabtCEvjhO0S zh=g%QQBB}Cf!r2QjWh{}sQV-It`y*!D& zVGLe+F@Dfe*;uY>W*O}b1&1^Uo84Lbnp-mMdj~)0Jk0paG(yGb zH92SKaHUASs@3{DL3Ho(WI?0^F{HF*sXg~KssJKa+1qATsJk_V;ZO9mT*B-N#Xh6$ z;UT#@#_H6X59}cTA2X_{l}0HG4FH8e&f)&^dWUtRsMlr|t8rqJlh1kFwgrUa<34q# zRTsRH)1T*u50sIFD?y9SRXB4uS8=Bi3c<>sxX;xRrNIG0Ty*nDLFY;OPlu6ycPcOf zS@K&CanKg|!1lzn|V(5jbh?L32DvrCG7m=e!O zFFkL=?5u?9q^a;1?*AoVVI%Ip4*L^XC>?8Nz3CWWqdQI3Sf1DXTGY89*--zTZ@azu z`J1*i7}h>U#_=2o$UAvsRM#)_FAkerr0lKB199oad3R`)Ax9 zf11vTc`x>hAz)0X5T1&-Z+&8Zw+CBX4*NEU)hF+cs|`mkR7*pauhxOiQIf9Vb$yXX@~Y!1gvr1dx&Nj3S@#FW3miZc z^M{xZ)m$G7j$HyRs)f)toeFJ=TmjKOA)^;RI#tGI7Ood-P1G@yXH1j`ENxpqKVend zXQbixme*6!DHx{TXoc|eN~^2O7D*9Ei?O_v3+;*1w61t&BiS5Hp4K8$se?Z9p!qy& z`P{JLjBj;nj^Eg|?zFb{apOyz<#>p5Fm28YLwwETrYh)Kq-bg;2Qw{;DvQ-|!l`(liL z(t4I~8mxl^vSwW)m+O${`M1v8pz&8XA(m<}MmjSR?yOm3nF&Uox=M0A-|YWutyj{r zT@We22<~*!ERt8+U2Zs2J5{&8e|ezJK4xzY@&7H52#15tyTX9}Ub^0jmGyj}C@0@7(HBnM=J#L(pq+RkyK5w72L-Ek!a*v1PVt9C>H zvb$~h&S}q~W&4F9iKAoSbll;LAG(!$(|Xe&e(l*Pql}LA9tCq?rz+z0V%zDhiiD2b zKt0!A&cNdv{K<$$fY;%(%BFuv{mzt%oaB{Yy>%nEO!*r4oJx0n6HhWZDL$v=Rxk^3 zf54hP4(cU&HILd2p0?Xym)HEP6!pgrv$WbdImtSI%$L^hX237|x~o*m6=e@T=YRPx z7R&H`xhr6zbZ;7=OJdHt7R@4fNpX&(dob?2vSWJKqulF@a(OC7&GN*x|_ zVd2u%zrr{YXRk0d2>>ZVG4Zv^#KtP)7#w&$HT|w;gz(GKyfchYyn52gnSvq-s)kqUx1~7}+@?wfPm+YpnLr~Ltn4%!a+aHhnsrvQ*$5{tTi@Nw1|g;GCZFJ!u;18Dj%i;k z@^6J94?%adoVMfzAmpb;+Wq_Vqt=-1lgiD#s3>xJGfaX3-ik0NGWRG&fM(sD=FVYR z>tdxkXYC*HC2otzGtfi6VlYq>KTb~B`Rtn(sCG5^?)XlC zgWN~(GmSZ#%zlf9XLr4F6p4Wfa_zgvUWu!84gtg;cTjFqf{8v>KtVuaoK{muEyTD~8qxw3E5tE|nz->V*=7 z#$o2)mp5+g^|kk5>c1}_@a}u{Ss(Ml#A>SZc8k|tB6iKxr&BU1@Xl7wU*p{=gw}jF zfh6+PP`g`=lF*g!8di|?icqqRbPJFa6qBAO78(yc7yrBU(mGN`>xN;|oa)MZ$x0zK z($|mtjrfq<#~Ofk?6MQM+PLB&t9BkPwvlna)V5fg2jHNytM%H5U%UrMwXz$R@5{^N z+Woao&%kyC+Rc(P{? z!q0Py5H?BiCx_2sM3Z=#_Km^7B+4w2vJ0iZnxfY!GhN zt;0rH%r=KNZpf*>*X6u(${pT=?Z0_wV)1;dTB0ccs&zETK^BZ#|C68(*1EV-O2InJ z3~6;gSjJXrLgV+fbFkNE1kRRvx^w8Q0igkU4Ko#qO$J#DJfpEk(I-oP$OiEu!#L_q z)p?9PiakRYg7uLpbfdjmvX?x)N6GkR&g~9NaPB&kFdYK&kd*EipGm!_utQ2)M)HXg z$~cKh^m)`eVxb9lWzxK=t?3?ZL$ZZnMBsCWDQn#B| zpPN^GcZj~9BJG=FiGb$JXT{#CvLr&(66fCt1{5>Cc6l7`X4myser3g{s7_XUmTcelj->o9tYIp2_nWmu0;9Pu1E` zU8>GW`Qr7T!gJ;}4@(^u)bfT}-lBWmS1cl5U=P-HB`4dku92s#EpG#{p? zC*5_Y%Pizj*KyDvH*e>;AAO2sU>E&0H;lQ=!QkF9FM6AQyaweBOU5`sUN>8D(CNDB zY8aToK{>sSe)UJL4;0?Szms+H(EEVU{fX9pigW4CZZhnEC-#f{sgvq@^dTwHgk||z zAhiI!)4K-0x``>Q|ujvGy*w-)FBAXXhRM@gy>D%UimoJewkIzS3mKED= zmQP0Oj@5m?+(ubX?2=ahw52-WESppVuXHXXYF>H9yK;{EYZ}U8`r~1N*kE5pm*@eE zSM5uu8D4>AlJP|#dY z3f{Q<^4?z{b?P+@z_e?~S&eGP=RPuA-YC+VZ-IY{hrBAqJ%|)}?*{ z@Qb_2!q>ASIzei!7|iVV;+horGqXVGjxVV(rfb+OE{fP zgzU4(_{Z|(kJOP-KSyH~iF$@z!mmUeF>7x`E97Fv4UHILdnM3VXQA@NTFbwx60 zI!dy+WI^#L-!hloU}^D(B#`%l{*msrC1*SG&GCBdY@Hazw|xu$MA=YxYS-vS<-VHC zZ6Vjw+qt@nJOebhg^R29P`Ay~`w|2f^6LKjUL1~`x25kvVLsxpnUY`mMIiieJ^&go0$_^5ocaM{neGx-g(@z$3HwXLS7yE|FLwH zVR3G~w)o%-ZiNo+?!{dTl;ZC06fYEa8{CT*cegUQTY=*4Hn_v(e9!&=N_KXVwYDS) zJ78Kyw;;AEnmGw>nhEXNZduxkG#c|o!mfAv_Fq8zYY#9 zud_OGcjX5~FZ`C_)CH=)Y}_ta#2tSNDVbpUT-yw(=e>?`udKwV{5bAW&0=BM^|3fh ztCyLgToLQoJu}%7W0Ll*`yCMFalCH$6Dp8Qd1x;j<6k#=(t@bD6l?g##0j0*<8j8m?E z^YiIKcM#5&a*D&bOX;~dO4o;O=af_0E{s@DvFnVvTphIW-e9%I?v*8eCP1{E)?V=$ zw} z-&X{!&PBUg9g>Jq#!;se$C#_d=(`^BA72MEe*G8SU(xxy_f!B5g=bqw(P=_ww}+~A zA__mcU_P4eEKBRf2K-Q6Y-;RXK@l!T&SQI?@DFiuDQ{I8olo=-@kN-D+40L)qP=cB z&3ZbrJ#g`DMWA(Cj7wn74C}Rd#nuNo?516>e3`aB%s06)f1KXY$VFe{9ypVy+ZODK znsU_(=0lTavlYZI!HQ@*&5yP6oig(>2DGd-IfuWO(pfm=Lm%Mx4WQ1*>t>l0`UjsM z!^j*>p{-K;hdcs^`{ciL8~BMy^sB%uQF1&&#=4UJ`%&7iEoknTxklaK^b6k*al5 zo>8*JKz69hrtv%w&zv&Mc-#F*Fe2g_0sJBjWHf4V6JhN+Z$X4y-s|kKz99Vh*%r-Ws85xCjZm}|V#a&Sx-#wA zp~N$5PBwg7106;tHr%O39`4v#OLgn2+VC**IzjHi5qF)fd+W}A&6?pnh`%$-hDB$( z;|OhtqikY9(nz$*Ku`d0eSWNsHL6QzS+Tcnu0IUSKF8}aY>h~x`wg8We*^luPj9|@ zUcVT$v7OZ%Wh@8V3Z#DJ8o9#Z<0e*bn>s^#FPAg)y+>HN;i2E({sb4Rb+UE^TQW>d}$KKlFdG^kg7%vXG_$|@~?zpzya^|bI4mNRsBOq zBc%(1VFsH(9avuQH22F)F`ns;#HzaEtkj!U#sqI zpO%B{+qC?(Roe8ZpTB3^TfA9@_}3rHvY_h6qmSzp?Gnrzt*OlH9%ASV?6Y+~js}1? zxc4Omd?GD++-G8xljpe<*~3gdc)jPzuHFB+1;-(3#ov&4Jra~nw=o1_x(9L#D#9J zShng9Rn?}pj!^Qr*_Q4~&kN<>g1vZcdMxewha99SW^DR?%tVB7R60S&Rq>}fJ>9e| zuO+-fqXbY&T+V{dy-QjOqdb%2$y~LSrYD8Vq^ka$Y}hVS=ik^1Cc3CToQGCd>`|TD z)XPHlx6N5KEhqjt(6}VO>-ni&n#2249^b3cGI~Vp&+E}j(ax-!Zo_ul;lt0rO!ii& z607Pty7gEW(=;i6b_xFK9KJ2w@Ms-#lzUm+xQmKiYnHojKz(c5Zm?w-Pp z3r|KiI8HgPnVnI=lZCc1l7Dzlu~F!VlaK{waDqc#7aRW3dadxWRNt@*vFrY3xYoUrIQLTXAWS7)<@`0;8_ zPROpPIu#$4_H%$E7;FFPd+i*hkR5lY?gxgv!_i7BdhC_}bnTvWbyB=PflC=8l&PMd z@mpy4o%`ojMj-wY;2|nOW#Y~OYG0=Qsvb)`-&z*%`m%(}eUqo@@~v>uVjT*hpJ4@C z=>zMq7eeyykROcy=A{ULq$d$_EK3YJ?E0_Na`QDS8fh%Ntz$M+0P3YhqD7iq-YW3#^sZ|rp?e`0Sv5o3 z-g@43T%q^0h%pRIkG|H`2fQym1jc_F7YkOc+qB)TEhGcgO8k`+myhB76-ZW2Tq`&9 zb|Z9+>m|DwYub!a>Kb&e2KI=R0H;5lL~O0aPTTf$XSCNFu%31E8~oK!Nhk#xJwco0 zau*oWMp@H1un3MOV*8l+^?}Yi-})O`l%ROa8^!(WS=Crk(%ZTDwViZFsO9}%ks*59 zaF9(0*fll-8qO3icH^Ef6OKtLz0Yy>3VY2_h#H0zi4Ppp7jG38h%{FrfsU(Ed*=d% z)+&YmF%DyNk)wpgpR{reYV2?Vt3*Aqt(e8^lY1LlO2lQYg_v=k-7d6y(~&C z+7+(uOEa-}nwfIO!*6t~_A5y+rBztfN*P!OFzHOCYYYdZa^%}CtsAaU87duV-;@i1 zAfppqRrDB*@!LZv@%!2W-Ql_#KZ~0;%Ahc$t}8*WBB$`rxd<+X<1=OLumyhmH)zP5 zduFk@V$-(wHJ=q!sIwpEdzAV?K|v+X0e!?Z&)+?oO0FK0=k_6dB|shA=GotN_)f*A zAs;>Fs!ukr{)-T<(k}-fyft=za{!+pJz!Fn+Q)*3?Fmj_i=B3ab>)Al5g*B)@hr|5-=l&6dO*==g2q3(hr-?{4~ zQr$#xhlE!U*w1JBlEIs>;TBSJdI%)JnZz~kUfyjOckr$B0Mn6f&h-7xrUJ$ke?s>W zmrMOb>A~w<+DL1eg4Gc+s;Y45?s@D-`j+gILe;J19z!erB{2(=_(xCt4>8rfY_eIP z;}~}n!);mcv5do zJS~SUkZ%V={uA~TI^McpU6y9WY!xO_qr1$+Ziyt*{kI$Glz_D12ET|~#ZJBInB2^E z{R54#=c5kqQGm($pEmHDT_Z#N*_XQsjQxD$ptK&-&I6@W3CXe=@X_^$EwKkrS8ag> zl*&h!lZnhC!pwk4;;vs0L-M7a4;P>ZsqVzKUmP#*^ z8>1+~^ov!~o`#6~BdPP1Gm+|ptQ8LQUZ=tfb}4;x1HxxMbpxYz&g9lu;AfSjw^f=} zIS!{r)tmMc#y%%i20TWrNo)W}53{tHs;nwa2NXp7GE- zkApR0ZC^Y4llUd=+co9uNu?%EehOs=%6l(+r^GN;0|CQSUs}1r$Ap-HXg)^*jQWD0 zOqJ2dCzMz8)Q51YdhMqijC5U7_N%S9vhl3+F`vc*Sw!iqkNu~kG?kweQ0q#Z?(dL3 z9)+EVro9>IC(bc7wQr0GhRpE!ik7C`8A}zIrmU#D#j?l7f31DM#D6s*Sz&s3z+Z>B zeCAYswUkr3(bZ|!5AGFVA_{hY{{~z0sAbM)l!-Alx|kpiX0iig-96-74Uz&=9pA5R zD-Q8r*_m&#o~aW1{tOq#3|Q*=M$M01jTMhs)n+?Q*oaTT0Pt!~?90k3|gt#F^y?{uLg%nBwSbKtY@V zgIC=Dxo`UH8ctg$?HqeTd8y$8WK8~zLp3XHK%@TjJUQKex;SJvzWIF&BcS`~69x@3 z(DQQZGWxGb>z-7T&2&*~Qyn`HpRX=wZA^bc`aeWs-jg?aMTk^<k-s{85j>DQ1XR`9=ANXkq5M%-I*0Bag}o)bFMz!_zu$uFKZQey^9`YqwIZ{I_p|^Y#L^Ay>{TD@v9PeY&JM^hZFTRlLcH&D{$^|e{<;!8HYIjUTJFxc`Dir_6fk@`)1c_^$J@3m^6`KNb*a~YNKz*7g+BB1qDPvWT4HfeDb#u6Lh}< zK4omWiK}TSzj4(PO~knRD}~$=XRDkz&3={IaXT_%x1pOJ*LvgQ-UArg3!ZqtwExEJ zyEcf+A(CuL1>&4bSHKpgR_ywo7(X*W^&CUxRj^T+%#pamwrIoCllyIqIq^5i^BEcT z5qT@>NvgvAZz!f!BGgK5sN#DpIQTkTTVK2-H1!aei84WGHj_zph4T7kkoP{gVfM{i za|x;^cUcM!{+H!ZHJ6q~-8r^y23p7z4djjNJM>?5Q z7fR-QBacbqCj<7CzHhVChZp7%sZbKnIr~?tgiS)3rj$*m_+}_~XwoF`buA0w8GMF$ z7ax4Xouh#bOh&eT`UQ=%N|co2Fu!LmYOh?;YHHO_yLjHo#KxJ~mQPNmlo+PhGW>Oh@Pwk_W0Yca zlnbEN`UL^VyOtctjzF20DCJuDQkiE7ryS2kNw+CJs-4rNTUw(SVdX#K?dm!_D~fJo zxqmP5K5|?|L^hIB>&HO2^A+P-G@LF?{z1b6{i`aGh=kWk`$DHyIQlDl?!d*lz>hhO zg)CI-YEJ+0N%4D>5oL4b!$5dPdanl*ITxc$D*P}Rc`(t^2FZ7(_UzO+Ra5>eg_Am+0 z5%V4xu36C*d_XQI-9^=LMV+_G&wbD8Eql){cVAOD%6WU2RV~RFUp>tyiOnIgCXOwf zDrkcJs&f$({7?CfLhm2%(9Ps~lD}uRtB3tDZZpkruD2}YsB{rI)}ox;L)Im&W%lk` zUEjGNjcOkyvGv{Y`!l=#!aDl5jcp~CjIPlP6C_psK|Rl<^qr?@O5Mq%c~QFLE>x{J z-M{aMu-LD)@2B;hYpWsV0}nSR?Z^_7vf>YRQ!gVRBsxiLI#S5FCr}X_@IhIzUtI$I zHJxmYv8(8jbr-z;@^yp-L+#}NgE*3lIqLzCF*{<^2#z1Vk2HhG$bKywhriyp6}~82 z2d-xNvcDMSQ?@KHGcOMLbX$4%bhMUkp^%)?IW|?rUXL3bzACBGd?AzNVMmT5cve)s z^&iKEn|06eA(8lh<^w52dL^UuC8G8(u^UP;UpAB^V0bc94 zHSg&L@tq5Pk^4sTb7rFpN;END$c3CB2;$;pM($>QKBmex=PYoofKFolXtybumZ1U( ziNC=uxvySG8FQ3$N`slIenfwKQUFJN_e-_2S_q|ycy+YeIS#y1KBy~$qQ{PRQ_Ho$ zS>5@fzmAiEbE$pn8L=~VXi?wV^VjQ6k*N5$FAc!H^*c)Srxus5YYvP{VLQIsDL1|K zna)<=4l%H6^5Y`5uUe0XV}a>C?cD)zXR?pOUh%S%dU+V}PT=g;MF|O930XpO$8qkc ziwSgIk-h&%>dPqo@FI8ov)0k;jR4ZDuD9WcQ*4etLD!_i_$W1Ij5eXB^w`#N^7yzT z6%@+rh~+!Y(;|1t+B592_wgC3CKgyQ?h$k8cexGwCBSxd=#O53Jrm#Cv8`YX$JN^$ z>3Ut$;*M)y&<4cixw6lhQiPL%l~zBkUlfz{o&9`28ZD)3!RRKs8MeNATN zi=Un(1AO#z9U(E>`v`^#P|TE#KDfy`c`ZK%Rto_?ZsW|@As+2V-+DQ*rLq$`K44uZ z$K-XXW_qIs4(Z!vL)Xhyz~=d<`|GXnaqIxZNi%GaA%3yBbU{ryTSTxjio?RU;?WWW zk2e8?XUKBUXKwa!;<3}=ssm$>&}i5NZJdzF*)6>SsT0sLy8XOo5S?p(Lp_@kPXQXu zqVik(ViR^_+{V}%2EJhKpabiDvAvEV%ZLK9tD|w-$FKf94uXSIZ9H7*wTMJ-X*6An zI3EeD6Vq#xhl0xb+lD&|=W}aaZFdLng)8qxH?=NgH>>dM!!)QUUz0r{iRnwGByB(>Q`5stB`g{F1ogMPV8D(hr~>|GDCApF+yE ze^2--Ru2fD;c89VjO^_okD-+*M7!B$LKcW|k=wG720`SM_Y#52PpK*#_e|jD_e~(t zblSPKL?-y+!y(=}GAzzk8`7^F zM>C)j4Z0a{Kh;@$Vq`gfF7-(NAx!mj!ocUjcBZJo&bJ=)n76^a$TQg>EHG@DhBR~; z@LfDmH_2u9Y~FeCfcmtK2tmg+*^;=18)ZLyd#~!|!rJlPmwIw9WLn(!n`fJ4IghQc zNPVaff-xEB@=&|7Bq|0Q>RC1Li3VA@KZ^pH+-8DQ5!@TBjJQ+j+RH14ftN*VJTy>x8pAOngW^}#la0T=-&6KxGZ1~)l2*|dekqUR z&;~7LxQepOblM)ea`6N#RuIZaf5^0#SvA|gcS;O&))U2@x{sh)p_`;`vBJwAQI=9B zPNwLgo6+puf??Ji?k;Mxj$2==U8jbg^N?-0h|@nwr|PIyfizuO zRN}pm{HClLPnTB#IXqHUY+otI@fAisKaKh2zniz$P1DBG<409PS8A<8m5=GghGX8e zjPemg%)cZ zx^}0nszg;D{hZ{Fb{)J{2d^C)uU%;huzQaz{mc<<4^iizgy>>z&eJ-&BS?4027C?_ zwCw=tCT%O!BfO>G6>P1`>xds4H#5hEni@8kGoe*jq}Jv?{#5k*=)LtdCmq&2U4RTE z*lylrc#Dqny-GvTm#8{);y0`&C5d(&BPc1CFvfELctW(fa+j~)EbmK@$w*H-xf6H3 z^)z$HMM{3^CltE)p)^uVS?foSY#-^1D|Ch;1-rIfLtnq?8u!Tpx7}jf|$!o8>InPn1=eCo2-om-N{Ra#0RA#!*0A+&5XqXGhxG|H-_i=p%*dZ zGW4W}(uQTUWhaD1NWfQGjG3EWV-`+)zOm%TX(D*biXCmc4_+I18rxAN35!)T>N7cI ziK?AiTz=X)H|3x(1yj5=mF+Ty>_YU{siU@y#7vhDnd#zJ{RIx%)XARER7>?sGlmiM-QH*L1#*byU zo(W^2&EFxaAKV+&v_7jg3s0yi@ALb%!}E@?hHr0Ubc<*&yY0W>^5Dv3w{mG(&a3H) z#c+_Ohwx_fQyDkS<|M{&@OvHd_^PV4szDjrn>bQR%k&^mD5=mQP*X{sFVt7 zrHfH7L&mhuC0}$Vf$8L4U;kDbAH*PAPcjUWhn$O^D#}PFhM}E(k8*S38ga@hLPf|~ zxk#9x$KpICb5#9x9@R=vKNA6Q?8fI=bjN#MwZAVOEec#Whe7~KZm}MtIZ?&LQPer} zl-{icW@*w7N_AJ+_DSen4F~sDwg(PH<^p_y59vH80~d^e{(YN1)YT-rja0~s$Z_>7 zz+1y_PSOeffG@Wg_Y{Hawr+YZd>Y!{$@gW~!vS8h>;ov1wSn33{r@y(UI0DaH22AJ z*!4&9TmOW~H{`zYH4S)N5jYl)Ib57;aJ#appl8%}bqF{aVI-ZxYy>pe^f%KM`ET_nKz2lpl%a~rYBBeiPyRz$~ zXBUbgCP8_F?`5?cThaZtk86h6cw0h)vquA2X^%h-PpG06iU0C(a}jb_McJ8~(y@P| zd}`|F8OmoOL#I2BPaTV3IS*l1C0yI=OvXcKPKeRz6;Xg@qZ_siIkmM+<=(_4^$SH5 zXrGH3o6K<+w#R&t-o&h1`y70p5e{Ju*|p47kj2mO?;l@aT9By;rTo98*t(AOI{ac*+-A&1zggMTPomv z@yBx!hP`M0qWBWE;$Xuz1J3s8ZOHjl4F6hZpl0Rgyu9~aA19H9?1G+zR6A=+J0+R< zkrm;X%=(APvML4CbvHBW-oZM^k(Q%73Wl~gp0aGX=5g~t`ItXeC#y%hX={}T%uVtz z{bQZjOSwH%ga}R##v|sXO!z_s{(RxBU|l!XoKc~a-0EvTvjQRkqoPaFbqN$se4J8X zZ;&6oL{VGJM+kc+O|#q8t)icI1aK4Lr)mF3wcP5r71Z{^dd~T8E~A!73Y}HP)J|Ei zc=xw~)N+HeOzgHuePh8vT}`EZ0|fnxS?Kq<%e`_V<9wTzHZpplL9nePg^%FEn&DxS z&ZCuJes0R5fLo{PjNsx?HDG>9>f4b$sR!frLWi465&qXUx~ac4?S`CH?-yYT4NY<< z1bBm-=OAAt6hLZeXKq)v>5N|1ORYy5-$zVzz8(zXg%#TIo$7IapT~PhqR()@+eVpX z-)bwP+eFo8`!1O%8go(0<|iQM{e_f4lY&G<-MsH&8ZY+wRp?tcqNe^7CVNI8?tCG~~s?GnZXSAP<=(DaLg&0wyDnW_RsR@w7b$*~y+;Na#R>AZ2-JY;YZ z;-6gAJE>%nG2-Mp3*6%O?dEuUdy8Ov#8{|y`pfvM8g`+~hje?vaQppd_++oLVO8GD zZbO`eEf^w{kYvWq&8M5W>F@WPgiL}jQ_Q~kd@h)_Eq?uN2cJo+R$zk)$dYXj0_p== z+%qf3v(COn{zS2@9-DuB`BSvsr$2K^)>=^$_>va*gZb1F?3|{1R5Qg|*}9}!0J8?} z#)icD>r~X1pVhLw2MO=rWMPCb^(>7DHmJ{U7BDW5Ctlyli{;Lh zV1f`=>W-!kgbF=UjUA`SOoZC*A~75QDWY$vXu!x2 z7!%B_?Po!!MN9|48KZIt$i;&6PBz83fP=9^)7*FH1hT{ra%x0EPXKq>&>SC zv7F#-5FNN?zFtnU>h&=Bn-qD>o7p5GVXMrK z^afR!rf50j*UZ^s`C^eJmRZKoZtL*3d`TjMfu~&nT`tnu2C%j+18s`i;4~c2FTVK? zZl6yCQ|~s2B(P+n1cJrS8C#BRPUL8_kHw$u5UJb_(lW?IkwE*bZ4$1x_}rp5=#|t% zxz$t}75*k9ae)5x78)LY7JuG*+<6-$)psYkMe8sY_ zIiYM7^R~}~;{?SsFE^E72 z+vld74m*tK3Q*|(RB2BLE4xU@Tya#;g9c;S!SNF$I*y(fHFs@~93X>LFoN~B9Epdu zWeIJQb{GqVRbIP@O>z-nN&<8ub2@mQP z11pNav|JR4sXIJ{L!{Xg`VlNbromD4i=Y$dpUjB9 zq@UtY4y4m>!wI1|`{mh864Mu)eg1N>L+Dt8K=gN$h$9ws;K$<+6ejly6jYhxHrwYy zKqBwxu+2(d-F=kIZP&~5?K(IA`I}?~%NJzCfX0rmc4DfpBkhDgOqVBm@}yMDj@D8e z=U!XQ5&T)wigfw{spIh^g_26*k|T9T9Vmvog1=`yZ!~4%wi~*m#xW3V^ z*;vb{hgz|tNI>VxOTz(;^7?S@6m!kC@CFWmbE3n1Tak)iCR@!-#_uXBp2K3skiAxa znV)w2Ao24^zK~yH2Wgm${0djv2PFSHj(pU;6-3NSEDY@N_)UL`E09|}ivD%r)ZG9N z$gD>d$jL79KTCnh^Gz-*v;A38T#8!j$l0g<_q{y(k*GMEtnKGlU;s=N&{C1-e^0XG z4}+KCa4EKe9#zq1mSVd>Qz*zybN~H5gCwvj?`m&K3lB&&N;+v>n00B!<2%9q2O8lu zP-6QDk2Mj)2%w@LkwlrV3&;}h9>J%yA=RlM zwr?A|p)Gu>^<2=m`0#ZzXYxN-0kOe!O0gSZ;FEH+Joi#z=&u4Wg_V0- z5eo1E&9ShYu(0u?zr@B`Zp2m966XKpwUCSlAbjEfHG-9(0Q>HEy=2&gCa=s5s@Ca$ zVh7f-Wc%VK-t`Fk@q&T^o>3*~M>6R5=!Ki2-2Iye+QmmA<3Cj}WsC`Ed9&9tPI*?W z62Y~k&DP|yF~h*>p?_rg4H|Mo;PCP{y^R=|8fBJx=poa{!AEOWH%cUqWmDIz33E zRDa4K%a+De8=f|&)T_m6b4;{9a_iPfx~Z1gLO`*V*A65a1(gzoK5G%d8WBQ~*eAZW zB*@tz&^NXQC{%Y$>Sh!5ED&jPic%b7Q`Ko*gx)u{{q6fzXv-a}NFLLIX;`eVsXh6v zG*DmHrZ>=5ZR%@pbP-`b7w>iS)PZRv+E^gSPyJ)3)Z8PPk)11C&xE^ckj>T_3;=3%*8^ER$-GA7CA8dDG%-2N9hfe!(6CF zkCM{m^>aE_b%(pJTT{R*VjhPi#u>2mC>_ z1ouQl>LOkRoT7xLh8^k#O@Qye=bvh1zVX7ibay>Xb6w3p;(YAr*Lg&IrIkF?mJJFcyDgi1}(W=VC^Ak*?;y zz1KdmjYOcFGS<~ACuj7p{+nE!Repwhg)lL4cFi$ zx8uR`r-awj6G%=ZdgzotDT!y0PJbq?cV=3WhBG;x>9RfHR~vd|#E8#6$%CTVm`yq= zdtv`SGrMa>x;ERZa9WPH z84bKLmpvqbE+oW40}W+_ffKjQt7Netolr4k zq>onsT^``kV+^i_3gH#tsB?LLP3#Br2CxW}Al+eem{CoyamKew3S73MB@kXeCI8E@ z#u=`tc=#fyG7xF}gmwk*bp9`4^6&*N?S==ItKGzuCb$qP+L}xV zGD)Q@<Hg7vI(u{W$f*HvBOLkG zoHittroD z!?3~LPBrq{Wb%A$N&dW@@^L_iab-e=ol{pzm2Uf64X>CmYd`d@tAgJEsAAkojIZ3)3zOc+Q`jSR^Ob{xVgZ z5Q*H6_E1-jKq|eKmQ}#J#*F3D!Q7NPe70|$=kdqUPUX4Bj*`|*I-Xf31+*V%%}|uT zL^t$kaom9$c{MHhpf9}dIwTwj4JabxOmQT^aAW?;Mcm^9yRFM?X-GMQhGSlU7Cop6 z9952qAM(SgreJWRekmaez~=m5{hxd!#!sFkQ^uTqFR#=o}Mdh+Bm3Jculo5Q8q}bB+h_L`1 zX!-p>5BNsVLPmjjg zBlNwu#Ba+YwyehP;f3Mvy<4;%SP1{=apl$(&d~;E5uS?>X8L5;ffLr8@gsgi-iv%F zy#2G&P$#GxQQ0b!WCDzmIfsBQ&8I8btY8XD-O$3+Gvv)j6q>L7OYtvKi=;`vE1YC= zHt60Qv(TOmUPXj=V-HYL*TP*z6zCnNTL~HiOkH|aA=_R73c=FMWH4Oxz||!*vAAtK zZV8pRU!m=1#9PnDT_CnXuYjVY#^A%bz$&ja;g)e*LSmm00}xpFxB zgXBvtmH2n_UsbqEdtvX*3oq#Be2RdEtby8J1tw4jIxGN5gGQnCx0RUook;f`C7M^U z){eh)n^HYJG?q5dpGmJ8BREm&6U4I02f?vGE_k~8;$sJn<*G!@E4kQxKm1sSG z9NFNf3MH=*GwDIq_)Xi5TYwi28imvIq=6HeMk~B`cR}d>UUe!g%6D>qj(^ zsE#4{GI(?eKWCzSF2O${v^e3f0tcyyr?Cc$GSMb}Vmu@WfHT}~INVGtan@1r~aT@^@X@7pBBV)Uepzw^k_%VZyF4sMFLjKD6x*jFM8jF(gK zHe9rV$9WIr{1}4&<|D8>=pE_Jne)a0Zs@f=Bt{wD91H<2_=gwll%Aw+6z=JI&6!yl zR7zgC?v;Zg%X^_8sn_apNuvKWTaTg81(+x%MgO?urc^n19*&>3V)V*5MHltcdwD+- zCSg$v8tCk`HuoPIouX-j?*RcL`MNU}#`T~<6 z-akw?X8SX^vSOK3w$dMhL1=^AS{gR6((%oGQ_0u47VwTgZ|>k5+P*z&8p9`j9mR7j zQ3X;~?0Mu`*&>2O@Rs&M^a}@^DdvpeyR!V0wj!|!KS-!ZDlZ83bo_Dprw`hSJGz`E z!5|P5XUL$g^a<4tVw$x8AnxS7BkYHar0~uN;Mh3P9k;~q#Si=F(*j}{NIRtqtvuiC z90>hAd(TJD^8XnDe({1e*kr6N{JocFGC+Skvv~VdM^%pZ!g^CB_3{`GMeO}*usK8Z zm5VIG1u!C5G=kNFK=;O(g_2ysW^3`|iS#)o-}@gknv5^#N-yVmC$H?c;BphO?>?}-n2f6Isi@`n)F&1qRWyNQLaNHK=KDUc05dM(&Jd3 z@WEqNYm{s(0WWZZfOjaczIs=G+SZRz7R%6~(I;Cf0fSn%)Y4t!u#lP>>OA0mGWajN zjP-DbnQG2g?qFlt9x?E(&l^kY1fV1~i~r|hleB0#68+xV2g~7h>f)C$-oQ$12HokJ zpTyEFJdd)L!TsjxvU&S|cc0e+upQBQW4%JX#DB>Cnx5@@02H+XQ6ymBl+RY^^Kz11 zsniAf71hhSNIUs(W$ zM=x$>D`ryiCL&)My`cfk0(wMp2dvq^fli#i2|VOJ-m^vEgJcjeht~eR(;E!+Uz;YS zfnEAhICI7Dzn@J%p#E(XE@$h%!WGB=UEiTxf*#;cUOG?EOax1(1fUCWk;IF`Kz@fX z>=>(Xl2s0H)ab7II*#~%Ch|hnYLC_vFIslh*V#k)lZHAUq(#pbeB(Jz`Ip8!`27`i z5?Gvcu_0ZpP8lNr1u+*P{6{)%p$HzwY?)T((9b5I3A_m5h`=$Gh zJQ7eH)Vv<72NJdw-TSpFFL;A(=QXT_$~jTRIei6PFH_|A9P zpe%#wj9J?q4n(sowXYoikfQVQO~4+09+D~dtnjV~02}-lQDhqV#^|fww0B0;7$%gr zy8^Q!k1m>NP5BE8EGSVs4WRMddo4&T{5|;dy@eD(L$kpEK+TXRFNP=}={RM|0v_$c zT-BZn)(KYr7gnURlA~p49^clxn)_D$LieJ9Vsro?6N`jQ%IE zBkT6x}?qXvpF(D=-n^ z;D&xI#IWD=l{r}}d;x>+h4{S@+;H{9(Qg$cBfpCqS7#i_r}+>&1K@@U;Od)+B|2P@L3G2{`q8vY_fUoJ& z^)r(2y%QuNln0HesDioy6RFJvPkP8BWV)Yom~PZrlulVq{2E>k3+}tHT^6k##cMfR zpw74k(mPPGeIw*RLw2HTC8nHmSoVVKV%x_^v-QjVkR6$bHu|2#%Icx%{N;}ziiYFi zfENU=-vv)vrTky}{3u-t(nuPsoe33!#KYb@*`yh8%FJyBc2U8+535mU)@fstA4Yk@ zGw45@BH2eK$GuZ0?72JpcND*^!tOopQoL-NrVM8Q?U1=i>HnDp zwFoqjbyxR&fLiAgcF#D#)8hsa^#}$)-bq51v2NUY=N7eNO&y)qt+DiP@|KxO$Auvl zrD&bLX0vKIe@*BZ;G*l#{d{!%QP6u-JK)dc^(Y!cHD>)mM>z3S1Ky#apZnNC4)(N+by6X7Qc=9EK?;#am(gKZqx&oPhUcETEjve{p;ovS{su{ zpHZTJToFs#3n#z`v36v@VLjuHzCbz0i_PRh9Mf*~tTg-|X~ z8sw;-?`&`n5lV=L_8>_qYFhnw&a-#0YAOttkep|LWP)Hcs!xbl(pdI6y7nx%~$WP@(-8VT%0OD zoV4r+nM3ab96sqp3DyO@h!=`Ij*-2VmY0n8y|sjiZ=fWlFFP-ML!A(u3eF0ei#iAV zhz^kwvldZ~DdI(FO;IZH*U-qGC7qEPHmR$>Y#I&=!uFi-U*#ZMHA84He@S2|(_F5b z=ubm_DcZxD8zpKwEfP7T+bvIh2!o{PDbYJ3`-4rwQ;)-fOY%6IhO6?ujEL{RNS+K*hU zRK8P03q?)a&YC=FE@iCKa4C-vzobip9A$oDCPth2e&hvZ&F3AJ_&Z=yY4yj!tu<&x zhai{%!9QO|bi^}h*;t?3O@e6u;PQcPyh)Fvy}p}#cc|g-ajL%+i74EXuXRd10H`Y; z#52CxaY9}x#+pxvV?JcXM^EV&PR`eL2=y9^4(b1Ma*~>hm@;Krw+QZq?=Ced0Nze< zVZvR(U*bzgW1*SS|4V+xF|w5ziH9zjKJZYo@f-X-LmqI_CEol2My|EF$fBykuk~z? zqpiL3?3~dd89B5ZHw5<9G@XZNXIhq@_?024MG*krvvP$_U)9na8F&A$qHB+5`v3ml zQb{W15)qQ3Ql?n0+mc%;B$Zs3kC;ntxz9$)rBtpl*J17zid@H5a?NE%?rgbaZZm8% zGuwXqz5jea-jDa=yk75f&ii@J>zwl};G=)%mwntYH`%Gu!ddzxr$p&haA$_Rt4Yn@ z-<6(K*9BRKxWBfrZG$bRfrPx=qwib}^M=@|fX52ifJXP#p;e0K_2PJ?o!)?Kzf=8ri=Njj!_HMm zS9;_wdYjmE|8H`6fT4BtCp2;R4iqNZze_8c%G4PKWUocD>Z9SUjIiZ%*Xisch zp9)?o&#F}&p4B)I#eQdKLy`870y+R@>U^v9`}voUL1OGZAzuv`0obnu^ST|sJ+rzQ}AESPo@4H))sN~|H_x~bK4J$jLeX6kStJ5U} zcQgVtBnQi&)c=S*sTM#VjB6onY3hF%*PMEkc(&<6j`fF_@A5sHnfvdI=3ExhZ>}3B_I0Y*#Ha`Wvw1@LdwnubmhX2&l+Mt;{O6*?O;S|b$3{@og5@s;B0o|+1AAAqZ*Envfycjly#W_1 zFIRa;eDI#XDAytPewyI@J^0>$Nwsr>tKlf2wDzp6!%fmy|Bd96-|%$p zdxLH{%sda?6aG$XxS37=624FwyT=TVIJID4R_-cs{ZX31^NVHXZK95N+Vr>cx~;v< zgtPk%$FAvRE1I(p9PALivE1%YH<_V|5)^~|*J*AAOtl2Pd;f6<<$k0b0POcmY?=_( z3btttGFb^_89(nfNKEwWHQ#nrd6c#Ws@A_wx_8nvk>lye+PBVES;yxl8(2L)I}wO3 z&6V?so@*OG1odP&jUq?aE}1d*t&iInDU~DI&^;?>L99O1!>0Y~cl#~c>=VH##Jz(Q3%+-Sz1AX)tU+4uAks{qhppl-PHT67f#fK=&O z?p(B{Kc4*D#~n_5igHq7X)ZSLJCgNZ)HH$bs!F)fy##M{T~*_SLP7V@s&f#Mrdq`f zkNuYe@aIpirpdBg7n?MvJerSqtu^tPUy~lnZJ*qt<{gOSO=I+=2bVk(6lorld|4Q8 z+LMIlWB{B0oLs8`ejwc+UBWN6RuvElzgxp6>>K&7wBXK^{7CmO;$Gj^o`BFZ@kg#c zwK@$rY{+mSLW2TpuCxU08PwdQ=iMryq@eG;TC{Rh)b)7fZhtJ=DCO!|wrQHz{t6}=i^+vPs-GLm!6y3w@zFni{ z4Kg~}a-dCJzF794$N8(b>lLf65B|BEaTj87d+^d8)nLp0)}({hA)D-O2N5kl<%Lj+ z=c(k-7u-of?jX7`mb zkdc?5y($%2A`E&Nq>W_4TY#MGjP50M;8DBVMY|Q-4PTY;i9U z-;;%{b`7-hRnEJLWWPNj0_nVGedKIbtKUdFmvf7^V(>z>XtZPy@e)(nF|f5qsuVrT ztVb5DQx)c5IZFDWv5(qjBp;bFTrRpB`+8<*+FcBb{w?h>L?d|5@k-s-2etA;#U&eS z^QF(}NKWj3Kt}B|VHCc6j@OTP+lc@6)}5oyjl*OuVnuYL9-6A}x)UYqX8c_0t>-t? zEL`dF78$53v+P@uI(C<`au&wf)(9&lCNNgxPeBl&MB@4VlL8l@WEXm56N?G=y`{I_ z+|x}-4y=PV8x0|I7iqe>^8L}K@ItS}{6rPV_-u65+#Os(w3>?kffuJI-4E3rYmg3I zzj#Jz$*s(Pu%-BlDjxH9|Lr+n3xzGG3C%on9a+(LJPe%>q$m*w+`f96Pj8Xb|^U7FmIPe4+y0pr{|AYy@x zba}&1UMGrK|KwS*uZOC3$Bb`UyVxL5Ze70WqS6;C#nPPrU~ZSaFUH*5H=sA2$V@V4 zgc&{IRuU4OaD|y|mdyC$okd({4JGmgOc&iX zOx&Csq3?3slA-qzMKIUHw>9jhzBt~;)Ui7&>V|#Ze}8N$eBf-w|2RESzrIGcQm4)R zE*`Y~$8++V-rebK7;d=+n5iETl1mSJP=9SZhr)Z;Z#(Jca$y&D-9Z}ufD7IaH!F>t z0aIPkUp-gbB+jiyLNWD$tNW7=uZpC3cOJ_zRKx8C{jijEhw7Q{1!b8Ibn6CBovKG*G{0af z@eZwS(u%L;YV#9>mw|iPdk9_4ywbrtAV*_dbS? zaemr&=~~%6zMkl;Vt{mXAZLaS=WvKpqnF96X^50eaOa+6-)GoXM_%VN?_u@k0e`6Z zrHI$QQ8$;b^pRR?Qit}wG;bsgN%sj4bIp!Pi{nAVyVk!9d*dU?e^8%%bk1(JY7x_; zI*^%${2>JYoY z19B>shg@UzFU`VnMsU3H(F{?5J9MCPsjywk6x3kF7gq6Z0ZI5IF zk=mcpP4jsowrPIVXy{AlV`UDXyRDc-IvzXsheH8G2g$2wpJ;7d6RukPP%c&bIsZi+ z3IVQ@p>E!C5`F!zkbhHnW3u(|&mV-YmF)eUl|#)EEy#cr*U~GpdY= zGDEPW`dL;>y#_(wG_dD+KxCG7m}UpbrJ{%R#uNlTXD@bg+tr*{W=dE!$Cc95jN^gB z1KRJM!Rka*#OYdACax?&VO|Djn$#jXn^Hmg?bR41RjDjRMQ+LtEIrXHr8Qw-RuLbZ ztE#JB6VFkZ@>PDlZQQb@Wd*&L4)?A>U?=il=8YMNeLK8U{$R(>Jo3cfaOkF>7}u_? zM1Q9V`L+^kT2M+$!Ms*56+_EI!<&Jp4w2B+6HW2$j@?!7A}`f&GWZm98Lz#4QkE*!sd=}U1G z^lJ8+*n4L(9t7IC4xx9Z&3eJlTzKy{F(1d9yS5O4jOW$prd2ltS_wSSqTk5KE=#Oq zj1x!*y{Opo?H!TIr2ex}KUx(;T-7hPV{PV;Tyw82b3(@zce(6WxK;)Ft}}|FHU-IS ztK%W>42^B)xpI43_o^zyBCs;?nQ=eU)jM}l+~nB^++%Up{s2&0!}FGr(ISFs)0g1t z>hyN4L@4yZ@O=J-92Q4*VEaPD6Jcxt1=)@YJ?NK5>}AQp%64;#kpULuq=ZrJ?|@PL z2m4yR;RY%rIX+&EVsA*0OE|A+H1XT_1>q%*DuyZ3!E$H3Ktl6YP_eP@7SQ#ia&qcW zw!+3C3OV6GPV7cwOzoB|=ySvO;_8()PO2m1?j^#5H+F|7>UhCDZI7vP4ZAObo>p@n zmMB^>V#d#pPe=9-Bz-|b_;z>h%_IAYj0uZL*|;4LL4_J_a!k9R>RqbSpb#E!dJU4) z&HW#qLr$nqDrfK00*JR7eLQDmf$J)RuPb)>=SnN)X}IdFAj+4q@gCfpDO`!LwCZ9& zvw_a67q>oth2WK(#NmFHR0IY=QUrOjY8)+pmC7;E3iDGqGKk>geY6f8;v zMlku{92pga-kHQ^bdSw9X2<&9u9*S{Qr>DF&C+NbGO-{#b+D?>=eMePBj}O()>_L@ zhXy!OIJfP!xL@mG1vd@2&_|8YhGqS4kB}eGb=AaL_+9Va^^5W{-peSkJ0cJXIoo$B zVTpslhXWM`w;>R1KsBA~xbhTl!Lk$;(A->=b@qSFQcL14g(~IY##} zvD1yJyJ`J4pN;fE9Q{6I!dD;_s0d76Nxy>+OHc1&ZduPE6P^`YGH&#sb#F|LHy{($ z-;C|vA{xS=4{LjQb6xE8ymze@pzZoLWKpHj&at3={ubpkT9wV?jvHFYI4)z{QBEbo z6hK_{M67PNMzMFD==QMv$@hzpZgu`y?0B$w5hM`MVQ-Yhc$3m{&_iCmuQ0)#lFjD^ zV*&+j4j=i%>S?j;KA`>-J7ad#ivmlaoHB7}@ZzzWpC!qa1r_blR-Q==oF8m@CcVol z`2-`?1^TUO@BNJZfxJ3aFE)J zJ#v^{)o%+parL=2Fp>0;@iiP#wASbNTR%$$+CQLznsBQO0pBy2^`QjU1u!z6Nz=XQ z!xiC<@NO1`0!br3U`OEGt)-&u;=*%bB*{N(|Iz;^(`HX?8~+|^SP3JysQz|%V{tcn?S3=*M9avy;h?u0qnh+N>2aw*ZM=K-D4oMtnJ9L{E zT_@t=@MI$b^|kuMz_!nvA{myqMVUmBOfbQ@m=B?Jp=ZHLe^sq)9TkX1J1@BzG4r{v zw}IH3es*7Vxbq?e$Jh1!=fe*O7eXQ63(E}J;V zI1>~`j23>``Q*Y6;rJXc;4k~<4u|22OdI!b0ACTPg3NGp`j~`!T z364aJ8|oEYpo>cp=N)|<&yiz~=`01H!>UihySIB2#H4(a7-!~WKPXe}n7W5I`!*dx z+F?WOx@uaXCtD+uHl+UPBxc5k$o(`F<=4_u&stl6pNBg1)RPZ`cK(A5w5_r2`v*37 za|eze)k@h+bc)>9y9^(Qe5xZYpA%Zh!b>JetVbJH^O3RvD@Kk{;owTefg_S*jHh{v z`YWzFJ1=-!VY7e5T4kYFv45ofjvoLlia~WZf#~VF4;SL=vISJD5mtG-4BEfEu z56dOk9`(4-J@MRvh_%B|;v1GG;Y91TGeP5I$m7Pm^fs(JWP5G;?gaOy1(>etanehN zqFNYd1V;OKk~KIa<9=ES?LQNTGH=90RDhNDZifE`$U$aKT_VKg%w}G*s*2-VG($Av zBCfhHYPO$U%Z-bCtB`4xph_35SN^m6foWdwg9ZC3a9%pN2);OR%H@DCSu|$WNzy^u zi~BRoRXuw}Y;7!hO05SNk{^%AF%ipe3TPW!i$?6EQ^&kQ48e%rr5-=&H{c&Ab`o%A z;q&IBsUI>ti;}_e%~%D>gAn|qUxrDwvfCm+cz4l zSWZgxwu3Gdkqg{b9enai$(gjSMc9%H-tW@np2INfwo9_lyUFD3-Q(oD`gfU-5#Eub zgemNPhVux5oYJVCa zin6;So|v8}k?SCH1QAvDhO@&_(IsjQOKl2`2gYEX+L&BJ=9L567uEuwJC6Df&fg;r zXbXxG$CJXhWJ>%i*dRpsB7HYTjc7wJ7iRgnlH+4(`GU`2E2 zp9}LNFZ9G7k8#Gi;0wD*35&L%DsL3{*I#q#R>h-U`y)copR*Kz5Dk0-vtg0N&s!FN z&4mcU;bR}WO+BLaAegk26W!X7ObVEQv2@Gp;`ow}`5 z8omrZT!!)s-n}m1$~69rn^yga9mOy8@_r1|dhe1PGKFGh0K`>}&o~}x$y_a=o!b0& zbcC@)g`JrjPY5)H4)uFZjF;%4Gb?Sc?@(`2$ z?KHa_g*x^>)wlU|Rm?7saZ>033XA%Sx09>EIpWQon(_P5y-Gt7y+@dnr88}i`xSkv4(~^f`{KM@#Qpalz zbVsE^E5gG*Ed5}KiR0oTidV$4#`%U;0Vm8?--or6`4dLS0Cz9f)wY6fDk>Q5q|bTxfN$vh9mnWU{5AlLHm*%;yNEnzP>D6Ua} z?#&|$<{I()y%FAUgcYubn?tp1|FqHgC_wA=O<;aYnA8kvJA&cPzv zxp!+zqR^@M56ivCSf-x^v{ulAPIgh2-cL7whuhhwsp;RP^4y1X zlK?yH-I$7aIw(EuAkjLBG~oSLlajGi@uc@V>FQ$xE#l|dKUVwnPMPa0U~4_{3c|`_ zsw+A8j77~NTe^@wYI?mm-&T;NtldnLmKkb!*X?4tN0L5*m ze8y^Q?<4&Q4zg3zgiH*0~w6th>^)u@2^8ecEMvKr+?0(!l4vA1+D9nkjZ- zTVG^s_1a&_5L*yMFc%mD*}fO_rEars_2Z#u=c7;}a1qE|uX(C?&$iF93q5+XpV@j3 z!8aP0m*8jP%HEx#B$99I6lqHo_qGS->mOz@Mb6A`jm5r(Sa>t^YPhgF(2-6`X)a#o zh4pES*mx$B@q9U=_ZelW1P6n|`B)&nT&qTj=hZ?n5o-R0jRNF!X(FQRqSh;Zt#D%qw0)@Q#`IO2pL zk1#O>xl8XPN^k-g$Q1u=e!%JkR9aoCqi;^Oydv}8a7N^Uki(o2fAGuD1oP%5 zE0})x8+;o4)e<#hNeM~;ydDq@|24F8!u_}{9R-ojps7wp)m5^4D~4EsE7}g)ceh{D zeo3Jm`JbXry#YU^;3ZmrP484vSI4a;Cq%Wp5FN_f-!?DJ{*8!P@-*mM?D66s5v@ep zOtPv2-Yl=7pX(Egiv`4Qo4I_}$Mx$qzON#l&apN{;2T?o{~s3%F*^cHdI?6|nS``8 z<%tIV#Z4Mhez&#~3%J+lOfJmFS$K! z#PnClp$AKthTg9b-wU9|cuj~*?K?YP-FxQk&v zG|{&bSmqO*3xD<@^=Cx=XsY>`jRG+U3=(?VVnB;e5!(g-RW|1J#6~ z3KfJu@$S1&&s3FZw;!tty5=s8MrDyvYgZKd=jV-GCPFRq;wN?yzhiLt^Y(rZ^7oz| z*Jh|is#1ToO$L4th=KR26Qv)F{OTMs0TeiWD>#F9J^5nG0|UFXMW)Og?{+3q3IcLL z$-SewwPh)c0kPx!S>!~FQ)8$;H1ez4Ewb%rbStGrfM32|al|Qh-4J9Hf`iY@0{Q^% zl!}1wMngq6@@p*D)#0%7jx>M~9;RT{2~%;bjC!Pi>}4zmJQg4RdIeqcXXqVe=~%#P zHK+IefVHk;(Ar+|T>KMfzT@?i}BM_m>cSKYj zYE^ z)|nbi-32<6`eNGX7$RHR)BK=kMP zwESaPPb;?CHs+{sW}dXXZNe?>BezOal>%xw8l_#H7}i%~fwn4E@FZv7t8Bsa?o0iN z+{UY2yhs%4nsgyl{YYp0fa)Z1Kh3#*6dp^W&o({->sW7!h}dGv7B{n`C?ve@D_8Vh zsz0Z1b~jvzUEDonCKaVRyUPxD#u1Ka2Or_k`fSV8u6Ml@U~E?vp8JA8 zjxw{)c8B?bRA}qenJS7}P}o-u>C5ZD_*G_yI_zSkg^rwJE5Zo=6n}OaL|N*8rYy0Z zvQqiIG`^gGkbEv8OqdcW3#^&oJ_bOmg%SVeH(Q$=RCpKQe|e9-A)>&4Lj5+|)o)ZY z8E{;;utiWI|6?e(S76RbT3f)Vh71r?pcpNvrq&&MPmy?pf!SVypcJ8JY*k6t#X1Fc zC{B&2d4{FvN~6ghhs-E#UL_jg)MD8gn7poSu6K5a)_^dhOWthhC(AYAivxY@7)P4q z5HsZ&qad^WxI6S_8*}RzwQDk<26N|Xg!+lv6JKjt$jvu6TEGVP)j79@JD!Bl^7y?F9hKsm)+J+h%!Rba+dD( zumn`Nf#SbL7Jl*9NaD{ea2b&{^c}De{hdJ2UyTP zF-*SfPNZe#=QC9e?McIk_&W0@vzpK9~30qdL+h9law*)e0;%2q90|s zZ_X)|mKpPT#@63=`@}3M&mV(bA(vSXPtcUV!HhEOqs}VQUr__Swup*^lZoN7@H!5Z z6al)~Xd_;=7`4+Yl`wejx~W`Cm(h=!qcohJ*Y zucw8LkznIa%xS*qY$~EVGj<0Q1wB+6L-)SCBW~#?h|AA)H7o)MlB4U^UW|td%K>67 zHrWt!#LoaSGSOe`zI{OZ-msdIYT;_H8P zL2!6?!^NX`+ous**$_>!4c>`naWDEMj`5;7o$HLNa@u_#p%fLeuWEsHIgw1>{rX@s zdTKNhaB7odiMoTD5hqU~e!~Y^v)GY7p#MO4W8y%CH~pt>+qhlkvO{ezsGT)^o@_c1 zixiCrji`Rwr@ogji_Nc^_&4xjm688eHSiPDtiO7pU^DdOH~GRv+oeIJX2ORWMUL(0 z`FW5#Uh_gx-odf8uE2=BKT9Kf39!#r{s`%jmzlB2PPQy+uDrihs}05uqnZ<^s)rt| zqG~5fUR|I6w`zT8_qAZ-!1(^Fe@6V1PUTfwe&KX?)sD#NDSoL`?A|UGfs3o&qz7U` zJ=;bX=6QRvCP8OE`Vanx|5kf0+`kp^i;boSOx*bI2a~bOcJ6Q?y2R;JxhwJ1v5Lx| z*3<6$>~qBwX4eD<1iH^)AZ_TncU%)6@IS%D=ZEc!d1!T8(nXSQI`+Vuo9~`w$Gi0W zpxS{nlkq%m$ad{S)=ZY2kJ#qPrOM+9=K!Y;7|PrxUW+~+T@Zgx|KWm?LEHhule6E> zDn}pNe=MC8fz`x*7DaAk`jI%TQFxYn^MuRjPZ{iN3*?~Ks7UG`Mqy#OXTX%m5a_}= z3gO_^n2YgH#WQeNVu*#zj_m)em0c=2jc>K3$t9*|?4|y?s$AUbaZ#P|{frdiUP9O{ zGwH{N9++5v@5vJR^D*(q2KkcAGrk?vI9PV0A%HB9!u$4EG|Fcbb{y>m;a*s-cKCX<277Vuh z_R>@?|8(%@kr&TJeItInhy#*N^O+|esViRlS(#kYIaGCbGVr3S@T@Oh4)Xf)vj8hU zkAf7S&4yQma>c7ssRQ4Xy537bhrZbydhd{MCHVCZf1w-M5v$3#^xH==2u63gLVogoshs~iuV&B>xF z;v7mc>I^^f8bHVB_XGBsE?lENBrHs)%lC~7M}xellU)jb<||2|tU<*GTeEImxUnoG zB*a#{2gDw!%F0eT`cOzM<(QGsrCg_jGWO+N?AT`;z?LQhxLU=|o34MAgv)W4Cnae` z_Y-Y!Q?0``FE1UxWvqZ9506)pJd3+#&YRylP$&t?bqHzZj6JEB9?~6bj zO}Mqi^}C*Q|KEOP;(;%zc|&w;cr;V!@3&y8!hY`AZuzfgD{9iT8q*iuB>H_h9;Iy` zEoHOqPCl9ODT9Ahw|`d$v56wCt5=|3%qelseOd~#`B)1*6>vr2twPlGGc`?HV*hVQ F{|DcHWOM)k diff --git a/cpld/db/RAM2E.ace_cmp.hdb b/cpld/db/RAM2E.ace_cmp.hdb deleted file mode 100755 index 6bac009ae27484f9c1527a93c382577eeed06720..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18273 zcmaHTbx<8a^B^t>8r&_oLvZ&X3GNQT-JOTKySuwPJc3IC!QI_m9`fGt-FLsbs{7+= zce`h&Yr3a&VC7(A=OkA(b9T2fH6vFtHnkyVCs&mt*EBOBm-=Pp`cd-k`akTTpc+K~H-h?% z@n38#^Iz-xLnf8!PFn!;$=Q>Fbg;69@Nn!GO`cF@=2t40kW5+1B6Eu*Xv%Ro zXFAVcMaQ2a5c8@p>$dz2&U!tI^)7>=F1>5IN%Ok`x~eP48OmWmdF5SIuNS9Pb(fGo zA#mV_Y_R6TtMHYw1IdQI-=E8kZjY^SW^r$%wwb)bM{uxm2i{N@Q7Uny9dw%yIRNt( z_;q5>ye?=xMxt57v4QJVEcktUI$`fo3GT*zzYev=QpENo#2qi-YmI^M&@QH|)5cKQ zg}~3P9((V}`}wZOx>|(PC}WeH8Iz1zlX!e>L}^5+SBYv_HVz5&1y?yreHtiI$MiQD z>h3nYUqs&~6*403PH_j!-P|OJxN35KvY*`NJ(O@C7D(Bwl7?+94AP><*O1UW?_eS; zwEw>A0tJbPMR<4iW0O?;8NYqy8P9|SF*zKHcq#_R5owRg@QH5t$O*I%%w z6TNSP-&5rct5Tj?^3{D;vG5;pRx7ioM|kGKEmhP03cJwUCaK)oG`QP0aBrN;_c0~s zTe7TjxC*qJ?f1npukkq3&}yWWGMzYc3O;IY(cGv{N?&azy`CNx&pF&GuuO)TJL64D zQRPJnl5I(~-+VFkEEH_iYq8r$+1eioTWNP0Pd6hvTIJ!6&FNJnkl-{E@VJSrOwx1r z`(|2h{qt2ioqnxpL?+vQU*U3qAU6zeB9<94?zldNL0kbREuiIi-qT|c>=ew=I$F)N`({F@7W483{ZL#cQd4K)V_o8|stWCe! zI*>_DYq{DaMtsCs2~&SMD*8E+*68q<$tl~l;<3WKM?fMyHR7BkJ$;m9de#& zOXT!TCL%K5nxY0?b_O(Bxh}u9m|2$D9v$LSoiJ(2vUCe)-4y@-HU74z!)owEn`QT4 zWX1YF`T|8>rRUrqka}!@ces+TWN?9~$+ULsZQwtZf3d9X0-k^ipMEvcCPA)bN2|$Mp z$s7w^A$R=H=*{|kHy@!f20JLJE`a;6~8BjOM;lapjrweHXf(r zTH>0g-ky0rgLOyqmNI zQ3gjaI<|q}Osf^DB56Mtusk54{TC9L2-hA^?}QK7Q|({#n(PI}Q>KyT?^*R0G^eE7 z++rjl%IayU=BgR$_IvQUZJ@$-?oz+X0up;98k(!A#YHS4b+c0RP}&ra7OH@_Zl}V> zslo8HSA9p)^?vXfO5Hw)w{-l9@2C0rAU~aUnuAwxVL{){>aVuXDxa+HgbT7NU`A;GuaS_`$U@!f39fd-KL5PIbeZgnX?h?MXMFN@MhG}mpc=m$wQgJ0n z!Z2yYd$HX6`QFph&`tK9%x&{cO6nt*a9?2%RBo~E2$@bSm@*kThhxA z|1=Nf)sWS9CfSIlk?L>&_m>>)L`r{Vm#@qD&vR|8aZzYHeDjIIzgrrkJ(4%CA5*k_ z0YOS%BJ=Yd-`>jUll{R@Brodn)h^y?O=G6zi=OR!+HL-|>uSn2J+Zbvv8O}l?UR4T zT}rX?yXI}ML2bMaQrNA7$|?>@cE5YS4KpRC%bHOqnA%2-`ainJ=n@K-CfyvQZQ(uF zvsRLc+&p1wXh?n%Xe|8IIwh9{WQ>%@)~~$J7Lud6Epe3p`uR=26~t;r`C0qAWF}r%B($5Hx%@fkv%Xk)L$*9qexUqW*3lZkw$OtRfRZeCK9oa&!1)RrRJ zYpi38kVvW#%q{&G#?sBIXW5iUnW)B#-CDgxW2`nhxyzTTqFvf)-kHaWX8&#rQfShn z@DhLv>koFhN`QmvFCe7Pg+%&mvhyh7p$Dx+1;G~+c5X9~Vsq+2iw)V{L2Y2je;R;F zF&^JX7&#%9yA^BCBmIUq@tY652xaJ+*+k!8!vf#p^H&_8k;nmKtTikB4$t9One>!j zM~6hygG{1TxMl0eyMAB}~bgnm;T0En8dmjV=i?Yp-O6JeOG>d(htVTd@tDQFo2jigN#C;% zrTmY%<)vXTG`ECaGYS1Wce910Vn8<5$@~8qG3S5C0QoI|!OOEBlBDJ0=8sL!nU5<4 z-#=&;kTIR+v7=|YJs?lNBGc=|)y11z;B&!C>5Z390I+=t&<5^p34HEFdS3X4|E>4Z1}f5G9GGA(=RdAL<*)k)G%YxkFxtz|K;T;flek#EwQ_xcyMb7 zFUM;c_m3Q&CQ~0~v4h>TEb$h$D)B^K!$J+YlKM6qo71k57lo(Cn1!Yx(_L2wAp)}s zhZ0~K1@$+bxo$~IJJ__vdDnQS;}u^0YPpn?YWhLKS{=TB8j~0f@(NFF?!5(lY7j>c z8^6ldcj!t(6UqS9#Bn~P8}Gkev2$x}GBax6uY{bR8Wj*AUsEF6!2%Oq54zm>v5*uF zRO-*?oy@suF-e03zwU-QiFoSv09u*rEoSf9S|)#l@@2Bw0U0stSjzsOky_O=+Z{Ke zHv9gH=D0oVSkT}vSI64FwWiLa+&!O^GVn~~I0;bWWmam9C)*y>vDw1x;gcSSFU>s6EW}QUjDAF+P z`?zS_ub<^)0;HRK22Yk^G=`bD&5F|{jIwhn8T>arc+k|~ZG8su5 zeCmw!l{8fCx~bUXF6<^YyZqlUan)wAI!SELI+4)TzUx;p{!ibMHi+r~^1(x$mDBDl z%5P2$_-tDmmu#l3f@FQaYR}x+*84OHCG1e(X@<+lb?v@w9gpwMw+GxWlza~luCzuf zJIKit)}WF-)|LIY8T!t=9K|3zD63&*Q-L$rI!RMD?Of6K$$And-3!?$<_GnjQ<1l& zNw)k0E0moc*IAZ>)#D=Fi>@u}P@1K*VsxXaitVQnu}4W_(p$08CFOfvTl*)`*vNnM z-0+ntwCX88RaRB528D$@93J$1f5HsQAvh~AoCMat5@i88>WdHK9M?dJL)RC<7VyLb*^st zlx6L%rnO1r5>$G$p4A!Ku_no^BG`p4=iz(olwqti-?wTIkwa?$E_j}e zS{~XpD7%@Iq752rhKO=`gam9+p8zqgJ?!HH0R3KYZ^;2N{UYpMaGo@h8Z5)>$rj?a zJ7z-EcB0U8mOZ{I+p{bT?=z?G%!f*brU}aLmLyj=9cfPq6_>p*OX^owx$cey!j9MN zrs&83L-z`Fg*mhbaNd;?885U;#Zx?1_)CA`Y~iLz74a!7?e7m7`?1oNy1(?k($)pZ zF51IJ>jGnu!|4RxVAU#gbTvG&W+0X{0jX)hYCjhO%pDq890!)MbH#S6&-fpD zp)WB0<$^F^&~K`3vKvxp2>v6|vrOrS5>p?!iB#~OX8qJrb8l3?k)NJyk(bU+{LF+F zV(S-2GQrkAa(1d)9mAe@*dV6uCkuMl1dM#E<6Sh%*8a3wz-iy}(dT}ums|ewawyH{ zwne?aW~h&^Os0ju!Q=w{3(^UTdUp4gj;)EszZXVg5j2Uoa(q|lz$^M;g9X*Cg<_gE zlEHP0Q3(3A1gNW9akqd?&H$|(CUj&r1}iqIU4z_TZwj+U2qhdDHx(%6ybQqvu@gp* z);zpkakQ0`u(3V^4DR+VDB)u19<*43!7Nulxx0DJ`9H5MBAer!RkA}~jzg$VvRlLa%7@$E&*}0& z6+s8B{6AyU?AC?(*!{vBN>)~VxNZA4d{&ksB1|3_{XKWN8czDthIJWb0JkR&8OWXEC+kr#X;}02)sc1Bcg2Vb|xD4Jzm60T`%VW$V zTAm)xx+YG|VztcZ)Vim4X*qtAO>kqS9L#->LyLn^mt1ud8Qrwz#qyBW%i1EG^iz(y zZhKCnn~4h!vR_f9E~9NA^l2q&LCX_U?Ji1cb>HG)FBO7hR#RS#2loadzR3?qj*EbB zn~B#t&!+0A!*C4#t~OwlPUx_zxq8DXim|l`Y+g7rx*EKJ0C($nPep&%8Fscf1@48u zx@&o%%~jnlPdL1Jpt|n#^16s^uh~yU6EGWrhbvIMH{r|9$o?IAvFch`8!h9^pz4vy zTWIi*^o0-s>agX3itS^JqHM8-4V}qN%b`)~w&nQ*gBC)PV&JLUxZ`_EztM>}jg7VS z_)UC(+Q=MKx3>`V{gXG0=fB1#sOo?~9fIj8ZvH-Nf;>*5+<_e~L7d}DZHXY*uz332 zLw_9DbF5yw=S69?#wLt}{j}k0r1gjIzJ?A5SE=7O zC1;f9RBx{Ye43VM1%^YFeu;Mx#95DwAg;Hv!Y!xP|30%Roq~H3)df@PJg&5A0+y=^ zGnH+Lb6?N7CdDCHb!vuo=$4nRZpNTu15eeoNiaS~paIjT`nRzF%KGI1%cO z;V05QMenI<1!H*b0~v;}P^i<#)a5}R>CFC0^=7DTYw*cQgRl&vPC9MeH`}=rmJ>WV+i$fEO zb$YS-H-S!`S7GgXpZo^GISI@cCZC}8Qro~^vIV_aS1m?x*4_ITwr%odJU;Q?R77)= zwweC<#H1JzP+SGde!{;8&_mUX5^ix+fjFqMWcorO?X!w<+bO*;cOQG6`C$$4ZU&fmVCH2H8b8>moch^bX zU5y?%T16C8em-7hy%%o)0x6ll2FFc%7|Evn#2LWGVi6!bi#=j++TFPz9xwN!%c!Z# z3^pt!&2U?BhnOi934l^zHa}D32Rx~a(QfzR-y)~fK@%4G3e4M|%|4iz1`p1+NVZb% zi`Y)HJa*EB=yXitPk$JoyVyc>g9 zIggbYHiTzEBF1Ses&tdf@qkhbl+9>muaW+S3qAJr@TZZA?`2w-4Zd*P9Yt9tNBn)e zJ^t2nWpwR^uNPzPGgmm zp3LFG445h3_e=0r^SW}DoO#*Q(^Mz%BlXIk8dxt#KGEJg=i<8Loz7^Ugy8Od$;6dV z`x*c5{GmU3`4wfPQGU}b#meq-A3aJj)*OsA67veez~3- zBlXv>dzRLr2;XfE9+gyLv8VKj62!B)$U_lqL_h7-Len$F@sNjd-3FxS(I5SaKl~IK z;a|w&=ZVhp)s#p5_M0A+%0oB2-NF@aO4SxKojOogsmi~-K<(XLtkQc!Jl15h4TUTH z9A7SiN7|0U#r^Nzv*oms4=~X?hkl#9fxyf!3WLXmYma9yff$tKl(ENq9lZUvbmXxE ziH^Q|<|T@gjm+5NF!`gp?`LMa8Q8S~+00e{?Iq*eQ-NhsE2K}G5#taJFgN*j`Ona4 zImV0m;tutq49wG$c#zlwSWtT1UA$>qTr|*Y$5B!E1X&XhkrNka^8@pE=4G9>D7HT3 zV=6BGt(y~{k9=R8KOx5UWw)En9wl*&?^r>9R1n$r92TFB5i%zWVxJ5p&NbPjty`mc z@;rp~t@R1ZpPr*XbgQUcJ6iHjIB;wH$?gER))afWb#(L8=i@%z0OlX=IklS~u|4p0 z(hlfwlvOOxI16lUHJdawUf~071wXUV@Gn>^y7m!}LSmWH!FJtlPk7#MZ=AMHB+}&> zhDJ5jK8B+r*p0t8h6X%=c#S)t=$|*CbmwPob}vASIEuf#JbN)Gl#dU=@9an0I<$u( z6}tB3_?JC@&y`-TM2#q!!Hp~xBogWZ&NEq@+D$C+zK8tH67WKBXV{SN{fO#rxY+IB(e@=dB;+P%09&{K>G|KCg#(K=$uTE2O zzTc_9KEYyn?Nls{MbCnjKO(g3Cgg3BcWp}lJpH|f7vRP?ys6AUKn)yy`!+ldc#?nI zOIG3k<=miG0ml&4ZagizM{KbuAV8qKNfeiL71R0s+e|6?B8KPqX+9Ps%01tr3iZN1x(IYuB5HN zx?vamk#*aA3j%%sdai}dOSkbIlC9?{Wfy)wNyCpfujidAQIW?RIvH0XHU$ys%o~$w zKkD1)1jEP+3OWcjky-q2;pvMJr9PsNaPd)z1_7v9WI&I+wOhZ`eY}3bszVJP544pRxxb;YC<$q}z(g$v7&G zvpBwe7~6v0&E8o@r6WUKj~&}8vl3Z4;0c`e1d|-&75m~$7)pX-=?r6?(f8}bzyv?K zHPTsOAZK)jpI$=g021jdnst($c+N`{5Q}Ts*D@9$^nqp}ilzryj2wI3^oX$0)J3Jy z8@>@4kl`I4iZv|Hqkk&4;hlY-RTQkeA9E%`0id{zCl^5lg(m`$K;a2Md=O&d77_^e z7)1C;7HfxT+4fF>Ax!&rU?pJ2N6gnJ{MA!B&B4S`G|R8;0Ul=5&FSQ$TENV?JLf0m7%W z!LaAPqF43eaoyIH=p%TCDe14ox)9Wr=x@1h0@wxeJ9Mvzjd($iKWuF!=%)dpJ{Xo5 zTYkLwB7rDRkq66%K*A%6WX+a&i5=Jk=3(I`d?Hm}CVvB`{1+Jvc1L!ryWGKeA(PSh zJ&%qS`x1i$%h7$wM?*Tw%JyN|x}CNzlJ*QU#E> z+9SYqgC~OHMQZTGPlwDcdk8f4btGMlEfWCb@*^$S?VRB6s!Sq(+?QCF6Bf?1kox#muXQOEwQxzQ^{nmHa6W|Aceo z(@A1us?+t(f^B&%o`t8C1GreA7v4 z(rm?{5tr~$69JuE(u-W0_{?yit*_eBthSo=Y{jEWta#;=Mg;VrO0sZ8)p zx%6!y!a>GI`BSs2orXZ&M$U&i0-4w0%00#d{}`nArKW-s-pc(>zpJh7PO=_%%c zr8~Dwi5$;E=g%`b0RUuIUkpChXW^I}ydX$O4qgPAL>~f(HX)u0g6%vqp%?Ld>O<@( zOnTC@bRR#qL~&F!>@=1LKW8V}pidTh0he-ui1#_oI3Lf!7IK!b75R3v?7O!u$@|;? z&%{p>`;fQubEm%F7gPJCO(St**;@! z0DPVB6-3c?{mgwEKf^c40~^lNCI9a`1ou~7tX5LbQnx*jxI2 zJvBZo&=#f0XOKW*e(YQASUUv(%XxFW3kl>kwiVz@d%HvlK)of{ADbNlMcla3Iv;Sz zkV>)ecu*c0@qAWu_A=N-NSJK?B9gvDgjiym*U5H+qO`F%SorHOT}d=ZZ;L8o9O=3t zI2$mw%ix&j1#J(hc2|-g9#_xg&Je%MDwR9q1UPiMfK$F(?)4k2D z-7UB8ms9y~>HOneT2h%Er>1B;mX{Mp;6e30&hBwY* z``_SSqq}XD)cUIW(TZ2c{C-u%rcK>05@%u5(cQG=T|bY?QSKtGy%w@0o$p5GPG)*f zO#<;yXj%&#U&7{SyZ9G9JXkMI&rgqafX44?7E6*cPrrP8&SonW9;hVM(L>k6#*@EX zoY79}yIvmtXy|vj{B%MycS*hc{@c{!A2iNbhTChU$_-Xr2?0-h1)cldCCd&;f8$Xi z;~Zam&wk8PL8!msWzW)dwyt54*3uFPqDIttko2M-&+u&G7t>7grISc*KaORLJ)$PK zp0xR(IZSxNtY>AlmJ(t*pPemiFpTmMk8rRe-RE9a1Z1i+bEBO;(xj0=FUk<%uWY=! zOLvaFomgc(ahW%`FO9*g%h$C~K{QClxd6TWDrsuV$Vz7y1^V8UzH|6j*iGy%SNvUh z*>5JQlF!Vke>X3lTbjQ0+f5P&Ox#`3-xIu~v`jw2u(H0U1zgrbgQ8(?@4rTYij#Q} z>0YE=wR0vtW!K(O{|>#)$gaF5X2}?8SI95AOYx0F@?-T%tq1~Z@_gc!EFsL%Hp!ax z@5`+C&7cU~*}j$!4`_zI&ZN1}5?yW;F(8DG+Vh3O?Fmf7PisnY>dedL2@tmanF z_a7hn59{fJMn^FBP3k_}gT~R%wY1z$o`wEcyK_3)Te7F) zuMNY`UmtoFw5OZ6S@u#}0lS~cr0HIgj^ASE(m8TP)JAbU{!H$wlr_ijkntxAkWKep z{p@+0+CDCM@gFc{wY<%8l06(W&$o<26vCbF>0hZ|q0RCuTA@{gyG-9RcedZV4351` zx=VQBPj;z%agKdiN%3(U>8b&w-Aug5XX+LA?B{H3NSpOYU&!+58bfE%llK{*@^t=3Kn|3 zLV31ko3uORy6zV({XFYU=~CyRC*-;FX|&lkCUv+*!Cu(&Zbv?Iil*LwD>vRfA3axg zt?G#Kv&(mrcytQfqjb=4p}ko0S&Uiz+>0j-<v=I1< z!HO2&tXJ)#<)0+CYz`lLgG{}Fz1Py=n}nF+y<9h>_(n2bHyU@y zlZ=H&1ZKl=CpPEYwv!dD(~^)VtR z#D)YSB>?~D=8OD7(9Q3ud^_JKH_*!Cm0vG-;r(bu>E^AvtH;M5X*_(y;=AzP^di6? zy{rc8;5wC``M&%9)f@X1Q1~*PmJeFe@)ZYLylh;Ec-*qOwJDZCHUb}=Uh!^$8w5Jj8$BQ^e@kT9Azo})9DWr=ujh{c)E4IULHHy{KPTA_Nm?MHrjR*8P zNZWR0GV*dL|5%`_ez4AW%(BeUN{O~3stj?Z?33ndO~iMj zUxBk%Hs7P@zPT3?{7Dy7O?1}{_s0A*gl%k{{UxqV>Sqfwd^0MBf}l#XG-B-VYSDx> z`GAb7X=#BgcZfI=sQxhHO|Ez0DVjL1nw|`TM`Ig;Az-C%YK?r@p ziED@7GOg1>@lV)d20`q#M@oR8g;lHNDELt-nj30W8}}l&z^={G0rA2-+8xevNw=j~ z?6p(M=ZhteP77_Yd$`n~ro`SF^Xj13Ym$^M@~RoG9lWJso8_d~Yn0R(;)QecBH}6z zZY^F5Bl9Z9zq%$%p@QD!B0s2>Ii}TcQGtabKe(1w+>7FZ+BQovM7uvleqUNtnO4ii zh#D=^gWRK~27zzU?OHAJq5@k*y@V|vRnQD_kCXEGY`Mm?nk~9!JhO?kI?22mT99io zvkA5OA?HlYr&UUTtc4)@k?@~^>5RGPYlW2V=hacBRltE~m7+AY&T?oCp!f|jtj7tsZ^4VLMFiw-k=Fgo>8-7qbr(e5~w z)6JHK@E7s87a0HiEoXkhT>zO@=R{wVr3CO>M6_CDg4~0pY@ja?aWCpcyZ;sm!F+p@ z-(2_g#tC1Wt1AAiGz1}@NQuHm+dRDnqZn)J54%*Q&-uBg{^%fK zEk45VT&7d>Y+=4-B2sQ}?qqHfqzj_5*qmcsfqOGe=`r<<7e3-=8NB2F6tT5N>9HIV z6z5|Lmpzxktf$;VWmS&i6!RmXLaq|cpCON)8XE(U4<&~IkI7@4cucm2Nenj4pReIi zvGjZUoF%x+=Pro5inKfxjlGJCGePwURoyZy+pc*hO_xFPnatx^#Qx5_z5$srBqB-% zS{X?x@LI2Mk8xYLA@~n+3hI=lA3j7O&`WL`O*M~P;1Bgn44+hoK;8V!wm7*K!vbmo zamcGNT5*z^j7JeCm0*WS*V1BJLsQq1w~k}Yj6XxSnV40eQb%7NUNf*Qe6%MfUECBe z&3432#JFvCNccOf)-Zv61ECy3%{L)PF#ou-QPREs@*oCWJ>mIN@I6P z7zg!6xXH-bOhPKhQ@(uSIsJrMDon-t{+vaMDi=Rn22d%b3GopbxF-je(~$bZvFy)b zms4X~4-MYCMUB3(SB9Z+2G9`_d9@BMno_K@lp)fygEQ0y;~Q}1PAUYsap$M<9_EQ1 zQcn=xk(S)I#Ppc?eR4*E?MzlnHOYRyVSaup7_K}V(FJTpo^0+~b?86hDw65>e_NCK zfp~&)w{887sA)Gix^`&k$rc|bK`Ls2;3Kb?7hRovof^vP6k{?IwUW7)mwEDM6<*)* zl^su0XQwM<5A*Sxt=aTFd-M5jyw&m?8zpgLL)?GhH9LbK;lPL3frfI%6>|RJvi_ct z&UwSnomwep$IG0|Ja~3Qi!+iHKb49Rg%T*aze^$2j{Zxf|mz@3*~vXil>C0RC)UPK3Y0|IT6mFK~Me;LUWv&Hiry zqqdY0t~54|@UiWk;xv3GA( z%X*ks($b3`LR{J_dP1j!i$|9WKam7e%2?{i?yHTy&OX9LaTn%S)NXf^aFhOdqL=om znCzS;^1M$qOvBmC;2hmV^Wx5GzOV8J))A`0-nb<70Qw8v>{#4|G~?cE`L2mlSdy0s z9jHTzfecUO)`^1p|Dfn-XP?Qq3rY@p`a3#mGnUelKjkSYe5pws^(rcd8gdhFCLNl! z#Fl%gNF#B7xh5WyjbRI!ZIr`eQ~ua7tC9a|{TES#mX)v|ip2r>sH6cF#}-$iz|zw8 z%B*0a;*ehCeXhCJsz=s=RsJ!w&d_a(Vhd1OEALUe7Ff3Y5|siPI8-kAlbwH{j?jkVPWn7Oxew7Fg(Q*(SL$DdDeJ3J&YbAD9s=J{Otmt>drsl^`N z-;y<7q?gKItN}mDL{AK(+c%OEYwl|=uI#zM3GpX?D~?JUYGnAsmCZBeH^Rw@xPKQ5 zJuBB$_X-bEr4^Pj+1YOSavK0xOZ0W5wLarOkXLsi|5x3n z@eog6SKCAu1U7tBt1=hV;8-@Ol<-g! zZ`EwpI_Yc@~t}dyrO?t9RCG@eP)|Y3LaSioV(}-G{l?yhtYW=Qe zeNiWusHH+*CC?ybB3sJut{%0NBp2M)OjxBtA|T((gC%;RU@5xLQ#HSMQQ8&rTSXl~ zO-F=N##Q5#D>rquVUUQ)9~e=QD=z!g6E99)XPx!ct6pwfsFcZh5ICRe|8UzqF3eFqZJ1 zi#Fz#;I|3yaOshlH#uCBW;`h~t=Iihlvmc6?C)k;9qICBTIuLAVuWtGa~zA^&Xz{L zJ!ZbHM#E9ynY6$i_3F`B>+}*NgL@ z)T8~H>D}TBjmBe6#$z|eW5@w1n#ZM%p9=%CVp@>{Q>>bp+bZ8Y&@)q7Bw;S2)?KNzUwu!lmROAqhZk7lJ`l=4I5@ zpQ}%9MJ0*)Mqn|)eT%S|eL3h6#j^ubrN-1tp%jqIHmaQWHX;h&_n#OyLgc*VrL=C+ zFBUJNw`5Sn(7V@T$4b*i@>gU3ssexK-2~bWaDJfy;(Q6@h9o0W26@tw$FUJnja|V6 z)g4V2nFK;D9Yi!V^}}Myb<|v>u#d zs_O7w(@$Ha?mBp}vD4a!WnX;Zx;F)Xulcspq^X{L>S?I!w{n9wfD4qN#?-`{?n&`S zC~LU!cW5wFG5n>k-wy7o>zDK^r#V~ILJxcy4dtZ3$rv>x49kK44oY-X#c1TSHRrGR zl`SKigSvM?8i5}x5ae!v5OP<<-Hg?%XX@=E{R+>gDTs&fPaKCgD#3emKNWlgJ?759 z$L9e9 z6bp=Gu2%;4F#)lldc_#d4FIz$m=ysZuqfN}&jYI?aOP=^ZJ%3|7?t(>9F4IsEPxRm zF(kLI)hvqTu;1`SbZp?ut z5_`#o|W3KbC|u<5uVkfF-p#|__u9r`?=znSqq{H$qBS>o zLt#wanPTR{`epn{KQ8z%v|2y09<;N@_ zpF{66A1wbRhm4N~OxxQ$d@T&g=X0mWOc)KNkO`xRN0*3$3HgY}l+}_vM22eM2UA@n z2YNDK3?Jm@?W8RXnpVH~Af}<;Kefi7*3f10>IzPcDAmr*tl|MI^LLdg8C;7HO=8F) z)olAXtEuOScog+f^|KM@%0fgpr{uc>4OE865_Q+2yMGE9g%VIO^>M=VNWy$^Fh-9t zF78-KsRz~o527LMOCc0XVHAe2CF^VTJ}F2J^{^k2%qN7oj+{y8%zksl^4mbHus0+O z;q_j-xiB}2%M9#+&fO0$N$aa&}C4Q1KyXl|O|E zdFCTh(j-m_B`jw|7BNE6UnOWFVAAf`w{%IKc!^E+i3S%zV`i z#sA7i=hh~$pihe#-68Y4oM9Jp`$Z|zsSL|N5gDYsaoHktU0)3(WDh26g-`%LNv!^Z zQ51b(Gp;?qA{Yhzy&fNjfyW(0K-Bd{kalsxcz40V56luKU0n$MYQB)R=MptE^>rf7 zTqjLzvG|ySx@ToOa40j4Q+Z#^_H@0u-FBnT9`?dq_IMSkYElm&u1vYCj&rGgaLvNv zYMWDS$`!2wTE8AH#ADz&qd)3*qM4_TSq;FKn1^i6!oqF=FSx(4xyGVq>oD^@zOWzB zXv8~geh*Qi8nLm@%eo%YaPL$=f@+LS36aFO87JRW6&orKL>f9jW!ukU+n4!RZl6bu zsd+*uc)}=npv5&;Z#CHqGVY16CoqBVK~6T)o8g=TG(ZW7^K9X;__ryx{Y$p}Y2&fp zfE1a0#1v7P?QUOtYV%$bXbd%#TjF;6Ml2<-xCxm4~BB!B+V^4f?;%2jU zMYQ`0%;dS>q)0jJkr0FExy$D8b_GSDB_(whY?9B|@qRYf=TqBbQEtavo3yiWh-q^h zS*d=(XRB!}7uWQDXaR+C!sz%i$-|jb%dulnm|G-h_c3OxsZ$yd$KwU-)%T@---YF! z+UwG-Sd2o0LVbFh7wC3e%+%yS#|3SC!Viz(h)_>X(l1O1!`Na77E?zfl;We`76*j9 zU>Q2IIuzbC6ml7ltrq0s!C{soV6vhJIA?{SnAhh-^jGgjL+xyjP=)wa``qNoL5>q* z*B0 zQyAYc>^R0usqvV_Kmse&Zbd@G1)h*xNW0mu~2f3DzTB zbcH7`?DHx`r#f{@MWF?`?u+TR|FsN}1nag6Lxc{V5SaT|BRbTm-sG?HXOJK+ zZ0XQ}^uN0l;C`3bI2vsaj5vyHLR~xol^$KTC$e|&#D-XdBOuT)r0GHd*FfX3`XG0I z63KOJ$v2vaXFH6WZt~qj^4&D@-6yf3TCpLrpa>BJOaKh!zpi%p)OAwHbxg^10{8v8 zf+P_{OaLm~mx=4^c5+dH)Ugd;x4 z-PxJkh6|V1D6v#DZdXp!HeswjK$_RTXqU6u<~K9x{Qdm*v1%$S4+_ zioD|56P_G%Or+R);2RuMlU#nUi)hGoW-?n|a1}jgQUCk1j~hqON$ghc9IX5o)20e7 z+bQ(xRfN1u{~wZna>FN1;e=)kP_{fMWxDe7tajf3xJ}=5rZc|elPqqd?Lkzul;)xpECc)F{@h?@tnuyrqG?`K9lM5a6F#b z<~p~l!M|({MM3Qm+`E)`vmDUWHM6g(IkiIO5lPvfa-1I^M`fDWNLrULKn{zkh%o6v zbc4Rv^d-UN^udUC_TOW!*SdA1(|rn|FtS;Cr!rgTeA|et$FS@0IcwmF* zz98C+S$8h}BZ1K!)A+TVD}MC_z({X5Xa`*#;=!8p%DZhCU-IHKM@#zewIf!I?@&MC z_kh2x^Im#=WxGkTk9S`C~*thx)3_({6wUUaxo=d~sM^E_E}^oACU z_ULsQ`s6hGUT&Ew(1OCAAySlon!~;$JmrbqYY)!;2ooXkoz_}eaM8Kvy+B`!^C$2w z<~w74*gjbgy9aldme+}Bp;?WwTr~X1kLvkMvvbC7L$2I8xYoshaX$sZY(gLvn@R#Y*mf zn_g}9w(DMA8n{1EN)1+F(`8^Iaf=6i5l=8ufD6Un{a*oh28j71m|$sw{82%S5=>Aa zAqIT>(C~vq4L(qbi9ZZr^n7P`_I6unOW0&~?#}tXQjSty{isQu`Rb71tn#;o3*Pv`c65HWIf%`FU)IxRBR$L3%IbW30`}cvDc~?m-@>th% zd@qr=aHbeU_-i+hwNWR=P-DMD+`uPQPlZ&hpmratdUR$j5={)Hh6#2SQS+DS8b-w) zYLi)$>8sn%DD)+n-Fn;c0uRF4j?S*;6e(*RQwR#lY((D1C_gn~EcN{l-fG9E^c-cO z;We^H7=@Q24%6c2)=$u(!vtzj7FDHd&Nux(+w3sv=8QgUtrH&(?`Y-nifUpG%g!*TUgrho*epWT|xwU|! zv~tC^cQIo~I77?W+7e&0^U)k2_L6MFu8p5j7kQ>box(7iR3M(DUvIR{`K1r_(vm<2 z8W5r%wS{6Xy%%g4aSJ2T(McV3#LroVrwkL*NHXc4w@;s-UZ$Jdh|CN(6ym205mQNS zuW77(oO+oImhG6GfW=Jcq^_S@OTcl|;2Tpn6S~t0I+US*xAi-OV05#kS%XEQlu&(6 z?L%<$sbE{0qkGuS9n~GosW|jP^AUjMj*I0k1YB9BF!qbLChq7@BQiF;?@=Qdhu1){ znBE^=t!aX~CDdS97@MfvBbvo@AnQ|y#bswGwuqN%`05^GSkb*#V~wUgMpt>oZUA++5UMM zeUGOGJJXJx3smMR8&o-Eq^KbItQXEzx@4TR4bI31B!nh7gT&rVtOxW4ZKOwtDr9X- z%D#K1qqA=3WFiQj{^CvgQQTJi67D1NAn80ssSu?kF5G>iiaPci*3X}*q)Hgw4rw0lqCgRrG!XhDJ~eRH02N z&*R{j0mpPMFh8_bk5AK=^cz(Ul`D2oxJeS!zG;kJq&z;UG8Fb(UNM%NZfN7Es z>CX8TqRJvvdj}rA*oBA(Bg9HV$lRmj#<0?It?~#1Z6qdX=Mr?NhCB~N7?m*6YX)6O zLcr7raEw@_NeP?wS%^q7;@Zgy-Uf2JWL1YMm!~_5&StjU_zJc}wKAMMhBL0++KM>j z*Qe9oGg3x)1A$t7cx~n6_a6Z$^$71fQ5DB&FitF|ca`{Y7IeY+jQEB~CB-9WcK(G5 zEsEn(HzI;?Ja4apBQIXZm#ohDjPgCo7u|!9>wTK3kMGegVMO{nFf_y}^=xlb@zYy? zk@4pXhO4WNR_`y-^Tn%(8I4ut7ZE{e3SSnJ2@vozNNl8jO-cLC0o2PFs*a;J^2L%t zIEW4Q%<1M0|6)iU&7e~nn0-jm#!V0nqz0!ss+A7vB1V@mW_Nkje0(LTE%hi1G~dlo z`K-f)s7O|1+2`rtcB|7xMfLPL3dSS*~Jp6+ZvlfmN64a8FxKNC4`jb71O%0S0FN~;R{{sL3|NlM~f%X6Z diff --git a/cpld/db/RAM2E.asm 2.rdb b/cpld/db/RAM2E.asm 2.rdb deleted file mode 100644 index 3c545b764fbc3eb5512f45cc904b5d607bd0e7ab..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1367 zcmV-d1*rNF000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*GVT00000004jl00000 z0027y000000037700000004La>{i`#8#NF=0|m-ght2>~hKGG%m;|tMb^}8*Jk+tB zHlZeI>~=bR>Bx6F=i2+KqziFh_`iV?etYuAooff07kEH3I%!wh->$SDy7Tk%^FPrY z$GMH})N0OE3~jAtJc^`Z-g2v50p}%z{wVd|F-QLu03Ty{4nTuEj)j^mgD}lFwKwqj z4Z_?nLjZ!YoZHZR9b-weG$u^O`Ymwpw+DURRc@bwsJ)5LOnqU(|BQd(KCD~Vg*Px= zxbTilq!LE@v=>HFvu{>7l0yih){*lGXf(#`Vq9Q$eu`n3X7xy(%7}W23C(2v?su?zPsCv~tp-f@+mC2}-=Z;Xy<_PQG4zi5 zt!;PMbluK(i(S@vmVOt*z^1xou<2bHh&(d%P(=CEbhh<@mZVXR40&msg^}PGuu|;B z!ys5e`~+Sv5h#b02_>QkM_q)INPHTGvAmy4ZFq)~L+wOFlNVBvpDU(c(Z->4IMrO9 zf3eWTGQu;Y5S%dBumLNqtJux%??6t$%j~!~_5W6e1EUkA-ZRo^u8PV)2bh7X(PcpIl|k>yGvP}wj73Bn z9F9>PR>s0?(qU1%XSz=08H)L45!A1B22P8zmNKk1TtbBJbDm)z8pqqTbe5(Y;I0Gl z(mXNbhe~>jD#X$8Aj1c(JpU2&+))FmLY(^{1mPKb?%8?uDejgt__YpOffsbp>-IV{ z7`Bh@Ex|t(@g?9Zt`kTUTcPl*ABcFWXLn*Gb&#CYYPhr0JRN!e6?NsjEziG(XZDl& zV4{tT=}4txCF0@{n7u)rNW>Wp@D@*N@p}*%s<4hQx`4&?j{ka!_mq|z*3iB||L4Ci zg-B6WFMK}vJ)Qm|S&7xpFjv)sVf#)|Rk^5=-TgiW_sn&h-}l`1P0Qm0cTS}DOy@CK z)(04-+qQ4*(q8}o0RR7Z0peg_WZ+<6V2CobOa@X6+&~=U;uIg`8sHxk0uo_h0%B%{ z3qaB?CQy?RNJ|1SNKtU8udicJWPFIDlaFh>zmu1%Geip~Pys8$4In9GxW){mMFgtF z)z`_@#l_VHs+y6FK>#QdyW`=jNFWU}7-C>>NRX$Wd%UZUtFNmc#Na5PB6bEIAX#&= zq#Q^w2mvw3Ef6hGwTvtbXMo~iY?i*vKw1z*4aA*{ObjQ0!p1&s(IEH1jB)e#ad8cT ZxsHQj2T*R(&7&Y2;b8+c00000|Nk+YfNlT) diff --git a/cpld/db/RAM2E.asm(1).rdb b/cpld/db/RAM2E.asm(1).rdb deleted file mode 100644 index 8cb344c3a874142888d1c8dc8956863911294127..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1367 zcmV-d1*rNF000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*GVT00000004jl00000 z0027y000000037700000004La>{i`#8#NF=0|m-ght5C;hKGG%m;|tMc2fp2Jk+tB zHlZeI>~=bR>Bx6F=i2+KqziFh_`iV?etYuAooff07kEH3I%!wh->$SDy7Tk%^FPrY z$GMH})N0OE3~jAtJc^`Z-g2v50p}%z{wVd|F-QLu03Ty{4nTuEj)j^mgD}lFwKwqj z4Z_?nLjZ!YoZHZR9b-weG$u^O`Ymwpw+DURRc<#x)ZWBrroJ%Yf5yLXAJ#4G!p|^W zxbTilq!LE@v=>HFvu{>7l0yih){*lGXf(#`Vq9Q$eu`n3X7xy(%7}W23C(2v?su?zPsCv~tp-f@+mC2}-=Z;Xy<_PQG4zi5 zt!;PMbluLa#V+eSOTUX@U{l>P*z~RpL>?J>D5897I@|g{OVTJuhP*V+!boroSSj}6 zVGyh!egdzT2$Vz0gc4DNqb|ZpBt8wpSl-X2HatVgp>`so$qT8-&lS_JXyZ^ioN6x5 zzgXyE8Q~dH2u>Jm*nkz*RqST>cOa+WWp-Sg`hP3KfzgRl?-}VdSICSYRWLXS!f}q= zV;H|k8LOP1hyBDzg(JSo;j=i-x9Ao!Q1W>$6K_IkK;}eV0Lm?0czPQQ3r z(4XVm4NpTKAceUL?9nu!xDYgp%}!~04V-pvQpzk|OC>qK$ zQ`B49Xc!Z0(jEe0DAZUQDyL@!sY0~Mu*c#T+6@!@1I$3x=rW-9%Aj}Unee3-#v-B( z4#%htD`R0c>9DBXGhHY048?r22tzzaI)b$cBe z4BJQdmf)X?_!96H*9jzwtx$N@4@5lGvpX@8I!I1xHQd>0o{qf#in?;%mgir?Gy6$> zFwsWFbfnU;5^?be%-*0*B;t$)c#EgC_&taWRai$DUBKdc$A3M=drC_UYiQq~|MTCM zLZm3G7e1f-o=*RftijMnaZQDQW(q8}o0RR7Z0peg_WZ+<6V2CobOa@X6+&~=U;uIg`8sHxk0uo_h0%B%{ z3qaB?CQy?RNJ|1SNKtU8udicJWPFIDlaFh>zmu1%Geip~Pys8$4In9GxW){mMFgtF z)z`_@#l_VHs+y6FK>#QdyW`=jNFWU}7-C>>NRX$Wd%UZUtFNmc#Na5PB6bEIAX#&= zq#Q^w2mvw3Ef6hGwTvtbXMo~iY?i*vKw1z*4aA*{ObjQ0!p1&s(IEH1jB)e#ad8cT ZxsHQj2T*R(&7&Y2;b8+c00000|NpPNdb$7r diff --git a/cpld/db/RAM2E.asm.qmsg b/cpld/db/RAM2E.asm.qmsg index 9bee3be..23c4a84 100755 --- a/cpld/db/RAM2E.asm.qmsg +++ b/cpld/db/RAM2E.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1599607698989 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1599607698989 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 08 19:28:18 2020 " "Processing started: Tue Sep 08 19:28:18 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1599607698989 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1599607698989 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1599607698989 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1599607699289 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1599607699299 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4524 " "Peak virtual memory: 4524 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1599607699479 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 08 19:28:19 2020 " "Processing ended: Tue Sep 08 19:28:19 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1599607699479 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1599607699479 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1599607699479 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1599607699479 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600299289286 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600299289286 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 19:34:49 2020 " "Processing started: Wed Sep 16 19:34:49 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600299289286 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1600299289286 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1600299289286 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1600299289446 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1600299289456 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4524 " "Peak virtual memory: 4524 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299289606 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:49 2020 " "Processing ended: Wed Sep 16 19:34:49 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299289606 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299289606 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299289606 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1600299289606 ""} diff --git a/cpld/db/RAM2E.asm.rdb b/cpld/db/RAM2E.asm.rdb index a0759a549d8d8ae7948eee8ff9e64f5ddfc2566b..783ba95beadb870ed1533976278a46943fcd0d78 100755 GIT binary patch delta 1091 zcmV-J1ibs@3g`-uTYr!Q000000027y00000003wO00000004La>{i`#8#NHOf%5$^ zFarz>5BtC{2}A6&aXKW!LtWcR8fwz^+`#08k?(ZQwf9v?7vjF~e*-1__GHI*t{tY~ z0UoLuowO_MZ&%t6-NnVl#b4;PTJ08ktzNIag`umpjK`5w%zs;M^&8+kgV3L){s-ph zzX9MwEMEeUo5!(G(`68*8K?dVKEFel`*jFFFjnmrG=GG#q*)phCKLS{xc9s6kav~a zry%OD;xkuYnDCPEAKZs^3%l?$OcyTPmx)xuNT2q?NNV=Y3P*AXLDV|(asnESF;6fq zF#GZ>^duc+CVxy5y-U5_=0h!&Zk~ulHv6fU$1*XxxgVN?eB6A{9kvHeFN^$Unwu;) zzXWWG~PUcbt<^%W-?JB7EwO4oK1!INux#?^3pg9Bf)`TrPzr_L9l}O0lYyXP$MZ5 zN<bA@yXQU!yPAe`h#NQUu?JhIC9&A5{o zsc^*SIeeB{?HhCp87TQAmx(u}G$3;-uK;H6w|^WO9&iq$UD8jV74+x$_QSK#2S{P= z0((4*C$0{yVsouD{Q#V9Zc@sT`!HaBCFOfB&pGT|>A`b|<#A{%VC9}G1lKVRto3(R zMla7lhGcK;P2a#O+imq%sV~<^l2~!jinSG@1DJW?rI8rtkx(>}=cXvaw9zmo*rYuK z#D7Sri8NGB&k9n7XqRChh+pY;nBd1?4ywl20lixWJ&@5<=`E@d zN5{hqAGGrPd(fATa-|A!?qdkTGxpr`^MC3y+%0GD3mvusFX(X4AN0r_b?@C>f`269 zYrt1rCy*$%LgD>66!A>Y?WvK}Lvm8P;ml9-Xzcx8)RptLJpUS=xsz;!8<_wA delta 1088 zcmV-G1i$;}3grrrTYrrN000000027y00000003nL00000004La>{i`x8$}Sefl|H^ zl>mWwmns&zhjR6 zD*!&i@;LyFY?25yy9mNG;D2@~(3G z6h!@Xd=}~p6aHuX3-{sN!Y=#_)1?b{Wh#|0GN7F(mYRLD!jT+85Ot0`pMXYV%p;6T z%)UH{d`SnniGQ+GZ&Po(^;k=#TSp?5t$ya)M5ac!b|bTAC#^@_VQ0|t^EhZ_*5uaw z9I`2n-^{acjZqwRSBvL`+0|$sO0C6Iu8rmoAXeDg6gp`>OZ1e}%e4y`X@s%bt$c-H zWPKmtp^d3QV=HMSbMm&ReS5pJwcWl=9k1iL?S&HDpMS>H(T^gLj{PQvLboG7!7$G9 zMl4TcOoP-!X10F!b?n{|Nfgg(1cME`KhdEB<7MYEN57BZ*06KaI~un=uXk&?%X!q% zZ($g@{C5ntye~sxV?&1`wsXtbRCu2>YLp>AOY$fd92icDop=z2D~KP!8>9j?l5(L$ z9OI(wMa;Y35q;&%K6Q>ml~;X z#FsgImRju_bO#wK`OM1HpHUW)IhL0Iv-jH`4S)AIhtbaI=PwHSbA0>JNfZF2Fn5VP zna2}Xhjy{KR+@eYPS=`@EOH+PEUu({@8vm%-AMZI9AbGA83$Oo=L*4fj00=^ot4qc z^N%6fTYJ;ju*!Bj{Z;DAHIgJ&9JFF>g}4crMd78fnAlh-8p~5t6k*zE8WV2P4gz8< z)PGbODyL@!sX}zhu#dzqbT>-zW3T{KldFKT>9FB1VRwlx1(m_$W z7rKt+DQfv<5!A1A22P8zmNKk1TtY+;aGqfw8pqqba+anW;I0GlGn*O;A|?H072@c4 zoa2L5o_`Pe+)*Q`BAojWg7Azx_u{ zS6nBMD6T@`t=|{PT+iK^k<>$SQm5%HPV;2q|5wzN^R_(y8lJhAHbygTWI_*AHdP`i z9)ZOh)Qd%u(+E%TycWL$k+F&z2%}3_T<`c-sCZ84LcMYxl?9-J;fVsU^4jT@2o-=e57v+4^?=kn=V4j-~%p+ho4&z2&xEyH9_U Giv-=wXcT+^ diff --git a/cpld/db/RAM2E.asm_labs 2.ddb b/cpld/db/RAM2E.asm_labs 2.ddb deleted file mode 100644 index 33a5996188a48c1f0d210c01aa8b35ba96447658..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2757 zcmV;$3Oe->000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FK300000004pt00000 z002|~00000006}b00000004La)L2h!Tt^xI&3OF$c8k4lx3SlakTrIkUAG5RaX=^s zYwS2CF%sFNCCwp1FIMZg5wa>&s%7PDXBW##j8tze(Uc2%c2nEj5T~9ht3s9N$rJ>= zfHommZY2;Wv_fgZZ{AzmscOT46XVRz_sutde)G+5zL}Rtj~+ehN0J^=78L&M#<)V%%{-ohI#NTtoAXRL-T6RJcP1Kvt|>BP9vI=Da+=YmYQwMNwK)3 z5Zgk`NgZ+|H;2!{vII^8%>trR3icY+A!@dCN(q}b7&#|sYn)Ok`vPt0)I2>%cv?*>(1GhRXfEO@i5>V zv>EJtyYAmdwo&x3%Xz*sjf=o595}|V_Lgwk60LZ1u5N7JLn!c3S4mW1?sQqdjNJWV z36%>Cn-wc%r0?ZB%yF5%nMY6#N_X=qJ54NkL3rE84+U() z$8|B(JPUK*!e)S0gow7+Xk(}HAfjc};JR#|#OxTNo(c5i5OQFLyP*H9|M!wj}`r_yQxu^@0p8h!Jn-6`@YT)cDN>4(3r_k5iw zk6|yxJ014CgO@m^H-xhEqoQ)2wt0owLHweS!)R97^#J8gwcc|Pf9Edr0>KKRyus+? z;n+VN1S%(Ci(IqDlv@cq|`E9;oAC5qKfe5hx zhxK!w*Y+}E9WmdRMCkRCC0R5EwDdKOU++b;*pGR74I2GfznSte;D~3KIUJyvfllTS zp+_yG$d$)@H!NenJHgv)Oa{pd*VER@evC*(TS!|wl}<`I=cS{f51m5TcdRs%okfq7 zBW?~Rhkq|6@*36c=b*~zpqVjV^^Ev9Q?iwF*gb*aiVn5~Oh4pqKBr&z{OvBfaS-7p z{H!{N#R9$Ai~HxN_2(RBkl0O(Una-vG(e|Dqcwbx!NQHc;Qlh1Cvh|5>lUn)o%DH`iIZv+m0#(i4Mqcqy^Df&Q`=3`-x+h{&du9HCEKN__peyp|~LH)Kwj z@Sn8C7h0MFEu{D*?9P6`i~pht52g*^3oRQl?!)3K-Du{A z@4TMvS0$srnG!qMtJtxy?`V|kelx=hAqV>%`7WbC^NDbB*1%2YuuB2y%GmFBd;D|% zqQmo4R}co-#*Vo>@|Jz-CCIQKqYnermXD3MptNKEI8U|0ZV>x$QNtD{&X)~Ab2`4FD#UHG;B-tk#GuJ}@ zQZ3-*kQ`#1#Xk0C3ele@P=C^|m2>9#!qX^7Z06q#-cuX}r5`+lg>RAX0?l&}@0+Qc zy=Q~+kht2NvaiXs?S^WdWkh2-oHI*30uKy54R8JoSFTYp+y%%hXRPa0N$VyL={$k; zO7PrkUO#=jfKLF=@`_X@m`o^_U{2g0``rZ+9-f6&V46GB0i5E1JP5y{CLkn?9h8sR z{0^0)EhN$$8dfPxwL!NF2dKS{wOEch8B+WL-&54miTz79pZUY*nCsP1*tXLi(K zqI<(0U|@+c4A^o}rvto?M?B<0YP_a@4H4WbGFBVh z?|V6T|9~@E#!E=BPru=t0f7Z#2XBhhX)Hp98YP@`*jMK!&oC+mEfsIULyf2?CD*kj zj>!S32wU~zDR$oDNi1?Gm)4lsLWF~s1**{61K#H4M#BKG%12Dd1IH$OMMcN>+TiuU zmVH2*?^+p59$;s`w)^RK%Nv)TUi%})c^0IalKXte z|HgO1utdYDlCvQFvJBiRXIQiMCNO(%f^k-{hfH36#6E`Dp>bP^Ea@4N-Gz>})+TjZ zW4%l4x8Om?GJiPVQG7qq@a7A+_XO;`l}nkI)vOG5^FIzAK-f!?FEd7FZD5Dd!}#8# z?hS2P4NYz?IIi_7Lz0CYpSWFe1v9b#zFUAysAYW93dj<{o#o@rU)iK~g179~eVY&b za$o;%^6+1~6>Jp|y%%9CljRFRZSi{DjE6jmGw^s!vZ|S}-u#MyH<+qO)x0e+&v&j6 z?hxz_)`NMjq^MORJhtA)S3j5EIsq4Oa|%|7-zz<(|gd$XqlZ*c22P%3vKoUx^9 zjW@_jiHqGX`lV_oU)i#;YhX&xU+|=v5)%l7yepKgkgh3uE*UKLeOqkHYgD%jzPyg{ z)s6nzL!7Pk!d~X_Ca@oA#M~x73jzLlR^8%@xRM&;cL}c0u>~Km4q|rQ1b@{q9Eo)< zxq_M4r+Z+JUx54xnKzDbS>ZYjT3$mU;+Y(Z>o)Tym* z{SH@KJl-xj-t47BUW?T%Z#EUNCSkFw3z?M@;uP{X(bAL>twhPC5JoaigcQe_$!2L; z#@G!D&&hEz{5?uLm)H(ve~kNx`2HO47#(~1`%|($^rGd9qDhcX8$SIQF;h}=%H#X< zhm_ox-3g4hZkniQFf$b|h(3+6YnCzgtLy$)McRes_{Q0~?IIbX4ZaQ~IfJM=2|LK9 z8rvJg_#XTB`B6B8t+$XG1-3R6Pg}AcdUii0a^m;6GUUFh{jCXEci{JkZ-QzLopD`! z4bf&V0waVXjr(|a9h~2_TUDG+15Jw0uqBI41OC13VTeW!MD6fhATYacvuq@pkLHY} zc`Rgu7_AdxD6z)VB3yh=_$1u-<6|0a?+W_;06T}OCyOR_!;un}9E!Gm0kcu#pd~f- z(+4(JaWr;kONETO%Apqy?YjwD`c{naQj$^pA0up6&b8690b5F}i_l@$7Wja(29Nta zByiqv?u(tH?@9#RA?K-)8k(%ExK*+vWRvleH&qh)eM2Exv#Y|t0RRC1|9AmnVqj$8 zVPIfb@p6I|kYW%7V#i?Lcppcncqh-0cuzk!e~>r>6Bx??$@m!>c_0y9AVyLe3=(5d L17ZLG00960h~z%w diff --git a/cpld/db/RAM2E.asm_labs.ddb b/cpld/db/RAM2E.asm_labs.ddb index 0877fd043e0a90b766f292c2a06cab4ae0d4b253..41306687ff92732417f969ce280aecb64e260a05 100755 GIT binary patch delta 2733 zcmV;e3R3m46|WYMTYu~d00000002|~00000001uw00000004La)L30;9M={8=KAjJ zoptRUt!1rh5_5NB?J9XN%}XhPhO3p++A4J>A$3BbWvtq(jY)#(L)Z#=xe|&k2U4$1 zVv9myBy3B52_a8;G9u)m^ht%_Hl@(9v5nJ*Q2J1eaqWJ0R)2D2Vu!x=z|1}8{(kqI zbI(09_wV1oKZqXPBkz&@e=G%YJM2&J*}cXuVv(G!0>c*9MG~?>RiTF$kW943n(RLp z;g&(tY?-$xX%DRi&Nr2I66b3Rq+X0Bv^Ibc9M8hC4HyLu4FHqJVGA6(fU5(cIdWXi z)w~dXkPdjsbAK~Hjcr>H`-pcUwnYw9{-J*0%$}?*P25~P>^*LsU!)ieC>#Rff`?r* zu=x;^kZB&6;=by_w0$I-52C|$%0EXWaA}F=)97vsU<8sv105enITN8fas|Q}HHA)&P`Q{6R_dzzRfv^3&|ZLnC44Hxx_=2i9olOzhhn9QI9^}{$?0mH zdi)~ATtQ&g;`O~%NKe^%f%?mLNQ%OZ{k%_Lr~i7AhiC&0?tvQP zln?09*ne$4Q*k_?arm^txW-3XHI>Ayq=KlX5)y7w&W8+D1_hp=?mR$uPR34voGXaB4* z>CLBf-xdP91dPoftw~Ik9<6ueB(4DZJt>ZQ`0QL4oeDIy)84{3Bcp$Zhm)#86rBCy z98Yc~vZWnK0`_~N6g~>Jqm%BOCJb&m0 zbZv0#W+<%}njMg75aJ1~?5nuIbCn3=e;8)&K{YyZ1t0oMtER%=@zB$H*5aQ_A?|1; z%f2|~;SlxlFGlDs3P&p!_fZkQtVX)*Bl3_^`khHu7<``0rhJ^wto}++n=fCebLa11 z)oEo&TzFB*zvH_R)qhu26BeBUx_^1Y2sV9*T{;@8RL3B&ywn=(a8qlb-QA?K^@zQ_ zRfglG?o1x`7%dw>?mVe&WgcM#t96sy+jYu72!Af~} z{8%ZQEYp3DTi?eb#l(Y*Dz7AgYc=%$<8SxB%+dLQ%ra||)l-!bO7j_Y_c7HlOs z>}@N_Exd6ooCf> zegbyn3O>Zn`1JndkWT1ihc&JjjW_wsjPgU?WNL+}OXmzOIqX}H7=NCH=JYEg162A@ zuv)C3)8Nfrz-u0_9FoJoPCb5+qP+q27lhZole0U8n5$Rx;EaraHh%sJ!dyuIMQ-^i zPhU2|mOtPgaD@576{~GIpwt~dJU(*;Mzfz_7NgYq7KlA6dHB%cGvYhCHA#a8?|lzL zHfe8Ph>IfnH66o5uz!TVMTG}){@g(mwo6{Tr8|CrIa~$ucK^4uyc9z9|}V1J)A0JSKBxA9_<%V zM?}=SXe+EPF^995Jv4K# z1IIfLq2Fr9l43seVk(jbGHVj+I9r&UptUoc&YUqG_6dbeocxHhrarPaK- z$zYQ2x->pL*u|4GKy?mr#TzcP!Y0STzZhvqp{oHGDmkYLHKX7 zoAS9ykqq?X?Sgdo{vD&!b3*+>ggB`PtQvO(b_uAhDntOiYmdvxVh$DADp^6+>HP!V zmW&s9MStBQUJBKbkzqtt!9FV!(&eV4v6Tt)Tz8N3C}u%_U!$1i1F8FvM9{{yxk_%qDSd4{og5iHU-!)X<dPSyQ&phuxo^JZI>OE~RXZV= z+vn1W1aDMf_h;X$acGQZGwgy(Ha22ktt0Bx+!q#Ng+C3IY^S7b8Ljrqdi6yl_Y7uv z8@BaXmF{)-hLivm233)MRKzq!l^#oC@O!-58xZ#(O!DW zV1H_4eZa*NS!D48E#-KBJSX{eh_iXF%H_)AE|)Mp+z(^F#-n~myAzM!&^>Bi^nA78 zcB0?St-d(#>}(m9TO&$y-*3^o3bSHai$B&qZ|BUugqK-cO>^dTycxRzJ3#-1If(3< zhkp=vfg4Lq+%2=OsE4mge9mi}C6oN=Y=2c|ufxE3Vf1cj!-fVQPBr5?X8w-6jKAW5 zI^+9G+=9VP?b#lpm2IBL?oNyLfN=T3+a+44Pkv$s|4^({`8A)^yS#I=$=2|078q(EGB!qq@31IyUeV2_LL#B!QcN2lWGb_V_#w< delta 2606 zcmV+}3eoki7O@qOTYqi}00000002|~00000006NH00000004La)L2h!T*Vpx&G7c^ ztdYIXOYmAz63s4-*SSQ%385+)Z-{YnNS>j=X-^NU3Qk3%2&vJ^a#rJ2Vw|XLHdTY6 z2lQAHJ8-Hva;OYK6}|Zo2~<_+QH3bvfH>h=nfN6;v%ieI4<86pL zz_lY)eG|%-LNj9V-r*L$fv{D`5o_baQGGwdm|!Kc_YFuhyHg%@zV0Q-7? zS>Z)vQM^OKz5+D4aQWr&-?su=F2S@^d}Poxg$l~lI)}Je7pME}DeBBtNh@Dqzr@#z zz+DadD4^d#Qd77cz?PM2RDzFtjs0dw8aC>Fe3DNWiho%(5SqTZ@TQhAAuo8AnjeN8 zfp4ThQUG2(1o*%d_S~5Cw%tQuiyrO-h+nMc*5FyuFYn-NTz(u)>DiKpMirex-5&Ng z{LW>Z2`u#cu}4)fkVDTln*TC>+4mgY_C#D#QdMxB2JPF8IJY2=330umu;#(m1hM0j z8bs4GaerA{;?`5$!?4@@CCmt%@Gq&IGO)E_1X4xA5<5!3QJ6;M=zHZsyaumlxPVhK zj4!svo1!1PMI6xY<^AUG#76y_{GMTdpKiR%?XS?A8fWtc%{j5MTcJ_HYE7tS^>I7E z$gKB7ha_6WS?0(Id|B+bceQucZ;R=cO=JCgo_|+ogCou$b<*GTCqf(Eh8U4cC1W_2 zeKDo8hY*YaH}e8(!0Ldy{3>vxd}5#}!C@)(7Ar|U^}7My;y!jMBKx6^TE&HNT`jS% zo%WG|FayBx1Nb-(OTVv_b0KwV4Qc3kM;YGDe20P^IKT)O$)q;3Egm&I2Lutpc&I>+ z7=NC>rNas*g@)>f^;CQS=Cmk4bB_bv8H*P7i9mCr^$qUuPv>i2gdPNPw=RRQ2uSYfBQMY7$qJmC2frzek_i|CPj%^A9e}2 zXTpTDXAj`~>ri(QBsHiV2Le1U)JQHMW`EF4`U&7kLkkSX9uhNC)HlQZs_+bHB#!{k zrbc-mKqmr%+hr@VFa;!ei0!cG)7Y*M5ormr^*kKvg5Dat6>V=2!BT&$cIm+W$>NR` zUzN||Ouw+D0!GB{t5t<6V2@PsnozfD2+qvYD?ls8lp42JxEw)AmTpCMKli>S(SIzU z?&Dm<5MlJ69OVJ|Ga5ctjtu^4jd`~$IM@H@OA>o!&$VqRsb2r1B3jL-{VQdKXSlAz zy>+}>s+_Ij#zpAg%%Px_`c?%A!|Zq+XBR!KnS+YP>^mS(=}@g7v(vc`*Zqf4iyn7gd(F;STQ zLlAsnJ-hf9yb1jFss!CMzYGlexP5sFZvdK#q|aKdyPtkf7~20(u)765_kVD#udVy$ z5f2BrGww~*+Vm|&Xy9z!fG!A8_W$T!U8F*2}vU1mu z_Hpn{UKfP23)(eCg|OQfGf$6up%(D7co$C^^JDRDeUCRmJN}G*7g)K-2olc1xd=9* z|7Ktr?{bP(K9Zee^$J&KBmN7RqvFAT{F1M2x696Dx=WUn0wdS+15nD z&70*O9sw^#dFzN*xrg(gmsQZH;96}u_(H+ZSjqB(n0c+wv;U#rsq+l*Wnsj;&D^9$ z@unyKmdC`0+N_j^c(CHH-C3P8b2Z)Csi8Sxh9_egg)^f+7VqqnGk?-1&l{YP!qVjJ z%mNiYeT=k|72JlGlw-_36*>$|8}u0ODLJ={d6PqB*x2US2KKHAG=nh{4R{ex`@%AU z#wbn|`GOfy3q=`xZ}HKQz^qx%6zXAE7e?<1=YfhkXagy}J#3=eT)nReq;c09N*3wcI)4^wp`N|Ow}-&LHRkh|#13ha#g@AQS~X!CIV6AdC^>I>_jC{q zNFLzSAm?|It1|2^W_ahWaNBN;v*NuvA?%BW_o`Saj^lJme9HBnnZPR3O>?xzP6DS& zJ=be(G@o*}teBp#PUpk0^XUJg&nC<8XDetO!opr=^w*i@(|>6I!;wB9Xm{B0F;+JUi@>$i8)$5a5HAnekGKhky)kRJ0 z>@#r$u{x>cnuf`Hxl{B)iX*Ew@tv%N`5|50gU%YdlCzfA+qy)Khdzk&G1eH@KrZbw zt~DZCXW>OCEr07YW()-_U+Nq-5d?>)Dsb&HqaFU zw)Fev_splScmdy3*L<%uEx;F(JL))KunM_a)48<}g<&9Gz{+tCCnes`dy?7o)}$BA z@ay3vA={kbh&!@D8Sk(ooMTsIX*f{djSSj+P-yfhekb(or0F%0yJe-;0i^bP#USAu zn9F@P!hanXc2?rnVm;u=h^R<9ZCOQ@eh+)3 zWa(C9e-e9&mTEV}JFT|VU}(hyo7wOaevdf7TQ__YJ~z?rNwefQM-fYAiIcA8joUBR zSkKA>zq|W*Ce29fafqS}kqcr3_487f;O3YQA;46hF`CS{K4N|FV0fIDgeyQB^B5jDBC~<9nvS>1;j-!#NptH#{m< zv7|zKafmV9PA+=5$<_kzEhSbj4oSBHM@Ot2Jq&x=88MbU{$awMkER)OQ+W=)BVteZ03&)}^R(X%b^!}TuH^ntg?o}&$-!=<%R%XZ QQihfLr0UXt0h8zoM-?0)Bme*a diff --git a/cpld/db/RAM2E.cmp 2.cdb b/cpld/db/RAM2E.cmp 2.cdb deleted file mode 100644 index fd8cbdf33e51e16e0fd117ddee3b15982a2f14cf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 44942 zcmeEt_g7P0(5~fG6jTHRl&VxgigXZ21VNgB^dbDM(UmGzejZD~mBS zzDENaJAU(Y9+o?0bFr-LX_UiAVr`Yl`BmVYp9`z}M(d~352(s2?bMo%-b`x!7+srj zAGeQpyZpWxEACydyV4ce)KEQt5scToiu0={HYSS82CTvBv;lDXfTqwY9UJamh3vAm zUn*qtIg?R#{bwdhs>3s8E52VfGH22}z2tj6Z5Go|CfOQo?zjuhkH>57Zaqv>ab|a7 z){f!Y-@^h7K$GxW^dWdp4tY;5(kC+vP516(Sdg>vXwG9oz(2(saqjY8J4Rsa?#%7% z?s79~v(j6BYqPgar>f^8>+`XSW;p`$i!IRhYpyZRr1NGnv z-!)O4bS|`mc}ncpRb3a7utn<;=K5}r|LgW6cU0Wsuj=iDmS_#;A>n}Z;a>q8ez?HX z7Hq(b!|U9^LoU%6SbtiZ<9JwuIdLpZjO=d4`1WR;D%orMpX>zCybM%r4Av+GcmN?E z8Kg^qPE$E+h_oH=vs6Rna=$>5S@PVHQ5i_7T!R8G3ws$cR7no8P(D^sECGT|^+~-t zCs_PHq_Ev$SZakb&VeMFHQAXv+1IWNi2Op4OW84t(#AN0Qt8d_t%EBj!WMr|Y@NUHhl2Jj_l#i3O+5?HoJ)4Q#e1q+G4dhC zn=X8eI(lnDNGeFdTU(1o6=p@Zo(`{lcqd&*6qwoSlu$;Xu2;A5ut z$6vP)?Rmwb=N?ZQ4!XI|tq^4aw^&bjC%XJ@2x=C36vIZ-vFDD06GLCy(7nxzXI zSTh+hGyw&7iX+i~#a(ZO9xK*AHX;6}e~4|<|GJ!pH9Pzcngw>T;G?e~l;GurYhfdF zT=lsM!VS<5xw~?a%3ti|!p7Ic*M~@UDeVrFmUH@B%Samx%{I3J&{nv={$C~> z^8WKjkb=cp<yq zfvHFJuE05ZNp4n4;SKz_EmUQd|KksFZgBakO9i1yPlNgm1PzI%Y@Ev}IR;xlN_#C1ka(d%!G*j zU*F)DuYxW2xV=BFKS4rO8?!)v`iWx{_^TzAy%Z32JO_6>z~H(wsL>yKW~yM5fKUQ* z=mhU6E1wm!Q_qPd9O4V>xv_ce50P={68~_f zU3ZV4OZA(RHAps;8^PB@dsM%HQMeb-kXabq*!Sb59^1(VrY2aRi+altfRODbZJ8A?;%0bitd) z>u0t+Cj(G$|FQ8bFikvul;O-hU5_MA^GJN8EtqX<Xtq-;5ONc`YRWUToc@x6k%Sang64ab549p47SOI9N0C`kol3w!_1s>)8Ysve|yS7 zi8v;0N}QH34~)fz;V%P2v@+cZom^BJ3;MmHi}b5=(YH|S;%Lsf>wh>~>tbb$|`- z2dA@8&O&^D1l$h?&DaN#XfUHf{pPrTd3I^kPskhHH` ztZtLiL$~u-y?Ktc+&u`M2&*<@Tll@u>*wcTVuX2*Xaxz}lakcNzW~^=))uSo7tp_3 zFoy!e;H`!9KC^iGxpCRKuerT19)K(G|2K8Gj4&e4!NX~fmauUtu1@jy+aCwdZc&pD z7UmB0-m`=bO;YZ zyw5#FsGu~c>S6E0m9l_)WUV$w2Kf|$=QOal6QgNt*D@W`-&u1~LM;?Q0)Osq+<)Ou zdSoRRaHk;jvHJ1KPdd{1s!d58IIprlwb4a*zXawN*=I#Cfm(ZD%qmH(IGYA{b2o1l z{V|Al^%a`~hr20rcN#c%bZ>#LJ9m>?~(vH=HbJ8=jiI)6f=FS6F zUokfL(5{sky7k$#FTU*=tM6RlFtcyfZ}09yl6rQ`cMt5d>B*L=Vc%?W)v$WDY^k?; z_LCheiSsZ6bp8seec0Exs@&V!2~qBCP@=nIWq^);W_Ak!nrL}XxG-y-y}M&IJ$vxK zX8$+H{|l-A4;GO5gbXcSYUi|TqSWZj6QG6Y^&Rv`{9tx{#{5s)4%=M0E#KvaKvJ@g zReM-fPu`!G>by|a2G!+NJUWh;98E-n>WK>9vYP1oxN_B*g|S<#iSXn;hU)O-m9D@& zC;ZiVy8EOD?B23A373|RGP1^#J=gzBQeZ4eA8Tx)vB@HyOlTykar^G6Hy}mpOM~BD zJ$;=*BMZ)(yBe_VpHAZ=e?_tTmE*^aM|R6YuMi{;YTr0`8-(zsohc+Y(v z$s`thm($qf1iNglT>BW~lvMuq^L)$j2~a6S@^i7Iy7_`q``6PIVfuqNEAQTSKwW!} z6#|ll+Zu^m@6sd8Fsm#7LRa1upvIn|2R*~Mnqho-!%FRC1@u!IO!}&w%Gl!)F7AB6V{Mfb_;~sOnUFnRL{Dx);+fM+2dmt+W?kqd9V1<8j>E1y zJZ_VeXE#m>zBs)Yv-o%nZ9Q<~a;Tr5J7Ja)5;Kf^za+zJ2#$!+dnwXnW+oipCz;>6 zd859o_POGmhtaX)`=MKc@y<-$sBUL(PzpndUUth-T)1yJ+E35%l=40N?}oR40k;41 z&*;_}nzrM>KeN1A1l|bm_Lo=A8@hz5bNb}3yILyrP1Sl>sjFgISc~J|2FB-=S^G>D z4^Nbq>3Y0Pc1{UDpS0|5*65|)jrecE(HYWdG5^`blVAktEK9(VMs1|6+v<_9`$`3X zVQi@QK`Hg$2Rg-P8Rw$o_7A4lZ^;Fv2$vGf;!@jTsI_*oGbtm<=Lc- zJg*ko^f2QEwB{agkkZrG;y9(8^*=hF2DCQSgZ6nb-$DyRNtecW;CWi>_KJlIQb~Bt zG<;fUAvuuSnf_uxtzDuYKq};_GyQO(^1q^mJV-rghZhrgp*Q`rFjTq@QWd`NvSE&)Gt5lCqP=M=4sG52h(;8LBoW({oHWR z$Kv0#b8pYRz8|;uuQ9f6x3IG$`J;S*r9`D+wS}H+TX*klLvDQ#vB_8@RVG-L2PCqQ zZ`ysH?VcvwCWdigb!hwE$Sf7VsZDo$$8Z_cs9Ik)&+M;g=g!2~1*j%rf<1}4ALfVJ zjQQXF z%i8=tS7vv|Vnpz4++&j6*xIfbfGk2Z4diCS&lTL}Z?|=_FI8Z7Y-(P#-z+gKtdxxj zP#T(YdevM3S=c`eD6K`g5W7&vcD1M|v9OuA@u(WqBJ)vk`3s_z1em*x+>bGpfmkeB zn=$J{Ig+g5#H6Cn0#B+Rcd*w5 zwPQBd0w!e!5iq#02e?EZ7=rn^*O(i_>bD}@q%|W{|2UVX9jw%irP(-_PC_~007oa` zsB3zX5)wb7_pG*l$^Ro+m;gh%I1QOSYQ8Mn|4NUR(~&|I+jZMVxxx>rklcYs`u+>h< zFSM*EswQNAou+!%=?Sq^$9QkFBo{Cx$c0$!tvbLyFOTlkva5Az3Vb>1_b&4j8tpj` z>YnWIivvmqQcA_(y*kN zjX1m70hOw^?rHM^CVuzZtl{SG(wr8=*l{%j`HT8xdtM*8+bU!(vg-HVPobbgOuxudp4MFz-ouBZ zME7xV+8OSCiW3&bvzXJ+y~YbrRz4MIgzgY{K5y#Qf^ag^xlD=3fcV#Zw-ZJ&?xQUOx6~h0f=L3 zhK#_vu@H)p4X58)y=&XA5toDEy&Am`?QaWQer=)c`Zh~-kcHuGyQW@&E_e5hV&}Jv zry-Z-*aDS6#$k?6h-0$unPtHM@D4U?{5T9;BV3I4mngU0DYpSyFrp>4Va3g3=(q8v zzaDh!&YiR_1b?FCw=Lt)Uz=v7_i5WWHQ_c<8j|uZ_;INlsdH)qgYfv5QKNTFCe6SM zw9)47Y45=iD45bTVKVk5aI=`n;bznZ@{{Xs>T*?%c0%Kvn&}+c;!Spoezg^ovgl=Z z&lA_Vvh_r-98x?stVo!CWH?qiE$Oii@fZ4YlJ%Iu%0}Y%A>fKgf6cknw7on1m{pQh zjMqsD(z?C>ffBAb>Ob8nF&8hWOD*`@5O^n%YqEP2#&^4~pJXGOHfg+S4f|HN)vRME zwnJXOfixE@!1Cb_8p(qw5!5L|T_g=%ab?b00OXWTGMzHEZ77FvqBHSazC z7qg$F0^_b6xR{IlcD1HZuFsA3Mz(KEn7wtAP-1cnmxOSCbs5&?S#CDl*;O4Sg)!5o zL9yx;%d?NMti6FRN%Lv`0TVX5l185`ZS+OjZ4k>>a7!fL?>-_tUdq3dpw0&pm->wR z(U%I`Y|QY_-*;p?5yJ{fJU@?brzF+3$B$^`l={|E9(>5 z*AD$((PM`Xf4p}-_reE-prlvNZf3qrron}9S6*R3pKq$vMbD8D;4a1!?ESliiRC(>|sq!dSUVw*7m%Q_sT0Ov9{YHS4m$~ zj|Wxn7HaN+vkH#)HDbu3mZz)tg08uX>uu&m9UE!>foB-KP1(3}Q6#Y_ z;ppAlG=etXx@G$DL6^t!>8d?;il#m7!l8&P_FjYjtgDMLi1bH@cl0 z#8lII?cO>zRlCb&|6z;a{(I1~-M_BlHW%dg8i~8YQZ%Tr3=8_~&{d0jpYAPWB$i9x z(dQLvvbVwU)$-3VR(`)I$urvDxj&4y%;Dn3^7UhFPBv)UIt90Pv~K@QUG4c9dS`av zx7qH1eRsyrEOFU-D>_7KYc4jRN#H0~VatM&oSmF zw07D%j5pwWWB=oC&8!-hZ;KBcf2)^enten{b}s@hb>4h4lFAeG&97V32B=O<-mSst z&;}l+E4zg2VkQemr1Jar*`J&9H~r_+GtT%#;7(e!>$4F@kcCP#>q=-d=T^!iEwO93 z(Z(fsntp{x)hgd&IoT$09a~p;u+A(F`?+USfswtfC7>($Cay$RM9g;B`*S_1kngY5 z4c4sHV7flkpeWe!SD;_LAe?S2|J{M?Rdj#Eic% z1i)3bY|zFbGNz88O$nxFb-vtIAU(~5+L*Z^&_7R0l6M&!F?J+(!7624#c0Dot-da(e^>)Q_R%HBX z+o?_IjnNm}yj~$SB|x&u$>pn@NMMPe`<8g_aK7Cg2wW*h&iB9@A$I=(4~iZkq(4OgQ90n zF_(3f;``@k^5n;X zV0sZ2uh?7!@z)ur$Xl>2s!75k*9zLn_a-&?(8uaIq8dAGYGBU5<7N>TO%v*3KQ!yYFS2q)L;08hO_u;H^6#o?ub zpt#Sxf&qnB*Rsea`AqmXoS$f^2|rK=w%d(gw?M1(Runyt8{*JK|Du6q18XG=@@U-X z7@xPqTCwT8n_KC36N~LX%m7)Z;)s zv{Dd%@7H7-W#=NbW!k9T%NdL}Bl@qdGq&^avK;*vD5e!)-~KX9H;J#-TG*_wIIS58 z9S)%cfvZ~fu!w%aR>T{tw6k;0<30}^0HPX#R}J-}R@D0XaM}6Iu`|jdiFY$UKD6oq8m29-wf{=eYAx}( zgMsIr52yVUx}ABNiR;C|Goe?8C61ND(#j1VOs38cMl~06ycBO`WH7D{Jh2`X1{4q& ze$R}D7O#D$SpQNexS7kbcl?dNgL}k_BLgoA6L|+pk34uKwaOue`N38DzQDSs?wK-r zA)iJIFv@RtS79BYf7{$c z5aaE7tdd2$#^&9eW5;0Ai0cU6_8bMreIaYwqMwc`*^H^H_gz-Bngo8qi_8X5nZptq zUyR{9cL9oqBBgX%0v%5HlfK)-QCo_dvfX+gd#dJSs3=3U8?S@roI@<@=1lLIjjYsz zwfK$v#FM8GG5C~TuG$X5(agNX|A>n*^g4^MgDy|&DxJ7hUI<`UW0anrmA7ET{brN7 z_vC-F!%}$~WZP%IlFtyPqfI%-BLG{qcXzzAPS$i*t@4ytU}#FkX{5#qsLbPeZq6Ya z(&#km`r5Vn->5vDgLk5zWj_~$9_b!%I-KE8@GH*iii;Rz(;O9Z9NNaWG+3qso5vvZ z1VHY&khJwqL`gIpOat)ps?ph8YFB>N;e;4Otap#Nnar9|V@&r}@cd(l4I=uOT&ha_ zH(rx^bwS)o*+DQ3tb0?P6Cbtb+jz)=Cni~k^`U0ej&q8h9VURn3*!c&k!8d6Q)V9rz8aLTfvdp(WT@x*RQicgs9*4Do3C zWc|Ccw1fQuU|f2?Ey}d!xAY3_vC8y4ik!}i1RaLE3Q-;%hR1tR-KuZ?2e?Js?@G^# zcm^&jtlfj$Y!GgzG84^8jX^e(H?;h{b-lG-^#6ND-l%UoH329*?Q1rExyh%sGyPNO z3fCm8Eyg-2D!J>s83`>DGY)Idt@@Szr^NOiJ}gn^%jxSsnAB~<(3yc?ycO#5;?IwB z)*J$)knoC!uRS#(^88ZbyVz@z0xmvtGLhry%Dg!{4d94mLiA}s<@@qt7`K{FTpIs$go+&q!o{a- zG1?Hr*X;zvw{>_N7yX7ju97H8kKmmO8Dx%S2fiwuGISJn!oEl z!<1Et$mxuk@Uy_ix7SV;Fdn{{<2fX2kI~ivSNEGc;D?lnqqg2qucyqyE&R)zVKs9U zlufGnQyGvHuU9@_6c-Y9(}ej*UAZS_sg*md{C6;H8X>?At)5RQ`^v|nUZ7CB$gt*S z`S+=1w`t^7cV*`AJnztM>v4#kC$~6umxqk-muj_!ta5QfOEf8xp&waH8NWZWB`~Y9 zedb6bJt@6F^}-o&7x&P5{X8$G#62zkpmvjKM+-Z8J{+}B%4Ni%F}My&xuTaBZx9Jn zA$kONPjHl;S?clSry0pFQ;${)tCPBRGPT?tzfN1SI9rw9IJsEN>vib{S`Q}}`0~_z zoTpN>XWPUaJfXo&6*qB!AokAyl#T2g{s!2HOkVEx(i@Gcqp<%<%6$Pv(&AUS5ciph zHxkN%wT{tzU%41`WYuxNHeITS^C6dA@7`j;fl=tprQn_GdNql7f8i*@`$%{O?q7A_ zdE3*(NRtTtGWY^K`?MMIxbk)N#1h}q!Ga+%)#cI0qu4jJXDubg)@%9}ufop@zWA?E zZT>SVjlQ|yuf=c6)BZGvtcffv>*9Ev&#v3hoG|5WY~9|S8-0IL%YsR%;W^7fOUdG9 z>Uq7(ml7WTC}UnFoQ1FW@IV2F|G+;?okFORXPeUJl)u!UJJ9K~aZ3H8oV#&fp6zSz zd{=KpDU@h1x;T1Seki|{xNlEuth9CP8*`7)i@@tLP~Gix=BFb(W&tAzotJr46-zV= z0I9aHnTXw|uC#!&?6s88O*PUyTyEDg#(=``=w%D93pWE+0UE1GYh`~;aQK4M#5psq z+~(JN^f$n9y@`+OsGV~f8LRqE>b(Dm+4d$6>`Bw?n}tmXqlisdMgu}$s=Q&6as$e{ z$ue^5l%C5QY7i_+gzTl`C?@M)fBDwD-)`0%^nF?eQV@tNT?#lr+R-+zu|QhRH-1_e zog*2j7Rrul@S3tEi##$*)sm2LfC5O;pm#gpCKnjP;5z~0wVY#aY6+|GUP|0Eua zLMYq!6j2tfZbk9JbNiBcNW9=f(9575pd8&?Zf^Gy|0<$>7ADcRG0tWqeCnKu@|S6d zSagO5-6oq)Hk;HU_jl!7>`!o$r>iXEe5D{CrQLZeP+f(Ld@)3$;)B?Ec zz;P7{UVd;{Zm+57OFXug9Djsg`nSh={238Jq}g>l7+T#$4THsx2>B>~g&!ubz00P0 z@ghgS)($vPBVAQDx+DbO`LhyAysEQtsle!b44T$|%)NX}T|}Xeu3gr3u6c3fown2# zm_Kwq&;qs6dl~^x-uw4YJDsoenr7?a8LYdk^~N7HU6dFw?cj_0JwE zIag6xT>y};vd6AT)Zg{9YVzWaQvW%>en{u=q-kn}d1^}7`%(R>bJNtfe8lg-ADeMB z%5SPRT?Ey}xkDS0h&Z>2$A1228rKFeYqj?*b+n4Ksm*Es88+d8jhmcOv87^EDZt!a zQIg|v=;#KiS!3Y+H8M`Pc5;wwC^+!vNi>U^v}BTk@jD0U=}kXK>W~9Vzi6T?l-?zK zW$Jp#8}iF5hGy}p7S?m-LY-_hnctp!Y;6uj`gQw;R!De!lTn3Vo4-{7+&KOVelZF` z-y&HI3@-P)Ki3=EoY?nt8CsvyD{qk9va2kzMum-8vex=S^_CV7#(IFN#tv61hjozq z{<=rHl>dC);}qtbN+4R6z5`vA98vTw_4>g!zZ3mV0b}lKbwAzBSl-t~vNtHvy-h9* zdj#bk#EZFVAXYIVzlZkojEjbHLhEN+AhJg(J+-n>AGb};B-taGq*{+0FSne%wai^& ztPnlnR(=*gi;pw#S+VBW2UlSS=Uh+c++AJ_{W;rxowrCeq=7e;s!5l6FYzkjumJAfX)e?d)%7w|~GFAvqDKdU=>tKu64K9Rem$?(2Z%d>|l6}=cMt!UQl^iI( zm@>sX4_95zU_W4_8}5hsUe1MPE$}u)s@8l)v*cUHbAM(rH4$8CCOE4L*y9{^EY3xy z1*RajCK33xny{{EASmv29Fx^JntywKOfQ=G{jbkLm2b~GG#>BuU$EtoLS$~uyv|jz zTU#53XVLgt&pMFDj&D)}lI-{Otoe0FiB~28T4loh{_67qDIa+F8{rFXqJyXO=n{Je zbmvY>93R3*r&@qOYrzxrSz3IeIl!zt!&%eIk2UujqNmg8u@D3Qf}*_f&CHLtXhLLd zvi3r6(_`y-z)=gn-!0bKYH4zw4M2}SX??oK2~5O@9d5lI`_Vo%02e){tE5W5*MZKX z9(_j`MAU0?}#!Qj#>k%hlEwi96}V ztD!kaJtmR;U$3FQbcfNofnNU(-nMJAS)=O`;<~Pf%%1MdjY)5`mpz9>trDc!Tmm33mPNZ)BC4XSEN&Pp<=u8L z2J$Wc|&`W1-?D0vsRp7}I%kuks*GXt~voD!b3()=2nJHA$NfYedo%=%->4ElqA zXY{;Zd#}CKZ7&(N_IH6lKyf|6*a`~BeJyNFH=1R-Xl5AG*|2LP>o%4t0p`aCyuo`}XHL44yNf$FnrAL9HrFl?QE>0c zJIHTJr{9CQ1cP2F$gJYygxm!K0;>cus=_VOJY z153is;mFkWwu?@8)M~E);HF zv8Isz^33RVd7%EZOr<3;1WE2ZV_X%T>SmQ9+xo?ExbLot16ospiAs(Gs{my9NQjjZ zFUmDGwEKX0IG4~i8Z6OF-xjiqk2=820~{z7xm#DhA8ZwV0ecg}WUTgL!1O%tr_BksNJ9 z^rSm8HO`uAe`3KlC#M5D55|or&vORuO`?yrGNtz`(NdR!q{>Bqym0Ro)l+v%sco?N zftL2?oSoYEN*#+9SpVu@51bEv(LxRsqps=Y;t#wt|I$CGf1-EsO_+pSqM0JK?^z!% zI0;v=DyWwWwm1!LihFWT=tRWcdcx`LX=$iCHT~Z0GPV}v!Cc*U*_d6yiI<0E z*b1r^V(-3~QX-dCh8+;mW@itm7u}q_pRwde@;=vI`Cv9LRwVB)qwwll0}tC}a~O!$ zPw7QXx=4%}*Na!o`4a8A@i?VU^B>JAm7E3jIoCA#bLp@A@me-_ql%7xKXG_v>Y1kX z2L_XsR~IPsQj%Bc-IpSDsbLRZuIXCPcZjL|i?R4`lfkQ%hj4wH(~Mp1A8RdM?FK?u zS#?CA{zp@W@;c6aymkm__@CA|!@}9CYiE9aJa^g39xw9bKTJk-W)cGiJZtsrCm1k> zqvK}Z?$Cyk_?anBJmt6{m$#y9KE^;uJ4prnR`s2x-by;q{o19C0t4u%HQy(Yi)lr&5&O@kgR!X_@2@n5> zDeas?wKgOSD1%%_doOA1 z=O`)eKMVa=@Q&$4c}VeYoP~69f%^Byt6b7G+|$VS6>|D?pQFL@p~OvpdpDvK=6;j{ zT%zr2;wfgxL3hzSo~q4Sxye+^!Cgt@F110b6fs%|AKUL$Hd0gPU#HN!g;N_yqVg)< z6;|(!x}%;AM9fI`2iM)`aR0)}eaK}Pe~1VqM{}{oHuv5aNl?_l=aY|^moByX-C}qN zH~7_G&Mr8YeJaz0yAe~K;EpW+DEGqUa=tB+_Ux7nu6yf1!(^Sw6%3aiYg;T`51Ta{ zl`~y3KKsVtS=zON<+|K;OjE>p6mz|+wxgZ!PL`=pil(L_%y51?QFZUlVvZlkl*)0p1+){DG0ve7I*Z)Sb9i_>=rTUuQ$7Io2dFHjdQ>M*)Lnrl`(dm=Kf%k8(XGIfso^tK0ZTX22wp3}{(TDyA`?3l`Lo0YJ6 zjeIMH15*6%Sl$7sBE*nMMEIQMR9`n)#)_G{HnJeKjR}>Uc85{WINYshtO#DruG{(7m!R zIue$oKCT7W4bc18A4=Rf5ywlc&;fSePI(no8u5kny!Tm=5xG=A9DSkC16Y5_$s*NE zaUkjBd;!gXZ$65kegggC#x#v`->yCI5mpLhE{i>iGgK((`hj#dd#=f!{+ z>Kbko%y@e;c>e8y>Ql$wQZl06IzJ{1nEJY29d3ol4IniIgq+=(Fut1^XfPNjUHrQ% zqcJoCYjO@BaQn>MAOz8SUL3?_no_sqE@Pp$VY#Xm4K6=Tcs$f8PBjLqRzLS}AiMX>|0z z{ZKUgYxu6OZruUtIdt&ZxDvO^y=^3fJYHW*3-PUteO?tU=H9&8fHH z$^LMyoftKnON-qh=gwR`HF;E+@58GMcGFWJ?Wqo#`^8+9L2c;)5a-+02&4OYj=}nSs z4Upj`c0Ihz=W8v5bL9?y1&kekM6V&X?bzfbx9k@pt2Zs@iuN^<2S2e@mi_V}eP39y zYkMM^UUIv)2&6jo{m8hD2M*URUK-vtW8$oZo3pwE6l=im-!$L7aRz3*ZXC)5>hteF zJ^!e!094+YF(>F3}KyAMC?le_rdv&rrqIWk9Phdp!lv

vs>4XGcn_* z>PQ=_#JV4Y2X~!BC$Vu*&d8%zRAs5Nv+oyiK00AO@=YUcs$n|Amb}i&&%vL^#Zev> zr(mVZ7;4iGH~6yBR`AJzSqqh4XH76YGz|J|orz@6vwAhyIjtY=h;AgvcU6Im$h9_9 zAqd1PY-Sh-lpUataKuFADTLQm?$R49Tw~YjYOt8KO#rWG9_zdKSZJP4NxMPB@|}yXT%pA{I4-CMudod%5?T zvi3;sNY4zD5+dkXsEOSE5Ha_Aim`YuKY0s0c=AWl1i(k#t`3MpHN6RWI+c~#9f2x* zl-f;WjgYe^?~05T-B#Mi5x*)JJPHE{=78{omifczapkbrUp?eLr1b}hai_&5*HZmG ziKlJUC@Q3d;$i&e)I*OkJ*SH1pEkUL57ZI>-6xN2!$ya~ z#!836+_Bd`!ax0ab}TMM65YWUP*B85agOarLlq(gK|Au9WzS3vWgJVsP%Nj}R@T`& zVhGli`c#A*7K#!XjiF`}Dh48`a1mlj`eGdRRlF?KIi*%Ju0dgNI{!}6pJaDDLo*?H zIt`0_0|Tp3zJu54VcycKwJcyiYBIH8<Ilni1@+VN-$Utr1f$LLD?^{R#ZH`Ar{~sn5tWP=BwZa7WuIUF~&UlKo8{lOoMG zZjSys-KU~2uMO}T(6U@%_P}e3IL&p!0E_eA;fw6~y29RV{NO34V&AO$25-mXz!k)l zvnQR*9}Kq?AC~^1COx2bXHx;gh^(kPhj= z|NM34!d`GVUB5eA4?Pjrk>uw00#f}WF9qW`;*`W$-2}2GF!>uXa)f3_9a!SiQ4P%^ zb;xHO9?mLk@AM|bBEBhcAjBbCV&*lDV<@FsRr+|NaBxEN1GuxY1>wNG4h88x>J#(J zeB+l16%~u^u*)BbZmL0H{?jT_oZDV<+7qkEncT|j78!f)FU+}QzublndniA*~?f}-7UOV#p7_sortx+3Ey}WHvYTGc;Ay3TE z?x@jy(!qTf09!hYTZ>*LqzY(QTJgjSHqQ1Ex4CNd+mz$3;5phBT(vb6$yYztR1rke z3j-eFj0*dWN8ahg_!06wEtPnl4MuuB8;BWR(Zy7w^EmPKiXxg`iH|ELle;9ZlO@b& z;QW2R4-Xi7ix_F@dL%oR3i}dR(xM8W|0z7GL&w*m#@+m6X}#~f)$e}pz`^ynG*U(R zrtzWLgIE4LqnZ0GlS1Y{@t1o|_oD@A{SfTR@`);ltG?KWbX<5~?ncjvOC2 z;O4fLwErI+98ow)>iiZeh1_egf)LJOhi;Ca*9A~z`PiOfznLUK5@D0(Abf@`WfuMZ z-B^{0r5~y7@Qfv6^1(*uk7}*-3_GNwZKOz<`VUQP9`hN**_jNFIH&EV4Jq%f+;eQ<-0<%09mr z60`iB6-DtA$Y11Fj)k6)l~>ym{tro484yR)Gy@#&?hxQ`*8_qC_u%gC?!n#daCZp7 z-644J;O-6q4hb$F&-?w~yX~p1>8hFD>78MnnCitlPyl4k&%;W0vQ*c*i=DW1Q(nVA z^qE>?TOoPGxb44I&-Vfksfbb+@n2Z_+<#J%F?b4cdgw1&B|p~gHfUgr+CjGP&N+1L zjNU=gi<;8mqXW-gT$#&!Wxq=$nTYwaPLjZIe%lip|_w)0C z!my@W;+5<_D+|V=pXBTQ>HnN_e?sOn&1vs6yzat#W@?%j3Y&``FAj$OPP7iBVB5Ay zn5D{?Y&bMtPwceszrO>s8)wB0{1#1vzij?STbD$bqWjZqxy>B12W6yFMb4*&b^Iqr z;;=9{pcFO!vKbBd021V=4(e;wRP(JQq@Dj{eF9se%F3ZeJ8yE*O+RvCIHvkSoSw5iYG}ZUKK0rQi@jw~zV&)NO&J~i8^+hvD8hoQ|Lrizk*-&8 z2@aG$MbkDCzIJqqTSnVBlmlxzLe|2XfAR{^D)yw_o2nk zF6?+<-0*I2>qqS_#K4qb>^zDC(}L4ugIr^ru54VVVIk1U>?+r`j{0WQ_s!DcMb3Nz_aPpVmpB*t=%mWFVCFCHAaX2w{p6)3)v3q zNs2y&7FAeueJkva393x(KIwuc_Lovx>GT-jT6Dv^a^|b|Q>!2xQ(9!untn@6BfA^u zl64|EAotPSv+p1CE}H&f&S!m=L^NdS^d*Z947vMqS3%;k4#>D&@LqZtY~vBe#8iar zp~nVl{KbtN{@bW)FB9zq6F;+|GnWv%M~&IsfyPy)eEQ{Y*53k^+W~TZD=!UEW^L+D z7tz&u5QW1qKtNZ_>rpDplPBROCVdb1Q7!gP!XcrC~%jtjab-jz49(oE}U?S!oM%5UH%njygQcS zd@r(kJZJ%!Wzh#Nd8qNPqROx=1S9NO>CiCk} zXNmn%)p5wPlQmU}6LqG z;XQ**uyE=|)i_D_5hu^z@96qdC}$b_Z}y-P9Ml}EhyPa<*A#^GZr}fI3toBYU&U+> zW>p{{)s~^oyHljKo{MI`F1+~JdQud?q~KrwNa9bZ8|$acVP`lSc6OoMXNlwMeQr9r zLQm+i68@`sJQ@2P{)}OB$#`$MYWe_h;DgYK=Wjgv zRlQ7<`YiZw9SXjU@0|U;eeS^YFqYrp7S*%Y$hK^?^e5M95iGf`83wNhUq_v!=kn4< zEU4es+}L{y#;N;9XczA%StmN*fDfy|XV2l_EjM2=^jX)~I;*-m5Y_;5G4fb!!|g}0Qy<`7Q=G>yupmj%Ui z1J`jyxpa5nKp~j+aG-T`Y0J5Hc{S|BC29B!IV`{lj4UJ1lb5(-;3~%xa<(NPm7)1* zX{o*$^q@#%wZ5g%^+2nZw}Yh5lC;6%N{A-Mk-^fNgc2)-Ro&;V`P(CPdkbIheJ(ri z0QmtkI=7t%V>I^5B4~19yp3_P6$f?W`-;W&>R){>Kgp~XRS@#$p{f%kiVUK4i)$#; zEwW5WMvnH2GMq+f@M{zLn!hYhz5+OhX^Z_b@~nYXR`k7w#7sSQ54*#|i=}z#*~kXl zDbF>#F(y>8+%``97w8-diH;~mhJO}2H0*7qX_?l0owugsAG;vCZeXMQBGoN-kJ~>$ z&ihlW_Nyms{wQ@lXgqc*GdN_8K^^?t;KVP;4>+2%;x$HMYAVwpxeG^nb0{NdS6Z8n z7eoCe$PtWjgzQnjASP(XU99_wq3|T8+KL{lEeKV+FH@fc_gC<0mM~Si*B87t8b0Tt zg>{?$00r=Gpnxt>cR#f+aHyuw65n@}1-!K(=JL?uWx94JRJziDMBr~&#a8jiItmL& z{SoHJxV$KVk5BSxfwoORX{iobP~4Z6FKiV%%0WWYQlKQE@}!;MJA@=Z}t+}sg$|Wm@&$k z!G?x)G!Z#UC~9nQ-d7uHYSV_OuWpwODNJcbN)$V1TiLNjKlR(x+Xb^Nd3|V5b|5G= zeKgkGKw1k@m@(0aury`527Y8N3R*~?GV9TDdl9zn3L5pz+t&3=di0snB*Hl{EGFiR z*}V>@M@TEjulf^Oz=)9t(2-KoJz!&I!S_WoPgTfDYkhdE-#s$it&_ucz&p#yZD}$c zTCh;jy51U-%jPow`crPmc8&({{cD-@PY@!%=j=$E9_52?5e*J2&4Q`5I0C`5hMHKh zdZQnImx>#YRS5AbBfxTUvIyV0u*wmLZ%a)Nc}@r&Abyv&Yseg8!SZEq-YBysOg?WT z6GS8ORdlQn-!Q>_I<`6ke3zx{M*T;YtdV8J{-$phLjza|a`HSq4>qXs+ZU|C)5PO` z@3k||Qlq_1M7qrA4ZRRN#xEj>EFV$VYtRNgn*QFPNH-6wf^&s&#Fl&CwHVrt>1f zTk9|fjc^IAU;XkU9i=2}f*V*XL-n8(nwS7Ja<64hJID%!4C+ zoNiZ;kaLui{pQ1e{11F1ENR&A`B}bbqW4NCr7RL#oK-1Kukubn8;`%?T3Ch+@odP= zH!4zA8N(@N&0Bn+H{*OOhE4cm&9B;V%zMLi{96{t2tB;wrl)!P>aE{5lSJ$Ocr=QsntPhfGajq?wwyzTPFP_Y;V;ogub!p|SkU5`qgLS7@>2 z+RW{1zb)_zxG~gf2v;NceN`gkZXPO3OA&r*Z(Q)CXq0k;I<~9FGUGglBwiU=G+J-JwOhR=W zsmhn}&F82$?NJXJsr^xVfN&?O!!=|`?&u7B2|UpfZkWlyJYw!Q_Hc8;w$XMr?CZVY zAWt&mvE5praJ6N!FnBR;&ZJHDuEirA{T10*+~zk^f@{Vna)*QV{#GFNnn;RpLtbUu zkTv9ios(IvL==HehkGMbW#u*j?u?#dAI4N+;Cb)s&vy*TrWRh?)zxyaJRE&zs;KZE zw{DGbdWfJ7`GfZHABP@~6RuKynchgH&PruNeu8%60z1hzrf%{v2{G|&s45I)LuA4E zW?%x|m8O{ow;0EnEbqL{6hh_}>?uM81f5UDJ#Ntj?RezY%C^g?fse;QXv{jw-LY23 z`g+dg8F*DNZ5t?mbs)9s<(WVGo6cT7h8YmyXiL))#mj-crnDFSDjX)$mdO@ygmsbey=d~)E2~=~wRlnM(;LvS zc%9|vM1VgtpId7wf*f8^S4IMdZ#CDhjaZ+iEwP`t+`%Q0p9a=T=VbkWn|Cz?Thw(9 zyuV9WK&;43K&BoIPPJ95On{HeVg4JZ8n@yhadQ2`n5gKe`!Ot_Q^)XU#|@|M$yy!N8t>a3 zp|9zT_czET7hjg-o4sTCWDPZ+tHmK+Ht>5Heb3tFG5+GQE?7JtmVhu-K|?`%2$-+U zpLd$%_z_iZm@4;{k7UZb$^60~u%sL!FyI$G{nEpyZ0Yu)OF5n~y3=}D`;`a*2|S~U z;Qe(%V@Vq>H|D~Ic)JIf);S0IwG7|{%(T;kKjDjiU%&Ow*@l!+&9k4j_1whijg0N0HM-5Il>x63 z$BjB7ofbRkQmTT3#|oaz$2za}n2q@U44a$%VZyKL(|I{I`J6M_4y%zb^64#0I4?(I zx8b^-eA7dweg;Se{Fv>O?5oiiuqJW+PvYnttyl659g!StE)QMZZw}G^F1?A7*W2X3IeFV!|5kESy>|EOTBL4sp8Kwd!yz-Oxy=elJ!4uRInYa=Y)MD4=fc$AX z0;qHd`%LE04xTa1BSkce)NO9H+F1$jQt^%HE+&=`?bB5))8ck(^bIg*SAZNfANP>R z9=xn~Pzx>*OwIutaL&0;`|9OFm&)-f8%A@+MIGE2NdLr;oNY_id?jHr!GMte65EJnV9< z9)3}a2ZxH^1%n}Q=TzD3*vs{C#s3OwWA;3P^VPsk4m-&QUS%oVw~>|U-G77R61m^cu{~f5qlm`5#hw}m zqK_7Ct>2M6O>sBAz58qD$@etz>X;FQ^~O*wA?4f1nqc{hLISem?ZJ?+Xj;HM=uFMh z?P3gg^aTOxJ$d`vFHdGfO>9GN`8FJr*x2fKDyw7&YZ1mJGR|1k4AmQp<1i;1O}9+{ zTEkh?=?x+tU)WWHXjFiP8T0?aJQJCT>5vn|$aIVY) z$a%i^0p|mgL1EaVsB{Gh_&71q%^Ldv=*8n308^80srkU9#K?;bv*8Q{XZ{bZXXn`k zIz9Ta4=@9cbgG|fy;SLyPb+VPFy0=8>CNO=Sq0v`|?Mr+NNl9Tmg-#CIb zW!kFOE~5rLRYV6oSrWWd=KWNLH@oQD%?pvkyhDNQdb7DnUB<G3Q`y3EwkT}*~CYtM|*Y)XsAmxwCW!}oo(eowx;3RuUU;; z8AO%oD<>0Q(su>z+(o~_LYEQxkpWd@8e)Kanj=90JrGTt7oT8V z8euCf)JZ@j<@}M9Zepyg{s-OMh^3uNPRe!!avoY%cG|^l3e9r&DE{0#HGiwdfpectj@`R;y?8Fn(nt4|T%{C$auooyolTaQ-TTIPW? zx#Y_7D$?tV%)?;4z-Iz;Dd#@hszhyac0@%S8dn{s8kyPbr5?J6CD^0fk&37>pc2tK z{#%+W7rlZgP!VBLL9X8s`Q1jwa%JL}gz?2Osz<+h?pO?*NLKg`W#4)6(8ZQHXFxBL zHY+q7|4Kay%ik-;(_|}_EdCDSy5{bCq;=C)e}Ee~+)4qiLpAyIz`aYNk4|Istl%Ol zTqlRU3(h#M-1&iXoV{6Pdc3d8RyC&>4tx3QZtAsBxO@JKI~N6baCQGGiifImS5;iF^SaiJ(jz4&#%=gfYsLs=`x8+ux_teTk58P%W-+6i=JXHEZ9f z=OO6}gi|EAc+xZO+W&g5|67pl#_wNx#rBlE?`O6GaU3`A+@xC#Ei3z+gCX1fE-%%C z&Zfeg46HQz8O5{k?f9NecZJBBZ715?rsdVj{#v{fajkeg>Vz+!cY5U@8h|(PjAN^N zwLWgrd`-aA!XazSMEQQ$fkcq!WbAcGlaI&)XX_5}2z`J4+IIZA+o4o5?z|h0f0ioI zgjJ(N#}c)`zuS@o|Y}s?CM39euzI}h(o+YfI z6y!dAdk|*2K~~G3fz^!PpU*fu{x^@rlW(z%$m-9(bi9}i-5k@g6`GmN!qmKP2iAVi zM=%3hA`rqM%xr3C)VxukXb+wIc&wzcrZE;GIsST0g?zdq(#4xQ(nDA)yr+#x7I(&4 zvi{7#1qvZ-O(P9*e)&+lWX!Tyi|ty~6_N5`w6&#imYpP_d_(>lMWa2n4S8Q?Hz|{s zP{M`*WOB8sM?57R_i(e{?Mum9@P=1>p}V~mhbbz@8~evz7%e}`CJm=4V-mc2jC-;- zVYRU7(c)Obm7nZYKnmR&p>E?lRKNbjPUr7Vc03ZMmu3>7tS7L{L+G|Av0htBsdy0I z8}lTYWqnf>a0Xi-Oc{30jZYjWvJ^h+LGlr*FJPl=?@K9F$MQ3tlGP*Z7npjJ4kLTJ z{-^NjG{S>iGeHYD^q4*AXoC=Pst1_hwdZstjt4vN3$s1=YbNc&W+oG$?BqA7;R2f^ z5_is7SqADL5bhuhHbBYg>WcrfR}QOFDK1XAZ=IRXhx_7|l1e@=2A_NP2`e{j5`qC6 zo*1M)t4k1JT7Fgn&{11t-7mXQ3#s2`H|0OrD_!7E#(0o)EpSR|=M?zUI7*ZQ*DBWy z^=PI3)-S%=^yw}>#Qg0_`z-9Zx?-lSmNCKjH}*XCB(YN`o489l5biAQe6_jZK3#7y zOy!c}+4t++%Ew-?(RyB$iBk{!KdL_6hHl!qr(14YH zo=QH_DQB!*ojar`3#mYAiPo*J{K%@FYAq%*;^oFZ>P9`g#$@#sAC1S4S*P;un8{c+b_!7&;XKVQ^tOO)xcVxg;XS0p~lfytRy zaq5$J>vT8YCU@;2GKgUd+XsM%ypMhT$W$JO?|*pTbQX$sE7`+3w2x=U}|K))emiQe5Or-FIX?-0R#W z2}x*C7Fwex7HnZ=v}cgtByZvm*d#}V|B3fdP5!kcJV9%&Bb!cOyK9ETQN6=NC;;v|GAcvb-5Uyw^Jr}tkz#0%YSYyE zu6+A6uNEh|gZIkKyC+E;6dj34E#|8(q6LIgZ#I5*f?iD)zi2DVPFP*lOGi4Kvm#GD z%m%OVx`4N1m6nGg-WJ&gpbA9xF>!d|8Yu&5jRt9HHf7$L{q&7cY|q@-hLh{qzeaoA zB4YPPfcyI)JuEhm6a8D-kL=zDwAt6)A9wMi&)L6~r|h~Sda8!P6Y0ywNsD~Dby}O! zS{Q7L42gS2W9`NfHyaQyk@}J}7U3s|){0cnC=x7TZ)IJ}3rSLtsL4AHyLw z)?^eVEs+L=)P$lD{h!jme2BTtd3-N02V+hCRUN%)Jd8Iv4~D3BG#wetg{>1S`Yr2( zI{Q}hZknX%OEqpH_4hW%?eP;zb@uR}=P@FAy@V*}$6`RXzL@7B#c4goZ@=3WcY$@1 zuk=2hS6K=&K5#EKc8!_&=RPf6hQ60tw6JGRV;=M(_j0@R8fMtQnENxF^9=CliL^Ks z%twW|y*g!#$HJqzmioKF^%1gqr;&Um^9oyd*tIrm8Fx=@DnB(-W5tUzOtE~m`Moo% z6$YAb`zcFn9U|P+!Saw&M)J!7AIM9V{ykdxE%y6ZYi|D*r%XJqFM3}-{$GRUIPJ*< zz`HrIRPz30|BjE9$&liK^7QEmuSA~n{v%+w_a8~R@~0lTM(%2q_sV{Ae|LRXc`j1? zB;bul)ZNI?(q$~Z(#*fG&ngAH#f0yYng7IK-I5Y#hv}vRd+a|-Lv?vh^Zj(6I{WFn zgp^x_rc@OzzxZ|nKx5M}d&OwIAni4`qjwx0EUDafE={vVRb7!d4wB3;P}UI8xMeOc zIgW$RyPd~ZS+!jsG8){<%VCI0nmy?LX*l7ZuGshGuF-}`)Y&k`tk-M}?)U^`|JZFy zq&HY=Oz*$dvseCo65#wX6T*?jS3W^+#pDwc94wp1prtT^Bdlq<fC=bc&%gI2tFkUqkR%=AcTrTcR~ zv>u~}n2WgJTLW#o(bG_^|H5SHQ@X7{=>hkWr1|H|VX z&lsHHH#grVZnxIiYf_TF_3jTh^a9={cX==vJ(Kh%l@aym->Z@feND&6QQprFPVR>3 zY5Pz~(sYt|b&ZV?zF82ZD49}heZOm!5v>_}ORAf&D5Ms_UmHAF)$xx{k6F(#6A){la|^WMa;H zyajK-idgk)#b{`I1>3!Y3A9Ox?I?PQs+3oYQ^%R~WjXbw_ePoD1bQ!Z{4(ZPTC+ry zX%bJuF|i&BP`yZwnnakBD5 zan-%XPA5$fgwgmh(@KTkecDo?rO3XgQ+i$tSz&T^xGje=Yt1t#Koz1$q-US_ehbyM zH;@+EbefX*FWjGZkefLmc{pIOxkvTKWu(o>#}XzdA66eZo15VTx7F~<94JS2DH{> zj{h_Pw#SqV|9Ij%ai44r_}f*G>Gg4}Y?e1_2{r!^lmB6@SG3H{?rXC#t|%-`tdRHY zcx;|X?c#dXbFbt#XJ@9r-HR4e;mPr@$>KkY_B~Zo=zMk#JK=TK7fXSJv1ITU$rKC^ zY7q$M0tIQ&QyG)u@_%yd4jv;(`75F=)b^?4--^YSZIAhTy>hn+K^l&KO5~-BXu8Zp zn5uL`>7Q-ets-YiBp8_gD&+nQgHW8x@&JnnEF&z9U9fs*3UvtLb+od^mO1tG>mlO< z?rnsa$Ws19d10A1=OtSvPjUE5ZP7TRI2C_&HNiR6WzyfP{WmPw%tmJxCva}LLQc3% zvz2*Y+fDR+Wlze^23;0l@bsAl>h&rQ2R^WEbv1<3zq*9H{v`g(D#mp}r7m{(Gdp=b znz$j%{mk=pe9o2NJe*uX-E?a3PP2w4qSki7&fdh`&-+EEnTVsl15KE!VN=chmEXC; zMbL9DkbNRn3Z1s3JLB1v^aKXEu!cZ{$*zjA-v%yDNY!R`3xl4yiLNYELClTxo8i>$}c zkW4>9IHa$i6K$iPHk#_bF8oZhDyC;(XN;MR_f)w(oQ#(^(zbPFwd&+sDZ-DsyD_`! zEp)vng>`D?%cOB6#^nUM<)s;aJi>_fQZ(e(*{n)ZZlZ1G8(WIM4@cC*ou_1H9C5>i z5vR_Pm~3Y%x&ljQyZ}lo`F z^&qkR3Eqn&rZuG-GeTV8_(yM6D(y7fb5AliI1oTZK7``!UJ_M<^(}#zvTM9Y6ZHFP zHzSg6nxbgx4ki10XWFF*nn~n6;yFtgRGg{*XC+q_81aEeQQg%qASCoo-CNZzJ@R1!0pVj^{t)pH z9K~tgf$e}fjCYABj;`4IaZDv4^z}X}6K-z80^6>2Ow8!8{(AVS|N3hGg$Dr?a}`4< zTr5a5smC}ro2DpS_&0F!{l)6Kcu>O$JQ@Zu+8Z97+v9KOLZk*K=kPG!eZv0`-@T(^ zVs21uMJ)N8!^cEk#td4*#ULnk2Y@0Ji$q-;g8kIvjW|;G4)}Jv;1$A}=o$<5mFO9V zLK#?%BS{v|2*hsl)Ej1-lKt^dKwsk@GMgUD{rlqwwVdRWUIbB%wW^mM*t1yWOP|kl zsj=NaqI3qE@RJ75eMLLyyETenbPkihw4j>(8gWFNk@21LpH`7phyt|AKryy%O_`Zr zl0%=X?d5C7CAYi6)cv?JC=$b^2|g2jb*EP0l8#S$SsKUSGjNC`%wvNEqaNa=MT_XH zu>ler*mblPq(TUxr@YKRi3Mg6i{e+FtYJYXe3ne5_@RkzXW-OK*slntz%fW^kTs5M zz#IlqZVPPxL9}r|=#X$j#W^>RBw+;&KDTl;vk%@+hYXBcBjjCYg-0erM(0$jjv^^G zRv~YWM7x4m$(KebMhHqbkp$>sb^Ov4loBlvXAQprcnqc{zNDuTbfLz zv1;|Np>0mL~_fT4ic{E5osmrow6 zX9J1^?G(m4H6JDDD~S}>?JByE4W#XWYaijscOUWktNK!6?i>z@FXXz$S<)l@%+&9~ zCG7gKCmpKD!AT4f7HG{j=p|pD;BHOWrwuF)g|5E@4Gptx4-2gh^^9R$U=%uwkB~!7 z8+GFoQAWr0z?u>O<;1-M%&P|%8kX>kba-{O59Gor0{3T>O1&yeN!1C9hUifGG;7N! zDCZg6QJ16CwrViF+9|p$OJZtX>w=@Bwmz;Olguy>YvTPplm1Uvvlj{l>L+8;AxaWC z(8qT|mEb&#u29IIY3(4~O3(5^9I#bb)}(suo5cc>CTv~vRu^w_N(Q4^%rCC?v7@gIxh zBONH5kX{+Ye*0JG0zRl*kx5}e@C&0Ib8NK17fpD~h)>iZ_vx<@CX~$z2Hj`^aQXi! zZ#uC>-}c-iOzzLPWt@xi&Sq#BdNMUP$7 zAfEu1sZJZ=|HYeyDME?Edl1MVCeDJ`wT^-QUD_xztmThnsX6qQEHAOon8N(;pTZH` z24f;Is=g}UsfQP^jLfl9@4RoZ4|U{B%Q)Vpq#xd@)uKg__xzaqyfuIORB_U zhF6Ux$4_Dt)N!>blKl>n05UY{N|c}^mo6(|Vt5Ae0oNzx4@P03rbKZ=hqsBW!?Qv6 z2YwUTc^}zq5481+^$h4GR{oiS<*OEtRw#R>1O$r!r*>7NfL6ZWD9}pPbgb_jX3Dr; zNoG|TC{YpHZ>gG^D7|GUBUNR%C?i0H-*Ahf69=AO0~!zg7rPHLwQcWqHdHhZS8pb& zGT+zB+mGrjs*hF|Dp;7>IBQ^2d>tfs4l_ z+e|3jwrJMoPyCzd&I}U{kM$gYUu@gxCOM@}RLRRoDmJb#=?32U zt^Az9wVVwWf#QqQ(q{F$s8_b`6;UEGOEw6ZLPXBwJ@V=Ojd>L+u$?XQ;jl|(1*PGH za_r*P4#$LrGb1>J8L(n6Kl#7M{QM{QYxxi^IQRSzZeg$+1_OF{6vo_y^B3XaZ>mlb z*Ma%9hxXl4+c74hge*|Ocl_Vy)oH1k@i)fxbT1(|)-7b4pW^Jpn?he-wRzp(BUTDU;4kmi`6j>Mv%+(2sE$||663`*{D=juea~(a z_Sa4~g*MPygtx}C!%qD;RX;WUd4Y$CjBYA*fsz~#lt^>0%;or76DszPilh$;{9Eqj zycCjYHXY7HDw96()Y1ZqvF|fQkeP6~as8HmH!pFs-5m*Y1Qb&|A>}y@s;sPI8jUQK zz4fS^RV~It-6K@Fz{6)hI;D#c`$|8@XR`Zcl^%QlhuEx(GA*`2JAdiHbr{ZN4^9&4@64#+)s>=YysYeEUZLgPQgY_X%9|3^$; zRls(LIq%at-5v6z^NUJWj55w^VV2uawQAkDgO9Rh6PQ-9GO#dg^f>08w8kAd>$1KcwnvB-K#=DL8y$b8mR9$s1AxxeT+~;%IGU2t@uS*(anu*|G;j`}M?hnE9+NHG18sEpT1<;1riwINB+hI?A>vrnS z1$SD9Ja8oww|l?leFas`A`k@s4v*ZN3_&GMfDwC?4fr(RBQ{m;vC+c6ot%nYUco2A z3^Sq7mxb9>gyPf$<)g}hgc3o{h}8jpbKMJv3D*jveQ*}yq21?I8$_tg#kSfaS{2-Z zcJE_|4gAdHciTj#<{7*F14Ob!ARDlqZILClM?@4N&kb&%MR#S%yXkeOcW;G6Tr8 zIXQP?ejwJL!a;2-`P+H%&1Z{ZiEu|lcxfwg)~*@CJ0z+2>DzCdyc`1Skb1KVYFo#e zDW~mcN5H8sTP!M!oS+5M77xj>PKgy)@I7F-RcES4GLevGOp=fwp_no)pNJyqmBv6q z?O66nkrSHQ;?wMZ@qzmBl=Z_f=WU{X&qmOxO0wk`r>Bp)`>}a2o~MFfv{sdW)DjQ` zDe+tWwK-m#t`zQ_u?Xda?KO9S#c9dRQyVJ$AORr3>pDt&u=Rpy65~((%M%fF1&bXg z;7^J8-^VyEafiTlg!!fZ+q%SjmUYFSf@0PKb<6s9PlZhp#;31s^1Bx(30YD~)3V0A zwgJht$!Fn1iWi@e{=>2T{0MGyo~8lOiVeDGWqiW|Fs8xJWF_{Tih{WMu#@N`=8u~9 zhX<5HjmuMsOcd+X66ugW43M>Q)(%ek=fr^`(fBp<2f9<#VDu4Oq{A06$T*qwnh`>Q zzr(Ld%x~t-wtAd^e`KvXVi}kP2RC}yi-(xf)Nwij&{isx9nFNnWFw3=;RR$OsGb|# zgO5voVn)(8&~o&)h;x;&`ZsKTPT5OrTz4tm{)ZaKG}7O-Z~h+JKn?F^flgzU`&nKgRd#L=0K0gayoQ8ijHWUJZ|yEBA+oMf*b?!l%iv6b@m0O(uoA8IUCI z?+Q|*R0uyQRc&1BpNYQLu!A5Jv{L}(a)9iT_C-xQ17=7pGm#I|ZFA+%JUK!#p{HYC z_34`?(aS5W_f&u0%To0i02)i&a`0olCo$*}`cN#-b;(!1qeHwEYY$21Hovyov0hzN zHT4lc@EzJ>TjE$yg$0WrMzd3S5(WoteLyA?b&SfR zT!a+8h_WL{K^RIyy4j7=;na|br1tddHH==d{h-^qDu)Z*o-D{BwH8f!F^oB$L5UKo zl<AX`&;dJ@x@MwZ`6bwg7-4x!|^^VFrQbBayq1 zc-2*7Q+ugys*LG~W@8=`nS_9Tas4w>gFaxI-7}Sh@E(i*vZUuB2y&duz*T}C%Ag~B-nW%3*xUnC6whc}bFC2vzO+60*=K|F%HZOBBBpI9 zwdA1-xNd?8gM243R0O<8D1)0}NDpDfKPw%D?Z^S8)_CJ=_+1?=GTj?7T z^?ev$9f8$G@v{?ew=JdIVu4q@j*-P_g^QEu5v);dqMEY-t1m{>7AT#0vXdC@Bv|@Y z2<32MkO3Zk=>-x@$s zK%47-9NZ2cdU*NuUjH?49bjyOUg(O z`WfB}<3kGO4&faG*@vFf^rujg((jj~-MUOpSX9Rc(2$Ld(ISCT z8-#(x;1J;X{hPi^F6K8~r`01Zi{kHhA&DYvoGsdmb_yi1UB%Kk5CE{? z5*67%mkZB$!^@Vk78--Oug$)HK8cW$EoH<=tG&5EWPu;5ktQVsZ_H%Z~~OFFqEJVA{od?u|6b>nrH?C)L{<9 zPam)Bp$+|yB2O|dAIDTFg4B+pd_tr?DaeY8)u|XEoLyx}{ZP0?`1naB4}gXvB(b&b zd%`aaaV{&i&=u5Su09M7V?AayeB9zGcqb!)k9jY2;XPz2<=@qhGp#ypYahPdj3*jG zaSbwRYlU>{-yn1i?{!hs=!)%KG}wkvD1D~K6zo4lP;Ib400Hf0OQ?$B^;=P99ws}% z6a-`_$d7973;#`M_S%qetq`e#&txK1-T-$p&karh)~KVdChA9W{Q7fsx@MiT&mw%V&6HoA#utVjp_<;gk!QX3FMP4Ch!hUA?6m3ICa{$&W|VCB{K=Y zq#~G7S<}geVrqV>a9c&F3IioSq(}OMU>YIh1Y*gj*bp8?uzxrzTXY}M@phQDRH8th zImxdSK<{vqVoZ6+#H$pojB)~$m@yZNm1B1-W?7G&C^08A{StHGBm%+ic+sjAU>lI~ zl>%okYjX-k%y}^AikDHCfs$X5S8ouZ!YVDJuxnRH7)FERTyooZkSHz?g_|n!X+n#J zarta<&+o+S&f!L`@yJIw1~$t)gyH082?TE&hTN`^!AiuI{3*N@U9Rrmm+? zkCFC$z**tB+9&D5E|g7*(*0*o4e2L7StOFFPd5_=)p&=Re1M<&y+M_-y~8_<5H1IH z%qAJwLdzx-Es64BF03UB%+`pEQ-uvbSL}I>ARuUS2BY9dhI9V4i9h~uGFFJ^c@XuA z8&^o^!M=ubyL?R|qeY(4m~Pgd&C ziMnl1pe3sD*^_!1 z-iL%>?88r%`t^gA{^#eM^PI5RKMbec2`8-S<=yoNiTNR7cyaJGs2oO0VCPS|6!8m5 z+-F0BI83E)MI-R+CEQd>N6r?Z$+Kbzv5@_wd-A^LQs>u*kPgcoJ(-zf#9xSXu_od* zCkOOY1o1e3eR6333hy8`v5QspVnTwGSK~hAB;v%&kuNbNb5kv2+3>2=jlwy*TM7Cb zMnP@Q39;4YJDhWH4>TcB}>1Po%M>>ug zz`xaOXJYR91zlAWQY^s#mk3>X94}-u@%s&)l-P1gt1vb(Qqpmz*%!&gFiyjb5=~=g z(a&t*8wW(SmWynHMOgo`m`-!@m5pb_5>R^OfChcm0qyU<0oEUF7iXGXI`2-Jq(1Yh z6q*Z(;0Zv}y^l-DaE1QG_l(zz5&vs^E%YmxI+ddteY=YSFRkL_4#kw1R+US!bI}~V zzQbDVb5e&pqqPIVkG8_TQjyW(ZAl{NyblmTcoP`zC~(`^6vAojE@C+0a3h!g&40{q z5!S?wa|D!V(7Z$h(x_m?9ythM4u4%q+-{L;hm(USz5+msk^fhE%J6~@ z>JScptc?skp2H84{9p{eW@mx41TzFOXy<8VWSX5kotM?EFA&4pccgc$McKj@Vw~3# zCQ-N92?(yEBm;*HDk`tS6Z8wwVcCT2VnI2qY4}k-4w3zd51iJ?gp?UliCNBFSSi*7 zs7~{$n@{SL5N^c+2c`_rJiC>6SGtKq=<-i{X`@d#)r5V-NF*hF4uZLQAXdBGH?{xK zbr^fU9QjhojtkleT6TY|dL99JT&aVFDRo3T6}}SLg$m~|Y@E7|UUn>0S{##4Z2{Y? z?GqdmZ;m3xuB!hv&MM8X)w;Ut_*=+a_}~K|O7g+P0l~`L2d;pojd1^`8A3`kN0v?< zhrkHRE~>E{D|QJAZb)-;im`F@VTEzkdX3+z1wsipbjUb~5c&rQAjx)cY_GbCERwkj z82d$2yWPOK&au)`2!$5L+$^vZ`qII8e!(%C;4?T7X4EhySX8I->9vaz3iiZ&6gMI~ zHR;JH9MWbsVHLUfMZrp^R`>KV_F$6glmOV#DU?US?kYB@F|e?!I{P9NtdjaYpbn?3 zVR=@Fu97*^r?*Lro#~rjwGd8KZYgo24kYy%x9k2xm0Y}FSQI<5s9lW-W#`18W4!}Q zQ<_706paiXJcr;E1L>VaPyg{Q65gB+o~|3Y2Jcv$2VltzrJC%}%wYb^`$M7mwqgxJDV<+!?(Gvk5SZ9mx3eAn6T5Ikt7j4+0?}V}NRsMrp*0!YA>uxB zECB_RGg5w`(2`2drQC~=W6X{e3b_f35g~W3oSULZ+UA~nZetiW zW@h%=@AK#9kI&=vetllA*ZcW;JzlTZ6P7b}QH~SzkkwL1bio9Bi(4Byy@H~I|NZx= zGLq2i*{ACwGkw7GXyPxq;e&^2G9Y4pK9eT>6R3&eQysAKj8C{9znJ>T8#``8sZm4m zsSoIuWGx!Hqgslj{C9M#vlf54qlT#oi!CT`{lct8olo9=$;MjWU^k~N!B3rziRx&b zJJWG$zmO&mEZ0>9`s0QRiG%^SyF$hr8FQ+n>nI)EfSbdn$wOs_K201kwkYXv-9XN= z_t`gXlEo#+lOo@9GXfEZoe$%dz9t@kau#$8%5?^6?9>D5^8+RuD7`kfJpn}>uE!3x z#NQU-m3y!Ge0OOEO}ao(9NRsz)E1L(;u#(dLK))+9rmZgP~|l%+Tw3|SoI^~iUcRf zN3SUmdJzRX(Cyog4?}fVWS{!EaCv?|(o6p(T4DSe;8NH(vG_#XfGJIlrb_(6n#pJO z1{XEis{-rss=zCpwDcn%?_Dr%{~q*zksFH5n{~&XATh)y%Uql;6Z%C1f1ThPAt3i; zcJcFxFwBByh(xvL_cBStSC;ApBe=Tte$S?WK%$DH90Xt(vV^ACTRUD8PNRUHU= zK7CrN${dwE>!90m)&sFw{!8YqfSgqRHEFY?%^&$)Z}v-v8w>h-etRgz={CJta{0Xzn3<*Wt^ac@!>Wa3 z|GV92Puk$gkuB!fWs3HL#l0)z1B)MtY7z6cG?1j%&?)#`^M2<(1qouOje4xc*`{2g z7bP=0&IRMw^`<=6+S9P|`|H-KPrjYWV&P}J-sl#`u-FZ!A>P8->TZg!N);ZgwJS?) zoh{DM^>#;J4~(QORs?mnA`hY+Ph99)J^CuLr4)9EACi6=e&t^sNpvMMtf~j^o7a6j zoUU8*gZ0|n8lU1q0Xt)c+tu;&bgCt|1ah+#^V?BY?k_khX->F&Bwbs%YJNi2+he=Mk-BQjf zAGJ*&^GsA1M;kq0M(U*w8A8{yluKUmPNYutPQYA==HmCjC!*Gk7LNZZ(eTLdo9gZk zzUDFlpSrgdnUaoQ=Wb3#WmAz@AtIdL+Oc{eaE$xU8E5#jOY!o1wjCuFWi^cUqAIQWvCtSE9LbKh#E}w)p6AD`%MF_dW;*Opc1(ecaQ|; zb9o;U7w&9WkeeP(tEuaPQ4*_ zqm~CrG!-LX18|`!@t(bx6v@i=2(Oy#-h*j$xzy`IpA-TiQLatkNRCm2!U2NuYqH`N zH-A?)4@U?vo&%XmiiL%YWzK4V)bNlvZI`}@2VZ|z3Y(l z(!j|*uO#Do1_G6oXS+KdHjj&}Yw!{*QcZ3U_L?&LL&XnVzet_moAmyLd=Y#YMNKnn z#br1Ak(Rd~>fauJtEC0W z%Aj59gE^!O1Q4bsjqnYP!2{m(8^qF{Vf?%C%+Nk6 z|LJb=SI(gWY=q)2T>H`8%^VEV0?MGE<)X>=@giQMd|YmYVjEyB_n#1cvA>>NYoZ}q zLC0`_3~wo|#Fs#K&4p9v^M!b|*jAK0ki+S*1@60Rssc1!u;5tMYl=0Za*Fpll7pXn zqo@Ef=tsvI*03h~-2i*p&XzteW8Uu`vR;%==LJ^64l})EgwXUbFMaE~ox-XjGQx-t zS^1)OZiSOdV_1x+{h_e=`(_oEpP7eD9piEqm>5U#J1f2{v&nJ2Uj%jIkoDtbku6tC zKae{f{Chvqf8Dd@!N$>a<=!u(T;eabHE!aww?6gK6@O0yWfT7^HN0Q2$~>`T1`ZD&o`+w5WamnuoVE zx~pjgyJ({o&~ewVhRbNn%OiQR{qZ>Cnsw1xh$(F%GH4<=HJKo@xkC$)$=1VdML0lEf%M|H-^$R+ptg#n9g1A_u)FN3?=gp&`i+8C#I_m;_h0fbm zF|YI6i*}WI5+B5!ZhMYc5vebigY@8Gy2<}W9|ja$r4C)BsZN~tOC?dqjy}UZ`|+A zRAD~Eod=_H2}(Skm;>5j39UrstO#BBV9vrkGs0S$BrbJrTuR$xgtSX$lY_4)=cWfC zqQKko3zC_XfSNmJ{)UXAK36u-vJD>|-bv*|yRxC2s;;N~9v$xfU5Wl>4}O%MV8w2Rk9Fy~4h%#{ zAv@XN(&B9hP-*aKn>OBkQc6Y{>kR>cq`Ug1h`++++}+8(Datu<*FS7mNgcXz zu5uB%-2I~*307qKjb9`+T*~Sk&W4d!I@W>>>%N&%yRS*pYy292bTfR@R|KP)&o&Zj z0|xWEkX5xgq@>lCbC7@d4>UU3A~>7kj*}N{NhPOL21^F0w`;cP=JXEEhkMV0ihcjV zfB8kdKc~O?2x%B}gtrF%Vg5$1^vGOObK)&{)nIGq+s^ZU!5%r61!+obkT0>6I-cs( zQdn0+m5%YGv{DuvDUGmC^J5kPe}N7QFQ%LU%&BJlmI@Wh9M+~DIHwR6%c>82vxG7s zZTVgY4K}o~Pq*}ZXcCCtd_Gz&@kK?*!TIin+F2;`Dy@?7wmu;cT~qERiLnaZYy6H? zp%!T{3KYv9V~LlUvMTTo%&VDpg!wp~_$GFV%N+kSHtpfgf0GBV`|UF^;qLNXazZh}>?Q^IcHO z9&*$!;sXRY(tm{P{Aw5mk7Qv|;o&6;q^W%On&e@B1M8hhP);Y)*o1l}c2Ab*)ds(n zT2-VBy0}G&qej#fb!D}~@6aOF<;?xw99Zf`~y`MGO|#Xe~7 z7I?!W7Sr)Cm3lfJ3Nxvbl3nde%Ll}xiRmzFlHL20prRb`Sq#i6Uzu^sgQ@}ti0O5z zz~ZIC#M|X;G3i78oNEr|*Tz`0YT13&K~|(dQv!NN0^^Un^$$?rk#`%M>Rmb!_@xp+T*^##L6Ewt-?NbX5)vI~IF3lJH4C*%nI*Y~w57%4V#wZc&z9Vl&f!M5+FI8(gU3(efOlMo^oe-6i zDkt75I)B(!X32CgU=^3vd1s-K;L*^s3iDjRD|?CyP%*|^vJLyCsLQ}ooyt(lUbFP8 zJ{e+2V7*tS9-q=K$e?QA?{8~Zux3$MX|W2h7qA@ipnwWn%8Y)VR0#h8ZQ_5%5b3!~(oK{lDc+TvRi8LkQ>QWT>)wWGf8d@ z@I}0c=h<7X{)KTUY)dQ^XrUi&H@v&Z4$1fGZ~N}Iy?0VGicfwDdRq7IGx~p5T+6`& zkmqH45_%wDf#>$eVfv(v_RZmbW%$3{#XZEGOk2@~+`}j}_^7e2OW$yTscVe=u@L-T zi%3ZK*khKj=jQzQOj}`^x<`hS5M1Tv1I|iJ@HU#C@8dIibJ?h;rt!X1*)A%wYG%jh zi$+2AtwMzD=q7Pk8C;42+AQ*Q5$OT z?fUvVWpIT(;(oX0AlV*M$kjG=?F%Jfi)a5-d0!8E8juR>vL>@8B5z~O2$i-Hb(hQ$ zPh2{36eeTb;crBB7vC~h2E^KTO@#m z0ei#iwl6uJYY!#Zgk0dz{M^C&T9%F#`SVTy61+4h4}EqD3yiTD5~Y0Q{uU zzMcQ&)1Cl4fv%a&zM99JXSYUa6e9u_mY=N)=jWxD=)~hh?K(E!% zn(OjLA+a37HN`~ALcuSVX!qS5vTurB;LEydFiX<;RMmD={#!iut49X=cOp$uM?Cx! zbk#SCW#;&9<2)I~Ss>Wy0k+tz>bIzyjs2!LrIvd_c%UG#Rv<9R^)Asze6_e<_ACyW zS)h>bv>P22 zLwJHH;YRFtzoC6`L1; z8_jA;gWR&lqH?U2YI_{kV40!q<9LeC9Qj7K(jsxO*p}AFB`GElkP2;uTMQU3|Jp%~ zAWf6ddiCq*@5RG6BkRYas=cXi?odOQ17LJ5_ee6cqqmd*cSBtL-crqQcDq|bB7oiD)#pn=&yIoW1;XPM#YSmHRq9Ii z;`*`^`uuhh<~~v{^>4S8&iGwlZF`UAaxm)RHJO8fQY$G(AsUR zW^7xse?7uDM0)tS!5-t{X6vIq`d2;k{jN(H807~89X)0)?9bem2$T4!*>BHx^yC$v zaQyNUtLon<`CV)+&pL}AL0JbELame zG{KmCLs+lFI-XR&qfFa1c(37Eu%W#CdG=x$&-R&NZA3MW8n;vu9}jbNANCg^F<=Qvbr!Ts6=E4OMowe% zPg6b7GSC;AkcLcMUo@^ zj{Sb0xLd=SMGw<&%uI)Wzxm5qVYOXzRwo!>)hMjDEWYyq1~lfhf1-J^POydbZsv*t z7R6thf89P$Nxu_8CA{GVuK*b1Kt8{rt$bCFe}yvz;7@v5QKH?ZB0eW@g+Q}EZyG-*(Cx7}RX_Xw5!Pxn6C%n9h> z3s(_k(Qld7EL+aKo6k zb(;z)5l86dQVKk*V>T2~liM$jpQ)Ew+rEI!2>G~zEM<$GHA)mIu6$4MFC{O(`#1;5 zy+RIf3O>vnA;DZmG_DoasV8h;3?9`nlJC(6b#GQ}PDnXK3ZKNT%5NHcs`6oM+8yiB zk>oojfEj}yQY~qCFk9BVs}nau&F$C!-gRQ_Wzcms=4>VIxG6Yrwgs62wh1PN*V847C zsHwIL*41fzN!5dg zE?1!clohPG;%&{B_rQw~)`yxJ{i)5hnvdjA5sfyGW_k)SSUpPYN?pgd^3U-L_Xc&rI z;}jAK>miiUiR~~`I=YyC2ENJTKOCaBD(iT(z^+!n(_=cpdSt9M0!=tI>@Uy!k*D0) zpa89Bh3@}$le^JpTm8`+<5(W*m2cNkl6xfRdYbziVSkA2o zk=$KQjDhYOWBr|NU5YBxhmkQ7U(L#heFh4dnc~s=;KI)b#P@92wB%MYuP#-?kQ?rS zEXlc31G_IN3nH*6!JYkH2jcv=MIBncbuyQ@pT>f*bx4Juii7JJ12g)oFK7N0PfyY= zRAx+2XfKKL0~6ow{4@Gf8AmxCGWEF5<>L6HL)h3^5==TEe?|J@Dnz)F_~OUa)k-KH zcR(;fu4a*+g62TPt0(^4Jx~&%3nM-bc8<%Ztc~|~Eb?-BQ^T`%58i##Q8-Y81H^CO zFK};IGqA$ljF=^T$fi?SHB1h6V-ZrYrSSx7Eu_kP{w)*fZz`1K(SF9q zZhU>%`^}Ij|Gng&hvHLUz2o-U6Hoi_-ad03s~&8dTH0F^0TQxymRlW{R8dDO9#rLY z^!c1=4@uz&Mb)ObB+i6#%LtYsW~4ZGksT1kS~cEf-Hv3ny?c>cN2qB)eq4+%xV$q* zX35U1a^}tgQ#A^0fLni1{UZ>j*3Dr356j^L^E}h>;7VmS%XqYNKd%&=6331#UUIk5 zKd6jQep|Ipp`AJ~d%E7@OX9!3r0#h88CQ$6FE;}l$IFt)Jl}ttw^e#Az0Qc6Tj0gk z7-xqo-><_V(CDpAr2H$e$AX$9%j6}a{xtLtL*4bjg6&H8p zfk$43zH8ca94A)i*42u84erL8ufCYGZU4zQ);AEEiAx3&l=+HeK zA;3(34A$5Hp5vuwr9vWxWu*)C=;hpT1|*i*AFFlxn?`^9cmjNArs>vItU3K~3(P)* z`FZ}6Hm3!?Qbo87#W?Glu2q{-loDL56W#kFs&c5q{`7H|c4zeNPNOSQ@Jp{LIKve= zaS!S*$w>>DaKEW@l(iwj(O2fL<VLwbw;;b;Y#TP_wm#YPXqb{BWd2-P9L-=1)mKvfcjuv3F7ROA=f$ z5JNuVivs)4Fsn5nF5@MdPG-Abv>HRDQ9OZ?di-pzTT;XGlGfxAiJPA=R7? zRtE)UF=Un+97*L5!`6eskZpZ(9S`Rpg)NaA^{M~3oO zFt8F{JYxlXa*U|FDY@SG<@VF!F2N$J?6+tolo?^|a)U!we<*>?e;Eh>?{Z#}f%)}o z0Z)VnHV&J|rAQGO=eGjdezZK4=?mI2HCmRNow~eQAY55Y?8t+Z$`VF7S~LHuwpiZZ zRw?%_xrof>0-Cs~GcBC093|AH`PjQuA2`kkI(Z`#s+ zn3U?zYLAqiEpFMO+Mw|k>;$Bey!tuxNxowT?n<$h@BY@lI5hVzeK1jgi)&(*+HSBE zuAW-57^nJOHu{y~94hp8)oy?XUOg#_@3BN!0e6hez*fLrl)-pt54E7t09xg*VZGB* z9V!wC@8iLSUv{zvx-nd>5(iAEt}$F|HF(Ir+J4S@o)DCG?JIi~Y4_kkK3_t82iiG8 zaXF&RUyAkkch?o6O6jGJfNq$7{;Y^_r3nBxcUuvUK?WY_(q+oe>|}Lp)BfACNG?pg zc5uIan?`Ge<%;>ONY1ljPBsfe^`c=}Rrl@O5)N}QcBj=o?hjWEK;o0yQwOHo;F3>(AoeV@9`6ei?`9KEfDY;u`bMubnI z8$|9exz(~NT(B-eyMMdp!UG$u_ATi@*k#X|2e7Zyso5CkmoAj$ZCJ7pifqKWO5Mxg zy0f(RJm|phMmUyh7@^96;hXjS!Y7%b3A7J5IY{s*||JBC5uDXA6-NbrO2tQoBU$8x|MN?F;=e?=+_6i zmy#e~#V1Rmfz5Lt>ze#FbghATL#F4!cp5`XWz8basrDJ z3Tfi=b^Yk7^k1qguHiEGd^Crh@tWnq{CoyGR?#vQ-Cg=`_8Kmov!p+|&;~w^=jJnP zL1Tw@8Peq_ok>^FRyCp1^MgkWdZqnztk5gXdi#rehk)zdArKhopxxy}Tg{Fdw%HDZ zdY6gZ5yjw^r7+xjHJv6(x~SU&F7HHF3dF*ff@Py?U$xHz{=4DArtBy^Q!0< zI6LQ?`tp+7x26PnzN>TKPB4<<>SKN&H+7gfhy{X^5`$6UotEa@P>~ZkH?@{&K;4`UYI~?#tTpKR|m5g%>HXaD8q<)#T>91wlAe6nDWT%y}QCly+(icH&mGlykK&Pe6e znF%o)tJrb*unxG6`ho3CWoCiKQ>VGH@bUs;kH(h<(;&Y~6F&o?bb1ol<}9M>$IiSO z(nD&REfXX^xM;f*^Dljog@HM@(9bc94pxsibyFWpwzX}$7S{1LRIpvH&oXk9Qk7cd zvGvTf?da^9U3+t&!6PI5J+;XK8($;9IC+9qI*+2xa_=u8-0(KkDQDvX&7~!gVKN|<-o_9CcsVcYV4F8Alyl18(P&t~o zw6j~%SH=`0JjtEjRVqEKA|TUJxjwF?GmVB8o79>B)K36{bU!s?vk;+Qy8n7{$%NV;$#oAlQ!SQ!=gLgp zZ^R2v)2(T4w4&)P&DHq+dd&?wG)!zqeurRy6V7PgImEyW3cdaBNS&s%Zu@9q)mq>m zJk$?6K@<$+01t5=4kz?*Ao$HZhe{l6Buj0{E(~%r@$WHBO)?}8w zuh8aca>Yq0S>-cF4bR@~Q+adpP2M|Mok#OBy3t1sPtR0elzVgH$cgl+@Rr*xWdiVx zOz;#7gKT4XG>^It|2*3=(`vvgG|ZneNdNr#t9QUSf4`o*>=J=@i|A_7U*e`8nh;`r zc30w%jht&qr-@N}>iLB9kNYVdH{`zbcwJGV*GY=OtYSlr%*CJl_t4a)t~-l=FfBp$ z1IM)Fnd9*nKkb1$X5NQK9W8CfB-D@PZRHH*PIo2DOA5dE7!-*w#9c5yixM>(JnFc! zTKv$i$Xy;Q=N_J#=9Af4O@xd7fK45oe_Y6uwlXA;*W3En=eFF}Qr@4(U%eFY3;*#d zT5akaXZzG+C25tP6-kAi{gswufmdGe&G@yQH+Xx^Er1*6@{-kTH+;h7N+OEHj#kTb zeGmcmSKI#g!7=uF@Y^5$JfC=;w&}G-2Wf~mH41Rysz?v%Nfs{{dQ4S>k^Nf_yo%%!$a)710SSZ=#7u8k=3c1(*Nwrc-AY@$1NVobZcGu z@5#PsmrJ%6)CPa{hqGem>C#tet+~21Tb~TRKgOVZRP@is=H3MnFa5qFC(}D_$~kG7 zIX2J(=59F%_?!^9e60~pElv0e{pMmI^0w0Hzl)!;)}*nHg&*q;(+(Q+PS73%95$O* zS$jm7pGd#(Z-noS9%v%T_4ChM8L%kj!ARI=bB9>wGn z#ynTEoq5kXeC=XJxoxPmHt9kYOLpIPEmRP$MPC~er4~F$u*HsJ25etnJ!xbjl}{QN zshINq(lvSMp3%|I;;f@Z3Lz4H49_Ao_Gm)v>tDi$!(oE4Mq6y~t;7clN96jBmZ#+O z(^|sbZ1YT42d_yTVPE`n;oHTMnpD-s^hI|OoFB`ps6Fkz1j_#O(@EcAXqu8kT)lxU mvTt3f1pR7Oh9z4{4zf+F1)d4GE)^q%yd_!Fv?cU^NBSQuIZfdJ diff --git a/cpld/db/RAM2E.cmp 2.hdb b/cpld/db/RAM2E.cmp 2.hdb deleted file mode 100644 index 5a2437f5d3f7f63faa9021f25b0dddda5659e4a2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18088 zcmZ5{1yChHk1+0wyUXIRxclO=xVyV7?mT31U7W?;-QC^Y-QDHE1Ae~$uIjF?W+s_* zy3?JRPCAw8K?4H=t3(9QgKr&m#>zcC;t%#2KFrU{}C7>>VM%9 ziT}bL;1|B|86@IqZq#|_qh!PzNL&^8M_L|vx?iw-Y(C|s;)jK4)zSX zPXTJ(*Z0JaOS7Ac!xtN^&c~uFT zS~)4#{>%jmq3xvKjG@pA;z5f5f(VGsX&EN@U(g)BY48}1`kT5d6;&~o`5{U3v{ac}^;ru;gbkKv>jt~-gEvzW%+-di7*e#mQjP$K~}`)~MO)jNH{;tK|UO z-{bxE6Ha|&B&I~_eciXAqQCXev?i8Cw#PpNS=8dkt76SB zR41*rPgu=#mR>C9qccYJxbx?4ezwk<-Jy1kR^xzAg+6}&ce05E9up8c&dvOoaS95b z-3;4nCthpKtPG={3B#H0hPbRck}_n+myg<(v$w^tkLETt)$^oy#ji}c-9D5|NN%{! zB7}XyS`ATqw#btoO0IQyN@J65TXkP$+{Yu5m>P1*9^Hu-_^cj~&h&%l8a`p+QL1Ao zj3P=P$Xd-V-S|@XuI({zJCUW8f#Bb$9zRaB2i2XA56-GI|<(_c291Ofu{ z?J3Ict5*M7E0>kG2DAU@dW1`H%Ag_rqh0XdZN9bqn?wrjdfkv@y8VINRUckv2+mma zkA%>hUw)l%?EBhG`t2B#O4z^>l3Y#G@405~tXc{CYLMz&NLqt*ESyvUtG5BRH=& zJ8`prkQU%98ta-hamt^jwDI9;?M;1eYyInRGzrH}F%u=s2JwGxdirT0G$btyiTq>p zOo9!qefkeFKqenPA@70F=~GzKmjvh}&d>baOk_UX0=}SaW_*od*sZjyo?uor=j=#4 zn_8CA;OK%9KS~%TIXmhY+?hr4u%TfD-50WFH%+gJW~CanV#|dPoJ$t?=G=~*-UAk- zE4JDt=RAWz3bh%w_bUhy^1-%=XS5bP+`?SbHGIGOeF-osam)YFr3AigAWly%P z=dvzzT#cOrOpoPjXA2RoHHY!<1;}O{+J1r$s6|f&ja8U3N>M!5y~{+SwM?Fj3c{*- z@$X_;*E>nKqs}i)n=8kckbn28C}(3#ICj4$k)$US1C{~*B!!a^q(=$l8vZbD9;L zHmwbMAo6?-wv5Yg7&BD6rm|TvV$!bb%UMq^ zIqflzsSLR+n%`BiFFb>gUd-T0wJMv+Dc)k8exH&>xw}lkP{2uYPUG&KQfJ(lcD+9) zUiM7?Lbi!%O!*Gu9-raVf+3BbZ7@nrSG5*5Wukr{tAeDuHQq)znOKdr`(XLEolv2C zdhv#g-vY;`4m+8a1G{$w?)~xz^|7pZ^@xJ)EAUg{5kp95_XVh+LiG;1=6NVjRzH4V zF#9_!UwLakTyOiX-CCNrJXZiU?D>-C|SBpb7$u`8&6{$nqRP$w-)Ga z6`@7WlrSbkI=q4z`+iXz)5Pv0L%BcB*v)lgpr=>zk+Co6~bb6ZWd#yx;5NVh~uHTs8bt{0( zuzU7p&P@vh>4Vjs-P;VD*}oC0oZYqWDkISveW%ctRf&q9%ND1k50LD-%LF#_-{wMT zo?(OwezI0-!5g!19txF?>Yg5BoX=0+CivjbF;271ncDSCGW|svq#n?C%>VEt{`?jD zF39|JJGa1n&r2VGlQ4~am|US(pIDSiDKq0&*JKc<@qr;3MbX8Ft0B`VeVago5sPWE z-mBAE(eGuel(_MzCO)W;<(PMgX7TQ358(I6Ac_B&>|`ILDg5Zv`uqOzHsy-@S8j}m zBy~G2BiCFiY;YyR@d5id@(luA|6p!v_5@Tz9un`)`_gx2onT9Ppg*BN4F|15}x-I@C z=u$Nh@u~iWl4+s+{dnW=K=%k2wn6!s!&74Iil!-newh?Bkf^|Pp#sV&ig7@rE=^T^ z?vBh)s(bGqG^hd4J}7y3xQ=_r+r4jZ_lcL6K zjbUOaq%{w>o4$QkzS3N#FPO=nDF2VpU$-R`X#hkJ5jYT4=#eR{+luxViwxNpf$&oN z0?NAwBo3qy+a1S7G|=5p4>bi1>#wzljXE=Z)tY~bW&GD@tqzg)di!{Mu#&BLr2o%2 zndTO^Q~DR_;B?;pi=QTD?La2`ppVPB?8;$_PTt6dtja|EU$B8i_i68<5P<&eWES}l zh@(;3sb1rN+RZ$+n`;e#)t5M+H%R}^VwVShyOx-MMU%3y`V$hJ8V3R|Rn$od1%Tl^ z&X)XRkTcjq$#WZL6Y|dvzZ$R2g(F5K_B5f< zo~WM;3B4r2thY-556w>V{gC^cZQ`OF(-?u~!ufb5C)7k+-0t6-ZHpi#G>mhuDjpnJ z=GNmBOSU7Fgui^~hlopd|8(sTtM%Kh7$x-FR8@>Y;lD;tcC`QK3=b?#dZ@NBGhwn5 z9xc{5-v6URz!i-}w8+M}gQ%k;3N+wDT9?3iWYl3%KMG2Yk#`Jd(qUf{s;je@H3TRa zY)gHfoJf75$97>?j{H$W>1Xg7mUNCsyb2PbSnb?>VC3PGeHhfXTRR|xi1cD@Y_XJ! z@mmgHNs&=OL!GO0jNI$Y=eadi^d*iO$d5zFN`AE0S%vfpDBw8z-54$Xinmr&}5tnWzs@y!9i-SRy$E75Dk#7 zrA_6Yy^!jfO^AH14%jW1{)-H&vWO}-&co(gArU{*9G}xk^hQvNr4}EOP%*cpNH0^4 z9j%aguJ|rq!icDQMCs4Mne=6mXSuhNKNf``8ypuaY`O|pb@ETHYdcYO^6&&0hho0^ z!G&GLaP$~~P12W~)mz!P3c$z6Rk1}-rd24T$t-9n+=}+~y4t!JJ;Wvi#be7a+}!Zb zxl1gkDY=OK9Q8B7ZuKDR#1+WL(!?JxO!Y3nXK?s5cOJsKL>&o0OfDZ`zO-DkaMv|gwDCF!5$&f|!cac|n=FP9~@*;W5=;G^7xLywrG z00z;w)EjqN3!Mfo!@h0sOsX|}=H6M={Q0Kj^c{W^US2*%lyHur;E?6B2AQJQmYJJZ zsb1wx$vW;d1y{zwe}xBqigl>`T0UmKA}w~|7ILzI8# zfofRr*^+WGivQb_2LAV^iX+1z>{hKTYo`i4hEAsdT5*)`p0G797*NYwlVS}2Mpd~?82>n zfQ=_g_}LcyZ@tHlEh|{qGf3F4Xd>?B*(~(6hy7CV`WlG*7=XbX>h;O(Sb{=GM|Ad{ zKb9-_8~sA@nj{GMQSZ<~4Eb?$i|k5qTTkb`kM|5mU8B0nhoz#7sHR1`#}SL$C~=ns zrtF)p2`TgS%`O@n8dWHQetXJ9#vBFNj{6wJPBsZY#0T0n+D)uctUo~23ktM9L9s)^ zqwKE%qnpRwFNB`)8!(;xyEAJ`V@YN6#o8|8w0da(uS*-z!UF(#-;JEEKM9>Z8=s$9 zVG~$+dg~Ff5RORf2@Vn8{W^z$?$*_Bx6&wEor4CZp4$5kBQ6d&bgMbHL z*KWh|ccW7OXiD^6_NEy(QkymbzDuJ7`-yd><;>#0*_`)FnokEV$gsiDyEw4?co;VF zt3~Od>F?jnh3SIuV#f?a@>x@7OOVW~-GYFGaiye?(cXP@ZuSjGp&}{n)H?2-?sc<= zo1+q61i|ICe3f?cG-8we=RgnQ&+wu5hX~)KTdFp%j_@jChrIIP^pmsyA>VK1vvy_4 zr-CT#TNGY?EQPbeN*YVvOh{reR4+POH&|{ahu@ag`%+9UZPmLmUTuwcNr+*V8{GC| zRNp@0L>V;T{odLeFI>668(#hOI=#)?<>!aRt}wFuI&uJfPaon^(01@rcAp@jG7*kM zlB-;w3zftfD>D@1c7BR_K+aOf+R(tNSSpv4nAUPvFD%Bbvk96Vm4SHZa42vvER!j( zBcYj2anPIAd|h9Hl6cNIOy|t%wDJ+fg7?iU)uFcy06!}w&S`jNsMteFD(_l4>ZE`X z`&XA0<-WE7i);MrH|wQ;sLj}0l}BB9#E~RAZ(Uh;gm&7XQd(+c{?XPcrOFJvEo&T$}5vJ+4q_^MJ$jsjV3y+s+B9Ja?CFwaz&7 z-Jyef6pDm&>gMnCv;7G;#-QM!0f|e2Z{G$j50!17q71FUxzZ91gbazL-8=Hbg1o@!w7U;2GcIjIP@gnfJfcJnqZMq{6t9N3pB))9 z6!g`Cu8`0hy#F3N7*wYAP?wNeoKe2B>W?rj+SnZmR)`+!%#XDZ5|)u;WrbZ#sV6nN zC6S1I8qo$(=rpRhX8a*j7GfgZ5aYIyaYKwnwB}e3?f@w(QOefh=|4X__Im@Vr+q4E zS98Na5i(}bi|}D%?eJ8fJ&Kn=>jb5vtnmZQ!{K1{j;c1dW)0#R+@0jMAORow8qJeS z*Q;BoA(G7zfc3%K(6a46FT%y|Dam*{TouSw zmXZBy51dY`e{D&fwo1Yua)>~yOVFHQ#olxHTL2XvJubK1*36hD9yn4ar^D~VpIFcj zqih80fGR^>^!G&_XwQEIe6TPU?OZi=&Z$#S9b8p)L-^BaCCY!;iO~+FE86*Z9w>4y zx2~v#P$b_+ld1tXshX@B7);Yk<-K^?S)RF-8(p%SFc(A+=nUS0&4soBrqVf`|E?Ph zp{&~vE^V7+i@3aF*_DMe<98TlyrU8g@yM1ff>$oF{@i@ z0?J+-)m>o5SXo}UM2!e)zW^}R3$b-+1<}+wyY_U@bkTTO+a-f=jZ{N2f6f_}DM09T zkad9Ay3bs6@ps0Zu@A}7Svw*v2VV3)J`wlrqcUeFO~5p{Tu6yBSQ#F#L06+C5wCLQ zTCutc7&MJIIE&-SLhj~JA|lOBxl5FU#Dw>D^H0lXgB&Tu(VYEzBF*?hm0;$`s&u;zMAn*hAT6M4Tv|L310)H|J+ckmueAwCd=HvSSc z+sKXUZ7!WFD?7{_j7oN++|){=r5R#{6kbci?eFi?ssXK-CD#efh;8jH%ffe$kpoNu zG^Q;aK{c>0%M|x^iJ@FZSJ%bQXtM5Du5wd!}Yq>q&lqe&6$Sfgjrm*sh7{ zR=vzV3)3Fak2;J4@eYmrIXyS_C~(2)p)u#Rk*|n>)$kNA=-F5`i-p;CJsIhFx08h~ zo;I0}fh6i%RY}oG)4yu&>NMSM!HB8GfZAUkPUNN6Br;mhN~-eTU`3JW}Bf%0N+~^PC1Z75_MHBt(`=I$U6tAYVQexRCXR?St>s zEgsA$_Igm`%^hqJD;h+_g3l4Ib<>WLHPfz8R?LFaJ3ULmuE4~iC{gc4?}gr~k$R3M zW$NT@%;92Y&5}UvI2e)=T_5rE!nN3PA^gAA4|J%zf1)crTSziMlY(`3r z1N&S5#8ALC&LKego$f=gl>1^Z%=HJFGe3=2M3|@8s?ORTeM$QJwy_q^0;OO;@b^-O zsOvM{UKbxC5F19mW5`E@b9AapL+oox9ZY|H+Q*^j<-N}EnzoL&BX0s_B@A@a;+8I4AH7dm9UVz}ml~lH%)#-jgDdQ7++ln z+vZ_g*dd1L1(&t*qk9Lhqg>AtjBaAHvjLt-Vv;V829e}t4c@^HUz72Wr|!$!^V6AM zzF)K+>KuoTkHNb_aBK(XEbWnRPTJjWdsYjG!!|;P+A;IV3&zy5uu^4W{2}db`2^TC ze4SvFK2FX%2-->g>)CS9<@dlo5F)F>JE-fJVK3+B@7Cify-RrdePxd)PX5-6Ms8VM zrOT6FoGT*zeP?$Q#qSRfY_a@0u-g$k9WR8>fs^lo@)HaK!|L{1TaFu&+T}?keR4i` z=ONt4(|Ms>EW~%ATX#yys_H>d3N;Mgv1)O-RRaYH{=q|9(F<=WY4K|-EgVAE52Nk` zJ|4bfDLkc^Jq@@`79MkhOJO7QW-4lB@YTavPf8{PQ~DapRO82Tz*AhN9x;)+5KgA= zQqXg$KB+{$Jy4*Iq{VkXMR1aDAdTrmx~D$lw6;2;Lq zS$t=2BUe}#R@^c2kE7Y@#l7Oc%aOP2TOoX;J8la}T?w&UapeHi+O@=#N~*zSnY8P) zT|_rq(uw_fmT&k!85EVi(v!J=aI&qL6GwZUa?wj@wxR?eqn$IXu5C@E{+7^?=+|Nr z43aFOLXYD;dS?b5x(zI**Yxn-|4Nl_VDZ7)5ywg zg3w2Nkk+{m42oQdB0#vd730pr$MCcocbc$kJGi_=4+^BkghGS93{CrrT?9NV)1pXI zONZ%yyRinidRlc91z}or{&s84`<0DX!)DjM!p>9+10!iZsmH2Cf%u8uq|astB+Csp zu0W@ouM)Z$CQ%@L8%R5`TA~%lX_?~F{YLt&>_<1uVM;!dhCA_}%T*f4y$yMoeHbub zP}zeCcn5^n76M;$-%&R?0Q?Sx%tG!H8i@E8fC|JL5%LF#k9^_*(?+&qLFyyT!qcCI zpQ9^B0p{x@5W5L|23giB$)aH)6vIE7zCjSrm?ajE^%F#Wa*l4 z)6R$%SYh#-=ArFv(t^rB%mX}pG|O^tx=<@U{HbZkgWz`Ygr;irQ=jo^%7;`zV?=KmfCTu!enpazg! zFMKE#uOh|1ftDvjrZONkuEy&U6CQXE_X%GQ#ZmSz>hEoK&*@#$L^C{&oDgTV{Q)d4 z9V9$VH-i2dIDVgj890CVfd=6qijm>CPXec*FWX;<_K^3mOJ9_ySSk{p^Fi?} z4Ws>*cd$Dy!~Ok;>;ah6SB)+JSTwa7;rX)??c8&Xk$bGPZb?oz-ww`Oor5>^%YZKe zP&Tfc2ncgfJ@~|(*t#?M!D` zZ7&Qmu07(4CvnICLU)TJ+mSwmK<~I}@hP6LCk62-NS^n0L^J(FfY!AT!CS#6+&V1{ z+p!>5(`c-SC<`wd)LhF;zj*X|O{4!a+T?JRO!e@!d1R3m9l_A`Fvq6=O>Bx`A>nv- z?-NQ70H5b=_v81;?~8e+AK0Xk$TNOhkb*e!Pq3N85I<0B?QMnj6nX^T827^~lwYwG zeFEO84sgi2VSu4=&xU*uhi|n?&7HNrQw=A2IcUSJd*CmxY!@UEtR&AO*zfoRz~Qru z!}b7C>`iLR0>C%c=>a$DF@@PX8i{2iifn>QUo0R*?{F2@Cc@Ng&z3)^Ac9rj?3V-? z1mY~s^63);bNU|vI*9H-E`AAtT_a{>ZiP;i#|+^Qg%Rq%&Ps=b2Y^_R?tz1-AMqU* z*>)7@am2Iv)ah%4fNytpBTV9c@OPIZe+$F!(e_W}55~-5_#<@fuf(P>HW(B~4?V*P zgs*%Hb@d(id9jYt5HY5~7Av_3Wtu(-5v1bIy`fm}z=_>{2O(?eLQk)jvSFVoip=79xh_JtjxLk30WqE#P zpPiw(zS&k(pYMGMYN~3g0%q>(`Czb17vbS9LDp2RhJ8+SSov%&Bv0ORR_g`ruR)?* z`M}_A$XSaR8RvQDIZt|=9}I{sYavooQ?Kz2;WWv+G`TjrW;Po7758QV|Nh9jDaof1 zI2M?`U(Otcq`K-o{dK7+R5bPwWmjmQ{L{8u@_^03@V3wuZ&Pin1bQx67+cx#@ z;w1ItN_V}@trv6^K3esasjmL6M1mjF2a|b#|nvo`>@u}jrDu!co z6S1AQowseNLXT~Am1ApGV0LS(?Ki7Rr@ZQ;@JMAQH^*$3`CgwVj=d%dBL8t!+!gbs ze&8kwzZ)!(VnCEuGeJ@WiSu_m_j}dv#$4Ca-@QFzk47GD#$2Wtn0WSmFLb+^0A4fG z=~@Qyn#;?;?y~3&C+T;42`ryl!KGE?@C`;vr(w(kT(PBIjo8yWEz#^N)-9Jy^}pTV zk4HtZ8Z3~-DsrApf2%XgPM9KXv^GcYfk~sYK{Q9P^41q`phDgJj=HaJXM~M7zO?(n z=f_KF3jxcf;@*Nnc%>DLR2F7PGfW0PR^3q~*6DTJoYu~5g20QAGi9n{o9@$-hsS!K z?3TKj>^l%Ff^Uc*m~9gpFrrMPHAZrS7&Ou?9>W(pzeg) z74P>a4-x$e(N#y5U83tmS(hzUR*fW}axBgn7nxvX(qm>pRtJhsl!EfKcg7=w%|5HX z)*E%#jnSVxrtm4g%&x>!P%dv(i-|sV3TviW$LdVl2GHY4ba`K#7eY9ffmDc1rZ@TyCoHY@FlFLgi`~ z@4W6qYl!}w$;>JGF5cPAognEJzmfXzw`U(pgx&B&!BJtsRd-g9i5pVvOD=I_itaeO zXFWlRY0QD$r7_>gxlTLL(|7&3X}`g@?_S{=`QxQ zD>JA1UiwI_{HHM&PS3|T`~1tA^27Khwdp#nqqqSaL2mU71GmO(BX4I}kjwTn+t#v;p-iA%!8dKgNvX8XF^Jo(n{vx10b0v z7vS3JbB_ zefm@#_i+Yd;yuqE1kAFZe`*zfOmtJuFBn{m1E1CfKn#BE!+zM?nvk(CM0koL}3!bKCuK*AJo1m#fRW`O9r@@AvYz>3lQHd&y!C4MHK& zeTQY>^mT9I;-~8rknO(O!y*0_@v&R+y?A^Yl+R`Mn4SsbQ~jLij(bnxexH8mrkD}5 zeVnoCL#A* zmHQQetIdLF9Y%IMrYIh$?MjQKbk2jWL|Q^2OZ&4DM_Q~`#m_2<)-^L*)?5Z28tu`z zQVjD9-L1mkBa8JPXdqAbA!tGZ?TpA}EIowx&sv;vAbcG;UGj%C8Wr)pNa~q_n9^SLM%1%>mQL0ccljAp zthbigh)Nel=axcFK_2a>K9sf>YE^+-lwF=-Y_RFua+4t?18aL&AEvF~mF5jQWeEjx zhYb8KD*k}nLSe{inx*f)*SC7_e_=vrlJUU@)f=Pmq@pEnlp!U~NkCW3m=Oi`yD-&> zI=m!?VGzq`^@BHlJUK$No+XUmQz9*vmBJHSpck49xtyKKUlZHEDz-m+Z6TpBViziA zMNDd&O6u^#Ze|xWX=yT%b5VbO*zizwD?2>ClMjFAl}#)g^HogkJZ0i@CysQ8-iUIx zU^b~F|&Z0Hy9E*9q_XmHnQke)#v zZtZ#i;yCBMz++!N=j<9B9{zszck>s2W3(LBX&?~co66gST4Q1~Kn`MR)@l$HY2VBv zf>f=4niCyyo8v!sU~-Bh!pm2 z6mQ3E(9mws@OKLrUq@bZi*$pxtgf-FhPs5KFA|cJ`<(5o1izw-Y(i>K&}<-^*)o|O z67jAU*ZH>g#;}%_^Ik8`2ibt4-7qim7ALL)y%x&2hA<;&Ju4ydmio20Sqe2+7W+R@ zM7ptBa%nas2)`Lbx{+H7HCa;oyXA}X5jUhWtU2aX)>~eKT^dI|Sf5RgWJfq8+r{bcV$Yxsm<9*BOg&Y8DaQBCLoqW0u4Vpf?SsWWy{P)3x z_p4R9KOidJJ?eV)Sa;aWLthg7mO$qqmNPeJADp1~h}|4f1JhyguRPlD8dO+WBKb?H zM^U1pFvtXgMg&uA;}1^wM=f;kuF#Y8BppTY(r|aqC`FdZut`<4|7C|%uANdYf8LB@ zuzAZmCxLxy@XtS=j-j9PoDNTjoJQfOO1Ctm2;g+6S@bSG$Q!POBzW-%qE^}?WwU&NP@mxds-<T>;-+4-C|lYuYdD}dUUkWu{n`SaD0 zFh$QL;#YttoiRvJjM}&>XDBb@bj4fS{`!`E!l`ej~`zstu;%370FiqCpT z?AzpQTtsAHNR`@wu<2Say|^cd)3ZW2kju8=+M*@%&_k zgOLlvj$mn4tVCWhANEofjR2h@XLc4fX82Tu{s@m9g5Z&YUpDQKq&umG1R!aYX{-{a zip0$5V^EFFac{aDr1-`gYOi;H7PT(Mg6|IpT-lnWoUJg3>F~Wqa1&YbQooy*rf}Zf(`?nuC3lrVELrU z+pmG5vaPI4Pq4M+Pry{$?St3bNSLA<+%oiCSYJ%5)g?v=`u(}$J!8d?cu!**V2dIh z36AoeBN^p?qsT}n@BJl4a#mW}dm2i!AB6=I#fgbLN%5@J%F0LTGGid)mXxmz8vEbi zhGHf;`koSuAafaQltQ8tC+rwiNlhDP!YYve#VrbBuzqro-RonpZgb@FEidn^_VMQ` z4CsbGWEy#{xrZEDWuJg+58O27RyCp%xc^{ALeP8VGG~f#DtTCy)M*r(yk?#& zEUA(yk-_FG%f(i&UC}xdSWmbe3mz{q`vEztK3d6n4^F&T*7U=qMimPdqSM+&?eid! zckE~POb3Bx#MzI>ahHs%x!-uIl9ZA6^qxZ9@do$na^J_vS-SrjB=O2i77EVJY7JIb z$pqz>#}8J`Rhej)lz*|b+sl;^T{Z5?+v5$0lJ*wWOB>f^@~wwVD1$DOcwHnZRlPYP z3#ZbD?5h`Y*Xh6IHp#TD(RpsGm)7E_&o$O4tKz8Nb+w~g8x?vmRV5-t({Db(RmW0%?tH9mqyGV2izg@CV2}Y>5^^1I0aERCo} zrsu)#YTLjni$N5PK@pXQ6P1T5==jMLpaZtro7qm|{H)9M9ldsQC%{xDZ_;Q4TX?Lq zleyyHP=qoiBuN)rwQt#k$Ptp`*KU|#?JAOWE7;QsBbUScs?Xt3r8;%RT$)fFVw<>Mx0k<-6(Kv zSwfK!&=9&B#~A8I9;83v1qCV^kbdY;mb8+0X_QB%B?65}>YpF+#yHocu*Bq?}^CVBK!o74j z#k0dZHK;SLfjy1@niST&+QRX3U}y@~*^Ww0&&>4P#A8=DBN$e_5K7%Ht)6I3|2CMG zGKisd%hEx6{>s(2Wh*X1j)1QiY_ak3*3@;IH2_R=hWQYGK>TBZe|m@s?(J+;eO^@B zG?0DVW9FUuS@-=U47XFZzl?2iR+#bO-a1#IJeU}YcZTi8$l8^Mhnb(b$;;5gcGa@F zM5cZg+iI z9#-6>8mhpDu2-`0d=jH$Qp3i$x47dRzN4lJOpsK6x-XLSrr`VD*sS8os!)>l32v4$SkR?0uq?xY6;j zc;S)8NQAKky@Wz@D7YI`O|BK&l54)i!m1@Aa5Z@*n#V|Zv@k3%x`XvWYYp=hE4o-| z&K~-gu%XPu2m}QcBVv8M`(*YYc<7LLc#*8&MPt6sZ=(NWNJygc2%_@HqVnKSfG1^>432%{SoB$|WDnA`cuPuB zYGab)gs0VC<7EN0g^cB|-tsnDF@^aS6;jt=@SbWLaFj3fj&6|zWImf`5(8T-B9GdFg~LJkt+gb?deN=_$@cr9t+LU~vJ@Dr_ftU` zgs8~<^b+g;4CyblZlP2T+vDacV013hj2_?t2iJ()z5+;are?0-A*F-A)s>wgV3Ow+ zEJmVEoQ6g&%KveFxh$!=&_MG0rQQJ-PG^>)k;NvMZHhS-fgVWTjDEt5SRM=0=jq3= zcaKX#bx-MK3q7$jYm#*wV8{d^yt9qo+D?7b!NE=8T>qwN5FVAyzHjL#1wU?42I1+v~{fN9uCq|pe6(MSrIV-)hN;OC(d zdB?j0ikQdSA@ji+^8o;i_W6l77Jc{f(f@{(RxcmJUg9q1K|`Po>O;q9Bo=J9e=~i# zTF-ZC37#y2ehUoOV(qq4hckKi3SNgFQ<7bfdTB|p`uQ4R2?|pu zg>ckDRlO^!iKpi%SOn1DW%?6_x7=I_UYR_^JfJ+H#6BWbJ#9+G)DL-UtOU7xlNzG~ zJ7CF?e8J=HkUd>d9qFzl%4HL?a2k-1Op}DAKfU4Rj0p`Pxj;9BS%0to$j4A^bl6^V zi6srMZq4uFe=OX);9*f3J^7>x;1>j#F^+o=CC4=FAX^|_g(4$W;#%YBY^5kV&ly`_ z6pZ)ZYt{gvGFx3El+pzUsWD6U_5;2#$!sB~2mAn)GapX$OV`Gkw3_8g^@1=>oGE%InqF^a)%7~k%aHHDr!ZwTJplLIv z%g{Ox7)VQYRy<&_z3aQ@v|p?9V!Sk$KGB9P8`p)2DN-z|a$c$!8n-yMJETyZauF;6 z*TaGABQWxjF&y2g6Zg>ka@Dp-&&2bR7Iupo{yn+%B^C|aM``Z>@qp>>s3)Y-Vw4bd zBxBF}2mMc_(|+L;t`o_?uvi$hPi!$Cq%h%$DtOT@!VnN#+#SL;s=AXbu9FR}6D+Aq zAtL|{GD6xtPcfzi5pJkbz?*0aE*%t$Z@hia;&T8%5dHXSMv3J4wHlq=d!V1d`6NwO z4lrm;fCtLR5a(wj!WGG!L!d+D@1w~JXMjJ=OAtD8@O~hm#;n%0Q-i8Cst_VaPvME- zGFZZydYsmLpKgXqN00H##)9+q{r7SmD2X@mbel{$yrQ228Zi71U7DS&ws+2&f)V+A zWU?U*OX2~LQTd~Lauyd7rv72o$n6l6q>&BunUx>AGv$j7Z%hHeqS}5Pqmu1t)Li{M zJzASr@aAN0HWz%Z-kt}~kwqUz7?e=o6US^oS!BPPD_FQTOp%P|4V(E@T9k(7b(mEKWa-0a+6 zB?yeG^jH2WP?pYcs?xu=*-tl!cIqPYSR!n7*C%>pi*>pnVU8;LgoeMv#iLcBeo2c|d206RWQu-~nx<@D z-^if-AH{nouAeQp2wXokSC1s%=ry3ws!__4hd8SK-hpn#*pne5WL2iPC>US(CVyeJx0o2_wY5`QGT!mn=PqYwFaY$muzfUgG zL)hnPkBcx~z#=4?>&HJ>@-vVfiWu%IF)9B&@rA*vP--^opF9$XLEcF|HB{&F6D}_~ zc+fBZKQN<{_$u7cF>K<^FU)w~+tk`s=H!KzQHP=9lw1f%4}W>KONLt(^MS#uz1hd& zE1er?DBcqo5`G|9eC*7VGrX@>4njfm2&H1C{vi$)jqAtCJ(v}4NrW45Lis+$JpC-p zD(0ewdw0uxAOz;9DmCyVBp~yrIs0n{G-tz$3c|uU_*dYc5a_h=c7w=4Kp)L{95DFD z$#P#@rD~>E<~-wNj}0_pz-X~V>MN7s*!phwSDZNZwb#lf0M2FV6JE3mQM3y^M3*GQ zhXV0O9T=7ki`ESWL7XB0xEcR)b2*voL1ABA z@CAjn2Zk9yqq%?ak;(lq#5OR*K%8MOdZ@SFq!5*Fp*iv1L198LXaEF0Cj-S%gTS!2 zpfK3LFxUXNfs0nn8G4d`#YR$&am}t1Hg2iBS=Kws3eGwaL_7PBz@KNPJ1`~Tvf!el@`Bk2lsLp`^Q7xl1hN#^#oQvYlOdYe_F0kakwLjTx!+5vTO1SEsLam~F{AOI=8Pr;t6Sq5`$l|1OlsaCYT|5iLIU ztU5s=0WSB)9YgG$IFMIG_RIJo!tJ-Z7>+6%32OM@c=ZJ2q#j#)X+%ZsXi*2@36sT4 z>SIFh7&HkUVEXrW>&om3Fe#z{HK%Io#}fM<*+j56KHAj5u3U#Iecg7uGjoNf!mc^? z1t&*-|0UagMzp*AVs#fio5C~_xr@u4({W>bco?il?2 zI!sowXM!X*DPn#fnPW^9Y{ec|*emWmmqQncl;hT=l;jYi2iX$b(7g}BpRH1Ey{5nT zoOgZw*quRhX0|KGS6}D2Z>i}D8qu-9;o4Hc0pa%CMyR%oRIPQ)9c)^oq>O5>+&FxF z{#FgNejQLTrFO$A=#7wtNG^JIyYWitoageAXJU zDdI53f6H!`bypju-<-*`TOU=wb6N3+_P(P(5!jGNE2NYt&E}FdlXiF87WMuDmB=*g z_6W(>>J| zCnq(P&+@GE3=RBf=2>?wEBm~Fb-!CDDf_ri<-2$60gy;P?hh&O=R7;ompVDJlm)b95i^avlFIhmWweK*>rP9p;b?dY*!hx(glVFj-w z2lKV5vr@uX7|i*5?H{5;-R!erNj#hG+7DXL0Yp5WQR}q-+^dg#F?ebv17b`RL5vso z4~W$V1#i?=c)l6RxDzC^(LJmda;f((^HP2mK~6)&f>sq5eNA`!sG0SbC$YI)|LV7t zQL<+2q^`Lb1YF;H@wxw}ScQ{@O;veL;1jru_*)LHTQd$aQv@ z?-~&Osj#|=R|&I*K2e6{%pgt2MOr&>nHrrPY>_{4I5i5am=hF4O!2m=X&e`nOrH6f`?v{>#fda zovSN-w`X$k{xVFOR7^O|pMmH<;|%4Xf^qi{F&KWu3DHF;1;QhIAMlSQ!S{4l|Z zxeW!6%DTRo+OkKqgHNBB+T_{xan^S>uc9Z$^bJN$_uG7>bj^#`_GR5Ib{$`?%s4G( zwQiGVtM585)t@@-jaW*aJYQ<6u&2{ozI*s7w{M{yWknqAYSt&EY+!Eb_3K+n`+j-U z?c!GQbfa@j(HkwrJmTCc%iN&A{|n;<9Qu)Jwwbjp-ST=?cTSAC=C;hL>l$gx>=oxj zG0v)6a;jR&SDkbYjK0^H)w}cQ++GkOMy{@ThHdq1b*VT+TCKn6Y^P3)qK4^@7@4G| zI!WJDEj6t>kcoS!4Hx?rA#Qv-=O^mKL~4MmyqKT$e8ZwVvnZN|naz+icpet>>4(30 zGJg)IH)dI?NjNKI`(`>=0pfWgOYi*+uk6RyXllSBIVkb6x|P%|&Cp#4`Ke;=Gvg-Be@DeZ^xWef82GgMpMw1pwCGr-| z6oUwV^~SL_>ckjo?3aie_@wHokct)5?qOAr&a6eEiJ{am!OkLT{xV&|sMteoGHWt@ zb^B?Bz9h3-Z#!P#L0H?-+0~pPWvychK_Quq$lDm@r$&sWzW>3S?f8_QqbxMMM)nA! z@KVHKTKw$#2|9F`Kn=>Gs&tJ!@S==r(pb?zvdScm#I&EkIR{Zu zC<^L|QY$KH^yrKhlg1;1yDp^EapY6~E<>fGs6vq_$fogRFd0k8fzb= zUM7QOJ7y6t;Aa$P_l-}se_lr4U zv}5N2mAT3WRZbZxDo8%-xwDmZWSq1O&d3KOgeEwH#NJJ;2lNJQq(_Jp zvu@>NA_$)T;&u8_+*bSo?j!Ob={!ZL5Tzt8+a$H6fJ zj_F)rerT;8pQbPAH>w;eSL~p0lO(8p(-^%-d3H8*kUQQp=)en*%8UpB(N!WWjJ{ZXI#6r6>-R~Pp7?S zq>S(e0=4?^+RBOVKLSwd5#D#ADvr}&oLElpD)Hki%)|MN_=ZR&#UrP8{)GxHisMo@ zB7$%{Z?A$Q&tJortj_t2@;%BI-Gh+peVVC{@6j$|MEW~0G{h?PY;RNXlUsn1@#hPM ztE-My?=R8w#jA)JjaB6r5J71QUlx-I5b!fdY@~ioN&C(L)XNyEj-xj6#gaidhz<74 zspbv;Vn`m%pi>%{eMr&9O%M&F2B$fyl@976Mwc*VcX`!(d?l$Z^(YH8-_20@tiyz; zNLFOo=jq^ftJ6hA_4GOn2Ej=C3DwmXmgfJ4WVrB^@7S^i?AX`Lo@k&et*@zcue;k7 z%$WYz{ay6$5L^_bJGa7$Sz*aFI$Lqq>)b0!iMx9G-U4KXJiSdi*X&fjtaCUtgAhT6 zPT*O4o@p+`wWa%6u3~c)KKI*!RhTc2ms_o&WO+w!*;hFQ&{$2?Y|B#(E8NNuFYP%F zoeriukAu^@INbF*6L}B6{OPX4ACqP9k?E#=Phal&(IF8WuCQEOpysk$&(A&>l>kiX cgjPzpP>gQ+lRb(}4U`ctjHqG%0{{U3|8~$3mH+?% diff --git a/cpld/db/RAM2E.cmp 2.rdb b/cpld/db/RAM2E.cmp 2.rdb deleted file mode 100755 index 51707f4a9796b5837fc37af8053324d18b2409ac..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15006 zcmV;PI$^~T000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Kc@0RR91008+o00000 z0071U00000005pk00000004La?0svDWJz{bHD-Zr8+LZb_ImLe$L{RR_IRhNE9+e? z)^>JQb$9L7Yb&d}r`Iy&TUocNFO!v7laKDH)rv&|2?YO;EFqAP5V8;pLLlG=mOuz3 z{`eR010+i}$g)6Sv1=Q@y&k?V9yiXtH*RKD)pYiBZ(em*#*KU8#EBCpPMkRLdjI|R z-~S{0{|^37;kkBg>J#{>wNKBIX4FgCEwvY)wL85(j@YlPzr9W%`CR)xy!|0k{{jC0 ze(wM8;r(~*|KGtc|Nqwe?|%b7-#|_uMbc`w8=oFFek%) z_aG2;Px1FBfq;2$#wSrzZMS<#BgSjtx%L}>jO95y?<6OWd+J8*O;uT4txV6&R_0Z; z*^4`os`3VeM>dlCrLvc_gf7ztE;X@ zts~X$J&rr7+dl3+jXJSnlm%xn>2+0gYj;%`q|^IUcNhkr3{^wjlU zTT=r@o_00ijdtrOG2F0}S~l+*n$#u;Y=Ohq>;1!Sqm!IzIjf#@;+UDg<@mmZszuer z>W*cvPbq*wrQvsUcGgT95rj$MSLYCUoGFLiQrDj*y~kiJz)llW*0)fGsHe_d+q5sn;rV;wMN3jVC411i*2)bb*vr#Ua?RIN=Ek2I=&7Qj3 zY#*XWst?HdRp!h-&9yiAJNckh&bheGIU6EaeT00KM;JH1C+GyN2uD0 zn&(}ZE^ny%c?z$4L z0@goiu@WP#eTUsy|G#1|rTTdb#$;5|q2FY7v|JpJq6OM&_Y^Elm^m%9r=+DK^_8vq zU2P-JP#*D+AwPs)(*^X;w9N`=he!kE{#+tA3M31N+&+z9i2Z|F5QjgP>3^=A2Ki2G zD==a5T!;hz$FyBay)$k~wF^h>)B#p=yn)wn^T2=$qt!clfV&56U51hIQcVC=r$>LtB% zS*vRhYWSJrTj~y71KQC5cSR?GZ-yQP)qC1jt^Vm@-08x#(1k;VZUuB%HV&N?Ts}yG zo*8KpxJepty9gM%cHm#p;?;G2o|EKB41d%4Sxmo__975#_bhHC$8bIYAt+T*v)zhk z)V|2j5J4|eH#yQCt)a?d_JS= z1b=jsrX?Uv{ZYf$UYvxcyi_T#BN!CIja~X6!7S^fkz!|(o4@qYT%(OOh)qKQ3@#G% zCW1kNcHomcb*%vk4+GnBQ<79UlktC8w4D8}D@KzFoV08-Fto zC^6l||1_zoGlOI~1Fnoe^b~0eeg^jc%)6)E6JZhFD8pJWT8N`(!0r&_lbg)l*vGCO z5m9(Pl+Lz^L0G7#NyG>opxA4NfgTp7PA}bj0^`FU1IlYu0#bivjhn*PNko=g6MlRc z_+i=x#h;UqyGd($2NOyh$FP1%ME|R|ZgMuYdsFvOHydZoqnl=m&-kWH{LER>Vjqu( z_+P;JFxR7 zIcNS$L(H9F!)zK-6Lo5)EZL(Jc|pO=^fi-+2|p$RgSIkSdqMNT2#VbhM|6Af3EdhU zRp+V+OvpC|4+>q^^FNH9`$3@C!bmbXYsT+ou;4@CL7rw%Xj60ewu_ZW7%3OVmABMq z@88+Fh5rXhtK0i5TX|rdNu7R;f22y#jJR4PFk)_mT;j;p_9?HxnU27jYHU3$2ZZs-C`I_z8ySdV1xraY09(^H+VJAf52^f(mY!$W26QB2p8P z8rDiK@_o{xCM{~xqAt>6hQUr+)TBjCTGX&sa*^-fzY zIPLapJHqYwSCGuO|L)Ukhhr6SP9zUB?VG@jo#B`4qNn-59UOIZt5u1o3lusthDE}L&o!#Ti^=|CT(G&4<qIpTaLL z7{Ix@ytZc#$FuR;nIY${@nn1+cJuAP|6etS-%ReRn%q^zo46)-RTb{4x;BLYAlsgH zZ^XC~4M$O5;H)V<(^c>?IDb^p|8SKrR1=0+Uiq-m!kiv2PWup1%VV=xLj2fsiGLhH zdF~cYrs{S2yrxX6w)8A7btC&_H}3HExA=$$QWPGZtUQ{R(D6I;I|dA(6Wc|cd>OBq z)9a(0&Gmf5i`Ou2R&OiQ7p*t-)p%td+wwD|Q$vExALk3D!~Q4hxpfA`nSRO%@WD<) zEm*eJr+_X8Xx;{?zsAK|=ybr653s8_E_^_wRvFejyRw=AJC}9_m;!jIU zIZIzYghkJl)(OTMk2Az2o=Ts@R@TmVKyF&N4|_>dLZ5LhIF9nfqJ4dhgt-+nwmJ*Z zQ&Zo@|9`~*PrEZvIOe#^n5mzE$owt#SZsIZ+mi&hc)xlT=OY^eFU z=|kLt_5in=;7Di#LdeSe%-R>v2;dE|(PR%aetjyii_v_YoRYldmq#nkHYTaB4 zYZ9VE#%ZEY5~5Puk-HR+<0ySLwt?cd=Kc2BbTfVuH|>oq<z3zPmNIFuPxwovkgJSW<>t`e+$#Vc%ub@{W|ME)anthr+Gu+E)Z@z#lto}yLfZ1g8H4C)lxHi zYhhV`(_+2Fs+oA0mx?HtC;QBa^%`MRr(ad?>+>GPp zi+r*}d9`t5(sRIH-Q3)Hc(A+kaDDIK{_err&i4L6t-7~vAb2?J&hfE%VIR0-#h>Bn zX&>*xl-t_Q*6zk8@YOf&Zddp3@2wwftQk;_92V#17Uq|h=I55?W-5!Tvz6K9g@xtW z%3@`%h_5UkG7^W$?jx7=J9l>W_Dxa>HtMf+6m_s*G3l9Do>ljz z>}(!vSGOz|$k6KMKF`?Q-r2U1Whi7kE7GBRu)bH{*x5!5o{!)^wTFJ0l1a+Kv`x^Y z4{AGL=a=qp*Y<(zV1IpU*W*~R4Y>@5jMw-!woCDeCMJ`Wg{jxKHtMy5JE(a>5gQj+ zHgnh&^5f*>0(fi-*T);rJn^Ny1S$#wYw_^#B8Ygh@y_o4#@5DH*AKStZ|-l9YugY# z#%PJA(ioeF3n(fZ@s<_C4n}A!XMOk zHt$mw4JtP9?Y6oX<4W;*f)ffJ@_Mj3mbo@nHR+6=A9d62-p-fSYx@T~_xE@2?;osf z?BQ)^?~%d6whA95ju$XjNQ{n~oUd2!tRK|(tNWJY}St1g<1$zBl`tidk0(V z^*Y(Tx&B~%(@;Y`bJ2)-)7^4>l=M%{yX3D7lh+2N5wL@`y^RO!+e3vUM4rS;J%*mW zk6Yb+$3Qj~@95>B%T;)I^jQy`HVr3S&W`gY;v;;)!(#8o(L3sawO6;|)3&=~{WW|v zJ$-HWzT(Zl>ISSG-jjwaKG#<9t+1XqCx1uBT-Dwr4Imyv^^zd7^ z&Br1*Ar9`VJ&A7E-ef|NKJ>%IX*pX##Ww1uC@y+;GA2X3*ofjG_f?l3`HJa z#nLEiQHBMiWlyk-Z3X zXK>ZA^ZQ!Vil-YZhlj^2QG9Gm%Pbz^3c&W$u6o;cUu4+gOa*`Ou+=0>D@*!iDqn*i z6y88Zeo&~(@5H^pm}K_W;>$cH89a{A4QSQM0|h2Oa9}G~w%?z{P~kJc&pVBD(=eA@ za&OBt4C}Z9xA$(;vs#@(L%qoB9=GEjH?1HB4+Tu4*vAEyI>ug-kil9#?6#YI3^qJQ zHpd%v-BlF`;?YIt)wbsK0wVebQYbvr)3~JL^bo^k-W~-vj=mnX z)yDmz<^mCenbPa@8*{Nq)47y(%MIlTIcGO;|wBmqRZs6rktI zq34UZD`993W{SYG<-k_4_|p0iTU&;0U2=>ERTy;=i zVAO%Vd>Z3^839a2RxE2i?qT0OK72<&i4hw|EEb`YJJpD~jR-Rko(7TNHJ_k+-fBGV zv|H_dS2db()VbN@?!Rm@%b(BrWYI|JW|07o=aCOljT$BINbYJ_WAM!`nwQU}P{z~R zh?`A)(QYH^bk0?Sdz@@K%{7~hO`V6PrA}2XoUZ?{BTVU7)h;GmN;c4~=)L5$f2xkL z_#1-`)k^F8BludYENtNi4@;4Q)$!R<(0gIZYVmoPxMB0Q#WNTy>-tf@w->jw6w>H+X;mQ#Uv~Z*MLA2b_#mmJVG}@ot*+&OLlgr>CQPn2w;mEvG&lLQmtZnb=WWTq4vufZo zF9Stp1d~#Ggza6t7Z_z#Zp|)FW`0l~-{mtu6raFc3PpU-%o%%xsj1K6|5nn{76Nax zS6{N0u-cDL^`WNyj%_nS^?KV!r?(cyko3&Z`T0EpnkevcscNr>3~}rNCOhiyBxi`m zBl2XEn|0nZHaUCSEsY~Lal#~K9u+R)=?7}_!T$cHiS#*Yr#P<2Jx#tAE4{>O8kA!J z8{K`FLNxHCHt00YzhDKZ;m4fAbv;_=EV1QK9Oda;}d3LDJMC zF8x*a-|4g!i=4iE887Rt2);XY7Gben6SJ$SH&3s7rML1cIDw*P3!ba8j)#*TKJm?J@48{FSrGqDE$sBj#P-Nm$j1RqbV*d*wa>8g9t zlURKj>e8fs6+fmHivm698@uO} zN0L2*5)Jys`pzL#XrgMpw$nAFX6h*OauWbB>8)D`9s!u<$52dG@NE+UCR@gMD8?^H zYBqNKh(&pkCUvsp!x{uDWD`xPM?JX%r#NQ_xbDH~&tavct4S}35H!ffX$wUqN4<55 z7TbWXIX8GqqTkX;p};$;dUDdij7tKsW5bIMlV;L8&&bTBvM_Y(EUX_*6rdivxgGWw zcElrsoCT;i+fP-!89!BfsWq9Y|I7=`(cRhxTM|NeGG8+R-`<3D8P!iod+~#n) z2aJ;Q!{bb@nU@;y+118s_wjj`f}-l@EuNH=bT#5y#-V5i@|1X+%p2+%#F-aT?Q%&+ z+-rTP|Ay&JE{N~PLiqOJw$iJIE{N>&0$EkMk<5}+oHc(IH(^~lqastX%!?wXA`@+| zb%7p}qj2vrL3P5tPR}M*j+tn|JAmThFo&X~Uvh7hQ7vX6)`t>gk)={(=hrGdI{RH`lOv;_5(8wXE=iay;*8kJd8T5Binv5 z^^$p^a;CCuw@mKT5}8F<6js}$`irh@bR?Xc?7^#Dcj>}WCwaoo?WEK0w~h?zH}G?tUIBPSwL|lm^F_=b-m~Kl{@6cg34;|{JN4B1~p{kCGf0s&!E%i9Y2tZF9XxX%hrCi7` z^$=F(>yhftS=`cYf!!u1WgcVV^(n+f#XKreYYo8S$w*G-g+l~4Ib84$+7AbFv$NLd z<0!l&mQ%|a4D_BqKSnurX0ee6i?eQ?C;P@>RtO*5=F@|4lBl`GS^UM5dAWgz>Jis9bi330m;mhK zs3T+Rpx57MVYJR=4^X{B_(hr5;2KsPciN{IEIc*1n5~%@c3vSV^RMFD_RhY#%XYWh z?>?Tsjp-hpM95~N24RPobmod|1){HRf~ zMTTj-xzXIiNA-<|kM2UBQmJT*~#ys*XN9?fEh_ zl6hG`LT0Yj*(QfPC;@sLNC{m0)|ZBXfI(_RIz=nXBExe)Y8xdmwYu<|#|Q1>W9|G- zB}d2Dd)%J53d<0ed7*ki<-m`R4uqozda>-FZb%alNzK+&`r{LOd^FJFk>ty;(cL;% zFs8*j=bmu1PmH_7q9Q%DqXfO9Op~Bj*6KlnrHKP2`OcPI$KV?lY}YG?jB3T?GUVq-*)fQ%>kN-F zFRMVg-9J5qc%Vs{j@O?dz+70JK**ztof@;ThUlyzlzp4eV6Q)o&wAEszZ%|K8N7v~ z4@M!IT)j9PGX0T)g zD)T}Yx*Ig5&Cqp2)k}0k+UK2;GR#9xmhGl zLVf~;dC{I8?fEkASsL~cY4t7|EbKGb-Y0O>o~R~I5t?zshsPk13tBM(j*l===i#*K z);9zk#+V|a6@N5ivLe4+*Jff^7=37kd1bRPYv^=tDzoQ$;6BthkP^#{F0xj4dC6yL zlcw^OU8`H+BqE;I@xMHi&{|!$@Xyb&`w#`&3~DB8b>$Lc_M+Bl;hE(_90_FDcKNls zOSWE#($?!0*Xpt>#KYd-BJ8-ekc|&&tuA{Ew{rM%KBGvg>C#2MwzKl=^r2UPE4Wzq zRc1K5nC|~xSn#{Azc)>U^GK|vz}^VEskvR8`@iQsv>pfQ)5u)QrcKZoxXf2OuJY$6 z$mK88D%o|wB%pi)x~&*92tn7>RRG7seqkO|lW4ico${#gACCxMUY-01Oui<|duK6n#=cu3vc;_IxtCH;4vIu8#8O>Ygn5*DI&mU(9V zGkYkA82;kn5P*(uuGgXS>!jH}gl8_3fQ?|@vYBNsU3ty%79Qs87?DB5?r(mkGD{%S zA^UxE>NWjMdlV>WyrtX3P&VI&!>`RA9yYU&eN>43K78a-ZMzY}fN~g_oeH)C z7W0`c&-F>@jx*obj~jV0uq>gSn72dgBlCgn1Jmewor{Sf+3Cc*x_{j zsbI7K5R4Y;eHjK7qb#O9aj4MpC94HpA4iWn- z+n%O)eS1aZF&Cyy5HBP_kST%!+Xp@lWTmtou9Lr(!y;o56p|w76~SVG%H%-CXJmrD z0XJ?<_hn^=t(w6P*Cm79SqV9lt|+59-Sz`FO(N}Y>d>lw>eJsMl`lfmhKk0guPvVQ-i)2gW z=EB_q>mR`Pa<>dw&-z8Cgt@s>4qJ2M|Cb+@ajdxwG)?wv$~ zDav17?uU*YWC9t^G@7ss5@g}DYRH#qi_NJrtIqBiKo)Ge5VWP2wy|@(=h~bo{@~U{ z@?MnUlh)do*F9Up-HIr?0I+P3HE@-1rshl4Wo60Le1^}LsCp(@`^wfmQ+Q%aC;H2^ zsjz|q#m|s*QC?)q|Li5-7Jt(epTw?;-du>d2#V6%-cc6vMJhd&y52noz34fxA{3h_ zw$O)y1A+RN+_-2sQ~e`|zr=qD>DR89cE6V@e&TZ{8|CC**hgb3|FakWwER-_yY&Ji zmES5wSr1nUXX<~9<(EE|<)U^cD}-JU009l~B9>n|Y?h1S&GLuk|0OBE^!_Y|^I)bD<*0pW_sxZ;5W~fP!FxU{{L<{36Pj&k@a3p|Y4y3= z8ggxaASYh%j&DV*b8=fB%8M`B!OKzmtFrjQqz_lQD82=FQEQ)0uLlLEU$JMSD2Ty; z7!}%}WWZIz&tcG~%P$Q-w?%}77F8|EZ-rg}T&2u1?ei+XwEUJt=Qp(cfzD5s=c3`~ zReovuEr~*lps0Tl`;wGj+J5q6bWu`Ke$oB|`v0PJF5#b7{Y&F-Nt85Pe$oB|9e^y) zMZ?dl{L=bc99n+C6&t*0Im_UmSNWy+wDpe20Nn2 zq6;Vj$g00e_&JFEI2ULBAll6bxY5-vSbPTSJiiMTbndNX*7d9k`4-Av^lw5VidX>~ z&;+tATv#hSv&KMezn6A()N<4Kk4HN`*D~9nRz+hMWfclg@I|N#0sGSMMY;r5!!7|) z?C&1FNLRs1m@i>@3Cq??BQMg0;BI`)nHOQc2q_Z5mxeFWwXiA*D1t)6igxsp%Zqe5 ztcqd}<;zR1ccm+0RZPPU<%<9i!56`}8Iz7hE}rLNW_bi>97p}J zcCR2IH?@mHI}OHBZDYY!ax1WKo|NK*J06IR$DctJ3Xvx@T&I27@|VtdxV)X2o&_W7 zhC!h~t4x~xwccHu_ zO{ouVY&40!SZE7N6OHk78&IC4;9i6efJ>qeC^F2{ob%b_NqS~AC-kpinB@}Hkk~0V zHloDfP4LVTMJl+E(amU%jVj?i6Jv7*6L+qbI~*$^7_Qjjd1xOZ3z+-%J_2~2 zMfO6s2(h(9k+uHF8Zsl{9rdK;8EIq9602Q2BYen7g<}b63Q7ep$C#U0d~owhq9JsO zXg)$Bp$k^8mlbPGm*tUAGZY}u z<->v$g0}yN{17D^QxOVlQvG<%U3GBg?Jz)?|rBndR2lTcTTssmT(p zGE0^lNRN+OR-QCj#lD_e{k8qAI)3ovw7OTdK14ijcomM75Dd>*(a{uy`<2)B*EX2{ zv!cLCiKd{ayA2O&Uw)PpSb@+K6!o;BV9i<LgBG$%5Ob52VrG&YW>(~gB zx6%i$R!a$UefanY6Y4myO*SRWjf}=enAOHwNryY}HJ)=Zb{TUgXllZW9T+*L2^&Ah zgwA$-d`?|x!p1NB!m4)Ne~7Tl_P19VwYl?vS)0x-2+?*~9eX9(g#LG?L5Q}?Dvc}A zCb|G;EC|{z(mjUA@LfGCrqq&KE*R84;j=eo-3*w|Q*eNaaxCWjiqPOo;W6u|rrH&1 z!d>yE+mUe03Y%IV#_q0#%Z=zqxu(stIYcK}{|Nu_3=|o+j$IRK8NUCx(=MUGcGEGVh$X3 zJuWJ&giw;5!6a>sfhhU5C%t!{jLG;1|y@XXsT##q9^ygcXM_mN3`bkCQN=#si1c zTf&@CIZncaA?~cDVpTdbe4K<0@RpDaItZTKGW=C5Jib>FOc`6}@3vWLaW3{|R%%>- zG0w`7D!JH|S;AarF;2pymM->VmM~{hkCQN=xSeGwM2dy=Q&zhLSYMxdVpU%qbI7& ztXwA11#=o@kT4p}#KgTmgKmW$9TSekIYSlbn!K09ov71ze6F_JN1`@2+b2mw)#7He zt9h%9oXr|){sc82cWf+8oBMaR)a_=w@s5q7=wPF;NcSM=^!ia#Wn(w*?@#Zvn&)b> zW??^plrDqZr;pwQjbLrBsvE2JHBTh#;Ay+_4u0^EuS%(} zqo!`*{{bqe_jUb6x0zXWW7gskR5DnkT>y{-k@PCBtQN!L42_1wY*}t05@o?d(1WPi z>mQ#UwA!usAX@I|;^pEF8jyE`#C56Cs2U0^hhRg2rSUNoSdKb}0?Pr{P+&O-8VW3p@}a=eP#y@JU&}odc;o`+ zSD_8Xd?A`gmW})};-O@WEE~i;l5A+%_ZNHfwUi3ot~pQT3dqK=BaWz`?dtYurNB=3 zM*?;Q90}MJa3o+S8zTWb;U5Xu3I9mIPLKuxQ+2g6OS1+sIdek(AhfKJ%yIG~0gqZE z8uO?%qJc-P5e+-`C+W-yUq4t)YM<6ejt6Po2lLJG-8E=!H&#V`T-2I+Duxp7^iqe!ojwf%APkMM5pnnxaA#QG@#r90clLhaPR&1-#0j19t#JL<`1?l2?VWNIg^xM zX+f5BCc-gkUA&hs$fryx@YfY&QRg8hXXot1#N{)&QOE?`nmgDQ)B0B~$QKGVGN)@@ zct~s>99kP)bm40MwNODSsc7kHzcRNrN-`0%F<0i+-}$4w{F-VPa~bYxSIR~Gyw#Xd zjbr<(C4OCt%$xsEACAy$~e>lzR zM-8*__p52>57V!|oW^}8je9K({eBuk!0M_r!PJkWb>s2nVLcx4#jn58v`UzBH+in)>NL_CA zNS^;&01i=wa{SjoTqxh42*CY(T6BE0n0+YyIQ6{Z{!>borzNCwelGym3B>(Ope}uz z_IZ3OkQPw|-iPA;T0n-L2J-#C0eqqM@#z3uNOu3bCqE7SivTS%0a|=-nkJnJ#f8c{ zYFr3kNKQj>p|qj)HXGp8sPu*4I1LV0)75-HM?JZQ^-wMzYs-sX`2ww>9Zw6@T2KaInxOW5i zem0PA5`g=;0Nnim+&{Vk+sr z^G}HHE*Kzhsujp1#DnJ*7wSJkw2TV(PaQMFZ-_6W#)a~=Yl1}%Q&YbdsNYasKCifs z1Pc6}4>`^MZbaGT`oMY*t1G*`q+x1>!>9*Qvmcw+SMamn?qNY>C%c+5Gg0vtpv;Xd zs(tJsRll3GP840Hk2~#FyWdqc?>J?JT9@<}Mo#b7`7*hkW0)S8yxJ|#-y#@He+EI2 zfX@#kz|547P=~;jiSUk-Ap@^bi;AK&lc~+*ANND|>z2j#gSc*;yFqTGC+ zFUyopXIs*}hg(tiou6`Z-=D^po!(;z-yT{Xr6ipZ_Dfk=J~5q4I%^BI!d}HoWpN1? zY%Q-W;D)R@alsbnQgClo+~_IDtXsTJVis1BV1?_i)snwaivn)vUK(Px`^T6C;5GV1 z4^GkDtlq|~mR}i9#ZO|u3f%T3ZtK#(_xDwUE7&dVqy#WG)f_l^LkZ~bC+c^%t(-Po%u-Da_NP&mE*~{{VfXlO*_=*S2_8n#~z;=n#So{ z#21@Ijv~>ATy=v%~(=CXRzBDYAZUPCE32) zm8q^5V;toKV9{0WXk}wjY?tXtVx-m9o(M+1Xi~u*!aQ15Y?*#1tsEU|3*`sdI<_F| zI<_F}I@U_N%gY=gP_g3fIY>VHCYi5BbeNf{T$-7`bXEE`^1)RlyE*d^r!BH)8Y9ID z4-aV@c$OsFz_ZD11NRKm%(c=<{N~ZIwko~MW)0*un)@yECB{t3Ft$>eN%!qsxRGRw zVX1JGnF|1|JdsTEkaR0f*#Z}&NpRM4L2x#kyXCCQ#^gRob$Uq2Sx>0sY!22I%JW;p z+EV4}#uh6FJ7Oczcx}mY7;MozXEdxBaQ}Y*00960cmY(BJ4?e*6vt0$U$(W6V10c6 zL2$5GDn$@(n%h{Lq-~n|Y>D9Dt zVr*C@kyL6`q!n6lLKYE-!UNuCd)t33M07(G(oksKWrY~b;d}1p{t*Qxyo^jtVo{Z9 zRClr_&>w~#-eTrD7eXC%Bd8`(S*9}2n}j!X_FL(X0P46)6_$x%dWsd|kVNzL^RDL? z1_e0%lyss|Zvkn5gdH)Rz1&^Eh%q-ck1#neL~O3r{`%?02NQF+x@nYjrH`f{hG~vo z4h~WJlX6hEe<293=;cmNntoKQ!(M<+*S!6V*w|_?ud!jKT+B)3>__m!6F$cYxnPUs zh)NZr^6`air1SetutmEMwr!KHo1DOvqLrPhl^Ma;pqBKqWmNl=51!FIzM8EuiqDD_ oU96}wHF&nL&CRRDtq5}bt9Tg^+UT9Xci61@-_-5t9{>RV|L%T<761SM diff --git a/cpld/db/RAM2E.cmp 3.cdb b/cpld/db/RAM2E.cmp 3.cdb deleted file mode 100644 index ca6f58a276c35490e1c504942fb2a0b3a91488dd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 44331 zcmeEtg;QJ6@^Gm@DOQRVFH$J(PJq(l1&TYA;_em*X^}#Uq&O4_?(WhM9E!UZf)oi5 z+#$)2_r1U3n|b%n+@0AoXZPOSbN1Xd(gzP7R1o0YKfUg6`Fp5uu9qvZdA2YR^wgCDUs0`9NSTJBr@ORE<4Ra!dhP>)YGgbysNXk$=Z&T2X9YRllSur;C|BY5h+ z(7s*Vw{#Rx3TNEyl~_PlMAF*J_BGM&JrcUSx9v8+fl*V@`a)=F#yrcb;KSp=*r^MK zDx=K)jT%`oYC>~;JOAKA54_#^DUlV1KC7kj_Ds-XVbk`%i6v2mE5&|m-Y%x$T_Qxc zaRbr?EqA26+2mCfaoo_F>lJF$rYmM~xVPWzY%yI)*hCRzYTqy=+Ize$ePJSGL_D4_#QzC?mPzJ#iQMK9*eV3vN3mbP5*KKw>?W=)9H5V_J&(t)U65B7fG=e z!#6K?U8W3WHt!KK1)GK~(WVyt@#S!l(mali=tTW?M)0o4HSpyvQC&6f3jUPVS@6&5 z`f=41sxv+MsbaC{9N99#vD6fWAU2m0xAA-~Q;ABSaBZrTwRw}LhkGg57`ky>wz1xF zEw@l$hJ6lM%J!)_t8eDz0Fm5Yy9D6h{;7c}vxe6M^8&V91)cfW&sj{-iQ*VHZP}7C zcJy4r&4L+V2^>F7W*d%DdVMZr^7^72ELx&@9!PXfW}nVcACqPKPhlB`>8X)Nw+L?U z>7Z9sckOEW=5^YqgS*WD*YIEUjJvxFSwj(7*!L=c^V9Q{_C$DEQ2DlMe#7>4zqlCX zKR2JS2~p{$nGdr<<26yeyA?#pf)itU+Lyu3(a>o|=P@7MGQNcV-KmQnXdh>dTLk0A z#ILht#!d7mx+O?d`-UUm1yv%5xLWoB2;@_b`4^lP? zkXwLZ!+tl)2}jAIUV{;E9Smv*dID}rxhoP`t6>LUXg+G_MtIUMRRd1nwV}St!kDa} zCr(A!-_v0hQYd!h6Y!SgmPwTC;82hw>*AUiFFNLK@=Dm~%{v-sebv}lW+UJAHD+p1 zW?!?r+9>Dlx0B5_YS2x3A?q}JrBN)s7G!Z!x2>60QO^b6#%_rZ$~Fk}xAWaaL|fgq z&yVdPsIa#e`v_kRbO}Tj+`S-1Z?S_VM~pG5kX)*I0i)dzh)IlckgO~-XiGC8Vq3_v zPJ4?Ff@S)5xow`MYcY9wS|oVWCz}Ml0VN*Ywli5FOn^O?5?@c^+T(O0M7=33r^z9-TFg4P>?NxgnMC(>0E20ji5Jf zrl+S;8#@T!EyhBj^W#(6o1!Gl294}lO_J|bTDkMol7C(>=+C9?qsGhA8sC2|{g~P(x?usG@hYT>r53PS9NhF<6$NeqA$oBOXzVb!L;k;DGt= zkBRF0@1qS0;Sj32*ADqt6=y|vC1?F<<_un}> z<-*Yyz4C)$Ne7p!q}|~+DcE#71@tGyR`!H(?zqY*sG*h$wJ}Fxvev{^h3vmdeU?tq zczG>!uE~YzVUMNg77NZtIHS9cc(x)D&al3t7z;_6YEa!(jep&RsguIxz^!K6iSaEn z#sMp2QmvkLCxehayx~HoyUe#Mn>!5wCoebf&{G;%F`8*O-${CGG~eiD#m|-IVZ0Iu zlrI&gZhmsQH}tRn_Q`zjq^oow5Cepq{fe=m)NF^rG55m6whvg&y~n zF{X|*x$_Uwgq-hV+k;K}#4e}?t!+%t*{wOG6u3tuXmIcL(H^?x zdTwJ$;N#K(-)y1Tt^XbMfU?@I+f@H<)V=0|M;6n$dyoWJ-$h!DT}b;8ZOWediJRT; z%acYShJYYO3!9sOJC8K@PfQapxRH!+qc!UefM4Jd^w#0AJn72VC`0_}1i~)K5as zw6RxLu07?Q#E7`ptMU%}AlmRY{d8zwOQ9KR%xkJ1S`|)Z#Hd*Gh<2p6R8HTu37yM6E zZ6XGV_2|4S84Y<$omV8%4J0ZDJ@mj%`)+!?j`b=@T&1R3n?FV`qd2L2R<`si%RJ4J z_GoU+lA>r_v^Rfn8tAso?6>3 z=9{gYb9yb6H3+?y;t4jr%3@E2BrZt=U#aPIK)OLo<)DvVrPIFO|JeRd$p2eX{~v51 z*4^3;^y7IMBoevSciK5G(S)2*!aF#@s5?DfhR6gw)tLp37I12q!*Nwl2OJqUf zh_hOKI42MK@D{-ObS@?dIC-Ur)O>o?Mi|B!aPiCeRbF{GJH-2Q&@qr$2{@qsUf9G}2Tw){*W`+x zuFhwT16*@S>!>!-3Vq@1Sf9CxSnH93#$5dxr-1 zwNJ<4x$Q<55_i>gr_VR=b-S7@zDEqQ(kCgaj+!s()}Cctaofb#YDhnQ`?4VFJLfixwvP!X>EvKPm_HGee(h>LL(RxoHqV-j zMGoA&yPJ+hwydQrG*IGHm6+ix69UBYIdkQ!{6T>p~<(nlcAG%BKGN{-i{mpp6Utw zmyF_Gl=M>#OS8Fq*`5VOd3dVO&*Ba8HgI4621aiDQyhIKs2IfG83BYtvx{VnqQ z$abj+32zRADxkRrc+^SBaHmB;A~V+&3g+0cYUe8gaRLmERkuk*NX$2+n}fgNQH_(r zxoHX2rFRPQ$xEz}0bD9Zd<+>XS|&DHX=Rn!^Cfs(2TR3(QnBuAIYCw50G11H`HNJKYcBeZR2mY$CJ;x9_tQv?YEKH zK~l$OQpB-&@}$hz=YH!$zO1;kikhi``j6s@9RzEt3|laaZWOR|D1tZ6Y;xVe?hn=H z@y!P-M8v$mIe%q-6Om1yZ#nwIwgoSDV6jil*8LzI8IAKu(Eeqwc<=n8O$CorX7tyj z0&WfLb&I|BY>J&~t%!q`F{4^oO`=0*tL?AqOWTEvV*}t{9gPI2JtW;sB#qd3D)xs4 z!DG4zmQ|XrzQk$1it7|{1r&+Z6VIAUE*FE)oL?of!(Aj z>-4$U)BYWhzj6(W0ezn#6B_d^_xfQAd`! z{a4L0w&B$F{Ji!mE=k^v_kYcDi+pOWsQK8}0Ugo(A*w`u-i5MI;3qY81_cJWscPsb zL_%1;cOH@k&C1{>co44F0En%7BA4Le?=0a6%F537#d&j${58uU>4Jd(`a_EBpQ=^B#oW~gMX9SpcLc46AlwO`Zt6~k=;>$X{kTd+CV8gJnBX3IRb)EUw zN~H56sQSlwPmT0hX`3;dsajR7z>+X+$(z7r6eW05W=($lc?e#}8y;Uve0q}~tOfNJ ztnt4Xo5e;{s`MP#kn}Y*-&8X%joxZJ7c@V8N!7J4zD&zL8aCN#!aRHuD#@Xg+v|+! znYe9^gk{dA#H`4U8@{1|y%o6YsHop{B-kdx>7k~|aV|Lb=wXKWqyfKh%@atG#N#d< z7Bb|osFEo)2>6KTCDh?rfT4XiwZ>xtOSz#agX!p6NbJJ0O5iT;$9dCDHKYmpk1E)D z(j4^C)!>$c#-T6dq9&CQO9~SRm7gm6tX-$B_3OZ%hcb`X?)SG$?%TK0*Yb7=bZ9O4 zyI#mhx`A8VZ7W*}<;dCRM8J!4pxz&n-{w-$~E-)@kJhOtI9Qy=mMDZjL;Uf94EK;|)frvd=cnIvIX9f2UWKr^jbZ zQ#{O3V~CZy3-mOf#j?%q)m^Ng*%Zhe0ZH^=Qy8(RU6@G}#_xUAodo68cNUHF-4xC0B6*JM?*k)a%DHq!+SJXfu9r5N6`sZDqN@xo7k+fv$Ez`i3Z(>h<#_5m}m^r}(Tj4l1!0y>>m@L9u^{Phns%ity z1$ee*qAnfH%_xgXRx0im7ok%nvQq))QKgqR_e0y4gHT3R%)gSkk|deM-!aE-jq&0j z{qw~lg^a98E|{cP6@yXk99ij{0MD6ZqX7`MEC3$)m^)`xIv0G8skz5Mcar)(VSCpo zN>iW_0K&}VmoNH{jwlSZUXFG*KfC?8Gj#gkW3%C0_uxSsYUJCSedH&KZFlLW3o)nL z?+G_z?9XoyQv~R*G!k#3BCE5EL%5>eFk#k}M2G`-yk0we1DU->H`p2`B%*ZS)FZaH zwHX7V%*dcc>7=vZm|k#kzKx889w@#t*RPDtX6(e@BbE|^>kBVJ zU8kwe6G-&J>Y>G`?uAMyt8v)B-$;&y{IcQM)mnf4wk+a&^`^+#3`L26^cU|lJ<@ZX zXhA;`s0H+Y43!H~;5%&fAkinwd7_2#faVc{XKo zudS&v8fPSJ+QG?`77+qt`xT@YzR&&3MKhHmhE!_g(nf2f?OBv!c}bqkqo&|@Y@Z4L zQvLw+=l&2Wj6&hpO`2rRYy7r1CShdKS+ zvhZGc^&-{aPwJ?x7Th55@2>B`<*d#R!LWIXv5D#H39o9aywoh(j9c4`)JL0{iU)Yw zML^lc?(Z+O_ztE%#~E0|0zcEX&82%X7ce`WhbJgA{hBGatEEv`qP-6Xe<-5O)nIIi z>{#x;bNJ+0T@l?HA+}Mk{9c$a%Q*_ummxtb>bbDBl*Nlc@V=bB5~eebJSmM<<_vwZY0 z-AJ>ZWA{mxJT-e=_8L$FWyDvIk(J;{I_$+9+myAFc*9W;pGC{w1u{d3Q->%1@>rAM z;b6Cr)s&{*33!^OeBo~pSg6o4*Ugw7U09X5)Sjl{`PKE*f9!ijjGC$hz%3$S_|TfX zZ1d?@XKc(bmDMp+bDBmsOFV#T+eeVNQOxl#+15Rdn*E<~qK12X+>kZ3a%PwgyLbHl z&)7d(v~V#C;iYt!$&7x{Dh0&nui5-5m+b7{pAK#QL+|fo@m_I7j|-&zy-SUr5|?^a zNwGd(gNn*OlPm&aUr!oprJM8|P3v6tXC=@tt#~n)3(TdD!Zxje4PzYidh?TeYmk^y zk7J(^@A%>m64$dFbM2ll7(koWrY;EMqFjt^MT@47aH`R`d%6eb?4LK(;q9Ka7k{a| z1dY%uO`Pk)z}& z+P%sBqwRLApr`1O<|`O|9g!N4cl@NN#SmMy6K6y*b2p%)eVU%zV`d@#-3M*>#RSXa zrHqg;>LGmFvs@Vb`=!2UX5|DsNwE;Y^vK>|^D|pCAKG;KU8GN7eI<WOofNvaj@*5SQ2b8^J~cF13r7$nvw+Ai|K9niUP#I8m!o&%_kdb0W@ zv^sWD^H937f@>W-ku9b^GTTVySOHF7@UEN>3QV$oCGjUI4_>$S`cN}7-(Y|uC0gO1 zwbnc|!}|AW8SA57l>>|sADy!7pYo~!pl!>X8FwHfCB0Y_EZpi*8(fSAF z1xu&WFQ1e7x!fTub9~AEqP9QsL@bWdqBh>9vEWE-n1tb|hB=(8gu8Y;ud9ho*5>GP zC#n*#*Ho+K8Tz#PiKMsXP*0MsBYvStzj7sH0ryTpz%<`&1#9HUHurRc4tw zE9q5jEY2ebuedc`y+~1P!{R=Ua+p$uJ-qt6qSC|^)784=6+!fneEP#A7s16q3j2`m zqvV?~^pWTcd3MKf(s^B(W?#0(h!p_T4qv~KqsB<{*CT>14b*aqJYfEu&%~=+Dk$|7@SDEPJO#^gT{%dW-TTzD92+;=a z-~z=I*wAp1fkwn*u}2YC?AZ*5J`waHKzu2Myi?azx=5fcIRS%x=GuY#oLOJbmuH+6Q6y{`ibP8-b;>X#Qp&AVpTDWeq{Z#tX5FS?7ojGNlf(h~m4m zp0#2-`WvOyox%3gU&g<(UPXJS4(ZMwJ$g)R$^D9AFW`gZ{F7^5O)DsMh)f;NZi?3l z`t2Qf50cRH?H5jHGp={Jqme47292*s{#d1d|!U=GGpJGc99_RjD zd{cxX8NVQN2t3I~zrtIxxdK|uGh6_4S<=o(xnz<*tD>Jf{>oa`{AR$aaQ$LgQ7JC(jjp z&Gx2_9(2y#iMpV_&TZnve>+h3)6#1*~(gdzd94aS00}ePl3-lGi%uan||N zq!Y%H6WP)e3*zgwz2D$Cye9Sr@Pv%xR4Z4L!;DO_&R0r;y3myVRhz)p8t$S>I$D>_ zUkCVHo$gxqHfVI_Mt3Uhc}a95qPXz4VBm@ZGoV-5&;Tm1y|cse_Jj_YZ@lQRcqpVA z!1=f=tEPG^weYdw2cAL@8!CYfDg8vol3r}6rYq;#O$a&w+s;w_0wY0uKM(|7cDM5z zyewUS(^5RmH$2R{JfoZl%yEprIkP>>vXKKvUoa>hCR)8_8LU!iOy8kTq(`kk?YO||Y383S7o4c)p%&WUJ%m49?BpoLu9Ih00MFbJ zCc_DrJC9T-y`GKTOeJiGdfbV!2iwpgh&3rcn$oUC>Y}1-Ql^&F3G-ldY5s zM{xwiTgl7?Q7L~evg-<#S~WGxnyB9{RsZgleStiu$f2#yjSeV{ed+L_SahwrZ)NHb zefR!Z#vi|d4a-J|t#FoBJl}H{vG-%s&KlyXaP#ZTry)ic8g~?jep2Z|8wq>fi;$7q zFb^v_FFwh7jLR0ts4g+^k33S39bZULqBD=kqc|up#dzq|%Mn%_zM~G!%DFe}_%4D{ z>!a&O+|PSF_(y5H)+|xCQlW#}Dz_!$!PF!4&X*Ns{j#fJRUWQqDz-1Ewnd{P-;(+_ zn38Whae-s)J{AzP8OC<_E35G?em#x`amNx)#M6ykczRSW2p>I+^>=_sKaGD#2h=4q zxB8Iti!Qn-2Qn=dcQF0;y|DL+`}1A*0YcL4k4p8`)2*tq4dfL)&0N*G`+4<_U)Y7{D}Dytv}*tmYWn$bk)&Y9sl4Z)`m_of zeqh_PpjuOvGX}^-bk=E-Y@Q$Czos2&`8+@K81um%KZj=7afPO_N7ee`9SUMT;>M({ z6R~&mS-x6k-KMX-%JcN#PjqGaGUgz{a-939%4!(8xm1bqZ@z#M);@i|sXAfAW5wG` z4v|%xfO)higF+L8$m}5=GY_3-!zo8KAGl;~l)-S?j^fPM1jbzR1LCn=p090r%Na{C zOw`U_vGR}1wS2S2_G{n8p%qI&lUIgXNNClGkjxd4&rcSFJpltE=GD5yxlX$Ezqat* zOAd|lBZlY(@Ova z+{Ms23n7zvi}Sh+`CsLAF)m9StUA8o>`pggA*EW9vqoL4HGKaF^I0Plse{Wuk8c?` zLYbdpuU{BM%6N%higquxOo(pplqRJlqHd>bJ)f{4UT)9mCDS9F`?3Z^4jG$w>O|at zApMxwJ&{&w`YI>ytCi!!5D~pbsM->=Y~n2{YwqIylg}e0pzR^RSyDeyLq~EUYWdV& zZX3#4*mNVth0;tg)-*f*$Qbp~P}QKi7QWs}nq8e@9J2QatE+My_7pu7>>GWPf$q7i z%gaX64oFc+s%0RhkDu(8j7*fiFXeEkF)uSY_~kH2mtb>a`OQCwpS8y4%0@RHWJPp9 z`)Mq=k#$vV{Rc(2ReevB`->T8vM}>&K*h`0Nt$%=&S!Cdv`!7z6&l{f8#8}Er0qfV9|M z=!f5=Y~DKu5R+%()H9e9iD-NmR>e(YFRgP4i8OOQb}k~^?RNN9btHwdU)_cA zo+?=9j3Ug!v`+A5pFJ$D@sQoFeuurt0YuYJLmhA+tQHb9Oui*`UymXjHT8t~>uv6K zSTf^bFpks0(P_2|+bH zr|cVFDQ^J^@2MYY8bNXeZ4goZJEscSMfmuTY3}s2>1EMJkX@TGQ(e$ zcne(N+TzrQbeo`^tP1~m9VU8BBXkDhul!wRl=$-Z(jUqD8VatHGaM$P3$c-0>B9S@ z%R$}A<6hwfVw90x`hS*C;hzMp1bDy2_pB$RJU3Ow3kQ)5s;ImObD^wlc}F@t_{CH0 z06;EJk2-8D*!nu%ebVkWYT4iYmvP9s1+rn3n3K`Hj>(Y8njT`Hcz=k=MzFuhXktce z-<@j&UcHNQ5-2C?6~sm9Tx*dwPA^!~EGLu&iB0yr8P?JCQp~YY&$KezIhocm#)5_) zy8P~IHRK-FQMl9ey5J~nTCnCs=mRTaoCXcwFgjDPO*$c4bTJD23Y3JVaD48p6XY|x zqvhM*a?_vKtFnW=GTuSv8G_fixvStb?T@+X3U7S!R_;iWLUvzh=-(Cu`0B(!YFNuR zJ?C_M%vz^rkXUCp<8(TI`zluR_M$)<4#Ee)AKf= z`a#IU+iywZ5-)D!u?dx6bsi5oeV;qnU9)P`_1=BV3I)1z%{v*}T@1V~(#0G~T+4F) z#E!nW9mz+b5KGihxZZWs!$Xw@j1v_UEQe0cHL$(tl=SCbzB<1#LKnQoz>SfI*%%h^ zbE2ylo5YJl2pza>PALp9(|!SYzx;*bxXG!b9{UR;#RXoD^XC33J31zzv<-d{nZ`J? zm{xQ7*EPwU6qhS47u+tFP)*17hE*$h#BR!7t#0#O^|0Oi=trkXV@J#Qp~hL~QM}Lo z8pva3^HqT%LB|Sc!_h44^~x^=n9V}iL@PWG%Qapk9V%?x)>-!^-CS25ELi|gScJfi z0aPOo@O~2RCA~i-UBtM%XkG{Nx{MQIo$-yGWkVCjZXvaGm>(~$ZS`-`C2mqvYSp>f zhcZO(%#kTE$!%Xq)pikUs*FYwlr}8vS2jY5xn=@`*%I1&Q}#i+c^*oyPnpZL@=;No zJb%b%u%!4AJ|*=mx{Kev_X`_HrtPnuFn9J!GS z)j~0&FM6+G7zrPq&jIfnir`^`mo2<)^|giK3T6p_R6{i=gh1`c!g_e(Cnw8147%urJERK(+dxuITi?aI`ZDiF$+o1r;cZDWb{3MH zJ&jNfv_)%n+H}mN?DS2Yu-nIWSQz`8%|pSk7N7QaFRKch?K-iES{kF(R$qVnXgdG3 z+GrTG5H&yS`1Ym*eP#NlV@<>kj;6!n*|`23@}vBj!@1ORQan1hZ!~6>gLr8GP8qz6 zj-|FaL6w|nMNeZMgnQM?WTGp}PL}qeELUo=*waC5EakCJ`lKf6RA;<@l|jScR$NR8 zy)ZmxXDy(YCF->Aa#h!MW!g!o`Ynx6>Ye|?>r?VK-y=JNUHwf$3O zs+fJ!pZpo96Um2sCq)J`Cu=z`Zqj#PWNmz7Qh^2?UHeS=LwahH*ExflPC&G?o{L7( znEvv>+-@@!(gZunA)0VDf5)~rNLrn#Eu_&G9)E$yE=Y>6TQG3$bd@H$_T58Tcy?N! z1~zIuGh6w=PLz`R8yEdl+8iI70vO5d5$pdW+~XprPZVcgU>f@*rcT&Nqr}5Ia!-TN zfvyGs)a&5QC|{xdPPTaeXR7F+-*qRMJSI?u`B?RtQt>xdt*)!^fPrztlc@zbh}H+T_;9*C*`sNw4|mjV8;_M9!9I{Y6V_p?3@{#4hr!vlyJrntUTW5;L-! zP=ZpO@vPr}+>|PyA5h)pO_gQ_|}gH70c8M$J3NK@e5FVDhv?8VWs#t3K^-`{Kj*)x@Z>Z)j)eLgUh@e zAqe|~c&DYm@-6ko<6;TB(~#xRv-n?jH$!YMer(Z?{mI|q(|8fL!!p6f!QKoO}@N$)d|JQXHb(WplgzQjuZKrx|AKW&A9OkTL2_VxIiSx>jw zIK1%X*6yjt0i{bB3$2HJFXRh0#5kV6!Q7Y5y4y@}g=2k?;*A>Q zUt1iUvW10LDelqC4cv$aeE>ZYI!`sH~K(=yIdXW5v2wl}>#gDC5aztQ#OnqXJ+X=iX z>%%5gXIn0>9>9X)KC&>X>qbf91!`=vFS>Gq#oW1HtUSsnpfw@IR;V$9Gz;Jm#b?)u z$2WW&U`2vFP@-b1Lc9X$wNrf@XlRl<{s6b?<@QyQOiht3d)6t)d%sJeQj^>PXrTh- z*SwYTFKd$tY@M_)u6oQ(+Xs}%|4$Y zCvKL=o)jISdRC|BdF#gn^pu*ZB50*A%)%sCSbYVM=xCbcALLxnCmmsN>lNRvh&2@b z#F&oC4r^Y;s*0;3bvP<(+{XkVyCj+8+IP1hPO|S~y9Wp13pFUJCiTu3__j3M%uRW2G0TEcCE(vKXY$^AsD$7&y2DJ$MFI zKUYISA7jnG`DF3w%1lNOJ#>(L*xaW*-^@zJP<3pn$$LtSX|Rvb`^GytZz?3hn7A$h zZ3OpTQ+-+w*V6^Bua1Ot0EU#OHKTw&Zi-^gc_%f&z!~g6N{npfd+a-1Oo1*~fdy$e zFgE+eKSFOjYkJWeTYT1ZX3s)<%*UXIAS+; zNIrIe)(rc;v;*H{y;~G_&DyN}&M4M2Xuyu zT!{pCyU!!(&IlqER}6q2h|4e{v@_9%J1{%TM_NW{iE)IC+%_*lINkJ%@}hR$8ZLd)IPYl2 zsAlalU+2$);-3bBvT*?*e#j~2nR)k)M{nhjO#FjZ=$3aW`jA9 zZ>bT*w)vP3n^W}ZeGf4{0jHhz{j~L>ut3-|@rhiW(uOp#sFRhi58~f1x*hTAMW-{= zDyVFPRAW-zJW&BJzuP2RMw4v|)I5|$cYd$`cObDYu{&(q(cWR&Z>)$jO=M;AFC^)& z;VR1+^ZN(wv=irF6`xjhUU;;~u`qF$w4~a%0|FkA@5_?wKn>Az;-9F$k2GQ9VxJ61 z)VOE*>4?<<)wpS5=X_T5N!if;R7}Z4-_oR;L@XtA!`bJxKK?imdKbESD70r!Z}5A; zuVkXl9d9Wi+J>eJG84C{l45k-_ZrpM^I15CkT$Q0*y00$ry#(6z&^X|kxp zDEsz#J($Wbh?JXaK1=$@)E}&;wP_Ta$&AI!Isb@&R%27I&>HmlpXBtoW&>cS^E0qxjmJJbMM)ht-9}+EWs-zWL1Q*$ujj5uwpH5LB4x zXj)C4n=caMf4#$OV<>|iBwN9G7ek)D?GZHT{G6dybsr{LPs!6H_~m_$7_7lf+wF6E ze2Pzom`HcnSv%QUqmlR4<6m??)fdFyXf7P)$Hx!g(#%bJOU2w;NbcI@X8BB?^c!Po z=P)$Wbyb~L0#1jqHSh2AhW-|@hyoLoVnAtZsb=9%*u_{nE&q&yl2_ z>ur}}HeS3K#E0=<1AkE2xgE_FLbZjg&zl;48>( zk+ZTSC2-zCB?sQ|FvZaY___hW%~+M6_+cW6+-f-M^ZHJA8rPq%I`eN~4hGN8wib5P zpT3-zifpornmGLzKjGzs%DG6EzBXS4?xYmUk_!B$=Bhs;y7#xSK1>MyeMqC~zUF&? z5Ay)98Mg8HlyEkur+p$F^R_7Q{3s{xpIe?R>p=^~AuV&x+FkxCt1fY?sa0=N$c*>Q zLn(&CV}WYyQde!1XvS!#L?`3*g4lymc%Zx{)kG6Td7KK`YY`*)w5uxs7fK4bud52c zl`T{=ENkwif3&(r?9PppwsJEhrdadw1t;dlg(qj0TW6q2*F*N`@rqv#zn~I#ll$KB z_d2KYa-vF9Gbd$QaLs5&v|-lO(M4K4K4ofV(HUGm3#V=%ciWEu&KkjU?y3?8z-_iA zdUWU{f-U^tS^wD|fu{lExNkBFQ5GSX?g;~tXM(>UQ(njyO3LNA*iQR7z?Iqvxfmxf zZAc1hx4QWXm1G~spFxUs#*}rZ{)b=KiB1X2t$G;X-{LKW`A?ryW&brHlX3z&aX)iO zd9w0#F$=UoNJW(287(k*u09m9#Z&!EtZ6}vT0KiPF9v0iv-rkAxO2#oczUexM8FtZ zJn_CIQD6M+wiYtAS?X-VpgW7nihQ?!Tl|gGSP7#q4&viGbrx_W#a>P2^C9Is;5Bp> zcq@i`fhIFUfVF~1W2f6mfD7`IY?D$-c@FQwq}9YkH4#^Cl`UKi5I{t<7~W^gdW>%0 zf`9PC*piKXnu_Hwl$*1%r6BE_J}_Rv*yZr=Aiok!nIqb370&G4_}8_?N6IXd=R_8C zOh*(dkNN##T>!0S!~q9Tt7F)}iRyOfHHv^$K$gNnakKsU_HaW7iOp| zs0Z6LYvLtR7dQCya^c~HVvPWZ+aBnaS!UIrH;C%Z&w7y);I9EwFm8vc!07T+}qIPjOTyxeesZy#}k{xPp;+&ZDT^L|FkQv8@plF z>4BRd;Zq?peLoGgZy1pxSE8!WGN>F;NT!Wn2d4iE_U^!Zeq=o%vTI;7V{ApQNg`+D zQA<;qa^8aT*owI*J2@HS;~$D^AK3AENn0<%^JfnuL$SM&=Kll^UuA`Ql@-19#f|pi z-aStCvl%+!6v?C$%qXKDe*0h#_^Xt z616x3|33kX+mDUo&$m?S>WxwZhl=AY?<3jCeXLJ^n}1aCFB-oO-9xLpBz^&Gais(; zKcI00di5OtQ)0BNH(i^?2n^tMIP5XOtw9S{`mOA9H&EK&Hzq0r)R}*ZoCMlLWgU-H>iqh05~)vmQ0(n9@;THzl*X$l~{y!4jR9xH+*Zo56;_mtr2jJC{O?HYek)=YtV_D=8&&x3cCn0dI@a99+6hZ7HH9xsfixFsq~+K$cJJIy zWFIa--v-GSzS4^3B7Nod?JCF+U8U*rIFR=1F)U^LF&kz<9@Q4x=XcZoYUwm~CZVxNe^@Dcq12%ygH)aCqT?1?Tbe`MKFxUV;y zL8kE>*s{8m1k+oydemmKya)y_slLC};6XZnW@Aw>9Uh;8$qAU=2k&kFt*-11^fYj` z<_IcXO>n8>L4GlYut)D}VAXvtl6N6?u!p~%BtY-rPY#XrBJ8x3=tbQxdW*Y7Ywmkk z7YFv2h>AyM0_d|r9CUeTC&HaF_0NHk^zP3KKVDB_x~sm{tjw}6U>V*z8xb>;Fx-M4 z9iuO|X`pS6mIItCsaNNKItk4Xc8w<}lP8)>S;pE+=lw#`nR``*v z+5zREHx93`nwemz?*)w1=efkHhJyJAzlX#WvYRX%XmG|JV>C{7c-~CA%?Z?$8N21k zXkt-#*DrN*27vZR_Wc1z9z~z5molAP9tY&_`qAA*)|2lcT$vD=rLrT2>;Yo{?ANrVJUVAI2Eyxx7ojzT~pCFr`YPLc)QWYX_-11F&~Ga zD79F`x-$yR!uNOF{_RIp$DDIX+`J^PuiK~W`FYV$?L%;jeiCA+g6j8gea}S=e?@`J zQyD*859>9|zc+snS=0Y+dBnJ|U@1)f0z?mYSJ`Y!A9Dto!^)~6PFPM!4k4)+X9%0+J9=!1 zfuqH}A*HP(Hqg827Lnzb5n)r|ym!Om`or!!EFl+Z;-VZ?t=P|}cgM@9FKl=1PUcrJ zDhZ5e&J{oD4^9qnof*-`OblK6JKav=x0yV`R#8i=RAIE!!OLHO7+WYu61GPLP0|2f zm=hVWSh6O`CP@p%V3l!)>85DR}^Aq*O%&q2q^WL?q4&DM8 zhdmhq`cYap4-Ghj5v_DeMQZ*+#?mvBvEalE(%6rKwGxAOd3`i5l4n!N8jZ~&x02YeZJr;NKBFLxb zx|~R@5ZYLMwK}xSK_C9Mk^s0JK04cKH?ri2;;l#F`w^Or(dwpiBE20T9G|NcN0 zJIfgNE@UCVVU;o6E8iI}bkW7kQ0Cck0`knIfA!AL^6?3WVV#F zDwD@R)sc3xaf!pR*s9R%V+-q5DwVmRhU{fm$Inefa+U$^|G-yA8joAb$HO+PI<}iR zuDUz|RvA;hSf2z(tmR1FMlL5)W(38HHz>~aJ#gH zuzo31eZP_U%~7D3AcU$dbGgS=>=CwV^-b>6egqB}lELECtQ~QC_(LJGXX$nI7|6#x z=crqBe>N52xX~r}(~)-EjB}EbC;6&WdS&zxM(jJK)Z3^ePagZG+h6l893MZqcE7~s z31`V#=7~9?{mR!8GyA-P1P?PRq0}{ot7Mvq%b-Tu#se`jMEf(5i<{T~XtMR~PQt~e zNJ+2OU(va7(D*IPt)u)ps%E|u27cnLbN-J)l|z>uH;^IO)lB=}?8e?Yd>|<~2FV{d z74#iHTisYGUnfwK?p$ba8I7ypeb7IWdl>U=9_2Y-6dcS9;@0fGZ-`#-)ziFa`#8xe?Y&7Sd zc3^PYRv$8$v-y}VJzzxOJv(N_d?c1OhkG?$(zpgBkhk{&K6Jw9JW63#7(*W z%7pI8dusT73pd zM1Nz@W)DuIg@s}+u+D1Azv#mxw zVi@~EFzL_lr`DLN-DA)CgnzN&ua=yCrU~3YBQawkQT1Ine|9UTnEesL;WKSK;T@q>qCR}ARtGvjSxwS7k{X5w zmo*syUt_$ZBPJ%c&iGDubx0MhX74awQ%hI`kbnY(QNOvqLjY>C2ODhP{u?Q>;(g;XMr*wBC4GK#m9n$sk z{NDf0=bm%#i8(X#J#){@m@g=25Piap@Cqv(=l@tOh7b{Kga0<>Vbt3v*R_{e{UYI* z&-Q51JTeDVpc{YM|2y|iL@vIg&f<=)gm)V6>*f2Uq;|Yud6%2OSdGt`ZUq5$bO*67hq=(mm7Z?npQrLJ$D1_2FkwG^q+41HQ3%JA zAWk=kkgbuD76STNf4=)k;*zh+*!b>G$C*D%9oE1uF&4R~b@oeXWU8G6ZsFu2annCQ z=S57wYivL8!scpK$)tId0?|&Z(I>1jVQj-8j}C7V>LeSo;L-xt7=2&9uzl&}Aiz;i z9uXbkS;Ho%Shi_LQi+6U%pX{cJWeEy;-%$C#baq+D zaft^>ktWP04ZPGiv;Gg>doMpJd9Dd>(3K?0daiYBOXy>gFQ%a1>wbrrk%L4! zfH;G;L#fN9-dCZ6i^ZsF-xjI#HUS_b3cQ}mL$_4bp*=fF#)5Ja>)V28Z$=!>UY z81Vy#t7#D{>YwDr4!1IUvRC4P^X*8FFVkTz1X8BCxKGEWQvEQYe*Ly1K+(}tSw7^1 z3B^S-b>$+;Ik$&ka*MNQq;GPo%^Pu->6S^mbZ;>EG+x38ZQUa{X(kiQJ@Xg=OW&I@1bc@_j<%B89^ zE|X2#s27dE;5(o0qRi}`0ssM^L5|f{qD8upQ5Up!R-`uvjyqULi`niMPqxm}aHuu&Ut}*>?wYNkmZ7Jtx^1&`DsHSp!P!5JzF7j;>J|8u z!&}xds?)_R6St$i}u`X zK_~qhD$L+!+acUnC;@cHk(Zv}o*Vd+FltdNW{ba@Y@)w*4oN71M|b1y8D!8^`@QDr zh~DJZ`_79rPG#2SR_w+~oQlx8bDIjA|! za4C2lo@c^oTbkJ%%B6Z-&_vVXS7o#L5zc0p%=gi8!ubh3{Q%d}Up^6m zYXr|nXmdlZ9`-zaKGJv@fcPcicIDEAQ6EV!YGdH06i6G~L{naVER(bb~Le^IgegCQ5NiLIKJ-j=w?(5orv%7vb5;ghV^xY}0 z&9-sG{`j&Ir9#6_Pyqh;&6|3r-xnj_2ZzW>cN_6{z14@kf}~XF91C$hc3a|tJSa!3 zXw2UC+=K?=YEGdGe{9jf%sfxs${NiqGND`E5GSgR*}W#)ZGD|PGRWMF6sH|d%yg5c z+G4#1k)jb)zqs3v+BN6{fN^)wLPsNF<}Eav`2G>?cH7c{E$`#^Q~MZ~-*g7xo(5!2 zpE~a@7?~Ij{T}70jzvoCVf4lttvOl8}-c{odoG1H5E#5Wr&1-QvHwFznk7 z&K|rUMHy=4wid@|J<C!xY% z|DN^QMd&Yi0gnX5lX28mE!6~5(oM{W%06pU=o9*ueLiziu!4wxAjehO-U&K_Wxl15 zv-1gR`WF}dhU*K_9A3C*qwWjtkkDgG7aHN9j_Wckx69~icK?CeZIkI2%H7Ad0cK2H z=eMF+_(7Uf^*YSh=WAL{@}15IilDp=+BoZEF@z;YyU~|kkV6uB0MXL;Tk}L=^TfZn z$Ya6kH*JL>5Do_%jm;7Kumq1W8=>8?dNKo>o}U*W>BNu?;XseFI?;2Fzzr20qycEi zECQ-(zyTb;-Xy`Q4JODrcu7w?)7>Cwm{j%HtagM)Y{l`nBzL%8wqjudjkR6A{EB1C zw7)toZNX7-RY&3!P#IP9`WQ?4KF<&Dvn|);srs&n66*advnf$?q40!SYI43n-iS$D z=!8y?sL-DK3(t~zbQ&%C7~>mjLZ*K&dpkybV5=E2F;*;7 z4jI(@zs#Th-k~i*wfDM6c{hx9e7{JBM1S+kkNy}x7g(XY*}Rrf*ZI30k?*}}>}pJK zq9W_{1I|O&M0G7bzlJ+do37l*e1aK*ICJD8 z;1I$)VVuzsaoGgiJt(xZ25Zu)0Yx@(f~Bd5 z`j?jj=}2ryjZWz;u0yrQJcytDb|A=K=^d6FYWvM(Wg%8E$OjZfx7fl~<+6uD{fRjI35KKD-pR%zp4^7vf9U3Fe;^&qBewL^_zY3Xy*70# zAhJ71)}r0PBF=3xpWwkq0K+*7zz6l4=lvstRXg=0ZWeuPu#;8L1+{gn9@yAZ0y7`G zeeBEJTi5`LjO~zE<1YM(=)Fggfv*AwfW%u@Xc%QKVcYh^I=s(Jm?o?PdRpK!VZh?X8TYptnLpXo%8sAsj< zxGuGe5QCN}w8B-AxvE0{+av%m3r(a9TDmV>|*uB0V z+KTh_j|0j2kng^M5T^qp(M|G>mm5uU^-vb&+$cazs%PAaji39Z)KHww#%EZwRVKp~ zf4FK4w=`ENQx|2G?=p$1;n=1C?T4!48*}~^;wz_J`=pQI2ut` za{)r(flC8sj=j}?aoYsBAx}aWCLH1eA?m0dH3Z!0?sH=tvO}+7TMt-|wxR^pF`e&y zk(sIT3kafj2fC;VtH70}_&cG%C+wX81^mEbkFSbc*5hcQCwh~}+vZ6SR|A0d9!+T1 z5X9w=dY$IwI%TQ1g?kYAbB19=Q`YT+GM|K8BZe!_cH(GfU2|$$ip4!OOQH{!t0OPn zto0+V-Q=D}?+5UCdaj3AmJ|5p<9_A-qgdl$^l_eIy9n;-$mL>au6MdQfFD3}1sp$i z$65w;(?mVZ&o!m!)45oGz?UsJB+LrS>z&Ys=NyS0hWc8qme?zanTN%s(1gI-7*m6E z$_Y&a#u*Eguxi(51BY9c8}BJjR6-3u1V%ZiNf0m3<)sVA=!{&tO}LDi>SB`LQlm=o z?GlcEe<1#KCf*eV!+L0Ecl~<4`iVhc0ql|Tjl^(u@Qb-%He3|SXmhB0-VpWAoSG&*BxgfxzmFGgp~T>gVz zY{%GOf^l+d%LT)ga^%x9p(B%ShfDa$()zh3A!^ND=zgr{vzY?i4+I5B)uHeUIdt)C zxOv@KDDPI1l0}4a1Ois-n1#MXV?j{Nz9VXsy)jt{^{&01iGRuzu7jQW`u-f!ZdXV2 zY$9TUn)&lZpC1g6V3Py4SG*G7_?kh`9+VE^*PqQY2(Ke%p5Rlltq$JU^JR(ndp1rNOOP6T{CmGU`Fuz)9Kcr0lJOsVU91 zrS#mF&?uBALNDSY9PFFAxskY&jpEAD;kUh+p&m0VZ>Tbo)qyyzmP}(Ld7)rzk2B24 z471QryuU!#IJx<&Z_PFOquJYb>tT|lwZ;SeNT5GB=hn+Gv@em7omQ`4#sz0xYdsx`hXST24o2wvE7S`Vc2S;}F z@qSFM66jUQ9`-Qeenvgszw}eDktAp<5E=#7i>X{?U0FB1+MD~_&xA|fLoC3om!SyN zc4}56?ca*(I6r~|=kU?H5s2)XzxmzW*K;&(J7xu59d}2fLWZg0zbxGIM}MqTYUMQI z@9$7PBVZlbd-2^0be$Skb@0jHS?YB;j~woz^AIi>gudfCMlFGg?+mbW5Cn-WW7GEG3QAo)v7YCW~iLly?BzM zu&vEc)CfJI`yJItpES`{-0ME}bGG<~=#eL8sQaEj+jHpn;=Ok}Eyr&;GM zSMngX1C{#pLpEwjP{B__Rq!X}S!r(m2Q*=_sdc8#ol$!d?)K~0GjxWTI`ey+P(wfT zOqKr$#!^Cu{f&F=&dPBnfF-k`6P|JQtg3p{ap`N^z7OkB5y=GJ=<#3XkFSehnQr;7 z2~lkqY2-?<)@BEYn_hQ6NOiif#i?dL$oI6)6y&vg6FS z=D^w)oRO9>J5*%&Vt2}b+@zE}jrfI>#z$pYP3IYm*P|zAJf`P`bHTb}dB2=CRp!ft zNzS%Dp;$IP8o<7d+Jc^N{4Nc*S)x={20F-2Hc-hcf}hOg&5C0_{ppOTGQ=2=)I;7QW1b2w;{G(8}nDh>|dG8=Fh^YUxWZNY~nMqt)i;%Xmq(7Rb_8dRu_yRbX{mBNrEx{ zbq6qaff;yi(q&8;-loCXezfc~$q7AKhn1j~cbvX8ljw0cmKMi=uM}YR#qR^cS z-1b?ckIA2~c`J1gseMf$Fn(u$qb#VLPd#&j8m1p739C|92ZpC7qTF?*2zh}uPtQHD zvTdLFd_PCd8DHB;Cq<0XvoUdO2z)c`T#AEOC=stLo;fL^VUwMEIMu zRE;ov$?t8bJiZuKrnAQt3yQm=K);L_7t%JK6J3;_X*(c|vqaRZ`+N3(*10^ZCk*`~ zafsT=tj@8kq(m9n6>>qbbsN9R8pojDXk{cS*%&bfjEl|M%~bbf)E6Y{RJ~NF6i>&y#9O0^PYa?3k95;|p znAZlmyi^IgXd8`$$W*{n(a9!de2d*bj%Pt8MlH^x`Ky5EN%b(4XEx**Sq$(k{)HNC z{ zPcugr55(RtgL&>MR2o~((8bI-X+Dof6C?M5NQVTPA777QEyTJazZxV;lc@&UWZxWg zEp>sy8on2btcBNbiPX4clW-})%W@8YYTNRU)bYJ9_G_YDjlw8smX%wb(KCMYB%y1I zaueR-Oqy_2(7nFj$$JZ4Ysh_4(nopVR7R5`8M(jobxp+MBL1~>#U7RlL65g~{N6c7 z(tN#$;rh7(LQUe#A@m!^bq;0M$4R_ z>3Jy&-uH9e*zNgflKMqUN-5dKgB_g`6AOgMKx=0{@y~w6>iQ`NL;!owx)aFndnGAa z*r^9#KJFf*3>Z*Ew6b)28{*EbpuZJBNTWXDxHPKqK(}y1O=>v)KJoH=rn*^e+ zQ|{Tl+$s*%d8aHJX$)rHtBXL*;7|E7Dkpy&8@9vGh^y;o>xZH@)6xtLYy@EEIm*YZFmxoH-%8v=S}A6N~RrGk{;UjL0}H5H*7SNznl*v z$p!WINGm%sBgEYq+DwsTix$>|?2^y_6MDwpnThMFBPp&!E?$Xu%^rA+wop)QibQoq z*!@I%l)5a$eHkLvLuqfbh=~;8Fm!j?St@^alrbO27V#i$qCz*cvgssLLpq{7dS^Y{ z%)Q9hHk@ajM9h(V*SN*C<;2bB?h638KH&VED;vB?g`wL!$%ylu-xKsu7lwkxsC z@u`7Us(-ZaGF!V2LFzpS%gB#fG%1fZS> z;~;BMAG13{h|&$bZk&&J)*1;9Itm91Y5L55%E(nP4jVC)5t|TTJQ|_X*rGnv?|J&Q z$|_mN&>r_S7#=B|&f7%?Ua@UCTV-AED|8;ez8)ud1R(Q)bfITjv!}75HbKW&cOG~e zkf2)JcJ;R-LM`m*_Ih{UNAERE@WF3C;fQ79dzYIX{L1wjs~zp9xeEJYcO_)~wF6Pp zc^b=PqFjZ@?>q+h*#tTMk;JF*xV=4O5lyWu9%QXz%}ew+qaneJ=+36@FBZHm|2jXb zR5BR2dJPrGF#+MMcPZrJ+WtM;#B#(K*rP;|#&ykfmFE-hNYf9|6DZK2Nu!RsC`6~J z!`ca#i<%!{rnA_`_u#brGTPd(q+bUiQb4v6CnakIQDvr?%_jxVoJjLTZ>;f!L^>(u z&d=|V#D^LfDl+`8MWh5{uyzCr5^%?zP$22SCq$r^e)UrBzeTT-w6+79hw)HWJ7T=R zLqUNa{h!PcJYsa%-dz1EM$okd?sJsdJ&LWt!aT;JY9})8hF{s{G=S^V zk@C>@;n%Dl*%GOp$!Cs%ez>N!+lIJX+PP|o){O@WPV+g46C&8@oV_u%%}1bh{F6)Z zMfOmM@@pQH#haovyS!Wi>^w!-~3h)C)eQ z=Cj)-a%qm?W?iaabkQ^Fo0-gk`fAiCWq{f{Wm6meqD8+*s~lsO++&f6M~su3Af9~5 z5^SZ*&;1TDko+Y6C!F#pI<{A@rSa7+VHK%0ZLKVcHXZ239$s+d0b3n^8qate$EqBQ z4WU(3PS1!?emn2_D`*+MHx~;?*LpiS?c(<AN zKwRPOzL0$sDMBQQtGv(bUvQiu{mz>d|7oH(;2z;zUI~{mGC%;j=k@o(z68OT%Aluc zB&Owt<7>o*|I}RfDQqEaZo|+K_IfyUK;9vcK zBSk@(BR!MNzk2bZ)mIK#qmZo8m#`b?_$nH+d1|E1yL1z6NE^*Ps4f%GWPX7T@APiwmWCDTh8cMh`GPe>2-*8C6i6NbyKv--53oj(y|Qt z8k2HCS`YBIHW=4@CS71NDxNnSC$=MAjQH&%oL8Z)Ig*C*W&f~wuUM=4j~Nsm>JzlK z>wn4NCzV8%Arn$}yLk+EhhGG-%B@L12N$u#+1z7ZHHw{U9DbhAQ)O~;8%H-7;G@m$8y+^0&xkfqOQpu@hkd;oD%apuz&+=SdD25VektTmi%lh65`vqx zkFwK@L)7%mHET2fKAI>pt|Z8Q3enI74xRE>p>5Y%A-=v%0Y>IxWge@}+(i*ZIc%eE z4DR@*87-1Mk%hHsdDGKuwQHxGI-^aWt_JS6S#|1P7ozRNJ^${9bB8ce!$ljl6z%#Q zxo=N8G?b(kUmc%3hDV%>uE=`LZ5U^LC1I()612AZ_b3tzj1Qf_GX`FN5;caV;hZGy zL&>jsWQ_!M{<7#I1ZkOw1L*}~?US{T8E38OyQl+9yiWq9E0JkFzM`96TDb`5el3Z| zovZ%FnDP7gO-I)>Kvk?qR1`EsHsYc9gYak9Bu^qFO@>=He%P4~J&n6O-=n*tl=1mH zKlbd`$kd(aia*cD-m_|Veg4@Ut5M>moM-%=f9E{VINPD5>t^&xJP`MxtC-^L1{qur z`eGwh=kzcZo@emhMk}8n`f4^1;&nDYQYc~u9Uw1h9GBrZQQs7WNHKMG{6g`k8+X)k z#{5y&@DDE^7lk+W3s($vC2m2MELFLKUQ8}YL5|=$@A<5J7>E5if^cH92^{HTTI(@4 zQ0bLJVI}AJ8~sFqG{A1g3@Hp~mqvp3&9%fq3DipdBcuHU{yzSw<~8;AMd@1JgeU4K zN=C?<-wWYK{_i2>o2l*xDY{^_#(O$}kDP%yXxB#oLdzbHW#kHCDx0=5Y%B659JzjsDE^Rng=FP~k4d5p0fHDkpZ z62O;hKc*%D*N%b9U%m|NwB?HiR#N5d(aJ>UCm_TvXLRF~A?Vby5?g$ME&CL&BSVd| z^5;>J;b-SmPeiB&5A~!LNQOhSiGs;9!6s}H4k{BlINHOt3z_7Gqmie?xr@?qM-NAx z%_7FjcitS|FkNWh8rg(Al~5q>#z#eLs-HUWTxO5UJM!-wg9M45MllzGDXtsUbNh&r z>(5BH+VpHX&c=5vLEWtj-2Hsv94XwE=Dg!lrjbhZ!S?9|4^+iRSUnJO3g5=+ym$@@ z1)K;#NDYNvAX-E8&`m`sN4O|~tcG}IV5LS_dsSu8)FeAUz^IA4@b zhPkCl(0MvTUf~6rRmaW9;(Ba{8KG0AaEjTj8Fa>#r%}oUihk#;(_F_zCuegn zT510+cG;c$5)aC$8lay4aD^G>cx7w0Z^H&zzg4qj*0CsVSGP^+FEm>Vzk+W?=S|pZ zR)3u)y66Ehm>uqqt2cI30meg3wf;;>5|U7L}|Ej`9)s9-dxHVNbpb<*`NmNV4N_ z(#&u#rCxb~>tQm7bh(ga?dpOq{@-@R9MaQ0`H2K4)D747kv)N26-~qO5>~ti8Vm>5 z6N|NG$oD%{oZ|Pc5(HBUqd#7g6?2|E3FGqWIyas*M^(0;(6t;10EPd$J@Ul_vP_?& zJ9KCr#zv-Qlk|7vN~PS|cuHU}nBVgUl?NSq7jUcup4;OV^~5zaSF?a?cd`~vO5*gr z5utjiKOHFFx?Y!7X@zY1h*ll_C@l!r=oo+2cuohF`TjW?D6Zi%0!>_mPyZ^BdDwK9zoF^TJ)=A z{W<7#!>XW2+r#q%j{VpY&rd79^1Pa_!vjH$Nd}YvMdW$N(*VAO#e0+7GHP!L@plO2 z9iq1^zS|hB)oF)Q*^G0TOVqoHPNQoiMK=fGADeqmV*2M)Zzo!0u z5CHraY&w7-sPbA5)MeMHB(pRGdb%?~Gb_5|hQX+A%g23J1-*anh{tgnv1QL)tq`ro zb7-=szb|yE{p>q*MisYE(gtVvVHVX1Wo@62@=8a+@q@H{Ti_iTN?Buz5xHsJGqERT zc|9G1qpBK8!p`vyOWehF_0!v-McU$17ms6`{a1?-`uz3$)Gl{#bAsgt=iVuup==vjdmCvgwPh+ z!{7MNnEuRFbRK7f|4{8UV6vvOmB+hhcgiL%=j zkI*+|K~QK(U&9XWSdquOF-??|7aPv2DLeua2pl*MA3UMtB>NI)qVzJFN8Sl#+<6-- z?+0-;wU$rddVi=s87RC*^0bxldJY>GKd8g<%kvag$35{0a-6Axi&u!Gl>P~VZ!NLX zi&+hb`A{SV(ES`2M15cTy+tRg6z@)4u6z65)EuTtR7M&l@W$h z(3@D9L-NM3-=sq*KnEe)hwngZr+zTw9XiZw73x?tyfVgOS$gn#K&9hJ)`%2^rJoo6 zt0Xeo=!SRSAGAYw(l5J+9{6foks{hb=WyrC0YcRuuXo<1WG+8f*uCgzjgq2gd1WIS zd~u){zT?q*`a=-E%LbIPLe&lKxwOBlfoq8djziwba3lV85gky)i4)|!t{WvX2c@1i z>V*V;GtTgn2##cAm79Y-)T`uTkk#pK1w7QmBDzxK`ImF(<>FJMte$vAXm@d24S>GA4FKrnUut}wFm4{410 z$EQh(0=)z8uQPx2ov2LX8PDgwKDTg$fdA`K^A4*24r`&3V1hbtfYMS6SH3_|St;fp z&3eC%kb{1kUEh5bmW(sSe?1>i34~G>Bs1boP_H*~z-SbgQ8SR3-@)h^FN{hSbYEQcX zqUybcw<_dAOl(D=!FNZsauV#I8GmsS(DnY0(S&zVj`(?n;pXqnUuzB3z-2O!&y2Hl zNG0$}%#V0Z<6F)MJvIZns;9J2V?&m<6>;t#!kEP*)t6wuC!Uu;{H@cnhb!;E?)%PU z6td^fQsQ%>IRdGIe=qNnkt#}3#sp6LJ)+=zpXyYuxkRTJ}SR7jS+-6 z$`{L>hM(`dJai{7!>64z`~sUQxX!b1La#hpaDT~mLG`+Wne@p-1{KQy5V^2rj1 zh4ri8TA1rb*os8ZGAp?m{^`F6tKX0k1Wr7>YqXh?nf-oZCs>zFe+PgR`~f^=C3A(u zjNL-0l&u(=(Ff;VxL~~%_(13Y({ttE1-7&ulfmu+4zjQ_xfFaZcM!NoZn81^Ho8PF z1ZCZdV88Q-CwbtFJdBFQ8^kah418F~7sWoJ*HCtLb}B&!QgqPA7)xU!qKd}e&yl$A zUC-}J_az@bc)j^Jk(n0n_wA@s!%I){f?Kogjme*)3FBr85T_p&oguEP(7;sAMNoT( z6;o-AqlO+N?cBSvsKTKNCCzF)DE z=~tb@JkD(Xpj>^POeCAbycWMDC$PC6B0K*DD_Vc3?nGm|K!6}wx=1}rv*dg$85g!W zE%(&1KF32^81^Ft>tU%*pEMuLBQ*lF-G1Do90TE=bk|6+)>>y2|33ZYV;WIxKfO-y z%ecvKbJ~*H$DP_HD*T=u1zUG-m(UHldad}mK%g$NyX*JRuckFWqZq8|Wesdj4p@(( zTGZz&=ds1&k$0t22!_rxB9OhXy_TwCZJk!S9mgwexq$DT5#@xyEqQ{O2;vK=#fGKW z270$xE?P|^da2S1m()uDsP=+UW&SCl`OTBAtH2D+KaZSd32m0Yr$Y@`a7W-*xXE_$ z;z&$6A%0Dpsu~kGhm5(1i!vo+5cU}876im_dKG1QU5>agV;Zm?Z`$tXGyApyQ#+X@ z!>@Y?^WC{EXBi@3irw;V%lkGPqOikGUoH^@9~l{}j}C_^|6WwWV23UY8bzW#E{vDd zs?oB=Vl3-q9KADXO#9TX)FdP4UvK^ujsfIN_+D?(R!O$v6Ts>5saH$s2WYD9EK;dV zBY9-o$yqrFCz@LksIf0n%+8#_ZsqlFr$(hHLQ3F6488clB;8$p@)3PFIPV``-YHh; zU=Ij%$j`df3S!iNfXpOxl~h?NTAUCHG8!@hR84=MnY+Z|FdxRd@Yo>EVmT}jSVa>- z6NS~{WI6MlnoVDe>I>GAb8mUUdsQ%)lS)Er%=-Rrz=#7AUG>}E<&U_<052k8Abez* z^piY)q_RFNT}OA?e+gsQVJ-p~{#ITv8Hj{x%IQweELJBo(Kyd^bhe>;40h@|B-#MA z4FBkhN)o?k)%H*X^v{613WH22@mg9(wMno?UY)6xl-Nsi5lf&tYIf7an@7=V@E;E- z^U`n!n{3NO{*B9S!An4y8$^1mrjudE@t*eaCIMJPBWyW3N*!egFi{oLFXrDsn3c^H z6Le zAyl7~f?xxJO|tq0&1Kw38SD`l& z@#eZwf?YaC#_h~y^w`NRwFAn^7!zczOP(mUy1@w^RU9T1F(|6mYAVx^HBJ?izV5Fs z5suJJk!Z}jo_}Pb@l}Ut8Tx~?%Z=zHQ-be5s(vl$Jf*-EMM(X7`YSHt0AAa> zXTtwpSorX}QF%c{l_dcQkrf(v1%<*hwW304BBIP8A?%T%J;^F{H`Y-7KTApgy7&&} z!%~JWJl*5Q&lT};8zPvF1ajRINh;y>#A;G6RAChTw+2I=49RrWf?PzMSEnRTo6na@ zI>bIFxpsGF>dek6=f+5dzXF4^=^x`6056r*)$f}4VCJ)*lUZPBlbbN8T>n7vJF&sX zy+Z-#Mw`Z2BH4f_07pqiLLpaNYwfv0nphBA5_lID{$dN(+nPGe;a}<&V%K>;y3 z$j?|_+|Btq+S-h}@1@2kYe&tZi0t0ICV;4C)EWF881nvVq6&9S9qLUqdC*-=*g*WD#w*uhqnePhR5(ey()1~Pl|p3&<7=iWoCnYQ2r(rC=N#7GxjPpUdw~VL@Gupl=+}LDp|TXH%kUMx!D_y zm2sXV2zILNn?c!$ye{*-t-I@-W;t$^z9g83Q?dY}uIV+8D%}Af8A*`Hpk1w0v^sw5 zIgFE2xS}eb<`!o)^WS$`VdCvJPdW&$x474HLl5vM)~p^x?$7!R3T}mbs4u$fTDcs1 zni_}jks>ZJGf@!QP~_rF=fb9OGW?t1x|e-`I!xj$H9mqJ1e3|0a$$=lD$NlRJaJl2 z6=VW4bal5kC{7-^4QhYsZ8J~Z27(E09I~*q6oYAnpEo*V-s64HqkdhE)QPzq?6<-P z_W{`arsr?*V)k(V7_9$Eywp@thfAV>>&o*E#&hOp9<+x-usM^&2vh!J#7)=%zY;#S zRaAjOFi*xxPtJ5=ad89HzpC1wOAf>b>eCyn`ih!{Tar&R*9t zO3dy|BND?OKAhoF+=)$7=M_|=2%K7Xn_JDcsp7t03n+eUwuhSgQ4KK{BznYk*~P}2 zi$E-x0(QftN+ZMVb=M2|55s=(T9`DJQZ!OHCb=$bi|<5#hymsWe&}HWLmtszv-g#T zsxb-*9u^%^yAu~`MA^fLqDai1(9$#Euw4NS?BQfs2#e+3k`|be1uQMh?(AG_#$UZV zJlw*j3CGG!O`c~5gQDvc!eDP8!9vgUYc;2|X9K4|jsKG(egHXF%U zWpNgf69fFj_p>-Hw5#_g<$Dk+ zYKkLF1OO)UF~8b&%O!?p^1HU+zx%AxSvv7TS*8}kn7s#K=_(9`;%VT<%9BBjX*P-( zE!+%`VT+Eh&(8>WeQ;tDDT!RR zFMY+op42F}q&vWM5f&c-2K^JXjloJ3eyfo|%%Y5!vIQe8?Dg2HX91u+=VIoYaTC*g zyW?hF{1cs=SisqG_-?sbrVV5sy1DS{Mt~R&k4#ldx@Kc6O&yFDa^B`0NGTq1tJCgy z)}=3*!n1|FcOKlPeXmJ~l`l$xbbpMP&t_O+Ll^2zXhc6Jgx`1TuZnc-riYB)@ey|h zznUUFP0eJPi5O~)G}}VG(3Shv8tMTT>76 zc?x*+>KSCd!)15MlcDg1w~D5MlB7FhRHf(E>p)_E zXiq>8rmDzk3+Z_!E#A*`yDBVR+d~PeM5(f|c{hm|tU0(xAqZ7K6cpLXM{P5_ zRA(;coyoGU`RD3Ij<^adQ}osI!bhWUNWPZ>d*@r}MP?9zzNbphh*uCEkPg5D|}_`e6h zF?1f*aLEj^d71FOXk{`C1!;pjhdL<7N%$^4rfnDG5WkJ^)A3)K(P$d!%{xqHVi(HZmM@Gw5~qrxWY+&IOC=Ca0Ya#Gy` z>a7uV@lw)4q$&&23*{Yxx1<;w!nLCb=HWGXa}{5C~7#LENKj-o17 zeQeOQivHHBYo{oFIC zcDpA-DNvK1djN2PT}cmS$R#=}rLjF=-4f7F$w=j@nVGsAYGGRD_uu%&p2uKwonWKb zLWUjw4H!XF#q7ugo&5N@Fr>_AEM10l!Ed0Ekyv89YGj1wdqxRU^tF5i_9yQ~Dq`yZ zB1H1=jz$m~>R77qKj8RYj3~8KpMs0{;MNQx+wOHq(ugJ0HVv8w zwv#bVJx@2D_jF7+y4EL}WV>~5{eyQju!oVw@>zF+Eq060@PGJ*RW(<;-y%*mp$-V; zNtAIp31J*t`;N^@Z1D;N@M3TD!Vo$$7aE{SNYf-HapZFGEh(|lZQ3Fk7cz~C>0GG= z3HlJ*qh00lfhUNL_k_3@v>~ka_CNVZ@MU@_Ut0N=tfQB0@~t^2ukyjB2mxGFoB@{S-a&5X99 zYSNb_y@QX?7V7cU>syKa{=x4JPnt5RA$YvUlD#@tl+}VRy=r)Eg58ORu8TEA|K5EQ ztvs+q1E`Hc>77jSjdt|iV!MJmG$eaDv!9#u(_aBHMU8LV*J7HzD({1S2bc#544nVk zG;GVdbC&>D(UhtN=(Q7pWNzqWb>MTSOtwB#{>6??n+BQ~g6JOrMB z$re_1gB$onjn3)&C<15 z`ED09Y&2jN4#w))yot&plE>{9l(MR2gd@WR&nJMo(!SdS6wqd7sGU-a)K>!;6~*9j z%0FKnr%(*SztugC963}=RJjDA+|IzOKJfa~C>Qf2)Yz?&{R+Gg#SW`q^XZ-rvnFsP z-DsT$FNJzfOWX<2Oat)nRTO)V)56p3t_L+Qz6tDS5(qI2)I)#$GUg7`V|kXN+Q0jGFdTHbpKV4e%3P`sX+Q~?y~tIfMOO) zF0iFV6CWiwoUUVyRoQIG4f4&o)`NpFSbzp}MYMi2d~>VSsDtv*${!ieOa)UzW?JX3 zW(wxa3`G!d$5TSq6VCJIx%u~v_yt+Ow^MC~ernYZMfTPS(;i$&zRxM-qwGYsSU>;& zyp6f8ThQ)BE3u*GRIUjMXjfRHzIl1iMiSr53p4#~yL$)J{_T$B8FiHgp_%Gl=K0PY zHccq1$k6ktypIUG&gXYoz-^PMF?j_A+BYg}?Z50&h4ED#PVV1e;@FSYi`whCck~VREM4T(@}_8Hoyt0bvGJCB{5x}R&y4K z!Bn_0o-lN38ioI8DdE<_L)i=)uBuc z^1KZI6rv0tbBNKX*=V7#c?XU zZzyqb_WtW7&z#wg0# zMQmO^Oj9iv9b1WAj)}Jq zlvRKJq`X?~V)|%W-GBK2u6-^&y2Tvc%~CC2{d%$Vk!dYWzy78a^M{+COOosP*;Rt| zs^wDZ%=YngqUp)PfUZkT1^84-lY% zvf8>oTROM!|HX~FVZnyj3s0^?-~X%Vy5o}kzHm#;%B)N)7n!SJS(>@EvNCr$b3yJD zXAVRK8jea0(_FbKSLVPy5lVC5qOS`#4qPdspdd2*@%#Jz-1olsx%WQjJm=hdUZ(hD zSHwSZ7KDrOjiIkLfuB#Gcd*ElllYyqR7Y8H2g&y96KcLJ!Q*#gzQ}sgJ910{u=Mm2 zPIt5yYIL380M$BHpF6FntA4G#Wj4%=S_TpFV%=InmQswHBJaa^k#GtD6j zAvtsQ8k#0^Vrj2S7g z+KQoK6*vmY493y%P>9FqJ@--ewDx#FgjsG*u}ak4myH!0x962<*}7u*8!L_}>7;=V zU$6yaoZa{|@t@I89N1wJ{{`ES zGN62gcy%a?RZ9D7OykxQuGhtREC!h5PGjrn-USW^@9hMUTa1&h?VszmKQ;~x0v;3D z81H!xGp7E9I*xaK0Y?mpq|RXeRJ0UfrHB>0u_{C!O=cFOxiiO+i5{%QD#@nN2I$5z2KetRy!38B0bS@E#R7PYrS&a#3<5VQpfQbz^)wT z3^e_iDzS*_C|>pBkoUe}y$M_SI}K|BPRPW#5WXR=#Yar=0yt=551jT#h5dG^0_Dvi zQ>hI$h!%9Xy<|WE_75QP*7hhH_TK|U6ACTYMN_L8^Wl&`P7>wx_gHT?8c*Z(z6 zH<8&f{r1Od@k_qZNkxpVn14PcycUl47zuPD7tZ{bWX(tjeVc_mdX6+?9rc}M95bpu9u^GtIiQ!%N+!# zwUI?OUVRE;eGZYnrr$Zg9cGm4eUru8Ml#x{ysTcOOt`B_-C9H|qS$sPY|dQSlN=7lPq*?`|ly zB8M1%v=umN&?0=#8RaEqmfHNOGFQuZt@tNd$Cdocv2)tZ! zVr*s2M*}(6xJ20@DN>>+sU#k7M1&p7Kt!>-wA`-DVDdP4%ayvaU>P1f$f%L4iDf;W zlwJX~YmRSKE>Y^_@kB@#uL(ebW$NEgv@?=s&Bw{1aW(Lg69Ja2&oB`#by^&J6$Yjz zPubrhDHG~T-Kj4TRTS;E61|JHOQ??b{>_&vJOL~P(lh(^R)@wo@N}z*CjjPrY(qnO zl${fS2#MZqb!?_+&QtFBG@O4J)Q@zNkFWDv-;4ZyQ{QOn1TNmZ8Tkb(@OU)fGb)dr zB(&NpL9q8*KRupK3i^9bus!^ienkdpgw5Bb$C@~-v6INFO*3U6vhlsVKkr2M&Kp=t zps;vSv0e}-l6EXGO8tFBGGHaD-dzgi3uimfISvDhv;{t+0Z~i)EWir21g1PlxO0d3 z<)NAAq7Gk00HTO}WBhSG$!}h__k{K&DC-q=@F3H*^hHm19_r^-HFkT8-81@)@GhNj zHeB=SmJ3TH9?NFgpiuB^T)%r)5C_D3uJM%Ga z>GSv-ndxZML*0ec#0$4BoIJhs++(3h3_%CxOy>nyvu{=Ofub&sgx4=W7xIDU0>FSi3TOHw%!!ZF<#;SN6dQ(5iDc) z3)8pjC-2mMrVYr>_kaY5UoR9u4n@%3t)&s19Z|WLp@Hgmkib-SWoT4>xaal7>4+Ls zXU({W6TVj!e7u?5{h-XethNrLbU%d|WA;b_A z04ExrE_g<|wpiRqlz?wW{ibO5W1=|PGE5hiS9>{x9fW#Vzk8MWdsiHraNT=mH?kna zJTpDuF?uZ2Gk^4&>jjp0z#0J-Y3|hsg;p*9VHm>+ON)1W_LJ6Iz-_5ZpL{>C<{8Hz z#M6?5OyQ$m5hm1k%Z5jTe^!?l*FmA*E8~tqLI$rzF0jhnwtT4x7RKATiuNcgpgC3P zq>g#l794D(G1FdLP7=`Q$8HkLfkK8;x^~RXgU~TZ#!auQlX_k3Zc6@4Hi_r%u+J}e z%&_?8V?tLJdp0ezmK`96$Z1?-0aASV_bZ3>SU=Aoo^M=(u}W%p3d1-sa55yvdURBu zKJRsfs5ECB;bGTxXFWSkpRMsk3tQ+5U-=4&%OZ=IzHL!K?l(&=cj z*rM<=k0p|epq-4@^U<)JElUX^L;<+o)bnWT`*pJfNK4$c4&8;8yudzTmfqxgFLNPM za(D6$PHk$8UAMJ4wWh&i{Xx4a#cE|(>*k)wt+zBgj<(8j4mOZ>c9s1&*|9}>96hBu zH>_OInu$2bYD6tE`TW!*shF?m$pa@Re{qVdS6d&`9)s)kw69dy37^@(8|F@4qAj(J zGosa4&z2d7)HgX-s~fu}4Ty*gH8mFI#?+3x1ity#=yn?b?-!u<#y}mNu+_z$758HQ z&i?m-opU{VTJ`g~!Sa$jF%yRwB!WLh27z9JaV&iFHQeDYOf&>w3KWb3_O~}aLdYft zBzj>cC#bA)6XJ#Q>f6=C)~4LvJhd~*^xW#bKh`x!Rm7H`o4sIPmO|&i&2p!^#)214M9#uzp5pmzzusaGtTDP6{Mr6o?D}CE++z|+ zSZ}EzZAMli&cDYZO-8|~{lqUYND>;-w0mvN`W#Ve!chw;o@r<&MmI|KVxP_@Da9!F z)&ng5_G)n%$=vwO8t9VBm8;Be|IQarVaMV5v2VRd&(LXsn=fPCPpeMKMfK~= zr!ZX_#g%ur92aF+e@$YuZUKM6o;EtCz_gH4vsorjrRn1wN1?9fEh~@dyd!(*fh$wj z>asqHD+AJ$8mnMIM!D`swnVW3waX9GB#G%po$l2NY3KRH2wq5eUAu+^1C zc410M3nMqvwqz@=>(tbQRu`o+J2|r^kr9qAS|1jE*Ep75#~l`cI7xH{q7lE;CN}bo zsk1SC+F#X8Rlo$;{3~#gv%U}Yq{!0s9+n)mjzt8Na2_ni3C1heu|VspperU3Hd0}q zvg7Np5ss9I87Yu*`~sat8t_!)PT!jleoU_my6cxxNf!6c&vUZ?Y6y_b3D#luy+d$MjHT3K z&~-^i(6jwt(^|OFMCBlSvnM?@ke4w&Ginq$GdvL7_oYw+*_a!UzMaMv)fxz1>M}V~ zQIy`eteHG+7avIv7Pw4PIs%?xb^1%Pizg_Hq`HWfpsO4ClJ1{;;J3@kUJ?wyoR623 zr%E#b^3YA+pa4R?!_+>+6C}ZKZf!AM1|5sUM+fj6&NgnImr+qeM<{glF~O)G#5~8S z;!!JN9N}lHXM91zXMqK+BO=}a=CAeK6Dx7g`+3+;Z-l+bJV;bE+ev2uNTZz)?K%p| zcWdfn0AvdDdHk7#I>ya4ij4W*;nJZLGyYWT2lE-l#?^l0#2(ee1r6|HGbdsKO>l0)VNPug=#Vb5 zs*jfr_wt+r%T}K_hROt#&y4D^bDA-M99H(mwE;86m2#}JK1N|mZxHO@10U0y3Y2FJ ziBAB|kqY>k)u z3b=2w&O8tKJaJk+KseDJ4oD))x1DT*KV}v2&K+2-1wf+k=FQ~RHJYR%H)5j( z>Yf*Fp4^Zz|5G901GeoCq?Y@vInU>%O-bX5T)4~z@@f4}lb8U=d+|tg?V7)#`z-gZ zc-1L4Ku#0ThHugQYGT%bE%?E&WNl;W=0foY(F#=^zyT0#0r^Ln@h!HLxh3e*NV(&r zE&VvJFcY?ZxTJi}Q+M!QKWNtt5fG$BQl^i?(M!q;ojU4JKOKnDhlNf~;m9a^R!=yg z!$hcSm$mg{&NMI80DaRxwsebX& zKWzebfKg(2j8!IwDze-89aUI1=LHYn={=Qk6HM4E9zRmi zG{GJPRP2HtRDTho&?+xU56atnJZBnk9r(;H{LZ$IN2NUU=gD>1lR;FUMsjq^w?F~m z1OYl(u20Nk(r|b_X6-9?zdRGrNu%7VHhZbZLet4y87MZG>Y#sHBnM5e)co0T zkU%u-v2#>3lU{pcG8eT9OWf8Ak=w8bZIq)QhVNzRd~`k*R}eNX`2#ig4CI8pI^d>J z!Hj$zwVUfc5&WDdJ6ZhWJMu2r)b-MSns!M}1xVygnfyz85tFhGeu}uhPKwOK40%$sg z6QQC?_^6Y%{0=q3QwwrKGaw$cgp<<0W#X6X^DLp9f`%~XMJDaxlsd4e3YA#8(JV7m zl_xp$U9Ya8+iLfkOZfitE;(WSFX5|4%(}G)B$OkKC|gtY)^ZJdWvW0bCQ{h7E*Rez+D`)~}udj4u_(d*Ot0-)6w-mM;b* z>+I`%@9Z6ti*KD)PcG-tBG5PBSMvvp|Hmg@&`Oq2dvRSF`VLUPfcfY0+z6l#7h|OL z-{w5Ya4n$J&Ty`@2YD)Ng#`;-e-DN47v`dGAf#5cyrHX90X*mvx>O!&fZog|1{ws- z2B`tLw$+>M7zRwgidSu?vvOS*G(P(Tp=XWS2LD5sd+wOMli(2*H%X{%92FjU$ofbC zvZb~7K{dkM-HHca-+>qZD>dql#8PM4a&iO3?3j^{s6O5ni&p$3qo2}>{?5&DRIL2e z_p3O)ZxCe{bIW?K2jzfr>_3rVb+mIM$L5lOQ)ge5Jc$F$Sokb#+-RHo&GiB%XQI4* zu~6HekWz-fOuRbh=tRI!en_<%P%Dv~-Hog2iqJaPd`C%+UUykPsG@bhC<-e^Y#~}Q z0%`TSJz&n=J*EB)uh^MLQLVm+g0~ZF*f(FdD25U2_D7yqy7`GyLlm}WFEXxd9x`YA zJ$S(Y9cV@Y5z$Mr=MbD9TZ5~GA`%T{dhFH^;16G-$w_7}oS~e|^L56HPc!b|{hWLA zh^m<4qh6GfiWq=S-jw#Z9qlazXD&Jr{v2I>EB{J`0Gjo@F^=%@Ch zvb0P6$ZP*vE8Kt6$d9&FxKZco9ot57OVJi&(3yeqBWj6i(@o4f`BX}Eo>!~3{iK%9f6Rl=aol8nnM zWR!$6V&9}X3jYPBo?J$`5@5bNimA}yX*WB3W&V_HE@9A#0mWueykC^eC3SH_juvBX z@w8`ALmjG=aoc6igOxiIrZX(tDN6Svw1+O#1-+JrC^`VTev50rZa>EtJ_07peg(H)DrS-ppUqcdhUjr*jCi3}G2p_XX{-|J< zf2&HB`t3NFy>(NDXQbG*pSD|Rn30zxtD9s<6jpoYk(XmhCFQ|Lf%<}TlDw?q_0+&I z2H%`{=-5Q#^!+jIYr;4y$Eb$)tlu({5dmd=6qyrt3o#ncLLx%ZcLyvJ&XF8o{h*nY zxlHo4Go$p5QRURF<}k!`9#(z2mq6lVu1_l2cYY&J*68}oa-$)qO!0TL{;EcB_Hj99 z$B?|VB;Jj{igdO=Tf8mXqDpc{HEA-;NDj&FDo&DP~%A``vy1P zC~aQSz%lddIoCbP18^4*q4qTHhfvxvCPbXKmjNJS@rxIAsysI2Ies85&3jpkjE zi`QGLoHb?#1?2Wl9_5A$pOi>U7e^pdVT=&`&bt;R`b~ZAjhW6jAHak z(5-zxfJOhhdnFhA>6fk4a{=Hb^;atE!soO&#XZ^||6E~xvk)X;iHt8 zFx~r&3ubLpV8F zj0ZS9q|d3|;f2Hrq6i&FH>Y1CqleWV`u|GWZ1~eFJ;(s`)FWpu0|!3AAlCJfH8Q~Z zcUfRX1Q?ArA`|WI6EAfqVEdR0vEg*M(-TW-k0bmiPbCeC(IWiJ{ z(j&V=aJ8vUTxo|WBY^T!Pr1kIW!n8PAO}coNv=b=Pr%%aq}^noIpGy#b>CJsPJ)1@ ziHm&%)ZdfBA@J(+QO-T03ygI!M1^hd=#uw*)v6M(y^)a)StTW_@*h7*L<-F|B8f(g zwB$s2Xg4$|Fe|5T6tfYrZ%`~UU5*ZGwF`~nZ~JmAc6j~^ZTrH0fO7@M*0IgIuU#k= z8K~o!?VxYWP7*Z%<_0t@oIBna{5EggBcl9lfMcN@t4(1`ccnMv1-bC0gi9?Rd47-g z7meS5g67GeCrz)f?V&4&?rL-37f&z>_JA&H*F(#eb8hK^@>ZO^;U#;s$kD$s57ouA zoEt9z%ntgkc>C<0AiK~COqS2Dl!lhUw;~IqEQQytzCSFfKfhP&T6OEK>kaLp9jKW{MO@C> z@8yWyf#6@$gB0Vb^Y4?D9(I=dYou`vur}kZ$~9Q6vL{Psdn(Hx3Te{;KG~Gjzfm?# zhqU#TGa;*!xcVMJr_4U2(b60&Gnff)bl<4zRMD5s!~@+jb&7A*Po0+6_+U$>^M7kz+_6t)BxDSPAER8S0gohK!x=s@Bl7x(iBo- z7gEekn$%9`cYi6OA#`Q-ft>p1A$QL;_N$!qOI``wJlFSeCvFJsRw(50C+SoM3X;Z~ zJ6mr$`_aASo~~G2WIeVmu5^2Q?C6VERgdF_i_WgUdZ}fpZF=UR811K^dKke~Q8g>; zVyf2_!SCJf#*e})TJ$5ly-7mFvilka=G3xTQBk^f{aepR3^Zk8Lo4KSc z#xkSO?5Xxkn}_r(SshJb0?xuD%#18YU9%eHy8ON7L0qhktnTrb<$NUPDIe7Yr%d{g zqBk)(r?xj`7Cl!v%j(fdPIz@Oo!ihoymryg!Mm>)x7mTAL2VfvgdN|z zRQ#}h>&k<`%O}+?>fO?NVJ9_S+-A%I-RE5w7U<8NyhyYE&@9W^vi}PQ$PgDWT_!Jy zM8?X@p6%LonESn@gZC#QGX@6KKYL(M%Cx%#leqA0pYbL$2k+@UW|bb5|33A)Q$yh& zwpX;)4Ve^)vk=NeiFhPUt!L`nY11H|;1#{Y_5XA{6|K&)j(lj49ujFE!pubD3587g zhzA?r?gMS?g;UagaBYTu5G3n!M`L)0o$rtDKU=_@Ub~8n;x;^+XW6==VXh{=$oDL7FnC;oDEAcVmopsk;udYEwO-h02i)Az9w!Sj?aVB46IumZKen?^${(bXF74gGeuUVNkh3O;9*W7%hzSd)n-Sop1JcyOZM+MCo zsil21yQ6qhTK6%u;ZYGFKS4h9=<;V-Ci|nOxz7Y>lrW$((S+i7Oy9b{q#mP#srrS= zb9^$UpcB!kf^9DtEzkQtj{GFvez->(_BJ=inzpi1ZK%I)==@t2w&N(?e_ZQ}ru}Pr zF)qLB_SULhEOr%j!Y-tWbURGS;gyB+!Nay1XXE0hgOgmt>F3@$gYPY6DfE*1>&X(e zBJW-FzQN*avA$=h@9&$ZK;Lw(%y^4w!}xe2(0e~}>?ZZ1%udE--V69Lg_Ard1vNqr zGJSBS1UCUCoV&nww9y1&_*s&etC3B2)#1R8Va?#K4d2=C16T zzPzkA(~xqZIBiybMT0ePHdAydC45a-#aS>olaHjBlQY0*ZGPh3mK^dRvm|wX7-KkQ zpCJ|VqvfqpB{N812Sz!x@UI``nus4Z{dSH^%h0!10ezw0F=vU<3a|VJ-z0(K zIw5q%jomM|(@9;{8At<~1XPS;s^xoCGSSJG)&TY}wzDmxC%7XLx2xGDU8 zz1O}|hz&HYLGE;Ph^5$c)yYrLm*e>m$S1AR{`{`(I@xsTo|*gw%>a?hoRb&FhyXuF zv14J>bC2^h#6y!$-x&IOi27Ag6uCYLEpVSeJ&>d+-p*MU(SGlqBT#DM@q56akn@9| zT(^cyAXWWg4f18i?R;{0)fR+B;Gwn6bx7X;8T|8o_*=F@%141^ z{cL$$S@1r+1nim6TzmD1@KDe}`|*QfNuuPK5mt&gqy+(q`X>;qXY_Ea++OqzicpmX z&%5ioOkiP@Bb-zhfCWL=0KmBD1$$RMtNp*JPhD5x_r)qGe3?kGL03IBs~2t=UCYSm zTH?0W@nb4bX;*A+P&b(e!pN=7tfMWLG?vu7qYl|;N;yCOtOc3R~TcoH-o*G(g} zMDr;>>Eg?L|4s05`2iDTo*XSTrA~Ipb!Ob5GR3+1l*l@%Wa&03B-0`-3?_Q>9&1~T zRGq9z1}@!~NwItBnSh-vS)OX1pgueF+qr<_e@jTF zV{NY{+)jo#nr>e?gsS!T=ZTqs#1Gh6O;udT1c*rBiaI0LA;aw|q0n5;;vvPF*-t?D zmnxF`KMN$QpysRM&3mEldCk8XV{d975tJ@kI3f5Z$HpP3?80zA%g%3qdt{uMT5)py z0qeTL!)``LF$X??vk&RgdayJi0sS^1u&f)T@rRd7STsfcFb|7gWYZCX|uS;f?KPIBL@x~*xwI77;SCw z_^iv>{YQ3IU{0QArakwHFW3bVDI3r)3FLMr`3L^LFrFRt_@WmB7UlFHTs}tIx_~= zZuR!Tqds(X+{z>BY+qzYzj=#TCnHamXQ)!^d=SxkYOqR{zb{)o;~pstNyiubxF{`TO4{~39iH-F<{N32A& z`5IWNR`Kz_o*qnkcIkNo^4`1O diff --git a/cpld/db/RAM2E.cmp 3.hdb b/cpld/db/RAM2E.cmp 3.hdb deleted file mode 100644 index 881b501e14f7acf8a7066fc7c870475b8912efd0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18715 zcma%iRdn4<%wU+AIcb=&Vdf@j!_3$)Gcz=F!)Y64W@cvQ8@09q5H7#6RtsNaGIJj8ZSve>q+^y}*DLA<}DcE_~ zI5^oj**Ul<)GS;)tj#PalugWRDL5$9 zOf2`mu@~fpKfE8A?4M6y7+RT_Pd-kGGKt1|epn*S8eXC~)fms0phRYVj%JGy527K? zyl@Qpc&FzQ60Mz`An16XMT}RPl-@aLL`0TwEE|d?@bRClHGfafpO!sJNyOXlje-r= zZ=Duf3>|_U+cqoJ1|4L*cwdAG)|FSpY_AJcTFD1>c^Ub!)0S-SVWB;g{DpAV-tZR;6(jR)HIj;j1+KT?J<5}x?L|0)S ze?hydhmK{#6>`UC*&nOS|N7rM=rz?QB`~bExxf7f$ojp%q=$ zZ?rfC39(TD?pN#0m@HjQEp*0{Sa~PRavtrmjGF8ouUOh@97F^@XlIVJm=0v@JOT?%NI~T*!_E(s(TXXnWf|j&BU5^Dt}9o3Gtf zk!4_;O*|fHMm8PKWACX9n^uZcjF2e==&YU9dlNSIRR}|6!rP|Xe7pFCFv`(LcX7>{ z;ZZr`7NGq={P4spT5B84-N)iFxD;#@>LF`}!%pN*H|*HVBQV6XrwItvTuxmnsM+$- z1seo>wQ_#DnsToFs9pA>Ju|mgO}}jDt{*(IPrt1cVM-opztH{5)bd(LhR-V1;A7|T z*mh8P&C^2QE2P%8)X{R#v>X$lHvIo3?uw5Ah5b0E{ivC(qJ@KSt1LT(YFPxWhW(=St)^P1uuXi{0pF~ zn=TWx>$u)&_^K>y(i;RmJ`s=MS9{x;jMcB@D{wCuhBX))*@CW9ng&*u%{;Gm?koE} zsi~mvr-=fR7FxpeX8ws5zoyPv_H{@#X#tOG^$&gyk8;A^-&4&hMvgRd6qa8dTx04+ znvOKP6L~3Tqr|A7;t;<6Kg63WOgDxw`ZQs)xgdk~$UJbQH~oPP*y$enViH1g zD;zL`jzb;BR!Z07SR4DgbX(+EyzXT7eM#uZbl7|K_d~yo{-W=%KDPeiC@MI&E(FsX z`A}p*7sv3T$Ux-q&NG-e;0>)o;tf;E`Zd64V21YMVFufORDFvQx~%kG%i1%6 zhY~}k5#`Gh<}2T|whQ}VVY&p9tC;j$FL^{ZY4b_>>y+d(ZICs!)H0-H-}8B!P@0)E zb(gR!w;?6f_68$KM*r-JEjC*&`ok41FmpVhnm5h8-k%uWnf$BU&oi+L4<2a(L1t%# zq%<|-j)T%uB4M~_0XcEtY4ZIo86Q`>8~ktOj&rO7l?SXYUcvBp$b$8R`u$U5WuwQk zlWuy`(_RUEey&$P-4}LIr5Nwm({%8-H${AKCib^78#Xpi`(KKe#Ra6+*Rj#=QRfBE z?Q#42t!NgVf8YIfATwD@xuUnIQ}Rn!C3nvi*Od;v!{4=$XsBk$S?@**p>o_J9o00m z0n}2e$mue3zapHE{uJiU&9z&pd%9{MJV! zOmboX?i&ZF;N+k}EdkK|bITAYV_)`e;Wj1hiCaWuDk+oP+n@47sURus$x>v6;pzC5 zW>c8;2RqqM*SCzwsF7**|ZySl#5&6YOb?RdC2<&gFIUUAK#J)RktDl*TC z<87C28(+fq@AIlUpt&xJOUmW=8xkRU>YLVh0@xb&Y$Ly7NgKJ5x)FPy<%XN_^J_*0 zJnrg?#vM|#Io8DDk9@m!&*}9!m~O2xe^Y2r8&dTNgJ!{B=~_*{>$Xz3Rjh#=`KWA# ztK83b9%?Pj9(pqW@S4HhhOU1|NCMGxL}WaxolV}GK0g|6FLcgfR4=v(M}s!>KrcRH zWVoQZAEIF2_8goSK^B_nOO<@lT~YC5r1!y|Yrco`wB?lt=Ay)rV0rGk6tXrikXKrj zkt7+z@}KneO`*vEK}#Thz`(*;?7vBMmQ*x1t%;*^pTQj=E2qkAL6sHPb|~q#GJ{22 zcvjfja(DaUGLhWga&M%fDjLvnvsqbLUB&8D(%SH7xz@K$xFQ%`z5IHa2*-3Kw~HrR z-McL(K(63P(L!$!dujXO7x7UQ^w|o)d5FBQ?eQ{LD~=mBM0(TBrUGR^zF9oJA)D)M zyt;q9WUV`{l;v=th!Wr8REY|1CrI@W;EGKWP-@VHcQwx(zvQ5<*6?;WPEBExPciMD zf8M&jETfTX#<0pHCZ!Z`&us>n56u9q804a9Entlz=}B#? zG5&Xh68+3`Nb5HP)_;RnEbGYp)M%Kgo1uHGe$fM%PCR`JO--eEOa=yIi_(>Wd#nQH z0##LQbfI+WjuyXxo$kX^R>*tIWy)HtKdzo&ZCB2l{N5K0@qfHm4!44VRB_51dVuL! zYTrV1oaaKPn83l)qlJJC5$WzGFGBX}SCx?83Ux(WC(srQWy_5)hPa=K|EaPp-%mAg zClTpRS5%biqC%90uiNh<<6YhMA7hh+S2Y{m^3j!c{s53iR-^d=x9C3&QG*ltqC_iq zj?}uGv^1~aNjI0~80d|<;-X#Yaw4Th^yj(_ipX|8?+FyY-c^gsn#iiwPt z1d`;~4G6p=pVjP&#cZ4D>MoHQQZR2EZ#fBpBYC_2x`rs~0M;{Qxwtf1EE zEIk24iPzYpb*dk#8|%QqHetp)Gr@+DZT!m~5|ilt>iyIUy9|O5A|*cSU>D~sS1U0b zcr&E9$b&srw1w3Tq_IVd)RI~@kDR3p!wbI20}HRCOYfuJdY`aYTYR8j-_zeP1hRRR zDAF430*xl12?1?KM})0Q{B8C{^$!_hqVhRq=EnBsw8M)9t~%$3q{GL-&XVG9R`9-Q zD@VC_x*7!-W?M}G^|aSskEK*|S{WqvkBe3@sk(KQHAS@WZG(bqo1Hg0O$v`_tTxqh z@}5AVj-)3?cgJ2Vqib1dN>*fcOZY)0+U3fejb`EKWlOe@$+B3!7}4n+SFnfK6mTk| zdB@19rBtlte`QDwck?Y5emqoIap_xs!QBn-tg zOQ>pRB27ka_OcI|WXJv3=jTpYZx3T4eIqs9S+1@x&rS)?NXf{~49@0O%yHIg|9;7l zaWwo&*ZoC^5uNn>2YiU0nhk)qKmS!>B1tkn5tA%!b0;Abc3vxWA4@Ah9s~cCr$a57i6~LeRWT){M@su{FusOY<^KD|Q>^4y zn!tCbuS>Jm852L8vg=5*veHThiH5_2R~D_CzCSvf>@;PRim*2RA{q%#D-&Tw>3q^v zC&vd$#qCQ>{3GBDeObx@$oBce&UqI92xzvdaFXh_)Lc4Aw$0E;GG)wV9&`+{s zkWVc{=~q=hMTQCH@ikw+l6XiXsJqG{yci^XB0~lqKOwxpXUg`9B6`8RV<*c7X-Sw{ zB<&@3VwobdT`^iiBdyBpUnaw)d#IcORIVL4B43H%2$#^8_PXF!4uq-8eQWxf%AcR7 z{hV?|obF~u$gn=UFOVv{{GhzX@GLb_Z+M;7UG&t{s=4;#s4UN_ZPo2{#%(oD*{y>sN7c8bV86cEZOlU0 zSA)IYRQRcQnuu{Dc!+s3gH8qsj(SFT8L9mz1*0(3r}Gi!4S6+oZ%)zgTNAXe1b`Qs>DqO9zCm6(*Y+rK}GUGJ1rnt;Kta&du{OW&XOS}x9 zJ(s@d;*I3gWJNr+q|amAGsB4ocY$6Ht#1!QIJG1LfYc)9hts#;AaH6@85m2C+soOs z;A3vrCDwIol4(4#sj`||`a75ZaY+yEp@fba8oNt?C`g9nqP$v?8=j$s{wc;7N(dM? zjws;#Jy(kIv&JJ7l$}&X0UdWdBjDlCh!QEC?pe}#b$68_`;QQ6?-V?o`@A|Rw*Yk} ztX$?0?0JTm2~kB^-r&BKOKSmm-Tz&4OZz|E9=)&)gtw&rtA2V-T*urTM8RK~b!WIY zM+@^~35KMf*xraR6X$*F_QO|BhGS&d*5LTa zZ!MDQWtW{jlDF>J2sT#@VW*H?T1CD(2V5`D*<-gEC8@UjGjK%gl>W)*Ezdhk;q{E# znA4dClA9lv8nDmmu;-6wdAs+^H5Ctdl0J^?LMn1W+0Q7C4?i@mHJ+d^LD9%OoN1rU zO~D+(mP5-^#}1^M>eBuCR)3d~^o)br%i-xyg}oZ;|GI3h=yoa%8jHwTD-AmyZO{>z z+B+ctnxM%%WP08gg!QHGL-V{{tlS-On}}jsj?0Z7ar*~P__iVu(bZ86g@x+ox~-<$ zGYakUFk+U&HQea(Yz@?h9MO;`p_rPpJIXxSpNnLD@>|X7uYLzq_rbBB9Av0azo|-z!t0IB@!Ifz8lZD;|sH4LV)QB)2d&X&=k9S= zlCq@k*}(D{cP7RKzLKnub{vxO!T`;yjCUHnB$>=CVAETdm_n#6p(&@|c`nQYrX#S62tKfS_idj-2;*lE?F) z<~Mji9F42+?VU{*QD)v@Dn4-hvSZP{Z|e(1Up<|d9wQqD8)Bp8eU`?OXYtZbnI!Jx z`8l%wytk5<_qF}Vvxhl@ag!EOG3-j=ny*8O!;OA#Mubfh_1~U$N&%r}Y_}o-o3CtV zOpik_26CR9(E=Y@D7Q@0&UO#WeWcL-KZSoeBX9k;mGKo( zwM%%Amy%tQW}W<2$2rZMJ&YTE4ik{3s1cP3i6f~aCF6)`A<7at4d@jp$wUVAc0ua}PS3wbLf|=qrP+CuD@@Usq3hM%&gGi-vs&ZpErhlH) zi)V#j=34B)xmx;x7NmtOxkx#ERtfkkpCrRC|5Z%swnxVLMy6MIf)^;&4WrCF2%k&*SH5#B61k^W832 zkenE6S16X!0=ckKZ81G>r9ZDMNlmA9wS(pHI7PgDb2}n^Q3toDqCPmGp&Zq{Yswb> zFd6y`721(k*DlYuE|-~3oo;RHP)it&sA8_!>%H?{iv$zBdB=d^40T7hOEmd!>g0u1O;PSk#W@~D8H+Hj14%-GYQ6~4=11TRf#NnNCmdqO$bYRh4K4Rno66Nn5 zm!qFWRN5!RV>x6B|$1wb9A=+x+4lTS+8@eh3`4Zr~H#5WDH`rbCTvA zisyd6y#@Y?BQCI#BrClO8yQYI8p}>`&nZ1@yTcfDk%Ot4UydWkYN@oAt&usbm%E+) zQsP(}NAg-KWd*CN=wotmN^DOR=_+Hv-_?sT;qEo_I=|KDG{9U)$ZYL zkV8ruiXMaEie$Mp%3_ca_E5Q0Zn-GdxTsWHyh{WZne`?@y4?}hn`y}erYks+yD_Ab zk2_B%A#6B1cJ(r{9ZuJmki3{`FV?pSR*)-SooZ#JB#v)ztYPI#8VDRbp-t&wLx$|Es!st_;|Q~MXL)bLZn|a2 z-`)etCdLQkflaE1ZqCX*hs11s@4qRyy7IEt%$q+jsJ^f{fhq#Bx$it)*j(gVK7KtD zcWe7Cybo-3#)D@2n8=bBLG&+fUr(;Ofl&%ntOPtZ#cz-!B3FUMcV^A7W@%dSv<&gv zf0~8$Ub_$fL}o_`+zP7EGE7^0ll$HBLDzR~bIIL`s~YmSy$6!@kfCobTF#RRZ@-*@ zsb5C*n9r%mVZzV!Qo#mF`ih}HZk>vYpM0Rqy^kG}_Ugqo0?YThuW#RQR`IS)=mY*% zmQR1c9-qsWQ1R$9a>+Tvy0yE1egQ(R(1hC-de;uwQY0@{sQj+3ityVPighR?`q;Vd zAm0e$hfh~jYcX!wm}VE+p*BnQAx8L4T}u8ZM5I>T-`JsAJ6`<`1}CA+wkY;DFV#m) zOCyBEfsfGm-&jlOLaJ3i8V^iR zVIfnK8Yd;)`Zyhlzh^suLLe)i>A`5zjm>55AJ%~T%*ppn$cg#sh3Yaq(P9txG)V$B z;~VZFSsN<(o$^MPf<6!tSu%G>p%2qlXcR`OMVz)rpk!W<12ynKm9iFck;*3ZNpzrP z=F2{QJ>UV??9F%NzRo^A>hW*qD0`f{hd6=wK@Uov z_)acyF#Li3SV7Os@U38?qxdKG!Nc3iD8mZCC&zYNR62krN~=FFLq!C#RWG4uYc}BL zxFbZ)tvA<6jV#EOJ`m?{CC?9a+#ul%>kgd=nEnIQv=fMXh#nLGI}WxTuMr2?q;!1o zWVw3|RRX#f`|*#n$q-gw3BV9Xa#2iQslxp7jpl^E3y;po zeCCW2u6R<3!t;+jxF&$%?~W-gI~{}lupj(jM*u@S+aPv*PfqoQU_kRY;xNeFS4|Y^hrI< z52wdMEK*5(!Xd02^Oj;*I@!l|8kk+Ei&eIAEE8pJy zVODp9AoFEU(1ypBY57me;X;yO9S!;Z)N#`

RCc-X|2N`$V$voqvoWkQf`Z&MC99%dWiGOMx zjF{fXg{ticy>YCFIzk}~oe-}AohDs$UWtB_;yPIfjJ~n(usVDo@jJH5b&wGUQcTr< zzd)BAIPTbCI(JL%%Y+eF&xrXs5;F5zYp4(5%g+VkS)-`K2!L!?vLi+a41 zpd(T~u{#ts()Wh|+4TH&)=iB6T1ywI-=0XsyZ(Wr%qP@Ax``mhVT_JUHzr79l$R=y zzgf0mvhGvh0G}eK|A{`OO>BhtJ)Pp1K(ZL0bhU?XJ8u6s&%Zy@;b2DmQ}JL%^7DFB zHgP-R9d}eOaeWArHK7{f5_xqs(t93E#>4vX4#9ukduiA>0uYzv5I}^P4}j8*VIW7{ zl10fOD4wV@_+4NfvF1E?G7tggNf}Y7RgJe`WuJ@e>5Y`lb0RTsOOV(qK)nX+Z2sDp z6gz$ogmGDpvzJ+0aGLBMC!kcAA5rmBi-+KUrBFJ#MXky@ElDoHK%n>#Pw!vR-wN27RLf zm_E?hX+DkR2I7ung($_cZNd!y>J1?0e+xrj$z8z@4!8Pk`@?s+B=1X82c?rBiZIT4 z-y4X}i|1_A^?~RB9N~uoT2EA>2xPjuPXLP^WF+)pg76L;#kx^Jwuwej?=A;y5}!y1 zf(b^^BsxiaNQq}sjWBC{QX+=OP7jk=aB>uKeJO=i!!TO-XM&@Y!Ewh_fYDIwL za-)UYWyG)B;<+k4SvG$A{nxQy#mhglW!&qDnwCZ9YL$vvsLT!vRR6>amFF)=8bY6`Gd9qh(OJ{63~)7lMii?wb^yFB#s``FK2{0SQPqSDl6$$gsS>uM z_SZIyDdm>Gz(Jw+B%ZENRth3c<1lAxT?N&Qee^gfD-5ASP<*nM%|%`~NCiE-5En9# z(n#0bV9udnn)_IGv-~v~ihU8xN0WJ~fyAg$@PDD$LMQ>5ygv-m%d0+7(N#N0W zrS9frJatmtZvgLUDv`8zC%?>>4obFEvvu#%j zFl%K`E9ih`S|5ilaLVp_xX&j0o&%5-Ma~Hm)%NaX0lp%)4Hz5n?3Jwd(=+Vju%B;k>d&I_7+dB}GXIMGtd2Ef5BI)bg7J9sTDKZ$uWObjwG~{h zvUN0?t@Chm>-6?BwI2bxS0Mu-=Ej;)?<37(sM@SQ1bp0j3@dhg!higrZ)|E&WF{bX zbkGyznG@jQX|HawnCowzhRwR1ItPvn%d$Lu*YC;6N9q>HJh6+^zBqUnll-H9hbA0*YK7D9*XOMFL9(m~4AmXHBx@memr;Oyd zq6L#1yS(y1(&6pO$x89g@^4luJQJR8>dTftL$#K#Ee8xGE$cSd*a*_sqJC_2H~w3~ zd{@=5OM8stG}K4$dMK$BKj6=h&Y7>%h{a^M-Qdk(#Py^-y*x)ZA4#lmRL*H+vtPGlHG|)Rk+VGhs;IBj4YyZue4X`} z8TSP6-|bM5HZt|~4bxn)UA2Do@|D%!yC)z|$A7EV&l!CNeFWnJp4UMRVdE=oM{Vn+eHmS? zwN!r#k2kMR+%wKW5}oxZ2O;=xs>o~(J<{m4oA)jpN|#^p@}!cnXM0KRHR%9 zSe}Tvd=xk*8@V_8TGYxVw;uDh23Mj#*%9kxVea-vIIlAB>b$e9l-vw&#J&}S_>R!g zh?w_f0f7FdyaA|Q@sAfw#@Wxfi@C=Fk;vX^+b~RW=mdP*n%edR_Png8+ky2DVwFk* zto=4`|FDXhi<0Jm#~t$iahiO#MK(*{8s7h_LO(v#X`1!z*T@^zKh{j$$yvaC0DH{S z_|dqwId6T&_H=0Xc1ajO)FW&r8h4#tM_wKn>R8jWaj7OO0^TDrDtrI!>R0rB3an8I zX#a3+zd!zD72SNfI=@@E+&hAIJm0`vk$142c+9&;M~H*U9AKnVGM{|WBo?n}Pl-Nx zB>6>;@$jbzCvPQ&I)CRBw8Kf`zT3j~2TMX+3Y@D;iR6wbs3|5SNgU@XpAr+0pu;8+ z=o@s`eE1^%tvJj$wDQ+q3lGHpVKV^)y^qrqK1Ht*DgLUMZca`T-u~rg<+iZi>v;|u zm;m7nhA*pLldOHqG<7bT7c#J-jV*9h4N{Tr{*K}}B*@r5`yXp@Mf;x)U`%>CGcfED zKEhn3_UTnvo9$bhVVO)4*Q9ZZjoAgSue>YAeS_$U=tD8 z#}B*~&qy!$AZSc>ZOjSsu${*D)6m>ZDHEGqkbJ9{7w@_CoU9-|R(Wc88zVH^MCH~T3p>q};JJvo;R4eW4fvtxY1~gOo8!IHcN&zvF@EfHnpZEE~o2wMeqk&M# z4}N!dZC@FV&x0@VQcA7A#y&N+! z#C(c9S|KgBGSU|tzlSd-n#72nzb%iT!zNY+-K(G{GqSWJ!9|td^7bQ`v`)-k(4TY~!hv{F0^y^s1Qwm7zuhkwpz<09@hoO8-_yl?GnX#@`8?_0w(=4dizq)k0=vIm52|{t?hyn*0lZBL2;sobEbKEwVe zw@%oH%=r|m@Z!3JBkk660M(-KKihnNmy65pY-oQ`M|8%_H~CTb$ZUDAG20-Fjg zZMj&g^{H{D9G*d+ne^$-NnP0irv&WM^Sv$nXW^IC)|0vCe(i|rwaBeO-4mUe3ijYt zs6~>hEXPUr|O%i;+{b4k{R+OHs*OGtpMg_NVydMQr&gqM)$sT ztHD!N`-M_A4^UbOa_qfMw8_4Jb*&jS=b5jQrY5BS!ChB%udiWL zcvs;jO6hgt-&LD?J0on%j*uoTU$8eWbP zFF^nLD)xUt@6`qL*bni7|B31h)WYPu6W5xKL)+0kepw|fSf9?y#2&Li>}amL4Un;D zL!4@H9++RX0YL7LgyTna*nS-FPt6=A7B&juhoKZTiCHr#1CdkWp)ACM>)G?1IQ*RP zH|mB_zdT0x=XVtO_r6ZR9$q#0v|%Y>tqISN%ua0XwUV1p9oyCmV-w4=on{Q$mUbZQ z(j|Pg2EndZX$}|3j=+i*&(kZ&3D>3A>C>miAv3JCCM5kIG%VfG1q!*OjL45|$#6nxI;PrFy0*A^&08s>OV6y9Pn=45T&s_YhF8sJ)_HJs@@Asn zcSlWOx?e*E^Zc=#>uS~&@Z!yOA(8F13)SZZWWG|&l2c~D)o$yF#Kv?5!J%6{m7gm{ zbG)s^X2BN9C-Wui1@z;&NuK2Q=XG#m0AF`fWf{RL}ivrf-8%n?G<1J~9nflUP zuQP#`woIvX@P0kn1ZbS`*{8lr>B-{u;As+(AT#OcYXjZwkXb5^w2GDuwadcsH12Fw zXnKH*Lls4;wk;_#OYtM}aC%JcC(ZJu%QkolSuJs!x@D3rnnV&Tscuc`cily*W}ce! zOF9hYS*e{y>WKd+!>m*|S<9wx>Nc0*sg!lOs_OXa(SsrK?)uschRBSlz<8TddG`zY z$1O#OBCb4Gjr(#{y_U4I|76lpw*I&vnNulSaZ|S$;Bl;d8KN_6j~8+sL-I9!r)G^O zf6;%H%o>3hP|$UDH_PJ*WTxnpS1flVN%R z&P+aStnSlDrfnD~%23vQWRNWIk%(nK0g+u%%TffH>qeJduA_OOwRx%I>HJn+ad#gslWgV4LerjY2b~@?ZBALKUr&UUs7ab%Db3HG zn!at_2cBBzeMLI79M1c(JMnduyW|~;Vq(P*5aM^8V0s`F!ts1{DwPL^z|UywQ$N68 zb%h5Pu^arNZiHgiZpz97sh2Ystx^F76n=h`Kll-uP~W`64Ix?}#^F7$_7*o$9llYU zc8o#GrAn>$CtL=l`1(3)kHv(_v!XJ2yDK9G7Mw>Bm&&!{7eO3g#FEIyY2;H0;6w!_ zEkK$a8`akv)l`=BTbI#P{>+1?{0k}~i;_n*I14U}IOoD1&{6lF$YpKQ<@eH6I>5;~qeCgns>ei${TX zVp14jq$sM%W#NNB=#Xm5nu8Rvpz|}o{&5m?Df&LG!lty(!wOh#wZV~+&HEOy-GU{b zDW3QOW2r=Wz~9)q_c2OoDLR606YmECMo#XxgX}QdJ4=1{XT9(Nl6`sy!3drLkPMDP zbdjk-unCfRZih~eYAa3)%GdL$9|o;(Q`t=6OC=IJH|oYivv)<32GG#{p$ZwbtBgT^ z_7*6Hjy(sc3_^tsB!vl!`S=>K8TM4W_ zRqHBzsZp+~<=mB6%6)BByQpI|t-qzgkzy8j>S(){^Pg29e#HKrwOk4t9_g19HiN+U zRIPEWbu|C6y)$~Z>!6bQ9W#dt-CB<EHzDop-_Dus zMV)83Y~_n0s;z#j;az=!^RJ?`wQ)ZOH6g_=UoC1^@hnT^KHc|wCm>Dkvmr?GK&S@L zZV*V>A!YnQVO%>>$)7iwJYKFQikb5gpGEs@ki5x1p$t8}B0BpqWvPG)@>FsDvXkBw1{Bv{QK zp06+veUpQ|$ArEPXYlc1iH!Sa&(;QVAIx@gK6@eEIqT`W+F=y=B@k|K?xugbx)#gE z2^Bf-Ffr)nXLFl%5)<6?gH4FQv%wf$Kx|< zI?BA6P`lJ7097&`Su!47@^60v_P;Waklde;JdogRGRj~wT4pk8Z8CZqw8Pf3SUUex ze@}%{U`iF8S_7*J>Hvpi--k2MeW9`4(OhTYY^%EAucqG@`w~ zX^%;6%I6NSR*Ik?40kvYQtaJ3k<&iX_(;J{7BCu<89=%k!%m9-Q~et|W7X=HUiDMS z$h6(C?tyV9$|C^7K+%;n02VDUJe&Hv2%4YAy1o*Y{ELQFIu;~9B?><$3O^$XKM{ta z)EbQm<{vW%WA}GU;C?V4YSiAH(u(oIc;7B=*QUS1tB>#Bh_|$~@0mZyEOFH{+(K@L z$bo9Y@>1c7&tjFB2LNk zV|4Q?-foERk0zt&kh{c3>pbx_{MR8IUosg!5!k023-Il>^&{T=SN9V63T`c$(w0G_ z_nb0=eRB}}5)2q45aJ{7>AWnb=hwKI-*2^hchpRf@N&Jy^-980Gl?REATfM|T%Mx4 z9l$iZ$st#hyiuCh#Lkv5m{Vdfd-W8h2dE}AT14L(z$ynBkoNJbFg89ojpxL2 zljT3|Iim;r2*%@o>SjtT=bv!WbP_&`sh1xL;doCMaJ(dXhjCDNXX5KqiasvDnSG=bd!df4L1ex(>LzfkKzWXj-PzrD%BR(8g&H9d zjOmE*b*((O>7u{=)$6np6!2keY$3YLJ9G7vlePulSnvfaPUXQ!eDEza3LOTM9EK93 z!L~nvE&PipOkrogC=yc}KS~=7N*gIg`+QPY4CGL;pfbJeI}yTg759fqHf}S>08aHT zd)jCqp<^Jyw?E+xk^vy1L?0aAOODf?y80N+FQzOvHu3HX*{A=fBNV3Z%qsyqSG5;% zIEt!Tb3_ty_cu#rM$k`e@N-&4zp0B)BYb`(TIVPPLdj+cnnQLbA6HQUHBJ@`&WwM> z&6OhfuE=)QwjRAfosl11ThX&3?eU?;`t-t1L5Ci(NC}!WlXt2$S~2rNwf36>FGB!j|U7ueb3KdJo)5s7tiBP!8=-kKQB9vHV5wN%Fh-vVFN z@KkgR{Lm;!HoU@XEiHHh!1ID%6Gm3e0-nMQ@})NK`xCfLM(+m_RK&a#05Q4MeGcFX zF*96eTc*8XrafB5y=mBZPcd!&2d%x%=<#Lf5_rgL)pePPW46+uHD$<9HncXlWcf>b zXR5&qr*84W9(Sdp{dvt$E88j8i`!<3knOVF+GoACUW)mg0-MWmU{pTxCW1Vo4UTKdSi)4LlHg^ZX36W$$_*(nDV_TuSDxD7O#Z%+O7-&lNJnS z4JxG}zEme!)NCObj$CH(MZSebWuF&nSAZCaMhiBzbF4sW@RD2@!{oS2T<{v136u*@ zS^mELpOGo}519RHdUwly5QtKEF#jGCl#;UnUNMth1PP!^sh`-AMU~FB2w|9<2nOAZ zcl3B_I0kx*V?+l);GBO2qli!H%YX;X6i3qvONxZtRi&h062eF|%|89l;gckbLYRpBC(X(JKi7{s`B7y8u@^0#b35VLDU$(v0PeyQLH}DWD>O2a;(M}1wFk6DnD`UjA!f~ zix0AeL>WOr0wxT_UNrvMyV+@b@xe>+K~nL-n$W0i7|b42$^d++4MM4Rk*F6*jN1{4 zJtZ+1-RBu`nm|O#D z5E&-=XHpv4?x->c&a41m58|bj92^~Oh)x7L%G&mS{Yxo6#eatwEes&>ZbCkQrFXsL z4Pfv3x%nE0y|(>Oiu2Bd!|!Op=BpOq#x!2(_NpP(w>Sh}>nW|68Ss)^A!~AeMy}Il zZalSf*JsFL^b(T8j8j+P!w_$^-Nu_Uwt*G*;{* zt|8AodfQTToFY|a%-f-jmaJ$5g6TpZ45bOHsC*x{THguv#oI{2QsjL)wK;haGRI&u zc*();>BTM=D}vTbzA zq}_MPcy(Vdp1;NNshMrqR@#tFr{-QXI*V0*iHY*#WuffX)JM?8QviVe#g0_nWjUk? zIrC?r>UP6VrBLZGRY#d)nkd~zb>yb_B*(xrrj$XvFk0$5Loq?PRg|hn!cwQJijGb# z;U&D>4619VBL(?&A_w`gRg)&5FQR$%w=fIp>aDNiF293XxZxD>Mb17qg?{C}1S&lF zfZiYVY#8e4wwr8M7R?eHVt1?Ku`IgxjZgJg>MXVbS2=6mb!2KX_AGO?ho@$7m`o-* zG3qV48*L^cqdBe9rq6x!MAlNAE06O0_?yA)jw?6-OBb`v%b$-xGc5;>rh_lSY2@<^ z&dqkNId)Ok$@g<*N_P??Sb9Z5*q;G}{;=2PG?zB+(;o30b?f%i9$DpQM&4l70BD&N zu&}G82%5cwX!b&B_z{6p0ON=snYjbZ*3t5|UqE!Ng?Fp#f=9PXs4$Zrx9H&NkdCMt z-Q?x#3thj5VD0uG$9}KZ{|ZD1yZ7X6w1WOE(7TMzcVIkVSj=jI57DO|*foV4e8uan zU*UX!Ib9ur?b9fekMo0CX!tkKXK!4HF!rG3uO9&a!_#t+f7Zpz|(q-9CR z+!Ebja>k3tJU7*o-%+QWwTDk+YrAH?i&#JU!FFYC>GkG3cS}8ZiMuYn-^l5y)gP>y z)n%7y<@h5P69Ln>}@yBw<^o@Mn>u5Y}^BDIAx*vZC$4gLV zA7TCNxS>7LSa;GWx5dyti!yzozr-!Vb_kAHZ_|csmt;FqlqKC&h8p(M2tNi$k z3)`TjG1?bmVXpU+cAY7oIYz85bMN4^VeTcIJS$(8bCte~Z+X%m{=mi3LpjE!#zJ20 z?v3|vv{~lktM{OPyE`5Yd-eCh{|^8F|NnRaq*rTf6jcQs z3bV7byHj>%mYG?kgfI#P3MK`DjgX*(5F{8AK_P}H1`{kz&_5~)QGy8yL}I|l4-G#^ z)Zhb^nE1o+h@S7v+}UmmZ3#EIbNAl!-E+_Do^v-VDn(x*#HQc2enkV#gh<6>ep0u5 z-O8GNBCRItG&AG7p6X>?UaV+s*}QIT%j%}mbuG`+bl?&f`~mSAMRYbu`^i80lUxeLRop!k(CFwwbXl-ST=CcTOJXn!9pVUDrrjX0LcY zk;k*@jyzQ@;j2zE3y!|mn9;kl>D*p0gpXWZ^9;p%8fGR<)Sy*~dGy2I zJc*~n=!;#JY7)*$*uI$zP5^nH$k2Cx!)yC78$}&h1P29PMz`X+r5U;lBDZSheb$_x z#dIij;0;$x>86^;xvyAG)YmWnIS5E8h3Y1)d?}!3jVPt>9|JD)t&&pYu&(F$UM#2K zOfit~*KWSoMuQklo$V6w20pHODyU)^^#@qgV>4 zTit#}p_%0DrfkOxEC_8o8oQQ5q^xyxAy`PxMr3WQa;p<#XzYLRRy(HBaukJz*T@!O z6h4YLLWiGQKVF9nP8utk>DSx4D=uIYgyBsoBcqhCC#L@L?Rk)j zLQ;@dl=-5PR*y|@F=;(gxa&bmJ$pX!U-lIMp$iTO1|j_%>ccNBLpN9&La%|`*2mohKBLEz*wg|S~8ny{lk ztw{HAYCsxc96kfcoI-qfwWbN;mQaUgq5GnW0FV1IJKjk2pp9U|kF7p_7WYHuWW-LjO^6whF9up(z`|g3OH-M9B=9@xe^$P1>X7M_DJqCQ^M!MjHKd%>4aUehB!tE}g2diU zEC=KU+enTORmjqolzsn9M`PW|$iyIM{l%N~qqwd3B|KSVt?9f)c}$cNxp4Q5DjL{s zI6qf*NtDppXUGM*C?M38*7b;q$OxU#ek!ASDFC?j;}h0i`RD`4E>mP~4}-w`5q?lT zUG#nfmWERgM4?M5OLkC+z@vh5(2uRvwb26^K> zgKA=cRAxj7s3!R#+&R8N)YJ&o-hmIl{X)c}5n?4FWb9EzGOVOLYkGu%G!hfHvoWf> zA)oRHgX$!$X3(o81e8U9lf*@;6tn4`g@^+TH?$jx6sc6+SzW%lqsO%^*Y&!V`Gcp68ki@$~6_m#Zx1G=2W} z1FNuK)}K3_p*VbHx9qE&3}{}`)ojaC4J*9sAiF*Lp;P6$cRzT&^TU0gGm$U(tDo*V za*{NIjZ8P`d-_t(kE%#eeWAIyNIlDDy)f%wlq0aE6IywQGs&o?zu2O<%s?6WnG;Fw Je*gdg|Np%@UN`^% diff --git a/cpld/db/RAM2E.cmp 3.rdb b/cpld/db/RAM2E.cmp 3.rdb deleted file mode 100755 index f18569cd644ce2bef181add99f713e8d012fc416..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1920 zcmV-`2Y>hx000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F4`00000003VG00000 z007Sc00000004jo00000004La>{nTj+cps1ZJQqMeV_->76b~k39!4dz1gJ6Qw6WQ zt3X#I*~#`rpl#aAMivc`O6t7iKliEkc7~K~HMWz@V}S~wNRG&l!*6Cd)WyZc#oti= zg0ch8?(WVi3A6uz^7}L8H|Rro3e{6U z{sM+3e9G>XOez8`o==FC(`^2P6?%ah~#Fd9pcJw1cwb0SJLD-{{@j7c&s#1y`ObWC6YG8P4!2;{JFmFL5(9ASIJ9sv_% zR)|SKrs`ijBxf49h9Ym9ad`<2kG{HC@~a`*~I{DYH3| z<=`haRHUJV)&_{2O0D=%Ml1yn{j_FX91#mf-FZO{(2NW%8R9qmp;0Lq>O$z=5 zx#v<9d{8P;$OA&cj8RyyU}Nx*isMFYi_5KPMaN~KeQv}Q<(WKa=r~x!NH-IYNnB2+ zw3x32$WovqO~=){ATx8AQs7i_Ii}XYfZc`I+W8g28_Oj-1_D(h(A3NLBHuq|V_Ig4 zoMd7E0UbYQ<&RCz$ZQ`kty#aNg4>}{j$(4^A0jAwpK}H}WIEu-) zL@oFF34CfEU2nIpx0~%IX*cg2w(hi>W*$bmM>!-UDjymVro~zYH^TRziXb;g%;`Q| z@c254F|(RcA3$YEOmKJ-KQpdRv$CQe(H0R~6XVKuuDF`lroIir7*Yi;?2MoT4_*Z< z*A4EcVQ}A$(q5Pz2Y!-vtf9$isE*q08;3V<9=30`4_ZgJo2}-p8#it>TSu+-Iv%dMX&u~p*GUps#qM^4 zC^1v<%mn-8BU+3|mn$QE3n~ka^p#{AEY5erGzkJX^)0Vr0SUF-q}TOQKkzHzHi)_$ z4Y`2p+fnQUK1krX0;P3$PsN3T6di;&{%FcIx%q#6i}4a6OdyCo7c}(Xkdbo3@P!G_)cJK-*2@Ge0@C zV<$FXYXA%6ZJYfT!E_MY50W(Qg`s z{OjccVPwZP91;*Cu=z9&+#dR(fx-ay1vzDKkBfQ!klcq`9*GstGGg)K^6TN*6h^^a zyOX3rF9~}|dhA5d3!*cFg0X6@=Bd6-UmhEokFBnq!kV z(jSip5cFArNfkQq;1y%3HBs{!`v(93|NnRa;$dK9;9y{2h%&TH22u>%Kpf=a6d&Xo z;2#tM5@BEhVpfI=K+-NIP?HfzO9C-SQE;fQuVYYTe2Alyk88ZYlb5SAL<>Jq0SAKs zkc{2&@Kqs_7KqZ|kRVS#_jp$yS6^2@h?Y*EB2ES#AX#&=q#Q^w2mvw37Kj$8T1Ga8 zGeB{(w$>OHAT0`2en?Re>Ds=I`U;8U!c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CQJ0RR91001#L00000 z0071U00000007oH00000004La?0sE~WXY9QHH!ha7k0+upEc`+u*Wmgv!1H1%=#bU zU1w)ib(dX#uB`5!_R7@W%DPp3nXJt6&CKp8%VI&hZ%7CU384j~eF6z2FyaLZ!2|3A zJRlxcJOJWf3jzT)-qqs$v4`)ApBv|9#?8#C>du~S=T&!Q+_)!BoH%jf#EBDs@4x^4 z`#;40AK?EKo@>{pK8l}e>+~#XM4hD7RD1DREA9M2#C~P%oizf<=h`2|+wUXw@8SRN z_Wb`Hyno;R|9|-9f9CXC@4x?x`1wWT_9-OaPfp{nbmMkMA(S*vRHYd;&c6|-I@8*I zMP|tGHU9Y!{_j<`%4$m z)Q#$!sL_j}Cr#C9wHocW zRHJp0)YWmfStkSA>Uz{XQmxLzI92V|ap!TA#)?rEoWZ2iR+X*YU3C_vohAScj_Vnc zw{(iAd9GUB&RMskj*~{*R>x`U6kiZ~tdM~^Zl&=_i#)u3BWczf-6MvN;z!_wI!jw8 zX>_Vt+yX7hY1h`VM)s!9<9gI?x9UmMiI3D#tKL10n;jz!NS8E`%c*%~QR4T`(zxAj zoU3;C@Xy5cj=J7yX==d8)3zqO-fA8th8vbr%jR7}liCDp>nt$Wz6r^%U?y~;@% z$ISdK$M-E%EvhC~>z2Jfr2qz%hTqZIStF@OhmBa_SLYCUoEeASQr90Rorhp8z)llW z*0)fGsH4tZ>-AR+fZl{&DXO!A)#1S$~<6}sOzg^H8hi{-$qO2|7ZUKf=HFtQhy9T`%oDa z@7q!LByQ_3J%6tKIYdC)P~&9(dtfH7FFpq-^z5jN(8nE$O;BLi<6K724GWo#a@lOR zn$xTCanx;e)a^#=5Is_DK+dl)XZC5Xy~*Fn2d#phW0s&|@lZfs#;=ycwMKN-hLWfG zqrdk2p)fqtiZ}F-=AS@N)4Wp~)Mx0{GX@#D{W<}>ADGAcj%6jP7yjJx;Vb5efmW3 zX%4W~K8BDsrQ0xFURSmAChP%(jamI*JI^Wz8!ZId@wC~0v*&-W9sfU!{r-oLWIgG? z($iSiH}?Cm{$Y!i7&!uP*xiWWzZguJe%^vH85MNsH<=wR7YC$hfi_zm1q%~qP801Z zX{tzlWvg~u+sHGNM?7T6PvX~f0o^lgvjW;7(m*|bE|D7r5)X6xFn%lTc6e3R)5~;VS5AX`C&rnm*hz3C4*XBk_}OfnbyTRH z*jA`Stm=6kui@r_5gUfP>w1K|2X$YBk@4f`VUZ0U>V(hZH%Rkd+%{C-rS5Yryom6h z2AV6k*a?Em4Qg4JrZ(sBJES%{NhA4&@s(ikzt-#|opV{Ms}O29s^YiRI(-Y;QvrWR zn!ve3mxSs(ZmDMX^e|4_@J+Pgk)dA#eV&a&ZwH?dlAvoxngo89I{Y>QhQ1* zoulX^c@)E8b$%Apv8CM*#M(ZK>&Y>^S3n3#Rn%xT;~BLtGBiZc4b@JLv`efo|4yre zQO~9GhKnR>G)OVsB5*EEYpTc^l$zu|OyH_Z>krRobe-UjZqhU*`Zd=mrkB*G}2^=dc#8j3@#G%CW1kNcHq=Ib*%vk z48w7C>+iPd|6RRx3x9JDC^6l|e>176GlOI~ z3$TbkbT#QAzzhZuGhaV#p9qukMiJIpzlk||2J8+&KDo)m(x2tqDIq2>dW@gW}Iw%-y6p zy@MGkj$>Fq1)~4ew{LQawtZ9gQ8(*njiZ}pj?g%_O#IAQ(qtcxhxlK@FM41z(6&vlH)z;9d+vX0`rVHsE8Bssnt4dt0hkvp$WQluW14s(I}AgFzRB4G0j7I< z03N_zd*F`)U)EjsIhl%7SU*Z~fe-46UO8AE2dSQj*Ref+w6X*4FLEOOKMXN&g)wt{eGy3(xgF@H! z{AZ)*J^>V47)d5)jrhGB7JTS?kf#|G+SDAr?P7ToM#_ET%D2^L@2+pXjsFKpv)%bD z`5PE#Qm0?zA8CGS#c{PrV8rAKxx|sH?NhQoJLe`W=t=1y&D+6a>Q*P2Ue2?4Wxk=p z@la-HG;B1vPz+{dk*US`uxqqEdPR5C_eo+H2%|Df>x2onCkz`VFq2j_X;nkD3bZyJ zs(Si<;U^fP>*mmP zNAZlIVrnWzK<}*$NqkY=+x&*bH7SUxs$;*ThWo;`frZegwt-nx+C0oiuM|DNBhu2WHi9{1e2th!SDpY^h{UhgRjG%LeL&i`?}hJ9hs{22#2u` zu1{_y9DH_Ks2+W;e-c3+k!y3lgOk{itvT^vaLzwu&V4%>ncM%Rgz(GcWx|7r98B86 zL?29a;-p`gbcYj@U}6?cOv8zJ{G1IDptkUEoXu;paK!-*=HZEQO`xliRZTZp*qhg%Kp%p7xK#xRecNSzq9=Dm_)!=caHD zt$qi_RlZP77-D&6#6}a7g1oxz14J#)-C_yxV-Gg|Sp?<5T{zmRlXiJgnpSPuVPNV; z{>yHh^8UH_h$mX~Jv^FuG&iH;cj$Kv7(gfXn>hJ0Za1gbM>*W<*@zb}huo~(Ql>9j zYv{}Qid??Mhf-&j2$?_57fOfyPu6qq4T>}Um^0{uy^dP2g3b8?^!H@X8ANi^=B#S^ zJl3Ov8AS3vtTrw{L5ARio~DOYpA54~Vd+8vyLbseR$9tg_VNKNdQWMcV65^yL|h}P z^igg_?Ti~Wv%-DQOPUcn&RTHn<@rbZ`cevWNoQ;o7^0`9zKj3gW`Jks87Lf@+-A(w zFF=0&BD*g(Li6oWf=k6<5@ayn!uM|zhUsx?q&jT0a6JK&XG%xk$yG=J62JdX2_B$; z(Z5XW11KQO)hPH5F#JQJ2~hCFctHG9`iN_D85g?xu3^&@kF2b9>vdcKcHC{KX|A=QVHP8gkE0p(640BeJz3(^pveCBJF7uy89*05>TRi9w+%=P6SzvI5O!u`mbzm?%Y4v-MPQE zcW`(2V0CAE|DamgTQd+mYIf)N*u1bq+_B=%@bt8gcVWtHb!TgLV-xsl8@IPB`*-)& z4mMT|D2EXXyySfi#2m5PVyB^1~ZOBD9 zWW2_=v0aEyG%=a1C``S+wNa}ctfS@)MQmJP+00>E$d8kg3*fOWTpyM^^T?O>5~wH$ zti{8_iy-1T$veCI8(SM+T|3yiyScwXu5Cl~7`J`fljK@QU$Y6(N(HxD*+TP`kUkyZPYY-p=NJ<@OrH`CxDD_TA0O{?4Ak zPx+ghnY(A+ijd0qKapjyySG+bgOWfSLE#T-JDYbYiv|@N_;yR(iE+buEx~yQ_j%b^ z4U1+Qs*gLyRQ~P)yRH9*WSU_TCGNQZ?4^2+ceaW&s>6H-gLJdA0^#W z^Dg--!{oI=X$0(Gb#LR|+V)T(36Ur9Qjei$@8Xhq-!YJl{Z|I_2|fm2wED1*eIn`PGZBJZEBLEy}PjZ^`QZU>);r=&h*!5ck-sJFRx7 znVFqb(ztxtivzVSB67AaSL5xvdefKtAp+0fs$=K(ji?z<*Ow0ukC&tP*p`-A+{cB2 zt;cQkj_tl!2@5kN{KdmolPoS5)ZmlC%Py;@BA*oM;v04^G$tv}zrbS>3QzGkLO044 zE7KI1-hl&K!IG61>T~n`$qf}g1Dw1eNjD91WhQsZOvA8_Q~1DlqmI?;6dLM9ULLs} zcen)xF?c9o8pS@Y!_+bM%7q-(%3-_J=wh(pF|tu^CWp9!^4uh2gt;ov+COB^dE7Q% zY08l{HrfOZ2z6(56Q(Y5G2bbTq1&8t80o$=50w$^woq0?rL($^`xeuq>Dzk#f^z~Q zKaQS5`3a;xH!{f(a++8>F;_U&gU-?PFYs6;og~UGKNZ=s*9>LbgWYFM<>@ZIKoE~E zIxosKuNM%}*O5ZunV!a#AE$>HF7qxbxN-DltIZZ}D>c`V7|fL3W!s@K7n?MjSZOug zP@a%w+x5KWAp@C2fjpLM9=^~%^cJugf4g;8VP6-!d)y~$E`j9@sj%%J*RZjytoh`h z^2E#=5Ov&zPmxz};S(NRNIRwz&~wGm^YbR9fG!t9&)NP&K$pVM9L&u1p`I-Uwu;4< z)`!^Ia%^jpV?6fCWZ)rRL4>W?CT5l(3?54Bw`H3RQCh$#g}rFLIpncw~KTKQAR=2I{jW|kgHn^8CpUe{e zIiD;VDcvj*;PE{2A*xZM#2v|2j5MoetugS~49a*~>v5x@ukEczX?m{e+%YA@&sjxm z>O6uibE<0Lbp3}NVM@oUb}`vfvVm?z?()H!q;kPehWW%Sc)E4 z9dFE5R|_zaiL?og$X3ZNyy2nU zzgf-Za&4?*#Vzk+*5()vi4*CZ&7Y5ju9)6|;!>Mc3;R*z;dENhC(1Dr;Eq9iO;eVL z1+VJbH2dGO52TH!*`c?|;y4Uh?_yjb%AFavx`?J96&5Z>1mD!10s?s01C>@5)D8T3 zQ^({hi_OGJ0vn#J;QZ*V|m4%S zmHxPuzKb6`<>-l>4JPfO=t8fo7Q^EljV7ObS>8q@ zb(Ja3LDcAUk53PpxF7r=T1s{Cdg2c1t+3u{{cmwy6Ma{Ye=Lh7q(X2PR z=s;+489XGa(ttf2nRn`$K0hgI+q*ic%(rh=41Dfopva71QfiN|z1jCdqpbPz3p~nV z6-{P-rl!b;fipi8pTJzoF#fSen40=5{%<8sZ6Q=!X{^3vEn&4EpXwu0yQyt6LiKvv zN2j+I#*p;P(E0g20-7lBa;ZwEgA8$q1139a?G1gsm z3_SIfBLj-Y#(o&FZvN&68t@bNi&3F!pK`8_{Xx>uBQE___utvH6^op`d>Jom&FBz= zy&ZDA;e?(s3E(|y)i4dtqfa&1dZ&n2eWrF3zx=f;k9 zoR|YfTpQfqT{W==|EO>rX5YrNe*_;-tk@*zlWD6v(W6*>HBK?FVM&M;<~Q_iRwMK# zA6fY_%(k-IdK{;ygma}sxwR`6{T0w!C=cqqotOKLWD{D?()ktTJrSD`XQ* zsY5-v1E)A=2)I1d>d#@Nq^n6Ui4Zi%#%T*hB}ct=iWXakt~ob&3!>lBNTI+}RXI6H zG2@az?AY+4!=#aP&T}$zsVofLIt%MZ69uTnZf=MDg&pyTAZGz;jn-pTYs8P$US>_^ z>Oc2Fb9A@5!Ip#&p4`{m3l;i(PABa`Vy5q&VO-jVBh{I^IoR9_EkmnWZgtz!x`9@c zwBAiR&U>1J&%JOQr8^dJ-il?cY`-!*9ZI5`hudmS_kdAyet4YAHTO~lKD+c-?LItj zQ&3dxyvcKylD0-%%{dg!K%NqBlX*iuhdB2_s$DMGh_p?&gQr3}eKe>9zy-+z*S+<%ccWQ~;A}k84 zZPHy%xwN}yv{Q~02Bm3dx_bt{BsidMR5!nTx3*_JCb>L5=TVrO3d~Y$DAl;5Zmh1| zJE(5%HA5*W**0^T5{;S{VaCRE)EIWwLg_hiZqsjUZ(z{^77W?D6z~O4j!xP)sFLI4 zq|190AYkqKLzrnAQlEmd8<~Ef29CQWc+3O(F;3Axa8AA31fPzCbCW%IrR}b8I7*X8 zY?dc!tJ^#>s9(p=EqVpu5!DXOW6l>be|XQ1Q~a@4JQ(mC(L*bc9o!|xQYI_*1b*cs zoILFL{Dd{#P{Mhay;Az^;!nL0QY0b0ay2B$tDZxK(D85FQ@7t?$|=2cu@)U;!9Lsv z7}J>fa`R2LtsF02!S`J^kjT`wNt6SW7&z|IRv9D09#~1GJQQ#-8qY!+AXl#z@*GW zOuRmZxTu&1FKVp;SUfq&$-Quh;3kLr{Dbzx0Ty6dqmQHTf>=&1=P=NFeh;w+rInRA zgz*HTSR1)!rR;i=tW(ePKmW$@+uD1blp|6_U&!318M z&#*!4;E%;XYqq4 z|Hfff2p`<$(}Qr5sPckEnR~f`FO?&%YiPHp_b~z3#lcC&)d%J_SJ2+yWMX4;q)y`_vj>rX*iVI!_qSjmEt4x zZ{(&o-GoR*V$y?c7%vODxK{SzX&4IaBs?mfG6R`hK2PS@AN=#%{*u6*kok_+n0= zvuS%`hsCXAcw-}b5~N24RPocRX@oUIe$*)0BEvM^+-UCpgWAUZ2e+Y5sZ_MbAjTNV z3?Bi9C#Q*WFA86E_O*w}aVHad1kRjUPXvQUH{?X6p&bKxEL(A^`^~7Hw%YKb*_r9Y z`F!$9KL18fg{Djoc9gZK`{RB8r4bTnfr46M6s zVMLV+Bi#N6w`wSOA`l5 z@|`WahQT*1*tS;=In|2EWysHwvSSci*Et^LURHo|yL);F@j#O@9j`x7fVr?bfsh9u zJ2hrw4bgc+DE~H>!(MwFpLML&el@&r=kWHOgD?u&Sg3s(VTZ9lI|^KV>u<2frQ-|}$zw=XbNUcC!t47ZrkwiPIl zppqP1nAh+bk2j5B*-NaVJXLiY)pvFt$sqUyQHXkBnbDLw-x<0DMec{r`Q^?d_}F{X%U#UIU>tjI6dwV4u8y&O`6G9eyy(C5&D{k%=_>4g5c0vUAG?C z&$0Uu1=|d2CTn%&5@YtF)@k9Hzfh~>*8!7&;tlAwV$2`}T~k{D z91r`2IZ#camlizHlt+dCctnugvJ)}vs&SaPB7}jl;!EaWrC@FiGSdb3vD1TX5$dTB z%S=*5cv4jsR8ez&2|Gb87*o#zjN9YI=6DWxD(Il-O2z;qPV2^|w%Y=3ba=qU%{w>m zy$L`(q^?|kP1l|NTcXaxLqXG91FwXI=$&PrTmQ@+3L=KTcsK;0qnqn>==?fqv<~5! z%OzkVn42TCJ{zfc<+U|~nX_X=4iUS*xf$1<_~!S`k;fRht5@-h#|awm{5CO^&3EAN zYq5ui&Fo_z^u_)-K60tH-H2g8IgHGAC3e7KKEHw=*C(Mn&V6G)Zsf(lvV?YG-VUvg z%m`5L#l(>8Y+_#BJ@c{sOUBqcVwh^2oSe5hX@ZhNYwwVVO49uK*{>NKtd<2^ zjbb#!pxrdWplu!u&f-6wa4fMkt#MQorsQPnj94(YzOA~oQ^PABRw{F$Ca$*%32iknKHI7K#8ieE zJ7YEFh8-*u^Ri&B2#N+Mq(l@(z+P6GX@>AT4OOc@gc}}fhtuQ)(-x{{0H5z#R(pEG zxv)rxMk-`mkXi~yKb7u~G|TRAUgRx!DmX0wET^@MiD(-vk`5Vvsm_Ho=lVlY<3ni{ z#EqNJxeiefDuQ7?3jiTfq9jjEG;$rH$Xie(+GD>AP;-c`e;g#s4skx*yYy2;I)xPV z14yAQ3SU~7a~-1Kr{Ho}X$X=<<&1;NvO}B`QY%Onol00R#zZnZ#N9g^mNHh}oTy(x zaG1vepua>#q9EfhEEXJlHmkEs@8A~?aV?0N7D2&5L8d5&h<%z;p($S5UKV+j!?X$F zg-{4GMNnXS;tGzH(!9S${#p);j73mLilA2n`wJxNz9^iN3AzW|&^6ndl^?lk2H#(k z40bM%9;>cjMtgMI6Cik$u{P-+r#s~(U3Xl+q4fF< zyx^gaZGUgWFU!!eW}(TY{VOj6Nwtf0SXkmz>)(c6)1iQII!TVwrkd@uIQ zkoCMU8b5dlYth(c7iL~wf7IuG<-gv>TCp?pky3Z7l6iP2Na5Z|G?;$*lWl-gO%E-<)rl9a=OXxA{g1Ky(#NvYuiU8$gn+UZ2=dt|G`swNB!o?T8g9VTc`*|w9m3~)x z7QGZnS=k)9E*(eHPFd#;SHYgczl`yS_A&Ywn z@}wqvvhqvAZ&A2+L{*FOTcIacEWlODEYm)#@=MEaQK-M6f-T>=p7okS9g0_@eP+ZuPqGds6B} z*+q4Wppbei1hS0JUCr;gHIO}hyeP2$v1`!b99L0`|gx0+kmvm)eMD0|Vr35_UX1#CbQ$hL4{ zt?<+u1GW8L*40tr*+rk)@wuAY2el#^yC|zrfPybVT?p70hA+}3uo89&h+_Zf@I|@` z+-Wa8-Dp@|!m{5NIoBKM!@VrDr6XB=DTQEg+vR&pz_aGsRnj5{8Qj>n%u6$+6jRa~fj+Vt1Xc(`6WGn+6Hb;F=g zptiobi3)E_d-zJ|uC6V4jHNAWs;pAc;r^bTbjbDFXoUG%bgSLRN0t>RO;&#|Z@J}$Kw~4zt@YMqiAI^{*4SI3TZgI160I^% zmK#Wqk6TurG+F(9J+=C)`&%{q;OWuoUe)>#@wnktI95V1T(+X4DG2u~ukNpIF#o4T zft3c~X!%AYI7ULoGQya%V&tjtAqvpb9bvc=iFECRJ1HEwcJQ8i)x z-4v^b#{xTv9w%i&bvsSfBRD+m(3wHwq)ck`{%(ytBW2Fy7$;@I&JJwPVRz?`dmATR zLZ3TD6{t&RX^)dKq0s00`#>lE&!8^daieURPI(1*=Ire;@@(GnNmJH8K5_Ez42N-2 zCX8;U*#bOsz2G=06UKPpP)H|d&w?^xq|X)i0M0-iC(mpT;5+J`x*Ut)FmHL{sqi5( z^FpHs&gOJ-^DNq$)ak{&;Ioh$snh!heNI0;1DnR(M@!A=^t+I0_Rr01&b|h$z1{gBabtS5VI-eKAKpP|>;xO1Ax`qt{FEvrgigSnD z>q->epQXw*$x9lhmnTc;@p&-->>=xt_QK`K5@!6o82MPTw8&=*4=*b6m#0dY@J_)~ z-g}sJNrUwAWC?BWG`}E=c=b+HCtKs=mr(ZeLfKn>WrR69d3=Of{qFl@!7oywZ3Igu zHAHZtic)x$IjTiF*W#)0A!hn?ZG=UsyhVQ}nivQeX-!F=Ev(?~E{Kw2qH3VN3_Mzh#8Ek?Yt9llRgG zE?3J4bA9;u2ovf!uuV22%#Do3N0`;dT1kgH@l~F4F?JbqCunNI`a3XkOcOSKjtQOZ z`uHAop$Qwm@C&Qjb^js4F5BN;Wz^=*1D19{h_=h>*elT{^uIF=LbP30X#F z+mUe03Y%IV#_leL>+ye#a#5RSbBIo|{sI2u87MMt9lIvfHZW0bTpgc3HNLmUdx|b3 zcQ~)6Z9t!Q*@5eo_$HP3fNt-yqx~zN;@Z&bX)$iaBuD^|+`I zhPX4BM2)cZV*|76@cDX}93?4!@eFf@UPV;geqc7Sz*+c~FxT6UlQ5yi1BcXG!kke# zPQrvC?yRN$s&r=fI0+lzEg>0n5IntQ_$$`h_Pvr|%Gf%8x6M+EbANAUrN;Fa zqslRHj2^%2GAByEGe8ApmSuPF70#;WMwu}NC|U2dPm+kTv$Ce5gmw3=!!ZYS+d z)U3z&fG22UlC$EnGwzYyZgo`UQIs^I!-h>7mW0vL5(-;j)II#-89h;HX8AISE|}9O zgM`s&CMNFn8FVl7=$LRM&Kase*W{ffPNTH`@LX-Tjzn#4woa0|s>Y2*Tk}>MIh$3~ z{0VA4PHikro4e~<>Q`n`Pj|7`_ntk#<|+8TG)>wrOTk_ z)64jT1UKDhpXeogsYYpfuBs0s>`%_Hk+$8a{%(Ba<35JC^=_k~M7gn_8L@aMikrzH z_yKNYv22Im+^cMr*9bE;#eG+$Jl?QKYnuY?$rb&fU=EhP~Q1mR4+f zMwFI-aY;lh17bM`!8~1-tZe|e@4ZB_4j#ACckzRVd{s()6E*cV{vV)nI^Wb^beow~ zH)d_df=UMaX%_$_K_tD(E33uuI7g!)F<+Lq5s9+kA?QKW=yZ=y51OrJd=M?Ax_CWt z2X)9hzBfNuWnK@L`QoJtafv+hBK%>zaqGU{ZBJ#)E&rXCs7w4F#4% zu%W=x_!tT-N1a1~<$!A_up9&p1(rtnP+(~&4+QRA%RLl$^_u?k!6FJ zN0to&k1QJm9!WN|?E8DY`C3>$-L5%L8fT_Bgxuscyn4CKye-K*Mhz1_DMl|rKHKKt>tq~18YK>^% zQENm4k6I%dc;p(%tq(1#5%!X~vq=U4=FXfb0@Tuwod-Lpf;D9Btd_wz-7%|!a8e=k zoFY<$)Pjy8T?ECx^HKDO1-$^)Q6$!49YtO(=qS=^K}V5Q3p$FVTF_DC)Pl@}JN2xE$Yu*i1gw;acK>|F3(s}yceJ}B9l zfZXt$WvS9zVes)=c3eCi02a9bVT#+;%`e+A(!VeX@t0n&&FaBB3kMI%2iw-a&H?hA z0U^SyOuPmvFP3Deg31%!r+z<`W%Zd%626*+emDF2AG5e0 zWO1L(Lcf=VvTB$@l+{|F&LV$5i~Czy$iv;r;vg_K?dP(5J)93^A4P6HZaWM56#E(G z?Bi6F1w9;lg5Jk}^eqcR3;k9A?k@!5rUP-` z&*~f>ZEn95s9)bgvuwrLgFfys!{_6Ebwqq$3#1M4bU%RauLaWn@2o_gmJq&wJ|f(| z3BdiSK-@pdvcpHqQyD|IUkT8RZ2Q{VcLH%g8Hfwz`=0`3`v(D9rUSJ29@ZlX(Kl*bh*zQh zBLw%|KzU~aaih`_f(!MlA^LvKvm*8Ae6Dj)qaTmihuk-~Py==OR|0v2 z(mt!WGf#dN`eH!-+JUmY5r7MoBh(f`aHGbB+I}c)sLn(68-fer3&n-fhT=kLpLAS^ zFQK?^gTSn^ZNOXW{>xS9;~d-tm#rWfC@_D10SPmc%}qQ#F>JmP=vgIQ=) zUWIu7@c?~)JrH**(6;_@px%(Qk1wIPtJFs+Kuai(QTg)QBhnnoBgE5CUH+v2&CLM+ zt^(Hx;0xIiM*+CM9iVSF0QYyV0Jjss_xA$$LU2ghCs%(zkajze$G5Hkw-La19-t-b zlCxGh6||o#;=2n5$eU^e@(A(ZS;dVi_oKpn%P~XzhWIjSTqs}bNE10sP5qxh{f6rD zS;hTWpuq2cz-j&`Bg!t)1o8ZaZn7D7s}IrmbeH+g4TYoMnYtC+p`&PVd(eGx;q3a}4 zj#|}n^JJXn#Oi@Riwd;G6`ZF8G_hh}z*npi81NN$&=h~=jP1a$cfw!EPa}2B?&%>e z^MO<)uDF>XsxK%jf3E<3tJ~-#XN~whfa_&R*5Sw!-h$-aPmVed5yr!*m0M9eZgA$W zlE#sa)iZ{CQ^_%>3_EGJ-sz@p#*k~*YtbVLbnyE}@ZHsfOT+cnYwty;AWKR5%s9SY( z9Z3@_{p+n}6QvMEF0agICBW@tucHdgNK#}TM%twSE-H7`JUU%#ArsP0 zuzKr(k#;IM4{4QH9%rAa#N>ARIS*+kK>6J~ob_~f^-vtl^D2H&-08>3QLJjoNi%BX znN*sYo4XK`*wd8Iqi2gCn6Y_`xS5VmE?V#t5hSdnO@rKwt@p-I3d;t&odCC@W3#|d zzT0+Xs_C^JM>zplnJK$14xxXtcX^o;3QD$M9s~L8n`Cb_qOZ(V<>Jiz@`c2d z9Da&b$cSXKIwA|VG=rRO6d#cBtWk!G$fl1HjzZB=>%dE0oEmVOg`iLsD!j4fSQ z0Sx1;p_YFMY2_);FDp*I6ol-PCPwxN6C?X%iIErPEEAb$IA^`sYS@8|qzA7pmM^Qu zV9V75YYXNbXd1S!WIERN3O$rrsc|JUjnCa}LfHQg00960cmY(BJ4?e*6vt0$->rQF z>+1suf`i3U=%8@Z+{W4@ZPV0eO9TfeCj}QLK{prO{Q|m(AVmaS3JMMi4iy}9aqt7U zxOi@o+mhkl1NZ+sf6n8IAV3%Z*0Y5z3=qR($dYByTGcSw3;6H^;05o6R(*O1;{+ZC zHJX}6j5W(7l1iJjMSEoLwCA&et#2Gt}g%T(sRDR@I=x1IS2U>tL);vz9jPq9J*QYc=3 z-t;2Epa7?zvQ9MWEg%Dsv@@o1=iBp`G3r|L43qOh)aKfqub%a0N3uos}y6>mSoHntorXl$5i7jvwf{Rn<|z~=-Z zXKb+?QK>>yKE9BRbbr4IwrKakwr$c?lM}d7lyZ~x3NztrP|JG7GHQLw2al*8Ud+^) s51$n)x>QwVYH+u(&CRK$jVN;bt9Tg^I;fq#ciF7^-_-5t9{>RV|MU9A5C8xG diff --git a/cpld/db/RAM2E.cmp 5.rdb b/cpld/db/RAM2E.cmp 5.rdb deleted file mode 100644 index 54cc3bebf2a30188d6fc2f7c5148fbdbe2b9cf51..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6450 zcmV-28O`Pq000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DqP00000007<>00000 z001Qd00000001%>00000004La>|E<}BS})Xfd$rgz;O%58|wDy1dam$@M zEUT-!Dl;o9D=RBATQ6U}eEC24{1~4#Tm-z7et4M*>3h){{cFd{mFIUj4mUwS$9z81LiuuBhD7nCB ziLxJHP;z`5&-^$LacB#FxZv@7p%~bqGx9{_`)>F^xcMaSl&SR(WM=T z&>u!uR$vQO>E^t3qEM9Tt(KTrLFA#Kg0V3L@<8^myldgd(Ik$<&~fch3?EMryVQ0&hpfgOhKwFu*jKezjl*ob^d4H!8NCEZ*<~|upc-RDOjaZVB19gAmMu*Q;Vsw#j$2@+S6zZDiy!2$;5T~)`e>e zd`k>g3UkV#2V&#Oi7vrfG#fi=tPd~-D-zeqqx) zj4dx7TKy;vz{`v4WE`AyK#^4&niu3P1Q=YvkDVuS6#vkFDT(c73qSMJw0WkFd7* z`RNxx5UG+X=-2VpwZn+Ad}75TJCsjm{;mHND*hG3o1d9B_r;%m4p8WS4Z~DIZ$}iH zg#yE!=2Zk;v5;s)f~Mhnxg&dM#cm`{T>k<)QfCF`-zMhQ@Gn_!=J)*rse*p4SOQVN zMUnbVd`ro#xYi`Zw$OFP3+H;a3Xu|$#5vzrFyXGJ*d@<$uS0C~?~2Z~hustR()jE=Ys}EHw$83JX=%RF05w0X(`KzSY5!gsr&AqN zhrwhm*|fF+S8^d~Bxq%Mek5Q;VJr6_0gfju@lm~VBJIE}>J3~JmfyvwA;lLJJntNmuB>@;C>aW)^BQ{V3DBral4Qp+F0XcH9+Cv zsJh(TB~^)8`;SWDzk#ZYq-qe{3$x6)C zW&BAkNt^*@Ey>MgP#4(4w@1M3kQc^4vmbbSfpmJy+L?b+b;G#*E}h;#xM8q2ZL(zJ zI;~(+7_ytg+|&DtEVu6YanBFs#O5NJUrj&X@&VmKWd+qnecrZ5G-?9TVH5-o_WtTE zA@O?Xpxw6sqK+ps@28gb$JIM2wwoD6ejU=7DeIU@y_1k!mcRhi+KrS?f3EZkqW4YYE|qMa~Dn| z&fwcX=89^O-=RtN%PN4N%Jd&p zTJ_>?zFWxW%X_Mplp%)3HB4U)D^@wc)Tev1o4j0YnVe-K@!~HqVCj(Nm-VH4wV3FYC!+f zy8?%OTRq|`%I|F#Ifcc%7L0sRJ*4Q?5oUt(D}1i1Xz~~dU#jwU^Go_mA=9In$1^jt zXOK!#3-CnuW zuE++`6V)6JRe`h9^wRrWZvLL4S#H)_)f(V+swa(7_q1K;kaK4J@1PA-L9g7z9DR7&D0cy@ z*R9lBDU1WXUd?{X?j^ixV<|ji-7W)R*2`5@?UZ}R5GO?uS1eezbrBjuxrpgEz|m?$ z9*eeKq@#TWP?Rpt;>E>X0MV1zY;~*k>c^E{{j}Dtl4}jfGwT{BDM`tayOpd_Yqm;l ztm;awhX{Q4Nu^WmC}48{638a6%=@Jin=eBMSoOrCtt9Y$IDjDt_wX0{9 z#*K2gh&&SqRV9p$7zw25hDJ8dC-*Rwql9A#^9Ip`lX9=O6QLU)69@3W;(i zM|WVE)DP7|qxnNs!}TLcnQNfonG7=T=RqxG9}}eNR{s*IG2)3IM&8ueq>4q(Qp#!S zN>pSlTxK^-`71+ksKB+FE8Mz1w>&%7Ke)IU9$5BJ+fPJsjI_Px_iTTf|b-MpQG zP=daeRG1%HHpt=RVKZ;PWkq`X z;HswrX282)M~uCr1{du+38IS-zN}fJSu_K+bb+M*7^krmB2`q)xj@+bT6JTEN|e*K z9NBZ7B)HQoC2dq;4wxyP9My0Z0PT2yi_-Y<<88i@6K+c|+x>|SN}@VPj5rwNltYhBG6Nqy2#fF;KvQgFk{a6B8R8ySW^kMbiBWB2qUzzL5o?;T zVW6ccv5-16obb(u3a_CI%QN=rmC>OK9mCGSeF}`p-nNI>wl1vcsd?;2G zL{X5rqa8d-vFNT-PowW8?hLjp>OJut+%HFBEx@s_aioJz?;ZdevTB(~qC95EGNE08 z92k|j!mJJ$k&tV=T@)%})9%!unwVYHBz?b0wUvWBQ1?dbo#m}}cjsCcm$%;0yQ=}a zu(&l3W;=7B^UE9SZ8;rnddJn4VOvO!jo~y>2-23cXEldBz8>Wot)zZC&}ax!H?)wW zd^xreV>23)kp;~f8aBG^r^AcqMl0j=Nj0MzC2}YFR@k?&!>5!Q9o|Yigx6mGGVnb= z4q-*uR_!9WSjZTiGu;Tx4sZD{@UJE>SCM zXx~KJM5oO>q8y_Gb|oELo_6BE%W^i$oXr#(X=_>i=h$tgjd|WVj$z>$pO$%BSyQ|>b7ST<{8d*?ak2rmD$bMkg=YMXN1X}@|E*%X$S zyeZ8Gz*Utm538JQ1&2hb7gbf6dS=e&%h^udwp8Yg?UHJrDXbKkTbPt2X62!oN_Rmx zf&Ffd4}A-*(wG!PkGYMhQ-O=aP$|$q<#%g?P<470D}Kh>j zL(YvAXpvdk>n-#uE$|Zwow6xvjN&QO6oo}@w;#*LWHBs#5#s%0zSuPVF#**%LqVqS zJv7h`1*AEvK_k9okMWLoAm26T+i@Soh>X+e#e=@2_;WlRs5to?Hj}mHJr6({7yIBX zZdl#?sRNZ4eiNxQRdM9d5qeB#nir+FO!A1q90e&`dK;Bxyxs;mII=I|(TGFgHm_bo zPm+mM*9?m?1umu*9X<4p3~kIfUL}KNNO9Iwm_s)2>H9fyLg{&GPHa!Uci(bhDqi9^ zD1XMlet`&{JpJ562lYyFWS!Gm`a!Rl&ucSG8XoF|J6p>GZw3PQ^fT1<@FNa8ijD34u#XSu&Q`p3l(tzHUFwAvvEQv>#LYcCNcUC?8>H(cGP`x)Wn=&t(%eLxAGTPRa zf`whweRu$C!}E>>*0u-mDUzFWCU|BtG6h=o zy8lruf3E_#6I1zyq4Kz*MFWxU_A}&Y48bSeD}yFe;3;(4uTw4^Rc_mpnp^igsWlHv zwcB=-v!bN9-8>g}>uij(R<6`;jg3 z$o58w8osKF^Q<7V1B{NhkRaKGDn54L1w7U!_U5t{lvJ9_iLtu_BlPl&umA0nIaIzq}5d}W%G-m`m z>V~O#4kq{n(Q#|ivX$@B_Mereuc>H`FJ;9{whu)IkINp1oG{o zWWqAUWeQX;^m@ZOqcse-8cdz!?HWA8Wzt~Y8(ll4qs%x?5reV`krkT8;KC zJl1STyhz9JI5iP|n!}$NYNo)In8-!?E|>PR%xeyM1IT||#x1unc^qiD$Q6`#!qi`~ zy-Z_kDCg#!4gCh1EPF<+L`4dVQ#zexuAc(QgONNmXqslrT-nK+Td8s|Nkc8=XZBYP zGCax@9sy)SzO2b5r8eF)?>9sZrxO672&cy>U8{)B8baC9P6m4C%AO$UOP$&o^}s@DhXl~wPCGDb*MY1>k`L`fRV$?fjR>w{Z2CiOxSTb(Oz*dHxsn3T- zM+XOVL>;bii;FI#BlYuOvMe2nINLd1TC6f4q>2O%yILPtc|_|(F}4Kc8J#qaR2wc} zKg3V1S*lF$$n8L!mCLFN`3VrJKzPuIOK9lgsn)w#u&~cydyibspUYs^R&spc54kbS zh7+(oz)!5D?WF3yVrtX{6C!BE54IF)9wK>6lW#$(Dvrj;PW=XoH-|AO5~;!ov=2N) z1dfDaZnJy3!eq=TPj0vCUqkRF?XFjPZe{oR|>&rBHKO?(M7l zZ?Eht9UEFW$uK=)?l*H9Qo*CdW0Perh^pYC@XDRPz_jSz2InaOUc0pFX?f+-_IYJF zPd~O-1(%akx`cx9-1RNFtBl?2L|yoBjw}$Vv0V4kJ7L4wM!VBAw+EVXcf*~ zgPlZDFow)Bj=xiOXOMDBPmrG1@#4TIyWjs`00030|9Ao7V_;<9U|?W~GPFzvQViTc z9OU8@ALJU~9~1%-VPFDcR)z~e(k>=Y6U37QVvwTXP+woipvd?TM<*ZGcz-7^S7(S8 zHJ}1+hCM*CH#gxoNQ)3ui@Rf}yK691Eh7(u0Z`m*{56H3**N709 z3MPgVK+&evI)NY+Vo((z=eYU%xVQ$v)G>qA8T+_JgJK#M6R2wV7?hVOGDA1#gYIC zN!~v1`&Ya(&)nSY4|lgayR&!q*`3>GczAd4YE?|)6^AJw<@@^*B0W8@d)eaFks zDC6tsV#6pPD8Tqmn2%q8Pv9NDAft}0m!G4xEu*HTwKF3>qmDA8fvpvzoQZXSljMii3}=?@cJ{0D^W-cSMGYF<|S1@u8LSmUPH za(FvJzcJrEdc|-6j9jZLpZ;ts+5;Z34Tuaj1%YEIm#ADf$RDb|;wd`6@jKmbvEB&I zZM5DsSuCyO)tH&?XxnAV*7J>a54mn96p^q1d>;X4Tuu&jJ?Tjt>Hff1xJ5~Ad)54D zYkj?^?Or^=g0(DWgZ~D~KsYlnJ{{-7Rp=NXKLTmwO1c zdg01fZ)BObx6WxmY~Y;KywmmSJ$jv219l<-(G`0+$MZ$+2ucPN7sc#bo|#-a>ACy3 zXxujn+j=-}OamDmng`0va@9u#XC(-;%{yCBy95b zh`7CD3s1yCksF19#+|DF7yHS)RH+lTk)){GnFw=ijDdE-fhB23Aagy(Rb3Jz76dgFf&itmvvjf%Pg)psX zNjF)C@#NBcTU&sa^_X6_p!_h~8#hCcW$^v|u_qTu+kDuXD=ke>kaG~m=3bP?Yb>G&KvA98gUB9A6*4snO;^sITIu6Sd^QC5Hn z-(u-di&G-WR!Br-GhCm()n4jUBlsk)c#apeJJRs&Zpxuva^zE$JDUYuq*u*xvYrhv zQS*>;Kdvn=i!+qm zwa34&-b1{Rx-QsWkebZIhWI(hhg$3*u9AB)li;rbV93l$==KUEL>dUhI^E4q+&BR+ zusX`1Du(^-p*MaFFYgvc{LO(^yNEbp_YYfTy;r+YHg`4@U@l ztPHU91=()E-!n@j{Ql~4l0>c5_6^U3tpr~qOa$)+ew!AZ*Mt#IH1Cl_oSom!LGG1< zZ|@S@=qj_}C0(IZVekT z*JGT^MbHr_T4Q&?F6)^J{5wEb^)`RQ%dvW@{toY~#pc z8H}1^)n9L*^lj}&-eEsC$LiR)k2^%2VNlXkpliTJYbu`_;rQ|8S`Xk_Y5w|zB(2RU zH0~A~6p2cd>$0MZJ385?tMuK}G6$G9c5gRA*ROxDxVyK`?B?)HT%ZLRm;ns9?)1!C zsov%y3q4SYWO?0M7(DJE7;i+|2GH6tZxZT|1k3G3&qJ@vLV!Sd^ev)-=`J``gPqUO z_$aOmlK|5m0Lji(;EHmlNH!p%Jv$N4$T% zavqvIlcZ2Qa@RQLo(WEZbzww7f4L;DL`+hm5e5-jP``r+mkFy&=d1Y)U{7itTPOf` zS|hpI)4Lm$1cP49Y$Tzy{TCot2oXrzTgYXRgcRV1#Q#_Zq9CUB!`?*Ej+6>(KE92-?0_Bdfakt%b#~AfG$vFCRYyHp1qF?SEaZ_zKyJp~?GW%;lXLK1B zd-rnrI*@oF3vq`iXXCsAAR1al9)Wfp zVZ~DtmQgTnzv=Fe-z=-&)-ZddW{m0gZ&&;blUV#EA5U^E2Ou_hc=K*vprrldfVKHo zbev}{tImmw4;MK9$BvhNN68P`oD3t&qmoRszYyVqnfnNA0w)Z>{rR|Nj;HzefIFm-_$U05Kn@ z{KD+rb^|6R#ytNys18*D3-jT;hH5}-svWwW1)0NNgEC~^DZ*Wr3v5WeB3Ss zI&F-uo*|y14V6B5OV-dAKD_Rb zvPwCA=a~LTkFPCyk(0!KhD>~xG(;+7y~M-V!Hs}B+PZx zYb4kOvukt@yND_XD*Fq?QrRcI=!Jf#4=IWwvUk|-6I78Ilwg*`dbQbSKQ9~tit6D_M8gUcUhM)wUL8(q^`tK+(vmmZ!xu3+^p zXT5HnwJH5ZPjIy@wPMZaqij(^mU>e-0@qtGDQZd65}<_to>%fpaY8MZSuLQS)yt*u zGLCUglU7gx8yNiu`_21Ls`TErmA(9VZ=lo|vFq3D6}&y*Nq=V z$E^G4xb4n9D6gPo48~)BV#_H{l?bU5;UisEuNHnXXnXnd+H}@#`^`Ap_XAks29R^g zl^SE4K|jy+d&~1D!*=^w=_d5F?C+>Ws(7qR`-HmBV8U5WL%( z>?K|N}6Dj)hd%%r^K!D|mW(3ZT`&!>cV z#oZ`9x+rqZVDG;sJ_ygJ(H1G}ohVV$&dvq#X+Bkd+bu7HQV~hUrY!G80>~$DgILZR zqiKhFk~--SFpMQHRJp=Jmt~1g%X)0XHD}bGv}Vde@W*fZS(HF0MzwC^dfu=rchN;8 zu7nW4kuz_W{+st(&4IUH@Ed!PN6-H1cP8+~hK)T_9EK`9J*B`Sk8xrl7+cY(^JA!5 zc_NGcb=mf)I;2X}@9AFWa@iaX7^L-7PVsRa5+4x_ZTJR9*B~8)SpRW7ud8M1?&V8VP%by9d+-Oh z7_PP|V~>q?gU!>*?->%Ko*Nb&zvsVftatB}{+_CR-h3_stzx|}X*e*t76>^*qr^v9 zXwkw8_mjzwB77%VMx*e>E=6;ToW<@;t+L#=HWg(>6-%@DiRXq(xp7_(L5!_iIzkO= z)Zu%C_&M#4tXzA}xuP3mZ#SP>R%)j}xMq1Bo~ip-(^JdlB&Y1J==i8Il@+2$(xjoK z%fL4^3}ELv<0zAj$(a*5SFGxsX4mfkbtmL*V3mxk;gw%e4D+(ooZ*gQ*z+BV90Q+C z>ZL*kpBY9TT}-p&>2s0~-kX8%juBsE)c6*L&R>;ytr*vRU;>OyFusa6O*(E#nubo) z3TqsuoA0LG7q2+W#+uKRo3k~~p4fNKpLFD!OCWl78VYs)8l#=VpLc_GyA!p$9USw8 z5nFRQad@^EcQLaDCqDJ-Aeb+`Xg5r!`xr*`{K#lA4otp z0BE*;X1)mEQS(^eJ2?k49UOQ>o8!9TQR)0d10GZ!4hEx^lysWwC%p`f9OK1p8*moC zPPJXjREofpLyAD>Df9gr4cRlY!|ul8ycrXbN@!Wzs0kWb$pH6Jt<&(88a#QB_G`*_ zcI;r;xgGdt_w}~YriWe}(1YZ0;U2nAXq_Cq2>j(6xfMYV4vG&Yl@!f4YHt`yzw_;G ztm2*WXEbN!I${GY1gzD|LGMR!n%%4~2T3r&QO7NQB;9Ve43#S{S+GB45sh8?!l0gZ zgP?Ae)B;shh@@GpIjq7wr}bjRBDloBedJAp%ylc`R?r_~uTSmz-e~L6{1QAT9OdKOolN zrJfe^>$F3J_|i!k4_vIlAjsDZ3h0upv(~M9YjWtT`;UcM-XAojG{JdYhYDOw1|cSk zIL7zSAMSX>(r`B&&-2%$i$peK`}PjG9?{R>)3XTe>KWyQvMLLCRj)TE?)onA0)fGz zE>n4VFUE%5Iu`_-&;LJ_{oA3IRMbmN}zao&Apjj`lrVHLw9X~%OfSbCYB zaPkc?gFm#u2dx~!(z_Ib4wq^;(P z-yV2koLi3tXl>+|3nMQVb#6w!t*7YpoU2eSBrf|6{$TE&Q#y?f$w18+2TR^J9O*XK zHG&;<2FG+bcWtz(YGH7MQBvq{lnfe^;Q9>?7kf4`0yZQ!s_oMlY)ol;x-Dn=XvUjq zay<7EZ$@0mV_e6{*KM&HAL|LEg=_gEWRu*NcRSsWS+Wzjdr9t*AOO zl9XfSOV=Z=$U7mvTC`qBYos23%x0K#il69<5nuzqF!bjg*3UmaUFc;?Ti~4ND%6{) zx`PtFoK$Ru3c#3nAIlPE+|GDkd1c>kq6OZGwM>k8A9$uCz6JYr=Bo1T8V)I)X0*|p z1i4{X%c&_XGGR9Do0;BLHChebefJ+M^(#f`7?SH17t+F&rf~7ueW#n}V`DdOEZCab z3!kWR7matf2>i%HhrVJP`wGmkOS=j#a_|tpL364XChW^W5){LAF;E>IvD&KzU~)!$ z=Gv{T7drIWzC`u61^H)GGye(8MQZW8`I)!W?L?X+|G9L+XWRXZ<|NZM7*aZZGH`FR zh=G>toh7IPU94zgy}ID(@|Up?jZ^TeqP;FLZL+C^G)XaSZDQ?rjscsMx=KvTQ%Pxo zynb%ES1`i59rzY|N7umW>l_zX)E)krD?U2Izwbl$(A7?Ar=%!Xv!WK{GXW#9Y$ z-*1;3smo^(3+=?oH@0BsxxjR0N_nc~dAl=Y8xwD&p6BzE1BLl6Dcgo0u+{8T_|IXN zbXZ22u@5vmRn~!@O?&br4Iomhmpk~0f*-0e+~*_cM0f-9GaXX8FBUnQUt%h9Yutdc zAIy+Kvvsg2=Xp<=@)4}va#1|k#60FcP+Uxjd(YZk+v7RlPH3U)pWWoC>0svbYq zKbE9nP7ZRR5D3L|&>0xHPkm*+r?#G9rxq!)^8mSx=;cPnFhJyC`dFfj)cr@}eiQaD zIy3tZXLc=Ac~6|WTX;7p(mC_$k{UQ}0tbK2_U=nW_#N_;-B}TsM7@qgqED0$KK!_g zAGq|H#RUf?)ex<=|2(pL8`AV%lan_3KOaNtB;-@3n8;yk2c2A2pkX}toTz+T`^+Sl=wsR{eazTikOGQO z&B5;RazU|F^1|{4r-~xP%Mg3>mN&TpJe(+hY ze{0`s-Vqk(v!Ozb^}kF@+!4UdL%ze`dk|#&sR>63F0oEhP7YBzlKsU`OxQmyskiN_ zyZ!F-tP28WKCDlc8gcr*?5BOBq?lJ|gPuyCUVk5`IoH@jU$z#TSVTOxH zH`ATcg6H_ZrM)Jq9_Y@^$C96vPf@)Hgm~tj`0@9dPa`#Xy9%vW;bPIxq%spNt3le< z2a5I;QO^Xci(Hxsv)>`xHF$=sd7`ah>1a-s-}HfhoSlUx`!0_1B)3_Cb&B;z6c#VOBCxkOwf~Kx$QBjSiOiQ{v->d1YXj zMz%3;F`qBlb6!1&&hz@?VO|OpA(7?xWf=M>w0_a$1*_jin+KKmK+og>&tBi^Pd)2I zOj?uHbG9>{S*-5qGw}uwVSYm%SAHexw?awZdy_hFm1RiXJ4G95SB-9l@Up`M3(5fH z-5TjFcG;oQaUB7!(rA6Kf~uB^@)bimT(PFOl;o4Plfu)>dJ<>n^KlcDgu|8D;HIwn z0&nw*-&oq$hfX##v?ky;uKiktOMy`Jih120mY6#pAI0axdyUE%_q0bTnpHHWsyo3{ zzYU5KZ_{2kMSEn$DVe4Yi?ufWxG!)rrbsn$2!(2sTW$V$(VJ{M^COq0>w|DI-)_=% z34y8Vdb!$KMoh^_|NPsr*HPf0Pl93_4Y;T7(x!L~;QKSN%!`<9NASjt$=9apD|^W; zo&ZvK=Mu0QwmK|E?`#@95ctIk{GQLNPV~#HmC62?`(UdI*MCl^#?;95Le6Tv=c!d6 zQ@ILa6Xs3uvZz3|zs?`r3)m30#tb)@K1Ss*?wU*{eJPp#hzWKY>(K12zfiS%NOyK* zX^>D%uNi(-Ohv*oy!GzU_>Lq#jA)3o%$ZqJY759E`}m_J(NoKUkj#!#IcmI^K)s$2 z^jQn0w;9uI!7@e_rbfD^M1D2c1NcyCE^*$MG&f+)aKt8l*B!!06GqL;os6?y4KQ2W zSU_ZkP2{Nquky*fJDnROK=SiX6>#3SkSkIdJ}HVuCPjs|G&uN&p!XaHO zSCR4~9tlACUztDYMaV=o0YNe5a)R_R9sGUPV+yc`vLoZ=5WVh^!}b|DBFB8A)djhj zdFGDDt_BiV$KeISoda{3Jwk;s1U_Ft3c1P9x-H4WnkX!EE zHkbcgr}dw^8aPj$kdXDWBqRA=`R{)urk}Xl{+P4ucxk%8zfYDx)}@o%+Uexq7s8u4Rv_F#UU< zelSgyhmpjH`?Ll{&zFTP5OW*-=ek5;X>7`7up9ytt9qt)2Dw~x>ON-&gN)m0-) zfP5c&U&;Oz^(Gv9*EyXb&8L-ZlYalmoAOlVB^i68hqGHxg^Rlk z`g$hM%Brw;xf--}+hgQ`j;?DTIbm=s9!W*J4vs;#$)U;9}~ zQ!1GY{25X0tenu5TJZXBN7iN-v-G_9j?G4T_mZzgw{2WOBeyaOF7QW7%3QqmNFdx+ zz+sC1={~w*e&)u9MmkgbZgPIH@3_6p^el~2B`N-4PmN7{sul}n>gH#?e{lPGYrGRz zQ=f+20;p1rQm=aztsbt%7b%(SVZDcW1k31F({3Wdh-eD0i4xxyD$8`-5ZVW2yz(&q z#d}&gr?))Q@Z|-G>jUiu9(+8a)BY)A$T@7qk={hPXt%C@@O`$zO7xKKghy^|?>1c^!N_ckB+lp`^TU(Bu_nO{5?;fxX z>MX{p|5WmxX&sF`C51EM1_V^dfp)I)gxS6XFEhM5DB8_)}yiJx7QBUt?Dt+`7)PntUU#M#Se%rCDfi#&0a z-FEhg0wvUBw4+SvBHoZyUVcW2aw;uc3 zkAqL&&rZwpIz?1^5G=kWmOK>NmJ~Lgzyj!b5^xDrLcfVakF2I{tT($--q%2~STek+ zMi%TPn}+F}9$&RpPl!RSWd(?ZH~wI9OLT#d2C`Y-$*z)f8Fa(O9XpND3CY!0?`(Z?a%klzp`iEBtxaq6+f3B$B6b0 zB05@p_v|qfm5>xRc7DE#0ENYc7YFRu4=o9Pvqi@6zGt!jg7$Vg5_(EwH->&gKVd!# z4_vHE6a(uxAt3~o+Tf%CjT)Mh#ChkTCWLWL=wC{=GqVqq(+`KpslW$^* zBBo;B z4m2ya-l0tk6`(7;r@dgPzIxUZB`*e zdh5j9B%H_tLDIC19g5BRo5h}z%~BnK`y}=r`o#y?kt6T^V~xFJL6jX!NJ6$faROar zXX;B1JFoudEw z_%-FG)!ZzKE^Sh3>nlQ&e+Ed`LG%8i*B%0Q?NSVcgfa6Y&c@7i}q(lpNj^CcOVT(wMP#9prK#*}Csxw)%qeC#ET&5Oa5s;Q@QAgeHO|51N zztO=R95EE2a4ILhZ2g~A8o2$RvRjqck9B_^Rv0)qp4!J}_A`1fxxkcN?GEd{d!ZOt z_D{^QT2S0F8(#)u$wbAW)V(C(FdUXu*nEfk+n(;!6fPxfmhsqru|w2)!GvIuAkryd zWO&8ejshx=8KR~MbiDxa|6Id9OXx;6ODk|M+R-f8fhpFX)Xqj1Z7$cA-D$ZgbT_u^ zwuJAELEf#{(9mD=@SOH$>7fn(c;duN2s%#CF2Z#2U3PH%5ov-qT;m4z=6#Oa-6y@=j=^( z(%|n!YT*d(M#57nu&*^xivqZ5G_U}Wt=Xi6Q26~E8A#YC0mTzlHAw381CKbC!F*3&RFYj!X)X$f>+nZ5fOb{1cxWO;eZ(I%W zQ-JyhQP&lPtD9yg*Vf|=PBm@5kr-OZOM717H7+HbU^>L8=PTA}dP6GvVoT+LEOBZ@ zTL)94W1`f_CFGIT!o7v+#j@OIOc^HwY(nKDj>VQf>rZEY1J>azCzlVxEBvX6xx)gM zvr=(ydp!k_R?6rKeb@aMFJ?#=h@8s#9fC41!3|JcuR+pg0g6X;e-5|K4zGJzl5rF~ zhq$gttfex}BoRxOh^}a7hP?b@< z1f%24z}4E}%PIM5_N>BW;upE~(ZTBTV1qIiT>kQ}Y-#S(vrZ@XJyYXrpY~5J961^r z#$z6um&@iK1#}Dzj{px)GfWRgX(YLNCghx5cI|#mettQ8-PTeY;=VUdtvT=+FES6ahohhPWloAFi-CT8fAX5`D5hTnN|QygSn;RfVjg<|#nzAA{JsX1 z<(uRD73NEX2d+CC;GaqGt%Dy_Lu>c0FsD?rW%A22-KE9W)T#R7CFAIMJ$C{l?VVe{ zHYtlfZ}j=Mk<3X+$ciY~>z>ijH(@j;y70$m0qe6N5!o9$5(a~2a=ixFTVUq{-}gmNHW)M zF+ep3o6m=zdS3m<5v-6iPX}WbQo4{#Je1~p>}lj~lnkp;PWNX>lz1AQ`~pTr?wAXG zKz<(G`BYMr;V6jN)vqZCf-E-E@Pv*quBgTS@b+J#7cnF1>h*dbffJ_0Z%)U&ql!(D z8>GnL%u&LA0XDpwCi8|CLE}3Fe>=EC3%ikX;^IF(mF_dIK#TXeqlSXFjwgq|Xmz{R zj8!ZD@@}lwNL+$9t^WgejHLp*r(_9&Sfc6kK32Y+5F<+aT=GK+u?A6bv)yVU)hWVe zku#MXoRa;!Nqy)+ymiZ}M8{k?7AFjqyZ$@8S`I1tqTmSl57sc;5hG5j>t% zI{ntWh)(&fXNYSOQvIPegfv%z()dTQt=TFvOQ6!-dNN`(6R$6NBF zDIez$uTI*Qq306(fB5lD3jZ7>us^Q*4?47jHmZNH+kZ7_b{yLnAKLbvV`}w@e@0iC zuz>~!8hD&}@v!^jy~wjv^!6rr?M+sy50AZ6Kq}Y@EU_7=+`aOh&E5IUmN?6m>*KrE z*CXX2sq-(qa){qNlaxNYTk^1zpx>&Ya^(Q$d+7PRJ|=Wlb)I=Lb1W8@`u?n2_`##7 zV;<|DE(kmd!ka$juo<2v5vp#5ebMTYj;vB4p07=%inL^!5Ck6K7suc~L}4;(-sW$& zUo3AJ09HHM6lucxRPG?Be?K!6G~q4R)!e&M%o7-b0k-w0{ZNzltr#W5#0?aoClzn$ z*mpf#!Ny>q0j>m>-itUQKj3-x)=b~1dtC9wp&(9>e3$#P8YB%7@4p~W$SxS}_Eql7 zzK49@$NkHbBC;!~*!cN-Y-%7)9_zGBhJi}MjmZ=32tWmkhlUi7c)$2E{Y~5h&VENH z4m&nn+9~;Bsu}gQ z))K~we|}tM%LRkDztw)G^jqAS=k1-Z5hm&B^k_T9eWN+~)AqC`zLPsy)=f%;z2r(` zhrN-SKq#t5WaxtH-+fm1_@r%=**)`?Ve3C)Myk^_OB6^x96uiR+EQgX$&sHtuq{%m zR44q5eNCm6xXrD+w(2Po<~jXw;;oP^usmzz^JX(+1|;Xs&J{gG#)7`2HXP-xlpQF z5el?m`*TU?h0NbQ{n!$ZNK~5(^0IY#fm9AdgptT-#NGgvSaBcr23{H8D#9$&K%xR2 znku~-6lA`an94XvLIH_>ZGlG}U{4SyI@0Osm0(gWJQ2=x5aAR6;0X~uFq z44%IXJNJ={9F>cV7ST0<9yRLmYmzZOuW12jb1Ur?-94MqSEzh_O4?S&qeLuc1~ zLfRt|8imw|Ac796O)&9pAlNa!dM5J_B1BpOT&-t;E;LFm=(^vyOHiaG^<2#`RWkBX zafI2e?#6;ik7PB~iT@&s$-N1a`q5@=V`SZ;@IHoMF?`Gb%5cG}zw+i@)Z9WI#G zb(}W4^uxl0MVCJB+Mm~?K_+=e_)lVsa(ro7cyd;~$!)LJ#JTR+Zomah(^gt2J-sLK$@Av=(<^& zXvY#GE3dH|+J{#Esz`YH&YyJ?sLYPLJeX=HCvxs>MOIs{{g<(a-m`ZO7W%4drBZ+1 zb|d$pZV`1a_?_>V{R0IVtMVM36a3yIA89fyBw#M$`FP~HHhg2AdL>sQXNys(T^s#7 zF*|DYyr%|}f?a-1X5AbLt+ROZc6Y4ovqnz?Cb*u$QHOB;7w@FSy!qw4_~tMPZ^b#KNh&<=TdnELE^GgtRZL9F|fb_Zi*a%DQ z;f{!ChCE}_yV@;Z1NDQ7^YXH*v%vj`r5caSpf6jd#*?X24(0 z)0^^n8Ber!db)DCxaAtk__u{+?w|U>@|2`i?GEiqX!;)Fr~7Yl>1JC+BUI^aAFz{~ zi&5Zw?&ezyT2LK8VMQ;0ChFLg#%v9|Pk#t_TJ?O=YyORfzvsgK`x2JEclX*XQ%RI; zzqFf*pa=MAZZs><9WvaRu(6Zqaj0p69ttVD$Tli7`Xk)OaQe%udXj7B44%%)hCr8| zEk<#|R$Py4p%*Io!>GX@+H59gIk0Asgwl4bj^lMJr z#htk`SRMac(l|rL-G2Qd}%k&RY7k2O}C)wp0q5`(a6*by)kJ zA_AlcdUN*AJG&2K?dn!4Qz({)pC&e$(agyuEjJt_EdT=DVWU@(1Cn9 z9_2iE`<}t^I&PpCr=Xu-PsE)VBoCO0{WPO~5QL}eIGZFIFvCYNUMDuv%H~Mkwc26H za2D>;mbpAo`iFa8cd;qa-jv*e{KMREV86K!)sBm6FBg~Q!GrWy%rV2)?vBl-MOBJ- z4p}Ni`{}}kQ4ilxek1&}5d5A*#_OPp&aBz6=Zo!*9(&ClWeaPK?Q-$(O_hjCZkb3% z1RhfFYnj!T;R(b-AY zaLO2=Impk;G;eN~e<=^S-;eP`HD?@6rP>@U^X7VWi-Z6_F|V&&iMul2LsF&AS2d-I zl0w^C<^E>r@6(XkxI;!F)!QG)G`_nD`QtT1O|9mgxd+bjnj3WJQVJ$HswqCBoY(v6 z85W3bf_YJHoENQp9$~E9M!LO!oa6jHbBfI6RT=k&Lzbdz-(3L>(=Er@uu=lqnO1nvCzGUCOpHtrA zzogefiK>@+pdh7)dIe*oE@q7$OI-e^{QJ5+{$eT{O;-V8*?h=GkK4xCni7BXF}wE& z56@ir+0R3&a^fVewtr=PzY&!?|K(xct*bsyxsM27sjiwcrf@~d>h-kMR?T&F2E}m^ zi<8XQh>r??I6k^0Z4uGx1mpuvlEwQ1@z`^V4i5WbZ%UVT!4BMa4p8bfE0tzkQFbya z?>}b}VXQNF-QH?!HOxQcfyY3+m~g%1+q^S~j7d66uHJmkWz?gcXjfCT;4h1o4BwqV6aw_9qHT{d{#+lwhar-kI{(%H~+xMIf^H$u3cB_c+VZuQ+2|X_h%}O1X4+IdH6CA9b#CpiH8(s1i1*1Ey&XvRG5(PoMMwtn%wH2# zlv{F{YwHwiQBg9|S`VLQk=&Osq?X?7{&B)_IMrwKTj^*+#ALWYrU+2TT?{s!lKYwT zvfG{tIt!jpb*|HTA;lT{Fh|b3{EGj1v)bN_YE%I;?U}%1%}>>X!#x7w=b(|4OpsJM z$Tl4!IkbLlewr!9*J&6`j1hbyIreu5_$5eI@w1f(7;6-1k^lG6s=*Y4R*2+aV)8ex zm{lm#nu8^P@r0o`CO+&cK5lPL4E20^j%-H7^QCHB(x8a1>P7aClGQ&?Cz18Lsv=H} zoYZK=KnotzgKOU zp?VIrkLbXzDh{}!^LZkz^~nB2e7CPx5nJRNZ6<7&7K(q(la6~rif10KDH4ajK)&Ko z?g$i{>lv9ZlFX7su(uj8^pK+BLnhXI2oNkh8C0?>^2=OP-{*OmB0x$GKxZ``P^gs_v_3q>y?%!eK_QcIM zV7oaQBZW%!HzuCBxpfBl5`SeRNAx5Xh^DVtH6;ur;`$?v9K%?FSdrZ|p59Ply5HeCuDkrZ_qKEP=I z)(@dOIHf7^S$(M8>Kb+zLN2SQlkL*b{1NA7#`}cizYJ&7hPzl zy9?qze$;rQc>d*$(e2_>ERpS3w$)9}fNiI|YZ!XuG=BzBm1*-jm|(Lp>wAH(N! zb<~X;ePF3!-Up^CeVx&J@FnlHcr`9@y^I@hUU3E6y=E=JwNLbh;BYj@1XC>A>Xf)Z zTvMIV{r8^nv@qKM8LJPbMVH~nHV++|NRtc<&VD#u{qc95@Qd+K}Fq zW3Ddyuu}nT_hU%scI0(FS*c1LRq#h01D<(tX}l7PI}V!O3!BerNp9@(AolowR|i(- zA>GL}GE3)7FmssP)7%r;LE5NB5(?Zsy_PK7;#vn~EM|QsU#A?4DLc0sgVj~E;NB*U z$e{CBLpj0cyxs*D`f~RV`0u-mL8`Uz8-1)4DD=NIz2$eZXm=oR_-^UZEa)T5{(eUB zrU(bBxQl8~!Ge}vWru{+lQ&@Xrrhs3FAU2P5it*vqu`)z)JSl)#m{sgxyQFtXPu+2 zP=3z@jgqzdC=|N$KIY{1v%3=v0ibE*BLOA(Uh7CzJmR-9lG)G6$8>%!bt`v0quMf^ zS0UJ zM-e%fGGiiWF%?VNI)~R)lAlfY#>iVjjWk-bT);T#ahzszFHviN5|heOoc{o84rO<& z^E~ljEf>91BKvH7qF8igX8hYPlY68=3dNU3a6Deu9d^H90<%X1w;K6?UiZ86A9KB5 zZzmK7<$4;Gx~J?#`WRMFT7Jo{9Dm$Pehzggczr0pd>l4*VPTw@bSKU3qo)bf=a>jl zWq)hr$B?7Vx@8e|6-??kut{rc=aE$=4Ji24azE*o*3bPiM`%qg--@VpgGAdEANZ;8 zrPYkPzJn*j1wiKfMM$#c!wV6Ome17P)W+|}-$W36IHtC51TCiUM_+b*DGGeJg?>W8 zLxPXHNPEjct8FRLT_pSdWpW@bdvha=fRV!@Q_}`nJ%K$iK!)q0!$A80$8aFUWn_98 zCmhewM@dET5KCA=(Y%3B^Fzxs%PU<%qIZJxxkf1J6cQsiMeVdNzWQrfKMwKQRSB3~ z$a?Mr%u|->di&;aDd0_OEKTeuZUrBW4LnM-+xtMSU?!fuQw~4t&U2Z{(9e*&f4ac# zxSBgDZUswjnFhLkF{C?52Sev=G!oStQ$}F1)A;}GWq+oxZiJcrvfoIb9WHbr_P941 zPj2~9;&ZaH7x0bAPyC7B31|I=L3i_pPIB~W%)f6wIv9)9elnAOk?`hrlBe&i{#$T+ z^oK)RVsz)4_|Ht{j{nelM;Jh0DG|B%B9MmQ1uk6yGWe8O+(5I3{?@&8w?z|5e~T_l z8#{fdIsD4wPs7WG4QxHlx$^5pZUFvE;~^SW(~f`%)H%B%g62Z}-Bp@s*X4p2+Vo+F z`B5Bg;ZVwV%Ozcsb(p!s+znH~l2SWef1J3S>b%m@5KJZ_5K1gn}9$hrJ*Yvh(av-^)uivMfd z_j#9A`%1dAyBOkN-Y3NOjbcsBYuCSt$-%@S-xryd|KMhgc=tyuV}4!22|HsYZ@&D7 zx`vTN8KG=uxXJGR4@XxW7gg7UVOe77rAuPz?(SSdB&9^@QaS{rVS%MXLZlm|L=Y)q z=}rSsgk8FXrI-GAzyIg{&OLJ{o@dUTIh=6)5?qI$Ei#n%!%qf(JpW~2z%vu=wIuYQ z|4zka$FJ^8mJC$redq#rEBW{zX$!Fi`eEXs@`;$OsMK|}zSt=U9QMdWhd`MnQ$c{d zsLYm0-4YKy%!mXR2#{jFm0Lm6k|=}6aX9y}Tx|*oC$({*kkGW~b!GlY z7JQ5W5wZt|Ne~ByNPC69e*veCP3IHZE|}=!{#Bl;S-tokY@uFo!Dtl#dLfinnD$Cy zC+&MLk;P?dAoWJd6o)L}DCXyc3KMVN4%Psl`R5$HJ&h_GY?f)9I0wUcy|=dQ_WmYJ z;c`ZxxWXuTI)8;bHiWW?Ys^qF8+(YpgVMw*+Qj>cw;@G1jfpcHQ#=cmw0I_z?Fsvm z-FzUX!+^33J^0|?kh*BJ-9z}m#PAj4?p1(eepqk)D4XtIMZTLb8GIEuit1Y4bd|5P zo{kYbJXpIUMzWMk-YMrc1-lAi7v{NxTB{4vG~a$VICsH=Fy`wR}U zWc6paY0-VU#WG!Zn5!=f>jyPyBah`B6nVPdk72^IE{V1qfd2Ep(>kL_!+1_4jvUCg zYdvDN#b`3ovi%Js86D*I;Z)9+``_^E_W4X*yNMTRR8EftEqilu8S9<}lHnHo$W4@s z#wYCl`s>y692^pf!L-+5 z*@sWec57qhk$$gLG1g!qQ8XR0U~uQ2PNN>t11#_hSzU8e+Q+>kK*F3j_nNan-Zv%q zM}Etrn<-*?IK~3jlNr^%msRG#!4&U--DlFp+xP^^TC|5Qj$QEIbhs7sOpJZ8{~EGK z9M!2%pV?OZ8UY8@G4oQmXg#cZCH3Kbr1q z3dwhdOPKGkWm3L?u51NK$UI7eiJ@YU!?8#|1!}^Tgl=K%ZbBqKD>u@u-xj@x$S`;k z%82GynMQ_Yt_C4gvz4jB{apA|oN>9f4nFh6yU41bEP9iJv`||J8!T_7vlhnzTAh)j zHoP%>?B?0>#Wxw51F1AGdwPDny9{T%1vFk#tQjgKzZWu{i zI-RoM%iMJmZ=?1^eeOvWayc3S4S^>?iE7~%jR^R!atycxEKi00K>jKc1zkF#V-fCO z)Y-vsnjZu@C?UE<7%R%P&b5GBR>@~iO?E4yLjgew+R~O!^i+>n^G$BeU4b8L=su_D zvnB6oV!5?EUkrkRplk8MFYuL;_@*&yme$%%1`dR@dBJz!Z|W=OG@pKN*(0b+Iv*1? z0R(#|i0l3GEIq4ua#}Yn9>W`eT!C7Dl4!oFOFe6+_Rn)e%QikEy1IKGd1#8IOpAU* zgxu1HJjWEw=gr`R!vr;Gl|o)KPE|+VRwpY_JvY;!x)dIM#{7)&vk$f2=_ZYt8tNIj zG_UolDe{%6My{2@>7X#a%BEf^(Hr{TNkVDSw0>d(I?jpZT@zL-8mZqpR5tb5{PKFV z+4-HCeiWG3+Pm3|ypeQN`a9aCavHpX+#0=l-bU_Ciczf1*S7$R59u*f1^ z-k@t9=L%`hF1XbCU$T9gj-A+&ecp}poWY6mIZwV)e$i=LQ`!Z=LCU|kF!q+gx&4`2 z%~-!K37JEG!K~#Wm`I`7^|)2 zt4Gz^U&PkS){*bj;5*{bY$bT=WP6$J@IS;gHpXbP`~3>Ks5NX&yMp{tZj?~f8d(7g z3-(6O|r=$^v)}AcZC1rXP=K_X^f?R z<7bQ-Py=b^QlngQ#!{XA{D8z%J)BhGDExEH#x!aR*+f|tXbib2~T~dHpR^rqpH8DS-Q4{iyp-8Ax6Jt6(3@6 zq0ASFca#Xq+QFD3Pa@)j9?>hGvXdV8tSDq}Am_};z2^B2Mkl|YgcYohO;m9A|dhOhBR#Vd_w*MT3kyGlIcL77|Rr2X}x=go4e{j^6hpRm2A$Gcn~96igQC;TFx2~ede4BNpW+V&V6+HU@}0KJq-GOEX_N>mERF$mP5{RARB zyYV$0b%!S(*d%7j{JPsTz;ba%jAdH`=5NB~ckAE^h_vs0Hf0Lm8>Pd$$+>oWr>uSPozenTm@YX4PXR%{I1&BvkgR zM>z>LiLrWGedJ103A%A1DfW1}5Y|=eL2*Dj##jS;CF{jZ5PDzNsS||n-j=u8$go3j zTl__k&0|DniSC?^dhH2M9jWjH_E?0ba+_qI&zI=qSBA{En2)m0QsQ7>w!c}6Fs93v zUtfEV^!D|0#St0PJ%{PWIc;({S>{HMB9Q(|B$+B^EyEE)-pe<YiF32r*l%AROYKgz zW(E%u_23Lo68ZPe*YVX0etRcT16_M;;a{41wGV7Nev));lOOp>qIHc>cBoM%voOa> z>+}}9&T&qTh`=pacmM&Hz#U=Z;xU2|?h9h*xf=d3>g&hG2+|x`mwCF_3X*@BezqPB zuwsH#NcECn*@bNQzkFY+ak5vdJg=_TF^t{?gqXG@{N3kRoTCRNCvUUZ*|?+Fd%)?F z>#<_y*OtZEk8{^lWbfVokVQ?`S|Ly3KjZTxk?Q!`wbX5Y<`y~n6mgOC;R|j=IAZ13 zFR!-!CZ0{|XuCg;hyP>`@s|t-dL%RnZZFNNgrqHaYa;G{V&%G8W22=J=4DAW%~8KO zqv=-z@7HKY_mxX^r`M#%wm&Fi893K(X&kKJG97l5Jt|s)TWPyXyvkTSk(vpjX>oM2 zXj!1}pYljIYeDictq5_4@s{D5pJaJyXKt~M*Og#sL}=l2-Z>XwU$Be&X&jm&Pg?U2 zJ*v~7tgC`g?8LJQ{mFytq`pM8cADiw(nM{{lsd`hgo$y$oi~oP<7W2nb>#RR?LC?O zF|YklcOiF<4T;S83f;glOk~ZPG|~6ovIW_3I#F^S{xMeLSi_Z#ezAr8;ART`fREjv zau29P@A%PsM$K@QxKewnpubsa+G*)WCGZP@{^X`gZ%e&OfG#A{$rzlIE$~r?J?4;t zOd3%5iV>+6(VwjJ`(4Y;?{zUF!mGlJ>A)eLrmqWpk<+~I`Q(q}yCYw`q@P3H-jrr^ zU;)F-H4Wd9gS;AsYojodw4ZsA zq63>z)?3x}$Z_h>#O$G3AkH|dktC^FJfB99(z4#1zB^|cXNUYE>Af$13!!EUVynKU zp5ReR{+t+bxk4OH@bch~DIpcjwHZk^-W8A?toRNncr0sa}deWM*pHP92b|Py4XVTsq-Ejgcq0(@|@J3*#0=9Lm^SRnXpT2I%#& z5#F>+D&BBCVm2+NU&Y1$K~m;()NzS+^0btrY48Kn5N?R~C~qX7-CKM~ffZ%g)sIUk zMcU0!u5%*^6e}RB<6RBouutp>`ZwKN=XfW_-8xKJo6#}w&vvHQyjwj^_?wr)XT=_m z!rsb@Fl?DiKtq5$qVGGv#1}_=NCxTczZUV~J@2ZH&D#96(9G+YrQ5MXsnLSPVwM^X$bgAJWt*wwF zl5o{5WjEF*l4}G(y&b@MbA64{h`~%h=g^qb$$xBrtD`{#U*6%#V3^6KFc05WCLDDy zBQe2L1-ya$w6{OacWnxb^~huUs=}BT1*dslQvMo8nr7DC8F7>o4lii~3p$Ts%2I>9 zZ0+d3RlNR1X3*rUntKyX2pY2B4Exjz*Ic)16T8a~GfQG2OrQ z5g!MCL`J+Q8DvHLd{g3JB@$608{Yqkk(5cRMWsfOjfwt4Jqx{ZERA^u1-8)_@`3#0ETZe#feRuo{ zi-A@~b8XEqS}jJFEw8924$kQWcFuHJS1H6CYM4UTMbq;Qi3SuW8>ykeo|Y+)`}DR=5rRBc&C2 zo-chTZL6CE^3oirD_Bb4w900ERIo!LFZ0$t%ZzYL&HJ*o<`Q;;Tt189oxXX44i}S@ z3az5;a7OR`tT3q#$-X9L*4XS72+W)cw?^s5cBA)OJ|j! zdO4z-&n+k8w=>d8lzC&^;lWgBt?MKk*LyhGdmBx;wmY?8CJeg?0}O8Cc^H#=lSv^i z{9)l44vYG*J}jKP=Y}LpT8}O3;H8QZES0}c*mnaG{?N`4f_?fz@0wzjI(FJ}Fvg$V z;0PrVo(4WyVtslNwTq9b2N9Y$cqtxvI!0DUo*ia=wm1wa>9TG~&7j#+BxtPvWy=}0 zf;$@gb?{Tl-J1+^yfZ(kH%2$(SMe%&UiGuaD>uQc#?vOdz*YhahZhihxc#g zGSJT4r3z$ROg4Z!BSep=E;I+wLybA#Ts(bpoR9U;L6$EDvVoaOm4`1GSpbe~gP+0S zunEnUzr%8B`5b<%%W<{-dqW)!3;U;40cnpjzp6kRp2OuHZJrl!n-K24%o$*kTJ_@D63myU=Vv$uBC^l_~i$$cM?QriL`g{jL2wiO6LvM$RM z%ctM2Lv0V{SQE5^NI81vUCXJ&WyY#;R({oPq=aUm6A3|IfrSmG>>223giWhzUpyPz zR{xDbvTq9O<(7bLLuKX!-3K@<0yp&0LVnR(YxYdEaZJj>2iV0ll;*xKlKmLwz>dv^wB?;cwvfgK&k_qY-LWiR}>4;O|n#)*r+sq zVYBKpbSLEZEJC?c_4qF!Uf3_U)^8~q9hoQl6>+5EO{$gR}qizh>9?f&G? zlnpD2C>`BM@;-5W1utyMh0c!2?y{S-lQz0?a*oFJp~4%rH=)P;K49^6mYW; zjvhk2zPO@7hPPZp39GcbiM(ZMEs2%$%#&cyNHGT!?{;cT$PC@DR&cclda%GP7@^^Z{$*y3=->uXvPOGWj1(;W>ypG24y&6S`(#swTVTu<-`aO%0 z!f5Wu=|)~dT3$d8v3JafQgbi>6h%$N()zh+Ut(e2-Iu^0CAaruXEz-gkt+JCw?)zp za@mY{UdPIJhePzq1?=~{diF)hz5d|6=)Py@McpUaWdt!s>UBjnM_MHNaQBMp%h5k& z*9RruPKlb`5Vpopb90Qn5r3~T4nq^ZsQo7V46wrNPZJ9ZQIR8CX;ABPnpJ8REEZW` zfOcLGAT)b3h&V8@%*{|L7>Gw-!{2p9%JE6N0sZ{l{FO&n1-vHl`_ylK`DwG^CfZuzm0hs z2|^pT37>in#>JZj`6(h#E?JKXXBHzv895Y4DSRh7__$G52n8l8DFANXC>*u|yr}@_ zoX2wPH%9=KcJg5CQN=owXwk(0AKFXHsJ3Jbf@0ru%YrTohEz$U6bPl(ttRVbLFPD{P9=zo&e-xgE9GqW5<~;YJ*}o5Pmnxa1sl1`Y)#3g5bRZf+DILcvlbyHa~Z zIO4O{XK75Z0Q-$sWPT_Yii`Tc_fl7Z9($X+cSvkA{bohEdan~s#H7zmx8wqP3b?%H(djs{zkaKelGssqu3Sko;iqa2T4fV&LDnRvQpRO1QZ zNZ)6Ejx9OjZsXq~fehFa>ME)gTvY>GIgvJHnc9wU*y*4{WJH{`j{A4lV8lHLLuIr} zd?$!tx9S+>u%X2C=p7AijstAW`JyG-8O5<18We~|ZBm;7qfhc5&LRvg1S?rH4~YpE za}%}Id6e-&@1$Ky$Le1K#~nqOohZhd&CK{8sApk4g4XcPPzY-6lf5am;F#x1o8kRJ z+gD2FfM}H69AyuW~)YJ^qdPhz(z`DMB@i)U$z$s-$ip&8c582&fpJtfD?6(@?WG#g33_)xEr zQ|*$6>(|T@;v$zX`lUY959Am3n}xc#q)0?0a-3in8~jD{YA7YSEZeIhEQ4Q>lLo*O_#1;*v!ui;NGR7Jjm+RP<-ue^W_dcjwKS~0<0aN z%{E6Y#rt}mlv@`1bAoQ%W=0v(#LSVGD92CP+s=O`xH_ZD7h(eXGNeqeRIMgR^1Tnj zv>3SUDX;CxzO}z@$wuDOf4jr*VE?*cO$ol_(1xs_`yxc(Ed%*C28(YvIe`+S^8lML z`+Dr~*ZbLoiJgzxk0)2XBW#NrGPRvEx^Hm1{2KZ;7L77siqSTV?6d#u3kYt+5ykTu zYl)W}-sf#RDi0QvaoA%KyKoM6m8hNp8K01{c0ty#MdLWP&-H%-CN{+XNWo2I^LmYql}CcroovC%4eP{^$;Os zi8a#eIwr{_75W=fWX36l`gH*5zfA{nu!_C5~JPRVo=%d9l6N!G^q05L%rqkYve zlfH*3DXCfIm?Ki#cY+;V2V(^#u&5N{ zr{$?ZX3vb*jRZk=&u2l16|5|$Zk@6Dhs5RX`ec)q6{r3RBGXWMn~Z_whD{Xi5m+6pA2!RK<=A^BmItVlL?I!}%mELMy9WkG_WwB_uj#rQ>@@D9}l65LoK zHeM7yjMNDgwe|f`1suvK+W7PI_#0E7olh1m_7+_`4@bs5AxGASYk!iCP`u~%$s0F) zH#(2b@j@T}FuEC(A724(Fk;`UwtO_~#?H#W#$EZG02oXen2RfMzKu4Wm^z6RJD82r zG*(U&`WkgCt_F1z1Q6QWgm$tI4n-gVTmhxOGD>{IZ z@*i!+gI=`B{_XEC6VJKN9@v8lP8Jz-n{st5$8-;VCaNjrye5cUtX32GcO^U8d#d%v3Dw{#b#w|&h^A*8uoQgBEsE&8d>0(A&z}6nK7}8v zdKk1gX1S(@5cg^}L${NDTr*}hy_?3BZOAsxdDl)&wVi)v+-BHD>Wxt<;f>%~_Yx!0 zXmy`V&gdN|x(9xhWI(E2k|J^iB9#ecwv@-H*FAv)GEeBg@lbKqxHMNQ#!924)_(Ku zK49Z8!WTqK^z4OgYFlo|Lvy5$Qh7+Idh#um=+I-%&^GFbBR&zvdl2oI_5EA<7qBLR zf?C=F2S>Tg=$(-zk1YC>0=;quV*PULN0tJe*ZY1G{Qf@mRh!wj~c|(~oiZg&NbyQ%-TC zKpBv%OQ!2&LS@!DunuN$UXuZhdnbX;qg07erc!gp(y*e0D1xOWI%!`aLUq4zO$E-~ z<#9~lu`>oGxPQfou-owKe(S-x-502?4b?SY(#N`cP2zLslB-9O8}j>IGcNPWBVN7f z_as@e#oX3Iva|2a_X@-nNUS#@C$#2KQZzRUTsjS!^@Bah8fsB{rl(0=-!!g_393`A zJ87P4QcP)WV&B*p$*mGTYFPGuk=66!9g+8x!-qV>JF+$>F!Y^8_BZbgswD5y34Vum}MoPoywLGTlJs zgB9fP+x#0IrVsUXMacXK(K6svHl%xA-14O&N=6ph)$M$r2H{}oe3uniFrfP#`|Yps z1|rz;Y2=^ei<_EGL+0!=!?0Yae;zi52%b9DgwMo#(fM%ZJ2UQ_uwqUaO5;>m^UctC zU(^>Kp*cW1)`??tTbm{8``$*Qb3!)|5-r<+BF8ILJn zauP?U%6-P^p?PWcLt*S%DWW2!@}Y5PWa7)|kPnlbRvvVnM}cHQEKmHIw;rSL`~T>H`fRnW<=v?MDs5P^zGm5UYb^yfMHE zW!BD4*!Km>u~0L|+c=q?S)*lndm`NK-pOv>+RVPU&-xloQvKvYU+_z&`uAn97w*!* z2-#YleY^9oQ}AMknhfd&nhr0JBVVau(T1CUZzdW1+sy({e)ZA3=gJQ)ETXt|zt)~D zThkh|zE--CElS~x!z4u#X~==-!IYa!PpPul>gXLHl0V$ zXf^%@eBc;$&}YIWm3t#?HRTbC3RB2;(@Qbj{0=zg$XSG~0m`pj+|1lYr%1^`SjY0q`VQ4= z_ca}#6N-jI{N5w)z~@7AZx#PWYW!Y5ocGmj$ufKZmI~P#byu{Q*@hjhj-nCbu6A$JF=>7$CBfWTeTr^c^}Oag;Vfl@ zdqJKbU>9?fvD0@U)7H^ z;?<5ei{u|LtzUF2mm-$&0+*vkIVvVnXdniuICwwr2f>=5SVtm&00oqMz~+}{SofZ~ zxe~!-kTtc?@?nRg)WxVRCR)MfBY8X~D27I8&;3!H4c{kMsJO0K?9x^c3}mV0EsWW?Q8*W6a+|@~Z@0rRg$$#N zf-s^DGA#44s2PsMD%C(W`yN-+OyArSzseRCFc3M^jgqt#Cq84c=KMDF&f?-s0~d?^Ri4jUSzcJMq+=YjR3E? zB8x?X#p68Jj%ZawuDph~Fn%GNZoKDi8&i9UP$Y z<+!S0y(zJytCjl7)W^1MRkiG$K^`cnY}6-3ikYrKFPc9rDi&kb0dOd}8M{fs%}?lW z@+#%eBQ=z;19PX;O!+uDia-8zIycscVBmS4!Y?$?jo0ww>j}+JlA%pZgNI{+4$@q} zF<_=|0^W9G@agfKDiIkjbVxXdRIX-k9XW)loGMJR+vGZ5EK0R{_huFE$G`{qkF^_B zjq!W;vB@K@zj2fWyvZzx|C}*t4{2{K+kEF7HPo0}#h~ocs|0!l79IRFq%={)RWE7! zi!3IyP3ihidG(AU3R|q3Sf9C~bjGuZ0t@G<$-to`9XXSnT=lUw*H!|_<_P)y`CO#Q z65YpBeno_s_iX^dsrygAQ#D_#b}>Egmc7tvUPeDI58}0|$@!93i|!tCyH{tJ>{?)9 zTGf<`M#D|k^PcxM_)2;r_{xiYeK^IOXvogM)I|ZOE$Z`Aw`LJPk#WR^okE3D(@8t% zvBQr0v@DZ?{Gs`ay&IcV-7xt*bKikAVjGU1p?qtQswlrZukr zzD(9`nAk16*qbkZwdEqxf?j|06`g<_k#{LP^o!UwZ{E&z56u8UOf8W zlwG)Rv-;F8w6^oHY@a7lYveoYFT-{S;fCzm^;qjr0zh=MPL)U&~Idl!JnMr?Ps_(op*O%&U^(GanHnY_&P`}8tVOQ8$l8X`jXxpaM5<#WMqxM_AMch)0h1A$gTKi|0LAOq zHns%gMB!e+B`;TAqz2J({BjqDlK&(twus+}1Fcnaw+ZJ%KTWOirpTnpI49R2LT{7m zn^eKtts4q`x?U-W*Ag1xVos-y(;Nx&?~YVYTOq54>l9s*Ot@bXGW9=}Sew>mL`_Ir zY#qV-&xd(@CDbHTo51c8pA_>i*UJ1jpUc&@yz%*mPwvGW`Foqj96-|edsvP0v1k^mXvu)|C z7s1N~eDxq_1+zox$Rt}O2yx!?{?wD}spl@p{@XCofq81MgsBnmR2dQ%>B|CHy;oL8 ziXYzRL;4iFu0Ip76;$3b^)ecU!3pf%dL$jIg6n!@`-p8rbP)~_clQhOlvvLacWlvK84CGB^rS*XfrEVJ^!6}4-nYmL*8eICxl>#JL35O~$lmeLOikDr@LTM3)|KXvn zD{|HG7E@7)NG*0igdDLl9U;g;!*EzK0hL8zwVI;}?HCQyQIU%SsG5<+SQZfry zfk~4(=`y1fO0l;R+W!9IaZ_CNyTW!?do~x=Pz<&H_-hMI;CD*_{-OTZ7^Z~2mva3d`rpTg!o&LZ6zRU$$)Sq;N47)fANEmKuw`Q z)EmhD3m`{Lmp0IGv>ORV{z`<;6&OiF>nOnK#>WJ3G019{S|I-F6S*|^J@DjfHQt9! zxzs}^41o3K6JXi2lK65T%{2H6k^bPcwoq_W?ZX`f;LYPQpA3s*g1D$E9`=_9% zr!mm3E8^R#XB7qAw(@xGHmP6)QJaJb%D5F6%!od22vN>)?`#g8-y2H6if>XfmZH|x z@K0t0clCV%Kq|gNz?#~68Y0jjrb#{^B<7jr0e&DLaTPdNPt6aB=za6LT3;3_zE zwXA(5HCypR3XC65mZp5KWdm~rJ^H6RO0hxN*90ZY>>74$+mOLCJ$3c1i3TV_lOCHT zoKnLVXH>z}SXT}SU!873ky{B_ElWOy z&`$WBMevg{J(Yp;>uvSY@{-AVMX;;J_zjKPAQQF(6qZPv zz?nwZdDV48?gM+AUM0zl{e#l3$hN@-(iaCqbwLXvtpDr<4M_PwfBxqfYhe6Z)i~`~ z=))>VTn%=|WP-F4jUR7-Ef}Zo!qq=gaA^jQa`k7oO*Q8TAyQ)ux0gRf;>u^6vm;*! zgV~N03#^FVdi+~cLch`j6tVWd+{Dkqej-9)A;}uKER>=0ZFupLD~Td zvlkg;RX;1sq#;twdT#7V#+Pm*2$|qd*QsF#)WfMY=(7A@q=`Vzf9v(xWA=vqD=g@F znNjL5YZ}Kl*vbyE1^GU)e75jUgfu^mgTESMm#TefmvqN#&GGLn_fm}+Qt^60#Rys= zKq6aUN2=H|iApz_r)B;2=ep}By=r&EI?20bJl~6SyjXoxIc4jom~T|FBx{>_ENDDO z0he&}(9REL-q&4B5SeNc3rEp4lARmdnV%2&ICwO^mkViDM z9~qT*<8W(n_;J4R`@Wz1RIGC;5MI^-n-DPadA$`C9#R1vUX|$L7t{U*HbntP`{Bs&@!D9dvMcq| z<*^{@q(CF?F#%p1w9jP|ObC9BGit)d0Q9||THl=Oby*D|7r%1jp;SL0pgW(;CA{6M@`9WUa89mfeb7iW|xKEj4j9%EIr;@ z&>4rR(pB|r7SIg43!7b!!|>2&1pE4&huC1jMo6t>3jYKszHFREKR%zqN}~77ZAS?W zL_PqUFO`7{Wa&BDB~xqrHx8pbDt+qIAP=1jHx7%@-{~q|HTh;TOwpclA^vNQcyy@_ z1mZYsQOe&mMCvNzP(kfL?z;1am#xpqFK!5;!|coVoyUKbxT;6yP{u z!u|FQMG>DRKL_?&f~yGw>8i{GeVq9I97X$U838uUQo$XiBUk}`g$CV_@mnkq@DG1F z#hQNwgRivlC_a@qN5!-4dRR~MgR^*h_GfwK_EtI-Ooyud^=%NqC4;3?n5n@sW_3Rq zNPK?B1~51eEF#vG69$(l1*QLEGIHCuihdJK@8$MP?#_~drf|=0Ht*rSpJ{T@Ke9uD zr-Qp%w>szEN*X+;=h#hk^$EpUAM%)|u*Sck`hvA0E4ga3s`~BWF)(E?cA(jNHf*nj z{i)xqU3a=+1avNnFViVNAH9gx88ju37k)N+a-Qq2bPD28O2%fCy2~?#Nm^Tc;hcvg zyx=AE>0lg(=Vj4$g=nexCMg|;REDwK5uQ)R0=yLe**;OVm$cQ9m{}qxc!7lnfoxQAnCn5*FZD=ttl<<8s%JgJo6gdS zQu_wZV9@<*!81wrmu{eph5t#2hRl}q?vOCw9M3lv^|r2@nxSmVzr@gQ#VlA|8zVWu zO?*?O(rlKS5MAO`OumbnOds_DQJWCV;M&LBHpAt}Zw#T##qmQrU*+_^W3MN)Y` zNQhdKSj9Ek62#i}8V6zbk9d#7-cgyxt6o#$E+>Ee;rO_clcM9K?iEo#z-wWt zR6W&%z=zp&+wBkIz-JnAW#01TwVJZ7^G7;p=dQ}EpRhL+6;4x>FSSc@1#`4*N9f|H zHhP7&ILW3&0ot++56(nYWMh_2{wpFFsxFWb`MFgsa*eKT1Z4Lz8*3e8!AOCrkDVwG z(FA;ZIfOdqq%daEiu&uT)c%_P8|wZ4!4$V1r`C`nJ*Zj(3`6tHNRc(w_<>=r~)HDEp5M?{` z?01put*kzvTfeK_o)h%2Zj=ul*IB=@B}DZBbGvK_3uL^~sR^qc)MoXV_Ei4vP#XhO zyXyu>TAYINKIhL7Qaqt{H*xIMjwGN?n*yaHeT+0>l8ZZ>eE(!P%_Ub0j#tN358HwQ zG)kyP2q6!s+j%*XyC%p#R>VMLNf(Z^7XJY+spEP~M&#D!ZSdg?kY}Pg@Ej=r?780B zDHjL1?2yISTzY?$WrMVLDmY`)Z#WCQ+ZAQk%heqXo7Ub=ZQ>X0vo0JW;G{79Y3S7( zqW-Uu!mlAXs*=l#ukajCq9b03ug4((dK8d)f(J)1qJcWRZ`2djeY88r7T1649GlB) zXa0xeKl_Z)A1LDZzj3Fi`WD6JlW4k5|0Y(tKnQc@VBYXmxqY0jFwscHRXgT+1~5lC1r6O}LqsMR_fFg%4b$u<)D-YU{9%r=TMjBV*h>b^ zy@3$gJhClY+2?q{3>OJtwL43w>AHO#%-Y9zctW1V%;*7E!KPH}K_BiKj4u$fJaqoxlOGmQOkE@fkyE4PxukU_2QoSp~^qTy)kt;*!B`i5F^?TmqqD6c?6yy$tHXGA5j7;gc{A(Rf|55s;Hv}KJYN#JO%h$=RdJr zImpb*)XMKtrWBNK78t%#L26F^V;H*)?@ObwXW@%8XuKQ+dr7#6{Up2YH!qpuU;0^O z>F-1Do9t5;^hF|?D*G2>tO@AZ0b+(zaGa2iPOx8m0;6cbo{n~cc3LmxZror<#jku= z11sun^kq{0SrLoK%YSgBojpoZM9y2hei-WqS<)HTL&QDV&Zwdoj9^A%L@mEi;DIMZ{{jkD9%8+c3^rM2|Aamfg9 z#_aQfUG?eUW$#<8Daxi#Fi=2X{WHgiAPf4PP>n9@kGE<~ee98MAao2>@G+=j-`X3E zHfd+tR1+M+xGz5xqSwBh5VT}!NEJK+ieLX?>sVKdjE@yag5IZoV<9j+D&uFDCqgFk^c(41{+FS{>8{H_bPwQR z?4{ZxkiY)MulHIG22=2S1`_?awB;~tea$gqPCJ!Fn9YI34J*p>krOAzweHpc z(4{q>kP&5CK|@oq{sTmFqZx$k4tNZsKlD@OYc|H7`7YJAo9!zROOdf^rcr?8D%lu> z5(z4PE{BN7r$qi_p-?uY^!JICMsuDO^Oa=<y$wLBn(f} zBgLAyT{vvJQZ7X)6i8)lAJVai@Ibq$JO`pq5yT%#J2rjgaH5vjU!$VtAFI;WoJT#h zg*(Mg$M$a)F$whJ3aNA$A>pnq_!42~qJ2I~H4M;%~N)L!QPqc>9neBPCMq6|LnNTgmy9}O_N zuW|f+AV!cH2MS51u_#6N1nVVnR@MIi)_gp$V>9T>3su0!!6ITUB<^X8e&qj?65w@$ zwVvAKA0PgsxDGZSFRWkOIy;CC_8?{T*OR%`^q@L=#(J$huS&024hCrLK++$B0vSF@?V z+m(Kvq&-xk{J{1Jx5kE-jwT3~HGxo3L7vT-HJYuL&BD8gV1)BiUeAffD$qbB#e^Wwzy;;TTI=-G zn|LKR(KVSWupvJS-iJ>b2Ya&fP`ojBeqn6u*@iK{GIZ7JFi@5@eCg;9KPmtlX_e0Y zp*n1MN0E`oSj3xD243F&^wuHkQAQez+ZIN@LGloI+2hOKA6Ihv)^jKSg-fn|Z&B>Lt(anY*6rzOoA~ENwP`x~ zv1Mm~BQ`r71-XhN=L3Vwq95|s1(5{kty7`nWT2$U9|}3lp8);(OXrXpga1#fYF;n+ z)^7O>A!^lU-bL_7UqK2$$kf$`bi)5QL4Tj_1pj^zUp*tuMYh#NZVe%%L5NfMr$q_M z)!#x)KZ64NvNXQ=#QelKM9B-2UiZ;jOgQWOCN+lME+3*d{E*)JHNkck0>g|GL;@a? zd3SXuamu+?!e-LuLH$30Ygu_tqKO4qs1RpHJJxivvSxZhz-SaesjW)Ym>n z7jjFNWVETBN@-UO2jS(7(G*3VoQVE-@XHCJlAZT=a~394#43@CiGiErcVj1lWMg0|BW zfJ}PC+?j1Zj27!wD@Lh4(MZyzh@>p@`Nn*X_qE0{?ZW$Y_`fF)QEtaH#Y>e;1nK<|uU6jEM)a^$TkL?sWfo*|17Y%d4jkKEL*6x{ zzZ`!~Jw$X~vHzk!M!G2zSOQEgi8tw2tGvd-A6l!a3T?k&o6iAOZBnPR%17g?;3=lq zxrtEFNyXu)Um8178CWEatH0^iFDi=sas+&>#?KmsDlx$UpZX^N3DEy5>AK^ZSi1JL zBBCH5(m_f@MT+zu6_KVOa+MBJq(}!TArO=tTPYa;xyJQT!uOw#$^QCL zM@2QJ1Ex3u2ANK(Oi)r&bw7v-<1s>iQ~%29g1eDOa>cmCtgRQ?@r zQPs=*<%4*x<@LmX@U{oCI3!F2=~*e>|MSd#=6vON7Xk zAFSAW=@?$H-|L;q{^K$!UU>9){z5L=R8dIjeBt;1H=f1ZSvhC$m-b1V%x;2M!XKWl z4RJiGxBr+n=n%RBE2Qr}4v4 zG$m6wU67p#!onudwegn63DZy8BOm@zaC6xB<;AqdL*2w%p|XQDx`XjQMmolZgu9?j z+4?tZ#b4F~%rdya*|?Y#GN1dDrt>3D)w(v7ySMC0$8LK&T{YBdNw8+i)2X^=cqMX9 z-*vE^Ky$QRb4`GsC#l-Y7yHDqggCPLgg;IpA{opqiLIHVZ+EU4A%*~@-`zo@u^ zZng^Evl~4@uLcP83IN~Rs#i?oA^_tq22nL8hqm^ajdr@=t3CNjQWF}P&fjWh1nj$R z-=_ceN}zkKNkyL9UALK7G4AD zS#fpHv2SV%KKnYr$AtE9X)YI`^;O+s*vnUPdm-if>YuPwjf1OS>+p{Iz)Y$RU+uS9 zOmz%@^S=z=kfN0m%$wSuVuYU8f!#bEn_mXq=A_QwxB9Ux>W;j~9GP9!F1OU$cXvh& z1qwuR&ZYQ=HKa(f>!Ojn@q?puutc@o8@o!JOj7UoUu=(tU6s2$Ulpiq(<9yY#+6Bq z4bXK%{KaD3z<=2C_=bk&joNVh3$4q_F$vbHmur>iC%;t}O=#S=bSk~NMQa$ql#cOL z`d2e3k84a^<0E@E?rM+ajEBa-E3iboYIQB)*M&o_xQf_W)a$i?{N#|)cDI^MFq6Nh zwV<4-s)`>KPh^l8to^IDPgSSyg02d?#*y;8UVfe862E`hXsDmoUW)&yxN^XjdGjtK zzguIzFMp+`;>|le-@+ zL!hfD&{U%hX<8{i`eniP&PJ@3KEH%;U&>7LgPuG0yEQ%raR~$|=tyvZ#t}Fjj|y3* zd6S3#>D=Xq*Czm0_rHm&0R5jAJ25>5@MSMrJi-DxWx{cDH#Fi`>)!v*xbT$2qoT=Av=WFwUC#n6|9uq(W}sygWQi`E-vSASDd zHv2^4|Ch7g6gp~m&(3_@s`@9N!|n2`bXjpiQJ}lak63#-#lUZh@;BqF-B<$RA6N!x z`~kw=p;&UiLMC(U1b5`h|6w!4UFE!4_&1)p+Fj`?lVJr_h_Iw+{5tESPsR)Gh~N46 zGFjt~o9>bEpyD+>Loc^5s%Y6FVAiPz)8xxA5+Xnu2uMu2EI!dtA&P| zLGgT>(Iz5HD*QQb%kJtjTNpcZLm2j?mhYKY)`ygE;iUwxgn42VExpG#{`J$2@8r`) zE=?GQ9o05o-XdUr#xL1hizj_|xhZ(T;&EPZNTc%rdV%@<%BDZ>mG|Go*O0#>U9XX2 z1sEOJ23Pr5s*Q@xLw#UedwK$U-Y>-=Kn6oa>QEKek?IBmcOFAoDyTf|4i1n(v-xSS zN-|WED{tW6k+a`aTE1Jb9|_Z!rMHm~B>g-LANRH>SCZH#WQj!Ou?qRc>n+ZEu80 zWTXdut2Ptf70HF`E8w%Yz{605E5*be;sFo|W7&L|ZIHIg854rh;SBOYad89t3m z!!60yVG;}HrN9=@M%O8&c z0g0Ado|adK`i!~X{m~L+g?LG9e6jk=sC@BNDzO^^@t%O4VQYHF{$YKk^zyyR*R$9U zm8`weAwZtuXCB{+#Ti)EABg<^*?cWb(3V^7KDwEQJr5Bt7*LpK3vTLGp#IBprBsei z?~W$FzCz|`iXnmVX4hXs`3jL!llGtm-88@l~IW7ExNfyF!1o=0@2mkZO zr`>l$Gh;2U)Pw>`oZoVeiRi+|jv^v83&fs%;}5g7#<|CWE!iv7+c#d}`w4)BJ4*P^!1H3GoTHgw5{K=^XI= z*t?&{Sqvr@OAypltGsr_KBJL`WRC-7?s=!KfA@3fgJ^)kRh(I5-0v6Wf1UQ}+|{Kf zd)*gKJho&BanFATvgR?0cr^URUE0(xGl6;uR~<4X!v<%fZbuQ5oZ@RTLs%~!?Y;1P z2l;Yf>kpLL`JI0!XVhoF|7Eb%^(fCIV(aLwVxrVNuZ?V;V^5q2Ny5m>h0>meU_eoYW$y4s!5gtVX?L4@-8S!Xu9*5$v4|5_^xD zvcIG0(}hiE-uR^(L$i>e-p8!Z%uO^z^kak{WIcEB@KaFXoeby4_gS4I!W)U-EWaq} z4T{~WLP!p-)KdX4VtF2(HRmd@xgAC>;tum)RU4cZm@+yF{yEAZXW`MnuAFBclkBtA zpJ1;;&JkYGWjG#PCeOkZ?*V1%&2qAb+k@lXy${H+JULWysP0O?vA=8b?q|tHPe75_ zw)77xY1%-ognYL6p;!R+aYee+bQUZv_qW3@?||fG`Achd#&A+kctsieCS%8_wH)@c zpG%qV%!D`X!KKa9TC+gbhM&s~;}#m8Z{ul(`JJ0DPZ@nF4sKYmExX4OuVaf;o~79W zLE3F1q04L6WYP5$Ji>3495<35pTx6*-RzJdHln z=KIjqM|-;`oBJcpUtdExVn?2oS7)R|1w>@>ktSS~q6HAGR0BJ1iwYVY_50GS)Qe4* zPepGR<-e#tikL%imIxS;FHsC{F{S2mfv11Uwzk*am%d?FFh6VSw4Es|AQ|lc#oV^| zn3R*E)c6jTHS*Z##SveD5b^Of2c_4iw{Y9DgTP7`<<+%c_A9VF9e8x^z<4_EV2eCd|M%uA^vD+jOJgRYfs3RQDD`ugxr>jo5#9MU8BEZM@^&kWW=r2*MCpbT(+L_^hGCtKj-zE zRFxkk=2Mw5^mda41!wfD_6sIwQ`KIO;4;xrv;2#uGV= zla~W#hsM~ak}OI*=HlNN)0_%L{^lda*HGZ^HE12`15A~k3`f67+?n%Q+&|<|Rh=GB z%guTC*r+LK)PUjBIqK~Lb02Dj7>PWUe?LnL2Of z-EUbR*%@l;Gkb=Vp3Oq?RStIwa7R7EmgM~DFgD|+{+EsTMc54VB!h%zIurMd#mf6& zZg!8Na z<|iW$<79hgWl2t3d`3Uz4Gy6I;mVrl_ayW|tr}*9U-oH1two6Tf)}|wOjkh+8Sy@; zo80<*3}UKKU}k)Y32Y3&f~#cNGW+x*S5!O8;=|zd{_ZtO<(=(@cY)QBsQoA%!hpBl zD))n<4fgfSAf>>r1r~|FMnGex_i`yS#TY(s`v#5j-~) z+syAB)3R*bh{|NQ32a(Q@zON>-hqg798}?Ke1ev|E6>LJEUbD>?(rH^3P89Wka5p$ z@wO}S!(SXJK#-jkKzRqur77{u(r-X|zUkD?M$ki@db-z)zcz^B`IH=f{_WR;35z%x zP2_^s;6t6`c`wf|92V_enY{6Nky$+j+55fT4Y4A30-iyk2FgB8>jBId8QJO$uAPC7 zYva9l+R^5(%2HT%J>sSG@9agHdclZ{$K>`Fy%aRZ8#z~ecEe^IXHRmaMoWU ze)g~KpRo}HRD<3XxT0cS5`D_+r%bJKH<0mB9NI3v*O#Mtu-q)LR~f?)W1H|6wydV+ z07+H(X|7+fb|ux*G`&LdbJ@>!L0ArR?MnOH?2qX>D{#~IcBi7x`GVmnN<7Q>5jks6 zo4N%}tz#Xe19ed;T50;dk^~qz`)f6}v4_6|Q<}6}6$jzRRb3-U7!Lh~hG$2YD7j@a zj&SxW1WUtsJ!E1VHX;-_lzIRrkkGz*?sz}7enHo(-#Dk^o?10e$yw#pG@Zx$aPO9& z&_iAa;Zx-l4-X5V&54^b_Z<lUBzZ} z7g#&AuZ_e}MCK2xrckzevPYbC!R`*kCT-M`p3Xg-A#=no$ri=J7S#VGV(kanzSSv9 zxI&%gdt2Sz1+gG0(C)E130+=)?h@&7{($g($gv?kKqkwYVgbO6-*L8W%ryaN=FXZJ z*Af#x6WP?3#4_7wR#`QwgdTSa?-|wlz>*F{u5@9fVEhkT!<$rM_n~1x=~8!HA&2`MCfJq=4kfa!l8FTS8kdMKf>!1_tJwmJd4Ly&pS_ShBk~*uQc0sJLi#?NbD^+O0l*-Gq$WA z*j4?`jM0nLW=-C|w%FQ%J_*ealzeUN=$2ze+HHuw&c`hl00y!wHH0ZBE+Y-u2pm;+ z#SWE=^E>KCR;O3Tb~laa_?-RJ71%aHTNcovNg&@-e=FAkZQX*f)6m2#LT6hWiA0XW zZpE0tieU1Y89!*W#a2Chquj{ewk7@1VYgTJXB;l$A&k`2JAzF}3x9KfvmBb%mS+d< z;H}y-R`9s)v-Zq(|EGkqU4!*cO@mPZ7NvCsz*QANP-|dEvsv=e8mV18_Dg_58}H=W z>!f&@4gv1uGd1f6<)_F%d~5-$)@oW20x}uPBvxK(K9It>3IB*+2J5ID;BlIo6I0PrJMX(jCso^h=gi$}3v#QR5H3F)3*%V($` zPMc~m2%P++Ti|f9S|V8vVbzl?J$xS&hE~Drn*T!f10#$P0^K)Grg*^Lg{T% z8Wvtn6rwk%O`~iovhZdOMtwG%QWBgq`PSe#@YLBCzMsc}F(Cjw=4T2Y7 zgM5qeRg;@-;NBE;3S9I&jvsz&=j{{&7nrE1^OxryYAiQVsjNu~4*l(Tf~DB8>~n8< zz*5sgsCJIHVa#^6qIUw$wOKJJT>(y<%->(SPBuLI#M{SxH=*J%y8|l&yrlYYVMHaG zXzvq^(`x>pMa-an^#R!b_=Xz7Wsj!h#>klylQ!f)&l1ar-Vi$KVOTA*r|8vgtm%!j z*I<~&k80~}s?4>`mvs+CzC%ho5Fa{*Ig+T|wBtA$N?P}a>IvORnrK%|H*jdjWYlM^ zCvL2t1axi&ym{Ioma^F8?;X1sVDHZ}yD(`H$0N)IUA4maD3z6zzh%Q_xHroebH`2@ zrLVx5S#K8smeJAakB*mOYg3e&O~F*3+U_H~cCo8U36UB+H420BXw`m!Y>a4m|6nD1 zlka_|-TsuoTSo-!HSO!nlY@UDw6BvJ%(d&z#lc#nr=odf-WYi+TAxfeB@=DU zk2OI>1LtjEqjK2}Q%nK7B%khl0}_qt$(mZ{nvL<9N;uJZGM297B?z$0V$~)jNRl_Q z$=Yn5hc0Q2Z%D7sBiv&fvKGOOd!Pq4a5^Q)`^7}(F1NTVMpu*IJYyCAE7-%W3?2c}xJ zS!5kRjVaA4e$|TsGa((`7S;{u4h76-C$#h=RP3#?H3hiZ2Df61A$s(C zGs)08KkWf@Us?(JQ=ng@+niX@xw3|wQ=_|St@V<9kK%)~3}qpi>ecwvO}%Z^q17_Y z@h;r<7iF!1Dl_OpKwDzR(KVP(%Ul5^5pp52%ow?=g!THMa)K{Pln&J%7p~+LOL~Iz z=ik0~`e<~AWskBB`}(I36UDb>$oX8Pu`AQhIAX7Z_i^ckqAflscxy*rz9}f>bg6DJH|8sLf+HJJ96T*h_phZRM zf@^E2_s_B4Lf6S5X$u{nUq^iSdKW}a1Ho5oULs9qpsopr4}isMvf;f()?0u;P}pWy z&Pup&OhKBuQ6r?B73L32KZP9$AC6|*HYSdb;HL%SP|r;mv^OG%g$}SeRs3|RyP)E{ z>TS|(BbDU-1Z+U)_M;0bn0fJHE`7 zqr>-yhj1NZr{ zsVNB#btT7jON>{n=s;Hs7oC`}G2IytxT(<1QF++N>g@!Kg}_pt5QtR~BrnR-nf*Hp zmUwNriv6Ue+bTqcNuH{*piD$UZ-SG3Y?(HQOBY2VQ8W2o{cA;&Tdv-SpV zrN->2kTT`px7Zc%w@#pP#&z{N4u7D2fi079Ew_ghovM&K{~<;>z>sN)+#mhl29A0u z?|e#-1r4#~DvL?BlYFiOv`>-W%n!8&KCf@9-?!;R=rr@b;_?Hu{(RSSeniWmo)_J0 zdNIYz@FF!I)1$S4xuO?K`uX$QF^B6xH^qBZGk;~ux5s=>WK?mLklLO#^Z^Vkky_P> z@OKk=wnU||(H4tj^Vgp36Hr{tLD_fj3pM<}<)_E`XOUikPKfVH{>hGa+IV&dZT6CM zF)^;fL#KIrw)kJvlelV{)bAi%6dEQ`J~I@n}h`uFJdOQvgLp_!c6khVon|SBC+-9d{%A$qQP${ep&H zO7xV7cA!mjBILk|ZR%ZH7|T>$x@7BOMI_&HYw`4n{08Io!T;ZG~Q6w`iIVXh@dLZ zMF=W#Zi5?7xjtLik8a9;WrfQ!1U~ZyRNnRx9p8udS&>*0|o5M{MfS{WvtACC=oY^K}lRUX5*n94T)0lrDva)>kwdGO?2yPdu2LqF3pM^fao`8>HLi+ z-tOa!`x^};$a}9CuIu_sS9za#K@`UG%y^47a8^Sbicxbe-=Q&6F^&#x&<`ByGZ*30 zx&xjR?3|h&(9y@7V(4?K!lt5{o(4pcuJj>3@2UgaCwFD{Pfl7kcNr$iGa=~hSaUT> zb1bqgrmT;bxVwrz%7R}&9)lRn!XSj)4-Wp-d3Z!YW>a9`6A)oV_N+xp#k{a)B%rj7 zmSOxXx1G+?>?GMOkkh^BR2L&9miOmrm9lR7#$D$?<4kz74yABz7B1omo!o_6u!_FV zIz!UHh3DaG*$p-0Y3UGZ@^l^CQe1OvIzac5w;E31l*vmy`%009`cMvO!BF94y4lJh z)FNhSq&0qr$%*i3m?%DzLf}-|-aMyNn~i_&@j!1;_#km)*9T~2;mI0QQ)frHS~(PH zz&&11=P<1})n#}gI~GM$lahhIAmR>eIl0=%!NC?$-Q>SH{BR>3gAX=bsQq z+-gg*Dae@cdzhWgN36Mu_}4HkPs*z{@Qogyz1Kigla1IC`pIZkA3lj6R&;uVs=@FD z20&}KJFQ8U;nZ}KL;b|zHmm`E9lmsyGmd$HrhIer8Nn?#!Lh38+%4yC&8&}8Q_+38 zEwN2RWi3c($FghfX_1sJM5xi3C@^|4wXJ^f$f)XrlHj_wcl*Pdq7ZVsjWPlwpe47_ zGsPvQAnWg%5MZcaQFK14G<4iOqz9uWap$?HUoN!`b zn;AK&NGkVgRv$aw2ljgpoc*bC1pwvhlBOpbvCN z=dTn{12gBYoo0dt~_sZ0(l5o{+eCe*ip2bF=@uRi!)u}{aPn56yEra!~ySp^lLq?NU*jMf< zeSfFktiWw zDn>}=bO0!Sc=(rv^SR|gI|KfG=jZR4<$RI3^PcK%^+Tj?3-{JAq`i~qO4@cOMl7W5 zb8pJg6`uq*1NN>-Q83>ewR$iWKC(I0SC!*ATY25rE^4)2Rqq+MVo?lwG?{4keeNo?20ZWj0fcW&8BzetI+QPw#$(=#8c zaw+v&%|Lj+*wW`@{kfgGOI zEX8LOayhNyJnuwqXg2qbkJ;`3UI|MK7CGgGZ&$Hk3nNg-!}HF~>EDRe!;+4_m!Ed* zvqdhe4^>SZeyW`%lzIaPalIE%37^kSlI>8)7!+l4B?9Q8BtivX--Xn zx^HUq!Rzb>x7R$BE_^Lm-qgY0l>e4z{}rLdEx&c;@e3{QgWT>M(>8f7lJZ-&O74=g z(Bohf4{Cedg0!)5%kpmsD6`(t0Ifd}hHU(;K0p!Z>^a_gdU0@GnKQpe!u@9-(1Ylt zfN~7#H4mAMH8ZCWp6J#Hd$d3f{RPEDy)jqdU4B<<{^O*c7K|b4t#Jfs;i&rsMpwB3 zsO6XDpZCY|5oYfd_?znBO;h7UbRMR)ak?r_@!@dIJ2yY?)G%bsR20ev4|MZWpKj5T zE7H^*T@W7q$48lkDc~*VZQ^%~8P6Uh|K7367R?ao$bB6mU9h~=q(I|TR=ogH~NHmwse0G00e1GXAOp)q7h zXZ?%3rx>^7@fUbRVqM9)mylbXq*Zb6h>Bk9iT&5dw-eg0eNp`2d|tLL&uF)?EdKO% zGmr%vC?IM_gF828!}8867-s7F#8QrN534p>;2yq}2O2{gtzns_ZI4~XXP3LdsckP? zxGNE^C3IxVHFT#>IEu*Wd@A0LKh-h+Zil^u_wTon;7NL_`JQdtw1lm48b+n@gz8K? zPGONX(WED{G3&qVR-fe#Jvrv2{8=VMKCDAEslb*7AadgC3g#pws6j0c_fmgqBKORx z_#CgXf$hGm7slJpdf#qSjP<4+QAitq2~LNW&6T#?_pVI?Kj=AJY9f-le+&WDKmf2s znIAUmc3!ZT_e1#qlpi`mX8TifU*M7(z})xT{i|DEltS{^SE7Qh4^9D0Zm;&~Pu(bJ zr-#>xw+H$m%G*^ceF}q**FOpE1{NNHkypi*BGRZS_3^K|CKw%2sAg-3xxL+@Iq)5q zIn~cui{~!V70tyCFOoOPyP8#o<`qVfyJh8yZK( zbSUS+e-hZ43>g&z(0+jLX59g7|5xi|ORv8fPY76Qs!@1P+a zFQo)t6sxe_X`<{o#c(vg*N0IqasrvF(qg|D!n!&~<`)8OPB z?`1|=b);+_6_{0m#@}bJhsvL|MHX8K!HjP>oVdt3lI~)YK4*c(2st_JvDCvqti;Gq zjdw^Q^>(~#@=p|WDuSD$JALP2(Uqhkl z6XlK;%-Fdrrg>>?H}RkPa`-DZiV&h37_PPA%7SJe;4JTskVrQ^dCe>Nv-Q_B@J*ja z>X`82d7C*OZXGd+ZU5r2psf7^Kg^%D+kYew6`vFiF-R*Pi@w94aen; z4gKB*|3$|=q7pEa4})d30c?oL6z;ks#Q4pTb2|s}d-nzYDK+meUffaSAn^%?@d)VR0XXK);Xl_NK=3+T0BjYdPN*!A860*fbSbLeI4< zSuKqD-r`GJ+NP%tvf%||Wtu$r*|*Tj!1t_3&4aL!p(_IoOJX_gO!Sn`Crj&#g_ueN z>1>j>vGJR5!^oLBFYnzg@8)syl+eRNEj#s61ojC!i>opz{9fIiIr}!5{Q8h!X7IiD zR8a@>&h_X2JAXvDHj+-DbH?RyRR}q7{Dbk}+C-d>v2Sd1pi|SAynd{%2R+UykK#z* zDvoFA*;-`r533^s09;@$0;7JjPNv$t;X9qufnS@ z{JOc4OEjyScR;SAK~N7_3drj68M>BoA80EEU->Vkp^Bsf{mAr=a`gvQHv0o1Kg~9D ztpS}3Q`7Cc+`At~>5;-F2rcikHn}5wCShd(!P#%StKtaUriw|wWGHfCF$lPV(R^}J z$@&2g1BEW^ZTA|{z*E9g?r2G^Is6gDE!l_zN@PXq=&XMDY!aY+l9Rtr>lrwNgCAl% zrR#G-ZBwH%-a(7xl+`(ud_L%%1lae7AG?x#q7#BU^5*>ejwgExL;%1o(4W}ufJf`q z(sp7V0XygCEn=9nUM+n^8PsJhuR6r#2FLT6*qqJRwlv_YOZ%zlABbH3<)O*gDPKkH zV^iTQ$Y+6*RPKTL$z})oxY~zTN*s%%LbembHCHDY>0Ff0o(0@p%~=v{%)*7|PXSIY z!B@PwE!OwFaaTlSq6S`p0Ox3xG)BGkxYJv}<7TeF^9=17kl9I+MHqs=fqV;aZiweC zPFE3=Y_sAZV00%v(FOzCD**F!RN$`~5b%&%C+zqe#M(65z&$XgWuMTkL(%Ext}$rN zd9|2AzqbE5U{W~_w(t!C7S1iT_fAHVU#0gpqJ1}VR~0|)W0|SC?#002yQw%Ii^jw! zZ5M`_`(p9!!llmBlXG2PpahNZOWJMnfht^VhnU~}lqh8=0EIWVMtI31kvl3o&K6dkAB+~vJ$Q5n}XYs{x<~15;DSx6VD{7dsrBOcb6_SAqjc>xz|TSWZDAy&M27 zo9G!F+j(obM9@*F=!1D}5A51Us#|Q3&Sdv2k_?Q2zO3~0@+D$b2v^q9Trl=Qm17fv z_uRNu3^smCK^8qg4Z>Qau8}z^X2C0|O99K_Q!VcJSnMls^@Iym7`Hy<4Ap2ZFH(ku>gwd*8~jS?R$jS<9w*62k1 z-i;^a%ujyq1_v`vuQxLP2zVEwn|r|0s2WjNk_h_9y_+e1FiTS$rur@>vNk8D?2RT<1 z2|9~#UU|EK#K~Tp>u9-hsHd&)VtWc1D{$-0O#!PHPsnJSlxm~Q#AfkiC&xq#$1AC0 zX(i7M@^-`6jg3_hEp` zVt>bGp8^vj!@roG;my^4Cu$B|JW38m(_XD2=oDE#0`T$qFc0mdtUgK}$Pv_fae3_# zf&RtV)pq$D$N{s|<4cKJSJ8*!b6u4^t7Iup8Z%LGuJ1h$7c@J>v%+^gz2L2GSH78x z&(QxH|L=ljYjaVm8gq{enXR}xS*KO1Zn^2qHG5C5)&9u`NKv5mGWR>>-QFMq`!JHce6Xs9PyJUPbvwp{>@(h#`}M$Z{z9Z;O5FGD9rzcUy$*= zkAw3UMj>G##y4UDfX2H zKQ&LD1eN_ic=8G7e{i(&f6yQ6BpAD$weJFRiFbPH?%smqcOV}^drG~^WY75Ogifq3R#(w_3;u3{eGWf_(5?DRFivo*8J2LO2eWK_=})z1eI*G|l$ z7)_b4UydqxU})vo#HF46?QUTs@npmLfpDdHaZj;N{5OU=u!n|4jKTWbvZP%3T<6C= ziXp``mjE9ep6&~TG=DeC>dyVuYlwkegUiPA;ujOme{1E$KUZ|Xqs}jvfreAHQ=%aH z>WcB5i$y36-$o~0R=Y1m5zJ65)SNK2Q`}NHu7O;i9CS*=r6FrKo;o=L9wirNM()$? zceTgF6u~R`lQ)iB+z=gWH;ut=w*hA8mhXx`d%R$^rt1N!6Ye@e-7gmy0@E5bZUP#j z70um)SD5MPi**MA>UO)-A$3wc7lA#^9n~XmH81=j-9c~5ox=B5t`Un@ColP&>|7oL zrfRpA*Uy~+5^<>3Eu(C?<{jps^0Vts*zV!WZ0S{WSfg5bV$*oykH?qe9WEX5Bg@Sz z{pT^M*_pgJ%~wfP0|I6LV$l$_Ty(CPl{&OSgI4ece%OK0`RN@Qer&^oESHg)mER}P zPt1r(11#YUBRztK^c~V^Tg}VOjw@xIWT^Fdzn|tDx8C2B+~5xwQjpt;T)&ZF*?lYj zyO=0cdlPLq>f@rBqTOB{Il=M%ccn{VeBWvgL_I6QoDD(Big05?2(u!fY=~V48(Z4; z%vpe4@*?R?cmb%Y0P;AXx7fQ_e5vhME$2}&DQhxyh1fA>L+(O{#VgB;AFJ8mc0LH_ zjl|jd7_#}5dlt)UqItsl?t4p>2detz`O8|FRACoUulHH7NH3OmC=I`Edm8XKQd*pH z#Vh-Dn;~!oa+~O6d>Rcc#sn}N=yt{}+n?P{q1koZ5KOSr3PEIt3jiFK*Z>|IvPKFf z%`!NjJ@EVuc>OIuQfq^c*)^O+p-Rk&!87rXl*0Ny&nsoCG0~^~jvVHxLlbQownRr~ z`%cpPLA^%~Jjei^Qkm})7X#-G8zP;L{<>e>Hqbj^kkm-{5>y)fHaHr3AwQuSAEXaG z0zBMa2vl-(lvWBNd&QUVH7^|G=4mv`1Xf_%*BUGJ^m8xK=T{TVC~3La0bk94cF_F# zLLj&vauIII3qMeMppj_;Oh}qjI9dtp5%v@Z1&>w3M;TvTBEa59MM+`ysas5~!%#Ou*p8 z!`r_Gv+E>q|IYqg)BH-PF;2$wxsw-DZBE3PCQZrmdaJ|m1SIF!FP^VYVF)E9)L23 zsIi?SxrvvG!NZsFOAPk41xPixc5ih>2LnUYay_8-m$~^vs5w;QPFrq7W_O^Ky}Qy9 zeQDT$`9F5Uw{?etVYP)FAFiz`4D+95 z4j&$8jKQ_R=6k8T`)9DUpeF+f`wUQwqT+gIRqEX>=k^)=7{SvmFtbp77Onm;H*x)Lnn>tJBq_Gm*mAnv!$S! z-A1%3$O2l4X}Bc1Kn4A#3p$(7zfFP(9DjD+(8P$New}{Mx>NAsoPO=_^D36uP$!`;r1yj1W9HesTEi732e;BPRZe4EDD;gEO z7I@-6N@IhzrP6fTIdYs=lzu1J_qXCY)yk9iv;Re5s@e9w8h`C|!o2^hoMNjT$=VlY zaLZRo!-UCnWfBJ_ay2G;OYL4_)t)BFJ7wtk)r~TfS?5G<1HIO~VY2KqickDU?PKL8 z27iBZFNof>aZ`w{6bzGnsw(kR*ndz+j*@b_;$HaFS~cu5hN>D?7!xb?R7mD2Gnu*r zY5&a|Uuhrq`BYWzY3cGLwbXv;Q^wzd`=r zl=}bR0x8eVJ-xBEdT{RjPQ2>B9uC)~^*VkJH~DYAXtwJ26J*Ijto-ANh%|j98%Nno z_6l5)Aej>EkRJ2~0b&aqv4G-4kUm{%79S#~#3kcQv=CMSdwNpxGE36FZAKXa<>4>- zQE83%PCE*JW{h?uU!Eyxei3@NTfm(R+#Db_kGI6kV)vgFh0lrs_Y=?J%5gixBE>>|@ml3IlgQi7XPWzJ zyYKxJYYR4W>BATWe-7Vkt%Y9IF{vJPjm?Gnsrgtk0I%L|>CZ-Xej-YUj(dfCr}&3* zIdTd2lG%cw>}z++HGHn#QooV`L401coILG(!u*HzPU~1#Mct7hN*cLe;84g(Q)6~t z(&#+VGs3ww+e1?t$uM=FCo5H=zz|%jz+lSEp~gJ|I?V@^;VvpIc+l6$0)3)nrH(mM zl8mBo<+M~*t~SdYt7b!w%W%!7?xl(HxGRpN?WYFWPeLoewY{OyjW%j0-RdqE${DGBxmv=_w27CCrbbq-$({;4-TC z8_H-UVOQ&WMAdDk8$p-H+TrkCYj9QGfTl}At{lZUlx~pMwC`t%VMh;7X43W$$#UiRxcf8FLf$V+yz>8nXQjhohnNP_TLTA4PE)fJ-Xp@F*E=68KZjz<57 zq-v%ytk{($?y*;JI)&d8lRRtj*qho@D9!;m>tEzM1|Mjy!EeAjZLN|AF3k*8H&tdfrm_nc=A{a zHdABT+D#UJ$K*=mE3I|uSO0adq-p-r(x%_>W)$jo!%5LIO@K`HS+(Jkc-<9K9x347P~A9IDxBgb9s$yYL50@mwZFU8PH3pdfL$@In_IW0`shR@359Um(r zgf#UY7Gw3TP{-U{4`_w*r&N zoSnCnn-g}Bd7f?M3WP>g2CJ`h&c8xGFPIHS^InKP0U*{##h% zamBldrU5dt@T3?gue9;CjIHyy{-jkZL(w*uC$D7lweW55xURc}(ltjVt-SoVWr@di zP%)hi;JvD8;Of`B+2mQ>;^0>wP|{i%u@1HQ^wb9KR5FBv?~xV|AU4?F2=!TQu{W3< z)OsiEY^bxKbXmuI)fe?8%E|!DND&}E?5sx|?fhTeOhku`LM%b&x6cvQ zXdXmZDKI>7Qxfu15mK8I%-g_pb;Y{);c0kAWc%2Op|+~uYy{iH2NEZ9CteR$dHf3s zxfy#o!$JkQ1BkLw!}PJ7cJ)H?W66S3*&sj>>&?sI7O~c&#({kjB83HE@JX`S87uH& z_VG(#Vvh61vbh(}8Ff6+{<-6b5>bTrLI0GyHxi($wP{@KT^K?w3?SjY8<_Zhj z=26w0)h{q+*UPO6S@d(vns#TOvWkGZlGVa-9o>hmbB*(X(uLQBG#s5@$M=ttm&?k; z2kK?EHmdvRMYC{2y7!06I5*ZOdBEV@*&qz-;BghFhxjFM#D7&fHYgXpo})l(zF^m( z&M{LBzBV#K4LJ!Vy#ilc)gJkLBIrOKC7a2pu0Qta&Ws>`lK8QlRl9l1)|bp2%N%$o z^dCAhYLG|I?Bw3Gsbqm@?iL&sJb=d4*YkaI&P5vAMb+?R%hj0nb?eu+SA2;5BfiG~ zC+M|Ms|Lqg@wI8M(B|>Ca%FNe5^(Jfeb?Lty5Q+3Phhr=%=8r!asT-%%uK&y-Z6K9 ztYi9^lx*}KIt2F-UTSRy676_6fi<*p7QD(9miexKcNzfy#ym4CW|jd~FQ{V!WlDkY zwfEJ+z`}(y}SF8`x@oSD3$00xPNj>uMCg_Mg{FeuNy_QG9i5<=x zQiR0Mmm!4^% zyukQCcS+_)!6fgK*PS|Q!u{oSTn&_%y=R$;(Fij}AD=Qm=o>Ha;@qM=g=Bd~j*=9W-G# z>DRLn?Bv5B1!qgZz@fx1ZA<@2BVP>G%^S{*KbHZ`D(%WqOy?Vf57kcHpA(-I_q|s^=ec9|(Oo=B z#^KkG9gQZE2iWS)%aJ-PuE-P#J4yS1N2SPjwvVMbcGwqFgjv022gLHf7(y~4sl-DA zG{XY2dtDV|hB$XU>ZD)-JFLsQ9+$6wN+ci7LJmn0b~+Y{4>j=S+YS zk>{n ztJh#0bNW7(HN7aJs+cP$q0(EB_0Nq~m1)21|BD_%*)HaL98)TwW8!!n&50P&tu*4ayIi1PO~_2FQo!ZPg=s>>3`~1F}u0U(w|K) z^r#6|tlG_qPTa+l{+tyf_ZB3c7x;YC&2C=ubd!O`KoiH$#%=8N-Y;g$Vb*xV&)S(y zEA>hdi3iSgzq*Si1Ku4(6;@fKAc(QAdILJa=U54=BRxqVU~P;v4! z{-&Ec3cA!o)~t3!wC8Vuywj~p$wGr~yH>BG^RvCqj%C`T6F(LrNX|I&7tOPJfeKzc zI|)a>Aem-1QlfF#fCl2f|Gc{Aj6Lj4{5-kgE=uFC{?k+?_D;p?#qpzJ5DyE>kV&n_ z63s>bSc|)yP*(5nS91M%3em;$uqm(`I;Uf+}*UlEv8 z>?uLK4$aC44BMZCX7zS~WZ@DvI}(=gB%$Lj=kM=ZFRSKmWv9EpD=X4)<&U^CoGKpe z1WVt^?FiXg1%%+Ry_w`L5K@@b81VcmO2lu z%qZ|n6aS<^{z|M7F=hKN#2>fJSzymz?@c; z^1~IdNu01rT(uHulO^^&1|^;FWG6&0Z=+7I|AT!fw1l*k_T|y4IgUA+hJ~?e;OV_Q z?6pQf&;;anDC_m#hok4a9LbX!%(PpAeI+kf%&T$)MYmQVm51&^nJuTYC zO$=GP#xszA+{pV&nF_F!+Gu%7j+l5i36zl|k6KE3>1l=*(9x6qXseh}*luWnCZ{8o zQ*=A`Q%#z(QcA7p*$)Q^g?t<}xFp81#k34`FvvkMjQLD75kzFl5TLkt03t zuJjLE=HR0@Xx}TFEbQ&CSA%TH-h777H)}*jySfiL=NlKyNMvUY#z#os=ol&=tMn+z zz4%xjzCmW__#AFpTvoV<{s1?X?liG}zO8gOme9bc3X83nNw9pBrMn5DC)Dv1hWL-S zbY=1I9z(Q0`u9mOkUpDB2uOLFUQ=kqjp%hb|L?TLccVHbhl5ZT@Jm2g%K9?yjlf?f zln8rz;%~a(5uR%;Ej9C9-r(l?Yx0tPFEu0^<=&94X@|meq@ge~||AZ=8voGsB@G3R5EC1GBDSk}FLaoVTTpH#6ZoG#p z1vtDl+gFc_9ryZ~?pG)lwRgyj$=*I0!DHo1p~}G^bL5~i;F{$)v9uS62WAd+u7lcQ zx)S8O#I$NHvZ85X(Kv8A*lz(MoqGfj1N+`XpP=i%V&Y$A_(WHWY6I4#_aPqJ^=(Vg z^Sdc}drO8!q7~`F`&tnGtT2dB`@RB3g{auM(Eb%C?d)wi-bsRNqY$b=o6HLT6GJPt z@pckDCG6(!#~?E515G;4z+fHJ%#_MsywuXicB6B2AVJgTmya;*`-S(N#h{XE$zQnj z<4VhzMNn`u%4iV8w7Ww#7WSIfM$fjak|h#%+pQAGScL__z1b)eiT1ROra<7|&~JV& zx{OECYF7BGr4`P;(lGed@N_v`Wj4V~cV6(AGd5meO*cAk3SKpM?7WrM$NPXK`URce zFj5LQ@QV73M==>)OLmeuSUN#5ZI{%n1D)CjNI(;DRwj0Xszk8*=Zc!Zy^SyexP#4n zW?@Cg=jWel*SF4VY`E%YU(_~wSorqU+stm-Po{@ z)bVU0HDc(~3@ii$h%NM;n#wJ?SxRNm1xP=;532HO!J)GT0t|25V;m+4UP(%?Z&${| zh_cU2ef?dJ!p@-6LH8tM^2!*h%VE9t5n{EIiT*wX52CcMEPUCsjKTenb2+%j{pMSs z7ZM}!{xP(~4=)o8WstsOTk?I8HiF@JSVWH>H48lQ62k<27@fZaY&*}^+mXbDcaXN7 zr!9NiX-U3yfW2K7DC&rE;nz57_PJJ33}n@IT451eoM|$^lCa+7?2>Acw8f>3$QNNR z;nMqo=*8*?G5s@0H{w2+YJeeq&Gw#J_xkCdEngBv73*(^`GNoPADc%>IR=Wj?M=eh zX_qq_OZi@Pd%C(N77uAt8z1QNT$|A2M%$H2^%W-Sk50+pnoa?FT^3M3T8!DHL#5d0ej<33)?E#wfi{VQiKf15{ zY69*l@?<B z(!tZVC>rd0=nM3yQIXu8an&kChsBelCA23zo7NcFdna4cV+ptHpbnsnHuP0^7+dv6 z{oV{mO)3~dm(N79TeHK5gb3g_|Lr}w_)qSiefW9ea-4NktlgCO^3^v~HBQsW4Cak~ zPJ#76kK;yp<4iCvI;sabzdwrce~=r7_D`sA!%%>NtV5={Bp8}2}5dz zwH9vSyJnsmqClF#FyUF*`Tb;2mL+FwE$>KKx$qR7F zM>J!xqC{+6!oMc+@Sv+65z8F7N<7;H;8=7~%^LkLsNj`2e5RD+o$x#jT>n7`z)wyy z5^OuPF3fU&2m5XK&ZU+>E$NGZk)vAUYnd%Rn~t52udD<$@RWuW6-r%D#zjBc=kD1D zspg$U_or(q-xb{DI7|#|}u3chRcPh!!n=f?t zW>~BqMCr(EX`*C3KYUtzcN{Fo_+4L{wvTfoS%ul4?-}h^Q%3y!=Scj(vN24~4%Jlb z2N~3$wW{E2G1A~8nG~P;w`~{g**ffpJ5$_X(iM^22sCnhTU+l<9)(pW+`~hf;2KD_ zFK)lVLAd_(#cI8J1hBuuchHE!V&+M|R0_&M?xg$i=VT~p4KpwKCa!DRNN++a+Xfcs z-g(B`er6*@-Ztc=dmKAYTdRcWe^a$ME%aq6+k=u3;}Nk+Zgyw+?3usm-C84vi;N;^ zvWwP9vc@{GsbMqpDomQ*kBvlO9my~RDVdmiwZwDojbw$5#Q4admj+f(cLHvvq%O0c zsMLO2bE)UDAM=p?wITRNyHZU_5eYIBA-^}-7Zo*nm3aR|%VMCxv$;NOjEPWRZIU#x zi&QB@+>7NdF&5gh8?gQ7DZsh<5iXag9dSjAk;|bcKa$nG^NJNBxYhOBzI?!1#CC(0 znnEQg8w@ejAVXlyS3Tzuui;qJmUj8h^e(W^tXnmb39LxDJS$=5R(y58b^04OKsJjj z$Vs;x1k!MEahY&Rxo8BDQpSid0bEFD&2!)yorR46SSU~cvuhvMv}SkAIePrF{1XNs zZ_Sc?hV5tS!Eq#~c~D&y(87`H_UOi4GeNBiwzY%ufBi~g^}5Mc6Dq$y8D<&Iu?%K1 zkwdAj=(>@gVxHXH5>`TRPkO@GBx4X16obu8)6H4^w=#oub>B#mk)8x z7-LniT`?vyZ6f`V#|ah5UkojLeD~ZhoK3a^eNtUvof}g_(6f^+oql|mZ)$;pC4qr2 zYpO2ImEigIsv0L_fs(7YjUKJ9w+&(EclGg8tuHJ4uW#G zS07E&lwmE+_3OIWxd+GqR_Y1bJ7OIJLxu;|-RtX-%LiOZ7`bJdmhPBqvcW*@b2Hr) zfo7Ym#^4j*k#3W-BXOsBSZq0+pDI3 zDVm|!GTNpW2T1F`UJuOI#x0-1^DG@=n)=l8UPiq5kS%`I-*hv(d?>?xX-*8M=-Pfo zaHhXqTJJ1Ic>IqQi%1)f7pN-OQVR`H5bG}xFJuyo`Qt4kt)`_ny=#36GF9e7hQ*Dy%pEI zP8Wbt34{k8DC!W%vi^k$24onu1Pvw?paTH3?~fg{;?ZpH6L*~eNB+NEyZjxIZ$A&{Hi7>%g7sF; z3?|p}{<1EBT*M*OMewsJq{7t?_yJ|oENHM3YxB+M(iv2d`}RoWb5r5@@>ODMr#N0y!v92w+j0tw63_zD( zARY1R0JqY_!?nbr_1QYDm8GEr=Qza%KT9Gl}X%RnNzY#SwidI%9l zv(WE1j)o?CW+_o+CVsS=-fYmwH4XixWX&UtW$<`d1j&es~E^i;u&7M+mefhXaTjSx)NuJc$%`L_waVX#Lq2f_7r z$L~*Ckv+-`&vb4f9Gs(NO+{Fy^$*zMZ&8en&La=K(flI#)(8Lbjr~3a%_B(L%27YZ^$%3sh6qH#P>Yn059Ta(#&Y?h{y`1nVG<}UH5=iIf~Kej`dz9kHcv+3_2aJ4hgMFmU;P6-uQBwO+wW`&yr*IligicxoIs+DNIf>+RUK&RuWPANejgBr_y? z0G~G;QN^8t0B1_)7_|Kv;l6#G=FX^h6UWPTjf!!hkFC)<#_WfA#D7~k-GbW%`J3D# z2|R`+1ZjHhPAt-cH2o<{%`1pjLL$!-7eV;*7(?qo$6hi0-R^t3?=DoPcKO|$(V+$+ zgt7|~Pk2oVJpKe(qG-5;GA%9F>D4j>*r$WOq(hYpobJ5o$2gGV05e)Dxh*ZU@t!HM zM@c_%^@*AL-Iph2n`;4v^1~Es8>gi>Ntcwgop*dt9 z47iEG;{Msl+D2MiX*VdWVle)!9*}zrt-^iebozLdMs|QZ` z$I{&9%lmuN$d*JZ0(gB#Ed76v^hl-{;WgDLTfL(M8*tkKgo0}{mcyyw7i=Q-SrP`< z2mq;;y7QYq@yFuPk^n-m(v=hUld#$*^XpS*Wn0WCYM#!>K#x_FSla5~3{(GFy%W|Z zzq9>Ga}Ev}2T{btTX`fJg?|lxa>^(5yS|vd4EdJ$ydxP6h?Rv0ynhcae0t9^sRjy* zarM3)2oGjdK6})eVM^X;s4m$k8AZjVdy|I9w~sf zL)vMBNzZTGFX2&5a%v}M)gA3%uD62`YaV|RC^nu%<~PW~&80|3)+ekmMrPhWug}S% z{WWSrj?Dw^y5>ihI02oXm>c&VEhfrV3z60!i7|x02vJI@?j6NP-h3yYm6OcN8Momo zKPeKjK7+XX=0VVcL+~)>)iuMqWVX>U71+4-*5GsZ^JUn0gaw7l==?41ROd2A ze+C&;=gcx`ta=H8iBYPmL2u*xdSkX;ZNnM~gF|`(}h6OP)BVH>C00+mY9yA&cm~ zCx@?U-P*E&VeeqZD9KGzoEmu78P@nl_n@g6OXWYmkviuOOHZEv<3Vj0#WrXz$nBnR znh<;>U+!w~(YjA;A1&Bf|&OVc(n}2LLc`=a+XVfy2jj)|h zG>mtSD(=etK$6!D?ZkC|NgJxo6e)gkHe2AjJzk@HtneW_b)`2gxaFTHqBvoi-wmW)RX zlgQt~m!i~@H-Vqog5Mp_*u74Tw2jYn%v?Aty+ALYMSfWJPEmHMD47--Nf*TflTIg~ zm8cCQs>X6Wy6*E|$xY;*(RM%QGwHFR1Eek>TTXH9(%A?-Jh1Bot6V2}KfgAZDNp~o z?Pyim+`=pn(;5L%_5aKjRX$|hBWhw&`Ky=gRd*GCl}L)!+eT%v4NAO{YK8(TN8h=@^k*3FGt@#sLv1xm?{BU8mc_JcH(Qt(-bgJz zJ5l*GeIsLGx9E!}7MKtVhc4jl3a(gF%x~il%R6&>Ni!57(CLt!|HzNeCh=Cx>^|BM z_up}OheyQqHf<74$uy7YUDf{8#tnKX1ri^Wz6z31!D%4*#FP}H-( zd*yu5O<0>XPtrbjC7v#opeQPpW(Sbh^Ziv2AtCeV%5~&j_Z3FEur7z z&73{++Dq+;#m1nc?cW=LzgDSMrW}5AvF4IDM4$H1IUb5s9b)HT2fp`a)RZryX)|1( zygv>Z>`q5Ixzf^@?5FzD5rRR`p;TR7v1l7P zdKTXYzbF~&K87UEo;gR_3fh6oZ|tY)sN_Cp7HX} zk-nm*OCtup^T>`Mq2!5mIjhV#6BF)i_qJ(3hh@j3$=n&)IaJk$xw4UIgFpgixC4}$ zT`}-Agi-rjQh*At(0QN2vKjHzsBh9z|23hujtpd>CABr_W4`#WgoKPlVVDLAUIHSV z2WqB{mjhbew=dW_`qsU(uf(LjRN($~{{|eHZ#aN&lr=AE@-fJ2xp{eqSXu{OGQK?d zhElq1aNAspGIVnzdeV$6y%Gz&g~}5-Ti2R(a@a2q_I2ntuad=>wLj0`ZCY0=6+C_H z;OiF)B1U?6MD~<$HTD{eJ;MvLl&JMgiaIvchUG>%Z8QEDXtDjr;ga}LKpqzqWCBQ1 zB^JX!Jy!&jGnhZKW&G8_VK##VKVv4a8v(TP)_3;9t)-0lf3BD|1XDMg&Yy|6E(y4j zK;k!yRKeljmaZk1(Y}-!;c%kxj@=i2XYT3@CPk<+T(XqZ8VfRm2>Z~_NpdWFZta;L z;leCO9l`V@pF=ALUOdCFZJF;;=k)Ue%y|ouc7bQ$b{X~d^MYmEfyN0Y;T7E~n1yu* zLT}#f#eKyNl2~j$R9ZqU{XfL+P(4HA`ZDZE1w)eTvBR^IZnWb@9>jhj*a1-RViiZ~ zAq|BGBcwTWiz4MYE(tcoxK&2prau~L4_+eGjNHbOW8%w*T?1n3S;CkOOezs_+ms=$ zALNK-2nM#a{~|qg06z>d-;6@xL4oB0z-liF#9kVI#u#aVPs&HzG_ykrM9P5PSVJ={ zlZ*iG2k~5YzFV>d8Uy`%*t~tQk1t6&Gn%Lj6Gu%b6S8-B1NoOps0g!{npKza7<#Mv zWsMwE*}TB!spy;ibmxoVlle>E+$=XXmv>|axlltQnXtq8Zb_O( zB+D%N8NqISG4IA4GHGI9B8q#%B8FkuZbvBKh2EVe|1ZEm`+3TAv&U52kNH52y*imS ztp+L1GcNiHnV1ZdJ>J?}L(!AMjPt5qzADhKd4ckP#Q2ISQtbP3ql6y`Y=~a{qaV%L z9Jkn1+v|}{8KFI6)TIjX_Gd&|Gwl#7KbMFP==S>npH)EMWf42n_BoPu^iSK_G~`l= zZUO1;r*Y#g6A*;B#>T$ClEP)SgRloPs02YG5EIZyhIJkLvV`FY~ z26ONY@YgmB%)G)6!OMg9u{Tw``mUe*Gf+LYV)6kJGmlMT+=-dQLzg zXK3)Emo7vye3-g_2I=rvQIUQ^9K*1D_I|LSJh0Iq8G{@Lp`(;f5GS8_cO7J628_1V zXElxBQ9$Yy6`_Y#7LVNMXT;rh(3^0ZH*#?auMWJHQ>APz%;?pQ4j)9O&1RL4vB;Hu z2z=*xdYUs52e?Yi^;nopi(wyRY`jpFjDHh60it!Ai9!;1MCFBthZBt;^}(;TobwOr zU2fVwrO}fdRh%cAyODf(kFO++y=W>fiEvTUs0e#NkyZer?1Y`mx++)S{@4ssNVK-RxDDz_DR%lsOzZNL2E?ABV%Z3uTA_rX=Do zJkuYQ3di4*KYt(g}AT)^uv2p;xdNkOIcS=Bw31~1VWKVw7D)^4TIU;p4m0d`-6Fha>G!4MZ?sU%0B;k>yF`NH%QZ?;z;)Hu?EJYC!_>E^pZ3g#yDl9d?Eq{<;(9MrU*nC=tUvMD#i zF_cuY`zPNmSbtM)2SbEluv0A~9a@N8czn#uyz0tj(%-hD{u_6;mCvTXSM#@T!jc;1 z@OYAkuHAP!fbAs7!rf304&o%x2w*)%aT(1-jT7!QU7Z!|YH*k0$h0Bwe0SyD18nW0 zC}=?9J_Wk&eW&2{KFLlh2HdvikE_Lixe1+ry;+;kFSk&(H!H@P(}@>(U_`N&W@pas zkdpVPBSQLd6h!ayVp>!`_P91b8lFL$Hw*b%U9&UOUwgeN4v?i`(8X+& z-BAQElOyH&X#o048~R3F5P@EU9_j-y-qI_Yt>hn8SGRHz<4Wk;5cZU8YFT3ba(r4!i<~YFu3^6D`#5Z_jH@y3I&4bc%w9UUJVW{_QS6UM(-|HVRs* zmk2(jE7VKO|4*E@u$-r%*KJisQn(n#d2kMj1a>t}64?*isD4vswFUIJkg@>6rihun{C-Jm`s8s){=||9}5%uu` z&(!wW9|oKiyw1TI!t*JoDF{v5;mgT9Z9?0Zt*2(VuW!v2sv~y$<6kqsN9x`Tb~rW* zWGT)6hqk0r5|bjKZQY9}-n>cIPQguu;Is^mioQA`dhLAY8#Zb7k8P*Hup zJI}#nC}cb+$4j0aUpp?6)i9iBo)>gR%@Kv{f+!P&BTa~PE=It@N?g5=@)UbNrgJ5E zf-Z_cG|6qbt_9T9MK`2bOD!C*_N}hg4RFW zT(mNuPf>zb?E$vhoo8L5*>}u(_lJ9IN!3qf6?t{u9sYzVJvHCAXL`Dg5m+nllAQ(v z!h`7@LI|);VwxLhB5GOJcS#~qpm)mQH!?l2T;fhjl0edpd18l?W=Qx8<_Dmg6qUE_ z@Ghwo&DU|yDJffVXs`179!@Uh%>dk`k5ttb{(%> zp>|muyD?`nYF;V$#o zl2-Y6O2GpP@)ynH7l1#hSp&~&R~Q#vuA*7Cz*qwh*xkBG<^!Kk>Ozjm>OxwPv30DQ z7>7R;5@*3Yd8c@BK3hZVkHn&*b8S$UZ#z_<|A^bC5j{u2-d*~5Zi+wYR3v<~{WB#b zkLT>P`Ahg|Z`gZfG75;M?H1{=_P|q2eXrkjD@CyXOSPIL{*#QWIfaYoVUHe_e=vl^ zgg+}I;w;L=UvcdyOjTQhqDq#0SeH?y##+ zK85Tk86^zCBBY=7K79wYBw~e%hE9UQ+M~q0{^V8nHck5#MU2i6*v4#N37WD$&B{l5 zmE_x9nA6B(6B9^XFg^r`U%kiqiR+VYpm*<&BecjVCV2o$42Y~dM-}>E5xXX(18_Q@ zt((@!<&O)Eez6}B&k50?A>Sg=&Z6KeXg`f<|G1~}RZeTm*%}EhR7ssjnqzsF;PxL_ z{EjBNHy1q+!eYhXyy~T>DH*v9F+ACNT_|gZCN}JiwV?ZZVQX|FzIjD@nbDhZJ@I3T zp!2_Wg3QfCse@-QB}?5uW+~4&xDQ2ZE&shRscR#|ftcuWyZ2OjLoO!r)IRZs?@e#X zSF*m>Ms6!JT}aA&=`j$TH0p#nwc1MkSpwglUJ*$&y?DbHD~Us5u4=G)Ash4V%fG({ zQn>heBOi0*k8?%Ej)YVa`At5@`uwTs=16*D#dal9S~wrU5eV#AR;CEeX14NEPZqI9<)At4~$9ny$| zbjboD4L_dm=Y9X5nfu%`=Uj80b7sz%9ttJX_w(hbN|FT{in*KUU(j%>WgAecfKzA* z>u9pB7^BR6Spzmcr6drr{q0;sHW9)I5kH{&cx7*yCS$@avpO5U8mHq{NOyUYBV4Q* z{mzAJ$AyL>;=spL`%?-?MPyj->)3pk;;RXMr_#;^XRQMRiB-et~ z(ZeJJI=E?v&zI=y4z4xRv!+M3R1NK;g%biTmwp=`xJD@=aYo393hnhQ0UoYuD%`0v zOHRql#f+!3i2tp;URCrw^Gi9sswC?j!5?6r9%?lJ7>V643*m( zhoZaWqIADXQuA?^{80L)vKJnrecY#MMLlu~8U&zYd*L&5C!V_NQd!A*Z!L*$=-e=Y zxY`lb8bL!mgfWs}z5;MJKSmeRX2yQcH*)!Q#5zv52ybwD=O41EVJB%3K&siY1Z?A&>9gwB^<83~R zDDH{VUw#xpsQp|l6T#0I{P8yBRCvTEZf+O2oOP^I9y?hg2*;6c7&!htYDs6wKI@_S zH=~;B0GR8xH}7y+nI8%RA@wZYhcwWv(jb{ky>HLOF{yP*k$(6}Yu#$*7e*65(!%lJ zQ`Ywkx&qzY&g@!6(QR(-1Gr94zlD0QV0GBacl}1 zmo-VqLlN%UCfuswqY;ArS$fsAY~*dseL?u=z&|T`o(T|~NTCD0lE`bSCsv2(3wg(}yslfO;)trogFHH`uR~1a3O_x$ z3v{~Bc}HmI4)5K<_7ZX5lXlmjnY2j}m!f>DTHrYL$>5h&nWIY0m-=$Xm;7tKu;j)@?chcZrK=~DHrSfKHKSR8 zI&x_32T5wC(fn@5#QuOurcWem0Gw>t;8L(1a_J z>t32{i#^2O&?hX9UiHk!km597$(vzP;a)Yg=<%84cC-7kF!5?dnc%}TvV>x!G~pFl zx7}c4K-E5bts7nTfsD}=(~w>y4mWdq${s<_5}NTJu2?hseIw61?59whYwaGpAmxb| zvwZXr6MWhQ9}yz&BxP^^ugUEk*c3N4TdT`P33+(g9ds&xvlc z!KQVOuaK`r{ErB`-KR?2_+aoE|K^vDm=wbLYt(^ryP7i{EQ%RsQW@VVH!VZE*nKco zFZ0T<*KRI=2hg)lw0p%efq(DOji*k({i)OA(G#RIBIXUkqzKvwCFqz!eo&Zroiyx| zvTH42=7GI=Z*x;T-VF=&O-f>y9+vgoR77@tH_{dAz2#*pDbwQ8Ak>ZM+~9NX65H%k zwM@AF;}B{DX_1hS+ziFY-4Hh#GKtTN@?T1!HbEdfi?||6sQXCN$A%($5kBmp=ig~G z^9gbW=yLEUFtR)F0-1*rb{r)f=Ewunh{yx?0inj5+qVW9M4@?jCY1Y?fPL!bsZ_D${xoFSZb_i$s5-x)^8-;OgNzdEjwM}mr0y~JjCO$4L<813Ke{?;rV zml}a`?tNkrzUS^Y(4t6+xr^aCA#0M{?c`qVW_Jw0^JZ(WO#rT_r#?Q$CDLbHdHjnH zHdmFCuMcSuS^RhARG%}_0XPvf-trQ??}lIfOGX-g9>;rlM$USaj{ac{c1qY{X#Qc) zH=NT?sUVZH)0 zpoaoWTfWt`eZw4gKTaq-y8ldaKu=~1_a<0jIr2F^*N?P6r?>k9+c4iOb0f(n-Dej_ z`>LbL@*IEr{FWO|P;cV~81pbpx#3Z)g}FsZ7`6QpJ8f~DF$nA3#7`u$hkc|1fcxWT z7lr6Ev?SOLyIrR);!3-?}(!d?qyANat{)T)mc zr;hBk2;7~px7G-J@7xvtnSq-Y|OMla-8y`!4vCl8N;?o%i2BNlnWS zS$v}(ysY=G^ZY8PMwZxQmno;fmMGZ%qK`%O49CYW2~ZFGebYd3ElnjXx1h9gLW zva#)12_?VF#Mec|!xLIgH)z(49E9E=S#Q8dxe>QwM1Ns)P1dPhpcN{rG)3f9(&**oB!cz8C!)ekWx%VJUnK6e;eXJ6jve(1_Y7T* zzWhR63#gm@2mLjh)W_+A0_?GiwNE2$;;dwoZ`PB)Kp+pmr}@GLIYHjWx0}i4{9AYE z3|gpN^a$J+XNkE$U7?5Geaatkp(E6_xj}Gg^g{*Ls>}}|vw60NM3!WS^n!Db+OB?z>P+eTS~q6S2ovu?Fn(<39K+}nuKNwj zE^1GPws2Ph!33QBlTs@MLLa5}uW(VL1OneVB}E_APrC8mEjIEP`SJi=S*|aFVH5=0 z{-UJR3vS7#TsI~~p!A$a97u1C*n`CGzXW<|Z_b67_6dYN-=kwDM@0IeRo|D($%GuA z2WddtZTn9dw#snbQ>|5d+PK`wg~#;4auVP(KQ1~3_*J+p=1=p zp?1+5+80oh8De;Ha=m6)A!qPLJnMhwmigDp7!mJs<~rtf<>B&OiOh|1xCUYv;4u@b zza?Y%^=}ibdkKk5tpYhWC~O?phDs^gm)-|w%kP?R)7@2&F+%07DJmkq`PZ(dYr{Vp zHQpsfQrml%S-8IWLkNlpmrex=`97yn1l9gK;ei*;#0Kmi;>fIEZk@z0UTy#CfSDC* znJkv;{Dh%@VfB{#+$OcZ(Fa;H7)Wb^KK=BIDZGJvGOn2-^P%==3HRp4WTv0De7o6^ zEB&I8Q(gH;I(ia=Ese+_RgNBEbHDQme_q@(p^%3iGQa+UZNgNqY;Fj~Lyi_OYjTved>ApNCHTV9RN%3%@QjD`7BW#Gumc6{Ed0XPxB z_4tF7Ea;|?u&VMbxA0^;NNmPo0>{$G7Je;H$lwMkx%ha?&}@K4eZG)`#P8f_A;jh0 zHBLVtPB3*Wf#E&NTD1Jhm+>s=6h<7slkzdUsdAs-PV;08-SQ`!l;SC~HUAU~a;_Lj z3{6?8lhFD~YPF7|bW$$eg@{E~V_alVtskJrbgDG{$}34ARp;N_orud69v22pjS_00 z-l<(-gq!F=Hf!u75V#)(flUfPsa|&tlJ{N>{Nz&}*xp7C<>*<_i#rgecJIPRNWqh< zb<#(XpI`kTaSnVJ2uOgxIK;uObHIYBxBc%5r@MIkOIg$*p~xZ*JW3$B_aQ76gsB7s zD%T=5u%vA;dk4+!*c;Ds=9PifbQiy*iGNq*L?d;1ixy&^$2*uA+i;uKAQOy+!+zc%kKvx6_4ltf{Z8=j755j*00pQe#a(K8DYbV&X?dVhHre_gNwBdu3gHtCH{YRbkxG zU8vR+^&|*-qT4m0V%%Bc6nQ-uN;&7Bq;$gpb#O^d>IQGg){C4;|iToqI|AL?3sR>eG9bl13xndB~pl@&RNN`G0^V{Rz^?j)gr$Xz@m zHPZeXAQ{c7LWnmmzw6pyN_#-LiHQ74uvpnj^4A|fz|KDIDdv6n^EBaT2F4@4l&Wn4 z__n`iqfq+7N&1#Zsq2m9Wi+f!H-Zr?(#^r=W-E!smR=B{;2S68^fy}BPc1fbJPhyj zh^YhrhDuQBM#z0f=s-_}v4br0H;GCwnD9ak>)#^sa{hbGUSYS6a#E^`>^r)YAUY+^ z>p4^j|2gAoT9Wbbf7dBmL7b$8XNZ**B7YUDBF63TSBL7E;h87P`2lO)a!inL+a zax7zAPs_Db-*$$SA_taSw~t2eDSJ!9 zxE1>D9e3)3f_Z)(lGX}C6f}~z}=>VaAQpka@f2uk}K3Zs}|2&y2H)TkmQ!&i^ylcYf|AP19%Bc-R!rk!q zR6!o}O%~leH^)qAe7?%uEX}n_X%g-Ay?Z~yF769kUBI`yJz^-C-)$OsV!gy@$+oku z3572*86oau&M_)nXH{`K8DUdjL@03q8?0LYw3A7VoYH*fl+a>yW8o>6%UBumuJL5i>obEG1_RDm(8iP1_Da5rOgP6lT* z&RQX&)=$!8Ax7YS`P2PbjWnJxjuA!LznD5F2>GGGYjXpoc0-&9dBMpHr55SeLgzov zh%bBIEtDtDKGe>G$Gvv}83e18?xUp&W{mp@XJ=XKHAHGl=s_MzUGmJGJ=f?offp9_ zMU2z}|S7I6Zt@e!_ceDtU&*peC+eeEHDJdIt{5dDE8$+JCh}9U}TN9A~ z1-hAO(m#@%LC>JVKcj12PI@cuV=jO|t+5H}m}f8> zwJ!?V!}Q5b<;WM{i#vT^_I^FX|Pu$fZpp?dvNtqu^|7)`PO9wI3Bl;-JN|U?z_% zLWEnicL@4-l7k22zrDCkh9TIP4v$_841v*!$t)-zsyEV;wk!NUfI>d2a1qO;bxJ8J zuCMxOmb6c@-3HITb!Kq?XXD`3?ent>7}UUiJkatQ%6 zsI+U7Q@Pj8@YCt^vE1ao$6PNVKPX3y{|p0M*Jzxn**KOXR?}ugQm38cmVb z>>3wd7$Le=4Q7{&8KbeOf0$^(Q0qH zkt5q4%_z|P$#U8P^vc{rYKWBe0J(&&;UO(f=_bNY0Qc|HT)wKPUDTV^u&EtP33S*W zd?budD!e3>cs(nIo6Mja2!~cRC+-^s<_+5qB>J;9Xg#5rK~p~ZF*=dk!m!W;FGC{c zDCOB~t3O0N5BN#DYV+?BANUl*b6gEYE^UmiC2r1WCMt8r8dbT ztQ$TDrw44UdFN{m}HxSnF@kE*r!^x{~< z!$o~Oa#Aj|2+KD~Xm7%l5*}gp1t^&gI&N54*Pkc85443HT!fN4DpK_$17yN0T z))ubHvD-j-Fo-JHdAMNMOcr$C`QG%&rQ>6z?lzxNU+OgdDW_Fg;ZpnWC*q!pC_8;6 z&nw*-54zrHZ;lFq#8sIrY8HnWp7SNUn3SrQ$X+Ktl35`#SDF}Z>yTp%juW@wpn>@3 zVYfmUPS}M2q7JPiM4+Lq1nM-eVg08!0FSTtYt|z8QXIy2#+BVGzZZ>Er_IEjnkAy; zWk9dj;R ze5LK2dd}k1%+Mt2(mhDQg+tu3tE}|uPVq@OFsooO###+pyrAz>62n)JJ=7${9<4Fs zUz}fGiTl}>mmJ8@h{UIv8tjkYh;(Y`ju{v6k6n}|YHWUleDQTqFf2|->=Kj_*}3<2 zqXipVRWTn+7ejn9P9mN~h<^feMW3_+!c;uTit}c*3urKRIboyr87%fk?GKa)L+Reu zv1dwtlbHSe>BiVafZqLF#5mH_N8Boc6+P5lGt92OHguV>pk#IE?zR1Z0vU8S1Yq&Q z3=cVXyDRbWs!l}NdcnaNeL_7l10W9{?KvGsrw~qdnSXnN@^B-enO8o703+tK3CxwOl9f#-pBp(~9fd7nm=%uRl67JW9K}y&YMQc6XYgwnC8e`pe zUSR}+4>61Ob%u3`k{?hqb2X>ad!x2ZU`sB>6%$!_`?-?Sh^Xzno`UKyh>c)17j-AQ zUN9h}=+S>)%bEx)8ASreJ?vb6lP?^v4u;NjlZ+gCj9zWJYOQ-t_C+d*%$kQe?If1^ z9<(`U)2^icKr5a!jacHl_j{W$2X4_=?l)AAaAf6vL{|`AsZ5 z>Zp4dAnlV-4rmsWl22ucJM$)Q-C&&dyrM83dTziE*NeB|J=bB3UuEn*?S_g%yWOuA zhvFrX=J?lz`l9;IVciw!%D=p`(0_EMq7+adhN@fGka?11iq^Y1B3vj%-VYvO_iE-# z3uRTH&@FHQqih2{2yLcl@!?f19`0xTuNDx3omo*Dr=Z0=MZUWn9ZHr^xUqq|C~Cx8-&2> zeo5UbAS}&{xId`X_+moam>b>D$()R_gzEr!W1HYhY?#}M)UBu;)0jo@Cr?+#On_du zG46wp*X9xm-flS9iX{yPIoIqJQKw=2v&rhDQYP5Zc=(z8D=x~C3;iW`y^t~<`op1L zlJ7>bgv@P7PcP&A#t7j}7fi@hL;^eM?~NFRVsEK{aNt&+Xd4-~o(*FS)|fFH$t`Mu znaviC3!xGZ{n0DLJxo2387asXgC+Q>05GE=>MKP!MQewoc-tM_m#S{%`IaC0p`M*L z1do_p#0zOEtK0C(rw2n&BZMTKGfs_xTmALCO3G1s=q1GJ z#}nWn9@e0TRbY8XPOKlX`1Lab^%>eja;o+h=5rRkJ9NZ7@s!YY!jm>kNYddwH}qJY zLrJf~%z00#fC5dSPglhsThU*5vx)W*kAgSo&L#`}SR8n~;#Hm?885E2cQlX<^Wd_g zenz{A9`g_xPEDt>N?yurdeu!1RoG!`@4%jDlZR&$H~hfvsVFEoHw-NS7{>?mF0}du&*7B zjOi=bf|KXtAL~c!s2)5;=&Qpn@atKsejfDP)_+MbAv_+S?3Q|Kj$S)HL9I%$(-n}{ zw>9%;2Ax9ARwBnc<_N44$@{E74#$1T)ClxQ;<%fmX$>zFcSG#K&;`&@ssr zv-OVD^S1>m!H*fIEjm?yk{;zsgRuiRG5pjQeIxIoJ;`Xs`O9FMux`MOMvpw+D!e++ z)7I2=XF7i%XdOu6=xkS#F!Jg#X*&7zXV30m!PXQl6T-2@kq=EGiUJ4A4f^pL(X!l^ z*~>DFJ7kAcogD4~{+cs{Rk!T!Ptn-6IEKeXI2A~J6H>0cmX4)iC7Pcq>LUBdU~a7G zD2JBth{Z~5;T^ao<)_X2n{ZC!oA+CS6yl4(2k^{HF53at zON_z=O>!i2`Z;7><<*qyk#Lhj<4#DkyHvW?J%}+^ooP+1Prfe#IpaI=t3s6UR^2D!%-0#@lu+F0vcIKZEEt3@M#54sF4c6+4=QeUD zKG18Wz7iRmLsp^wZb%T8u9r{EV$6EkjU<(Wgd{|qWS$r>iNk7J3{eYm5lcw}kyU)bTsSE{v3AUjA*9@eGTSJrpm{h0XJyl7^|g*dL1HiQ==)lC@Ghl(9qa;@NE^NdoW& zx}s{WSJm5jhd7Ewhd)xcZCxelg`nw$9y%I(_={7vrUmYECSae>7CKQGS`y!oV1sCy zpmwI)=7A+8q_jv$31-Gmz8XtBy@}`JnuT6GZ)zvh#c7(MR|DI%kouWu_gSS}EE;I255i72WWP8_LzB>TgZued&|^CGnk!VzODA%FM0(QINvUnELFM)ARj; zCsEyg{#k3(d2xTt)O9YJ`Bt&+;nO#0=AhUEhxciaD`3t*^rshsb;=&WzW@T?Hl3A70exqdD`;bzIzSzn9*7--{*9D-_kNSOiXCftvOS44% zR`1vPDqs*=$>Vx62NQ+)5rsM7AYu({ed$xzkd^#{1oQ7+nSa=b{-c@EYa4cVi9YZ}#U^YF@EmFgYqNSZt7#s2h5e`D z_^s8}dyy&0GEBew)lYFQ>aCJfuUQhJGE6xVu~*aTOW~N#y3WH8lXJaHbt7RKSkpp; z_`cmlzOQ*8(rA3tKDpiZ?bxSzK4+TG^%~J5&iMObKKdq10v-9wevpSkKV#ZJS%bV? zm)H9e0tpKw6n#hX;3-P`6lz)MhwJ%$ zHin?|bRU#tyWtbcL+ZXG>*=)~i~@DHX#y&M3wa+baRt{sdlDj5#xNh-pB8QBo+mRP zFq$Zxz$EA?*=1BJ(pz-pffuH3kU$Rbbdf`e%2$4U{X8jx>Q>ui0o>DWmi>EiFosV` z(qgBR?(@-cpfE~fRS5Oz^^a#QIgPdsAOa*q&3;M+pgVM8QGEF3jr&U25$D{21oTyK z`kw1qcGPoT9`*I?GmN3;^^JJqkIzk0AY=31VL8X@W-^f6RX@wS!Ru*})ZZHKyz|0D zjB13$%0Mh;`W`aTgk&~15#W@&I0|EvEq-pY174Jm{ydqjTFi=}w_9(e!IUl3eIN#T$I^21q8@WP5@)mz#Vor+G;`psd#@e} z6C`9c;!>fg*@JGZ#Xdscz_Rs8{(T63U@7|-eIdD1QS-tCTG<-xDVIH9@n=HAB_vku zuM-5c(^TQ=4~;WqH%r0|z|yCmS+%{XC6h7qpM_8%eRmSq@^D03q#wiym_3wMdWzX7 zlSy+Q95|Y`+APw@=;#Q{9e$7+l80~39G!PC)OMtC4wB`#{tyMnI4LNRs87d^|L9_< zw4f6C-Q7!Ii~1__RenBEbXliKgiL7ioC-o#E!; zP>B-2X_a3Hm50+O4d0&$pfcUlK_mUwihHN-xxuTs8oRqdEQ=yDVoz`zmYx2P|Q zU#FleQXu4SH$$Z~2?z?<@TJ0(b*HtM?HOT>2PW@Biof;S8OmwEJAOP>_GUaN^bx#) z;RLEi%EJpmV);S?^24zQxpOXFNFf?pHljj})T1%5iC=4#j2}69Q9V^Vrl4YOG26OM zl)rtK4Fa5i<0LKWKgr}H$B?~g4biD%W6YNdJzEf3)Sp^P#V;fQ~IfErK}GI&MHTmd4KSMWl)HT#s*7~sg-B+LAVMzl;XPcvIN-&o zg@pK&QW@?_oMt$lDEn()1Ko5p0poOnWqSA)PJCd$rKb>kwIOF26hEdHY=}YlEruA= z7D6e-%`wToCozP-Yp&TJ&wsM3%I@Fq1bl4I3&h8 z+RL9HYWUG6=4%XnL6B^w?F-avOcDgg0{I3$2Nk^?PkKF{XbJs80qQD^yKC>*E@q_o zLPw=h5^7Nb^2Fg`0~cfH`uU5r9ERs6OQD1xIOGmdFijf*RaI&8x2WG|QuELonV1pG zMR!WJi1MY>u^*A@m|!J;ibh3aUvda3{P$A9CP%ZaO992-%*o+4@9c zM{A?E{H3?O8u#M_#Kl6t`q;B5<%!3hUFwX)VupgMC5H(dzL`tI8)MUn*$C(s2n1*wi zldHR<*2gfdG`uR!e;>Yo9EYR1|0dCSJQn`eJKksMOZ;4wMdQ?L(gbiVgF;3TJJ~dy zHL>Y5HbVjLun_ghAjDk5wLv6A19&|MS37e#^GMF|T{8{wLsg3ozkjwmdsFDb_W670 zx-4W9fr%A2_LmVvZHX#CDX+?$s!pUCVOcyouyQjf%;-2DC||-VGf&Qckwp;3YA(k! zW!b|4p>{Re_(RtyQuOFEnX|rD5=i0lae8;7kh2SYRa!UzG z#-k-iu<+{~x_RDpvqBD){V?7=jARs|hWMTA!1$tD1>>oi8)o6G4l7DD32Ps*^+~s|glMRt}RytM6?ir{e>Ai4d!aoT7Uj zpn1m?D%J$(6>L!sic&M=4$Yq2sdUXfd|oOK0JzH>UA3Z)L3=-@=3%(cZ{xyC(k=$& zJrh|txJF8`)Rgbr=68SK*bqBbNBY=WMT!q(VX&a7k7SHH$r(OVi1940+pp?Xg#tEz9SrqTsuRY|F>EgF20 z3qq6*$NKkiFXve-sS3$^ae8YtZ_QCP-=xg)u37v2yu9? zK@F1lCg#O&#uy`pZC?XaH^TY}>)ir#hZK(Z0g3W5# zk6JffJ(v#bVNa;f_4n5|kePAP=mc|et#rWaD?{d+Nw>&L##P<~sk35YakY1R03X_D zE%V80111iQeU$1*G&dkSm8z4M%uhVFn2#o<-c(e09v!M-tvHJbNU~7)HYGNc)a{#Q1Oc2MSB#ujEvgwCb3di`yWJ1`j(lD4*zW0&jK*#d?j&nHVpF zZ0ODS0JP=`NYNwalIO`gl#r84{smTkrqlgZ@-UGi&t;hk7w6qrkMieG%<^xr_kPdP*1i{N&(@JxHO?WcdNo03m!%`0Tf`BC#FK^l%KL~kMggg zBE>1e-6=#?x`@p|a%qalm9v~EF(oj3$Mk~Ay)p(=jP~(2W?c^eYyQ?;p>SdkpekPb ziS8PeAKVx0uK-<-sE!Cjkb1Mk>aY95`ujf!a*!Qf3%N?{$;0u$td9KkP*`uBj~~kb*%8($6;}rU zaWF%Yqi52a3PM~9=M&5oc&tNQcIWos&pJzgYMF`P19oUXU)}PlK&fL|+qg z>)V8}2O=5m;{E?n0^!E~-~V;!*#e)W2}YU8`wF2#vXxhB5Y}-N8$stvlr!9hbmbrH zXO}}>HgKuW8(O*Mz(VEN<4FQm7ljd$>AGIRa$H>g*Jh7RZ)7(wphQ^*M?1j?*$7Yf z(M*u!0>u6pS3{f9n081Xn=v3p;^uM#6Yf`ka>ed|2O%~-W&O~&QN`lSSsG@cN=-2noKZ4Bxy`C7w0GeQ8Bsxj3CRDP9>IP-Ssl}Jg zypWLp)Btq_s_iyHNV<6^Au#6X82C&(U5466p1p0q>8OkTVfR>tfzaMOiC=-6e@9>b zC!cQjY4u_oE>&Fj`AS-a#qWQM_Uhe*MUBvaa|0-2a(<>7Rvr|wPVF@?o3A3%1`QWrkjG5t)mPLUyU^$hi`|{|e%UlRf)K!W)#sqVCz5wE}MKk`9Lh1TxUb+B?0 zp!I{D(t=aKZF3c;;cnf%w$e*dyqADl*V*$jyp}WuC@jb* zh9?FW%T18UrADKFL4*n+K$&d}DNk;W1mmVeFR)%!oZ_QKb?cjK@@9tAmovK%Ms_*K zgMbVURWDBcT|P`t+9OLLWi96y(Hnndk?Y7Rc%~rt38MgX02OkA$<+oBH_S%ptYL0K z)71)fm>P+ANLJWO6J z?L9Q@^&jQCH~ah$b6B!UBj!4i>L%(XdU|7iIR}2a1${D#>@AoAEg_`^dvJ7?czUk< z3bEhB;Gw7tJ^yoI`eUHO8ylf(HjX9?&%c|Q2pgxPYtwBZmti88O=2Io5PzZQ!?6)|i&34Eppk$V(h-7NY52mnnpT!+e-m}OR2f14`D;4K3C)69u4-YR}#xVaJtI3 z@C@u8eo21mW1JIv;m3m$*QR(7o%vqxg{^>~J?v#|LXmWoFNOpjmgc79&93L^y9;YX zKSP$l&uf56NX~TnYD&^*o}=5LX40^VZ8U=mQTzM2qtbU7Ugd0=R}&<*%*Up|nPh96 z4Q86JbstQ738HS14?cyz%Ax{rZ)QWSfytNfWvw9K1it>)Xia;SRdp)T^z?)o@VH10 z>v(fIcos9RPB$0Ifj3vLq~cemD5P18S1pp{lwezpuV5Yr*4Xb~B}Yz{%3cF%roDHC z_QHs?rmu;e9Dl02w;@-S{b!@wn6l9g>nK584!?pH;e$_DwYMpsc@2s@e^XSJzP4^KKkc1mWCN{3ompsWR<~m?? zTqqLVNi2VZwL1sOaUrz5EN6ivX895#+Q)6sNk*)vu;-guQTMefDzz^Uc2{|aGicCX z15N48PX+OmK5` zDRm;JYIGmkZ`8>!mfk&N)tnz&>U%MF3^*>S2gq?}sg*=H9s+dY16|;|A|FAZsLJvRe*?WBSPuJJ{_p}K{wz)>_QYlKUVF7X@=2u9nM}fRim)xI7s(4z z(^EJ+WCqCf6pm1Y;V852^V(hMUP^ATNh{R%GUL$jck+W!cz{5m0qq2kyvN;~)$9#v zV!t$!%zB6m93_0s(1SuDWnx^^Nov-<9%c09HR=btJY)#$a=qs&9U3g03;FXhN+b{% z&J!b`-lHm(B)0rzlywtR)=c(=lh*sgWp^`%QiCCcttn!yhswwX4CH46*`Ufx;gK-4 z{rXwpA@#oiYP^JDn*e|n4U@8yf;q*NFkc^-BI-CaHXU8nUl2JL*0=b*^?t@3ib6j1 zQlFjEiob8rIgrh=Bg_|0K@2e{oQiHXqWSZxWvSo2)q-N{TZS9z z)n@o$Hp|Ty0fPX_6Wn8#=`OC>Pg|I*15opc4|Nn_Z9Ur)z(#pbhk_JW+7~VGI)(QO zjPn7keoa3JK+GAFWd~zSoYQUIk_TMk&hHhF`;ukY_yH8^DGHiwBdK2)`^J%8w4L!; zbws;En*w6H(-svTH=G4p-_2JM{}T}SYVhv^s|b7D=Qjw{klf=Sehn#$faNpf|4`gP zHzxnGM(Ily*$o#91IZ-O-V3M0$HnfSpeo0?#*e(K;v)oYN%Rt+M^~~X^5{skI-%kZ zxzA|Ez%%de-O5YVIX6l4`Y4_5g*uOLAipV0^2Fyq_S2A^2$Ck zQO**miiCXoM@}ykzVPvfgKxT`GDm6NCg@%jh8qVh!Bmh^uEqS1r}nScPf@W@B5!G{ zD@2{6>j8~0{}a^<(h+aWCzSB$D=2)1woVl!B1|_ybmWD?KG3c7v0K}0H@|+j=K381 zA5BP}b0I)dlrc?%q0Dv!DREBSO=U$W66095*ZtF-avComtW0mO?hEJP%^HlcP{k|4 z^~U$pH#Z#t=}w9wvVrPF`a@o3+uR0TNg;AU*TNI9>LkJ9v4lY7$CYOFVR67|_S?)I zkksQ^VTQ$?7mBMHA8J2(Z>D084^D&9o#kAKwv!tg;st2u@58=C~+A{&*tq z@xpI~7HC0wxi& zfGp$gHZ2M@^2u*-+YlAp6x~H5XAXGu`S(j)DOkX=iK~e1SpO$VJzu+)ljMQ-yWui+4ujulTf-sMu$n@nn?h) zN|;(;g?;3(fM6?aV$VOvECr2mw0KzsC{7i{7GoklAm2U4IO;!V!f6{5_%*WR8>8=u za&v+>$4bUku4l_KE0vI%gV_J)$$k0juVq!tg2Xa&+$xOT^Gn$&Un+0d@V-g`K~z*g zRVZ8kb?@`N1CiCEX}Iz^u9i(`SsMuJnoyFE<1$i2k+~}yv`0@7FXl`F@H=+susFzL z*5+4-Fa;a5ncRD4Gf0_0*gR#W*nWxt)Kui$G#Z!3rOsm*WS6lRWZ%C}dMFn{mVMAk z{ZCx-apFmBS;PPJ%gmf{4QIf?B1#`3U>&+jQH%*f!a%RN28x*CkXs(~a>g;+53|1D z9ZwgvECD=vC``uq<$4?gmXUp-S$OdaS>Z|F$=0|8YMyO&Ft`dwb3Np+aFgu7V?IZ% z3}p(^J(nF?*Uj@OA5Mi7+5+Kf4jc6w*p%&l{lm#K(cxBPje^mc$41%Pj!S|Zj#r7H z>oN-kWY?GqFar1RYjm-21HN$o#I2gnU{zem#7!Xo5008d5Wl_M%j1yz0QYc;-fPcE zpkLUqVPIehgpxrGC$;q+3TZ87vZ?R~bPwN5ABD#%C`oP@K2+Ty*D zE4d27y1Wc}oEJm9{aTNp2>9wr;@AQreQvl?Iltv!Fx2Ui|Kl&kD|5&5oW2u54fWS} z)!dq6)1&LMw{>hx9a^9+)kHT9D(f{F?J=slUrp|Ilb!9kE`y36%()EsnS$Vg@r&nJ zTeQEVrG^&$V$ddM~nCBjZ)EV}i`2B;9dzklKmHAlqzthx=F51)n zsA$t{C$rBN%i6PInR|m@L9?5ko68}bd1!i2LiE2jqFH<2ndijR@pgMgV#Sl+ZTJ-& zL*Q~ksG)~Jqh##(ll#vBbA6!T?AQ(`0^{}j4sJc#>}%4={yYAxx9ta;+|j#Yf7{it z-HzvoM|bCfn(WuTO{VkT`2Hez*sag4Q1vac!d_B*Q;@GDV=xO<>!igN|2;9AUe!qO za0OMHTq?ARUnp)9*;%9JZ+5S1|C7_7pCdaJ=!Cc85O3Pu800I9$ms9#mN>R8U}i67 zN$Z%5gFBegXMa1JGftg;R^tD5I``&}n_D{$~MYYgbG=Q%1)LPvQCoh*|#xEWeZs%$;3nks8DMJ=E1bK)Lcy7MQ7O;Xi+B=xwtULamI=>@{%oR&Bt$wGE&SF7r z7H|HDVdH+7!7Yv{$XHkLJV#>|BK6q0=5@wowSJWa#Pb;c)@c5@Tn0X#7IpnpT4~B- z-dYtqachcl%>{Q3%V@o~lKIzPx0lR1VS6V!Ps@1!JAX@+bdX)Ng@O8A6aZ&TEnx7v_Ckn*~;M+Ps{h`qsVNWgT>TPosg|peKJmWxE%ST(WhzJCE%@+tHH%P1myvW*PQ2g=p~k%S{mIJ)+UGRerQL*{tgyfQCk6lI+3DkB$Gq zn1xL}@WWjEhj(mXQ^$T(oYT4z;dk$~B*pXl%!+fvmE-P`D4%Mg(p}q$TN>&*sXIqh zedg2Q_=PosN+42Zpu*JRE+4P`;Q?R$8A()vI;-j=(U|mQr3l`#aOfKVZ&VJs`2LaK zW%<>x{(HkMA2;S2(j*n_)|AX7sCMaOT%U~zJyK3|zF|15Z&qi0|IU<@|Ag(?4y-VW zY6I58nb`KF`=uGg)eN7Y+cbOHnX88jcm<*{J_RAh<^U z&ai?Qm^td=5P(y#>+L_w8XFT?lB$-m3if{CG}iRIRzXCElcsu3wx|i+cLD)k@LqVO zr&M)xIcKK%?^aedkmg$R^4k;{#mkJ2)l|~`N#IiIV2?QpISwnA%WfCF+UzdV*Fu;2b_@T%N3X3fnwElVSnv&x~f7;K2lXd`*qRPe94pVyhQvU{^B z8_DO#Vo$^zypVWJ>IbO3`F%ggq7&}rL2tO&{71s7LgGgD$JAoP^EmV}Y0Uq;e^4{1 z{iv2;oxDD0TbIaAB_YsGGhl22`Z*9|L4Z9+F`&Po69!>Ak(e(>ufr^0v}etFGwt2p zL3V1K*?n!p9_0i>5A6pOAHd?5Q$*55vpL7paaSGg?u4|lR5>H;qJDe6u=vq=wbf#_ zQ{GUZvEWAJ3e#IL#jkjRkS z<}(_BTy)IL--}Xm$F*NUQfl1ublY{DG_DyXji)WE>=Js`gyt&V-%_)Ao-S^4CX9)i z%x)QBUU9l+6l`mncj0(27$lJzGxTsvgE`_dS>T72SQt9pxbAn15LmCW&HZ~$%4nP_ zm!4hzZxs#nlUlN%8`TD~A?WvTr-0F%<)3`mniA7TrqXqcL)j2TzjspB*XK{QU%jbx z(D${ahr4P1L9|hI+c{<2daC(=kKc*3s)z$gqQwxJxS5`65o#nuFlTCm^HMF$lykBL zHC$yAvuCqpg_~6hw4W`51M}7zaaCBR$B?o`=&T}f=ar^r9l>mv>1B5~VQk)=1BV4Y z&n;&Cvl|2mE(?`~-E_MrMhFfIgndgKwRSfTon?K+I{6%zzv!O465tqiKfJkhvg>_5 z?U#1{h$I2D7x~Bm0vKE+R1eD!ulg&~W@Ok;(M}IRUf$PGuYpzz#RLoTi~sdF3_wX^ zHS0ImVaMfXc$S0lZDm>f^wp8P?($O~@MsW!R^BuPvuwiZ=r!owDi2L=j!&AVHL zAArBH{BXP{7|mOHqu^B1a8J+sn2eG)8wrN$udWiBI8!Yjn9Qh&u#L&7)Qrq(YS zuMU%V+-ACId+~2V@BDYUYesYLUtgtH&V!Wm_iNmqXFjh@({fpGh9XWa+1&qUk$gY% zbJMGgtAs~KMw%K#*Vda?p=#g@YQ>A3Z*zRVLbtpd_(IBP>*g|7PoIoL6+s!1G4$~7 z`Tk(p1$}vOkV;l0BK?ibH^}Qkw5Za4hRZC=1&CZwx>@{hJJBS}(8QAcw`g+)%Lfx|6eA>fqx$!QLif)ox8GM>E$9=z%f{ zqB}O^JYQP6mR|GaAsCkC{rE{!`Af>c`^#nlXBltF>**P3?UIJO4CZ`tA-RCy{(|=( zF|Qc6m^driue$5G7=lSpOGu}7djQOVj-r%ya{_Eq}b^Iy24d#r9`5|lgdQI+x zxcj|^4-2=4OiBzhkT0zy+GgGjGGbSm{>R%|q`+Y!xm1;*mZP5>zBYg~V~oN~UY#?j z7aOruGqof6OQC~(1n?_K%#+2=zIQZ%lf{;vmyC8k*AGMO_Dw>6|quRk_2W3y#FF? zc1MU>ob?6w%%$v(jdZ(-JC&b%8FH}v9@yppy;CGs4Rc3&HkD^EwYsG->bsjk-lpTR z6^+kb)FFPK$5W#wd=a9vGE>5UyY$LKweJhJ-|Nevr#=b_?sqj@m-+j9Cnqf_5dmoe zRGciTf8C-sP(NJrck0$e*`wK7W5zK+d%;m~$h4({q^ZIz^T8JrFBlrQw+GgUJBWNt z=;5P)aEH7gm%JGwxBZzOs?}Ht^S*}Da28pk6AhtXj#ER283#9ffOsj%;wmI@6?q;` zw0T(#Tp+|&7IlaUuwK>#XDpi%+-sa{dtqR8<*gS{yE7)6RPuAoSQ^R97#)0GQ#dW9 z=5)u{fntvAz#rC28P>>Q=qO9!Bg@HgOGjlhS~OU{_KV+_?*+)9=U~UYVbg56Al3`K zM}inQAkdmgxz^k8A>hLRsRXZ;^K^%+p3R{&I6G+NHN^HSBMSjIu&z#Qi|Uv){1AeN z2L4I-IL*F;xSucT7P*1rq_9)%uw%@Ewa9`Mm9@f%i4f$?KP`K)JbE@7B(e0R8HoZF zD9Zp+G|=LV&e&ro=(osDhYP3qCFm%Tke}(t8D(RQ5Ys|KU&m*J>)@L@kw6l5jBoGoM2E{KP4kQfFD$gT$t@@g zQdivhV{-UCyR>6#W23}&1-#Ts8fxdl?2YqDs01;rV->fGfg&yiP)Jwg47 z@UCeo<+J+=QuNaeQs57Yw?i&fyFl|?!4v*=nwwR=sJZcPzLT~Gwfda4&mpGtHw_Ka zzRZ4xE%!3M<_g{_J!N+)_?Sb-Wl>Xa@P;CI*3fLMV^6UTri=EwCR8qsV|MaQg}W!$ z#+v$B9*fV7tpS^Q)4jyyXCFQU2`3!-QFdUO620yeFFFDQlwC+d)|q+^w%IR*O>qpY z0KGx^?zT5SadNo)L} zg~DG_b`GI2;l$E?9{v6EyQIr`?)Zt-p{|%pi#%61mDz5+L>1;3C+PpZX0}|jPqA%v zH&C3go%reTzMgDJ)&9HO?xN{)qCCR_XmXG5&F>T7Nr#j21LC*N<;XdGpjd7nWZ~(* zY}ekcp3s6@Xl0d9R&QI{I@tQo)$RGRLU*CXtCexLfc9uN=qB1-h0cmk;@`~hBZY&qo9M4&`QDkC91JcO1`Jo1Sfqbwq8?8PEa$!8qBG=R2IV%T z+rw)QXWw5F(SC>%OcXoH=*JN=t|036OmtTu_TVz6`tXS-D^C&T2eTichVbn&*Y&VV zExBvn%%`C*nN90Qc?T#So3op$Yl7VoAP}BsWUy(t(|+&h#Em^mOr;8rLQO5lj$>v_ zwEfoYS=y>=E{8WqG4F75ymK}nY(ZZ-BKOb@b0Bvt1t8*$N^THC&ihK}n*iFvu~ z`8m$f(Iw5BV-D*=MA;z?#Oi3I6M0bsu2la0Hl)S7`M4GEhmAL4`#|?|p)XyS?-@Vsj8k;XJ^Q%_@mifa zrfT__y?o5SQSG}_7t!Z`TYpuYQvv7LG=E#`i_Cb6%J{E?j8{^ll+KDRCc9MWj?y!M zMh~MS+PoAGor0vnvSKZR|Vj+6wAmkx8pi+u#bDGvlkv^d02qp{u^Ty9G60xnFI_8H`16wfdrADfkoG8rDZf@CI4HQ~z15{U5$2k<{&H=?*Xzja z+Ei&@hRD^|09ZS9Y?Ytl`Gt9D`#sS17vvQIAFh z&oZR(ikO@WM@m`$4#_TSvwTm0*B1AN!M?+TccKuGSqT7E>%&mrQkD*TxG|#QonLaK zSDx##O7@t8JsBe^g$o)5?9Imv^)c{~mvIPm_ggV7+^xLsk=x*|i6t}7{jAls?&ku; zY7_isc^(zK+s$SNU!p5~KP+fROD%ZOiKXCySu-L+timkV+}!gVwOG$|mkptky*O?KHkBqP6OEp2BEI z|4?nv2z9P~>!4##T?L3lZtM^wVBj2&+^GH$6>wqE(FPI51B9+UryOqH?CsX%c#(-f zr^!@iLZ1v5N#i)byZay@Dk4m-{#*YIbA(!!*HE`QbvQ+oD|Ir#)4NE4n`(L8;4#n@ zk#!DVZ}1?f8DRf+hNdC{=54B&)LDSF71LxSrW<*v=>WlG0{#Q{Hj`^XOyi9!rVD7N zU7?QL;!xy^ZDWMSBXdYA%5apAFFR&shUsbAQikVMan^a2^lS6>iGN0@Ga!f|6v^)V`YB$+z zpPaq2cD60dC?)LTLCQANI_;ceFYB>LcWP!;tP&jZI|7 zNzy)Z^$1jplwl0|P*B`mkTib)-%^ z)vkT^-cXmJ?;#^ouX|;z4x#$VkCeBs()-rU8?TF3vGj8(z~fyOyIWn>@B7ZHX>Zpt zX8tQhDEcG3*OoI@&4tEZx&y9bek~*D;(6`iSA|i;4M#F2Od1&q@K@rzE8@`b4%usZ z26S$0)xuV)dE8H|2qJ<}y)ZrwtxJlG7wMjkO)12cCts?x`-Hom)%SNv8uaicrYU@j>o>{>43GgP%dK9~Ngfm z!W2b(W|*HB_?uQGJa@j`gm8|&UY-yNcP7iN$Pw9mU_W1GZ7$MX$cRlrZ^k1cZoq2R zIC!XF2`-C-Tl5fLd#e$*d2>>S=fTl{ts7bH{wr7&+9iONs@h9E?eRVtIikiJU38L! z+LEU2r*7G4SgSP32SDv)PuXHRjiGXPhhf)SU+7T6-}_G{Tee>Tc(?ZtE1mPNW2!M0 zBCnyHE;z;`6Y!BC7JU71(QxzQI7JS!UavdhK&9nNcW*-6yDjL`?+wsMGc@a>Jgg6U zfR5JHUb)g$SXQFDvjD$iaXa>{-CL^CAI{j4ZGBvrthV;rBfR&{xuNv4Y)+{u0dF2i zq5nz-LCl|F9G|q` zwtdleflINJ;GPUKAt64O!Au5si{Fi2c2JiT=CZrl5%Az0*#fn1+}N0;{RGA=9Q^e) z@w8hkSqwMd5AJS=)CS|nr|>ncpI-hHBjWw z8?XV?X>O}j%@6}T0M{~-%EbsAgsfWT_*hiPx^}W(BDt)k_S@y*OoC(~d-F#MH2vlV zXpkK|o8m@&ezAM|CnUk|p=G*-*73+(prQXHy{|o~U$=|#Fy7g>^%i#(?B+_UMc^-^ z`Iv9)kpsCQr>6vBhP0tCF$f&%#zA zDh7PQ&4BI543x!aCN&6_M}<18+#bLRwApfcLmXOefIutT+Q6E}lsTH3s-qbW^k9_w za zo-!<6d-xf2ha>1U9p7L`kBaCBPpgNt;NP}QQ~8tpdSPB-Uluw{7_R>g+UYwg{po@Q zP$yp}=1XZ;GY}ECXQS+7pPlhb`M=h`R`>{XjayT8vFzUX&EF4FLR&*5&)|$SX0I=J zgIht3ESu-3GciIh;bW+4n!=ev1OMdZr}TaC)SEB|=tmsjTc2_K|K|oZ3p@6aZJ1!W)wVf7wBddizjlQ*F&K zWfs{tgIg;qyna!WcM}1jS}`5;NSwwgX^<^9c&COh#0xKYBUD>`TYg9v4ZNtXSOr%u zUbsX!;F=PU*kOM3$6QR_j(=Bo$ZY=i9!vE0VloEx*zKbc5LoHCh3BlhZ81W?BM*Cp zUGe>Sz(Zxne(v)ZaVdQYaFwSzLmU9UGDQur3hl|vDj(jVz4!)^#p+q`I&7~wwO7b^ z*As^!x4OSo1m8_L;+WNz@H7%5V>-gAAJm9CmLi%vMK=x8DUXnx9z2ps=tHulBb^!^jYrpK*YPh(K;z-=p>xj!mg5XV$p zciYj*N#SQ&z=`Om2mK16Im3+&&-~zb({iIb23XSQjkr?gAWWq7$ZQ`AKA*T5n=b~x zk^#KhkI)Hv$*^&;c+iN@!KseTfFGrk9t<_7=i7D+0a8A{Qi^jH#(a9lm&ZN1%()o$VKK!4vaPJ5|MBGCr&h1;rms&7eLeC6Yq1F94WHpMhNvcwq1NqWbgw|seDLr_8D zba;mXaz52*OAzlsJ`sso9lg*}={Ijn`NQ%8Z(%m#&V;SJWonm#k@zR9dP?)2L&8zn zk+6c$Dg7N$Iq(X5-FB`{4M)#@G!pFu)E2jIWgnc#94GU@K*2ES?0)YurdM+Hjc=kkReYjX?eHa8nZpU~?#qduZAs;7>(mA2z0j(fZN3o7c3O3mZ^K z@5?n#nO1uy_V;MJ=PQ39IM5f4d}ejz*l#|=vB8b=CKgNG9-P3+srkX@ILb#%1XbWJ zu!ySkiaW{SvCP{IDJsyqtD%QDeS-ahisw6V-6S#WCNmOUN0x4Z--ddHsvALcNEDC% zlyFGE9&^YJ@F2EK#fcU%vfA`Ag~(BkpuKyzi+e;)+x+gSvH13>)}enh85XwN4J@(D z)r<|9fLi8=n8Wsa2?#*?Y$OKCTiym*-4mv|Wm^c9|FUiF#Cf(DsSV^*nwGHJl6gk8 zwbSWQ(Q;GztNstaW6ZcG8v3%EZ%cuD8a_YA`-~Fh>}hnm^EF2pdL=>}aCjiKK%7Xg zh&o&J?eO>lov~-by@b!2E=b5O(#;(Qv?}^1KZ9e2mLrYxTnoyanjxec_N_9m^zn^$ zkzPnAoxA@dGT^P}4-)*^EKx#joLRx8I*OUpf1GcD(;D(#Uf*dm{N6B(fPnZdi0P`5 zkaJ-ToRn13-cjUH=4VdOx@y-2W@zRGbWt&qoC}O>e2D?xzqC@f$yZ)yibJh&cZ^XA za4^}~J3#b{p--`otg*0RB(|2)yaRN+l+)5rRoC6AQmi`kT??UI1!$|)6O~Zy4HbU2 zXdRxF$=;6ArbV~*9e(IwZME>x1K9O?+SK=gtqJN7VsgLMFR;aT=4>mU6l zP&%^q`>V+bPaPahxfydu>_Zy@;>91K-C&5d?+UiUa9+kHLi=pc^%rTO0-%9`(%OuQ z5=AmFDDMAD4Ti4+9e&o3g&25hN=fxI)u(S>Pxxz3YedBPBmd#-muB|j2FcXrQnz?T zHKccCy|pG5D?HxQDw*1)#!k&u^}KPEJfTK`Ap!F2Dm{z@MfN=6Sx5WnQS=N56V zPKT7F)#km@HrYn%|O^GH**#cjFUeQA| zhkA|{#Qd5)049|2wIR^6*qf}Re(GiBKjvBL96W!2`j;*J&s%MAhG~oou$mDxgF7Pa zu0V{RAp0kqrBF$@1?=XI_`;=3*LB&}tT0Hdf-ksb zB)~oHWS*Vl`(D}qYWVIGOawK*e#(gROO#1cF@zcjmeaOU8#$i+!uA90&{@V#{9p$q*04JsQDZNMl`Y zhiV+bGC#= zPWl=J4C~!wH?P*y`Rf>5@zt*%re)ib=zl~$F*hLnOS+(E0*i++3^6(ON7aC!Mi--> zWiiZ2R!!F@C$Bw5>e9%)iFSMqX(~J`BZX>T8WSg@IkC|WovIW1d~Yw-fU~H267$D8 z6fih1GvXz-s6H$_8}Te2Ik?SWJ1ArhM#gP1v%`sB!{6`t7jL_jeTAE$g>X>5oSaAY z?LVnTLyL^bEy>Yw?(tssGm$&5n4?<6I6Jt+E$D~+H?HNFlp|<#1;>_vdIa%bbVyGj zoc`lk-<7fYGt5uFfNa@OmBKtcNgLpO8D>}`4G3DB1S{k&A@Nk;-$q>X7~uDt zv|#6XL`TelhPs3%g_7GOgW8b^Dk3{`){Tk3s4qBeXE0liJO83zBe&{ywM4EV0D+K+ zXY+2jKS*fbuZBCMYIv@N*w?pWbs>o%EZ2m|3y!{X&o<1rBgcc_DaM$Lae4l3=%<{| zuN=4-0p?-l&s%G(sx~%1U+Vx>;sko7Ka6dJY0~co7jDtTTwL?D1O*p^Fh$ma&fo13Y5Zl@HY?+P*- zulMLTp1uC&YP)`-{iO>Jcs#xa4`fA<)9-T+v=ETwIr7ot%sqoWcvn?2@EKoOsgN37 z$bC-#LE?=0E6P_6l=`OJw~n`8ixMK9if$s6{nt-C?6^{FpzqlJ`&_q)u{P1akK^BM z-glfpDZ^8M^s53B+Z=KyiVLqzkN%Og4^6rHj-_Ax^f0jNcnwne-SFw^nvZFki|1bF%z3f7rY=Y9%fBZ6-wTV_Ok za3{uRTD5516%*ncQ$Aa+@%zg6r$IG%u9MdWTt2y!ixd_+fH47VZTfYw(R>#i6@$lq zm>*jtg7NRpI5wTVdq-(T2hv~y99n~q%_y_;3%cmBjoiCjw4!)by%caVa=h`1?)@ko z-P_U0vl;V4Fy zC=P$yy{rSMsv0)#g`+cjrHV0At-i{TOYdcjD!QZfb5v!9k&^NTFkCMj>Ni>-O>FHZ06XHBeCF68|Hh zP%!_u^pgKS68gd)IfqoH*^_<;rY4YrVh1X?0Q+cKnKKGy(ZVVzc2aq7k_JnCgc&E2 z24@t8Aw$~0Wyt?oQ!Kyd_;us4{Wna_%sXSx3_O;FyUs?S9|Yj8^L%<$QFA85rMI)} z^s2p74$(VXEI+$C>+$rsTx&jeB*B{ZcaD8Pb$<}U4C@rHa#jC}#c#FShSQZ!4bfrN zZT@+=lScmi_HWd3bV!!VjoOa)2Ep_j;?MPrIVCnYm1Fr(&|va(DM4dCmT{(=g84io7Y0w9E*8^kDm4P!Ix~_F}ras2iZInB_q0! z7`rRAsANk%xt^gLT-QQ*F1Inu6HdO%Sp5~s+n$ER*4CpMQT)@Ud~O{_yT-X#a)UeC z?mrVvc&DX~3)Wut?Fk8&ba1J-^f$rl;#%GC~25#mFiKYXYyiq)D6&t0!pSFKw8(}!J*!s@HT8UmN5PL*8 zHcl&BJNof$0{iBiI3`*)yX_D~1`O35(Kpc79I994oEB9d)|E*}a6X>%cKe9kh~8 zjb5gdle2j>*^YSq!$;DH0|?01Rlb;^ zc4rWioVwRi7RMc=yEE#{N11b8a`GM1OT{Fvmi^8awi{C{jcXV9_ha1MbsuNInme;& z%+Rf6f>$rCtHGYVXX8emR5VZf?ZLa?@cH!qaEoGWvaGu=Ewia_@Y3yQ*mEIwrkMPS zu|ceRJH}e(jXd(I%FzGpmBns4_g|ahHQEhP*A``)R!*2z;Yx~_CgNpo^gC~7)@_iA zDp!*kz+&5Cb;n+?Vl5VRKxeRF+QQjo>YdT0;o@ktl`zjt71uVLwH?*)sMK&?ay+~? zwN-fbPJ9BE1*7Tzx_Cyw@MH8rc!GYZ5b;2w({aejNQHcc-Ja{USva~Me8xtNs=XEJ z$)})fcaq5nKN`9X3n(sP^XcKOY8*m`Xsc!!j78Jorm|1z@j02$AbZj-L5ze>Inl)!?#mfjF^s^dynxE zbBn&CBX?T%2$ktKU<~a^J;mToP5SE(j?z)2#c?Q-tMdLGaNF!lSRV)yYwp zZYKF8%M|^V5DHWqE`f+s0Sukq)G32)dY~^+^*Ssv>rdO8k8ccSr#A-rR0M8mcYf8p zZN-P_<6gfbl8VjU@OwS8UM9IIbYf@t>rzwf? znDZS5Ptthg`u+XBekID%aiPI2c~KMdOKy(#l?$jodaY_fUku9eX86Mfu05IlFxZDI zYrR%Vzmb3V&qzQ{0XV$PZ@teug$1zbaqQEvk2&|q)IrIV@i&N)dcXq~=MM2Ylonx> zAv{rDC4d+G6V5$)M_US6Qa!f(`BVu~h-7MG!ZOrIE9>0LqBOmYp#PX?;@H0AOE?^LJDFZM1} zp<03E-xLO3bEnDsCidKJ)g}4F;iG@`cGVL0 znnD)gYk~Q;`~e4BpOi^HEQS< zPHXu5C5!FKS0Ih7Smr*Yq$)hR@X#eE^-WbVXWSvv($fDTp9JxgpoXu0Mz=$4Xf1jB zjrCP|oVjI)_gqax#|(g-O8NEc-03R#qLN3rRlibv&6a=foLO_hq@@ho+;`&=QnX*W zm^YrFzj2wc^hN2i98CWG;2df08HU-CIy`!u=HmsocaSmGxqJ&DXH3&OSl@Ke4*LDWmf(-a97oBp(9Jvh@c`!Na5H&W?ngy_D@n% zGRa0d=nnV5)%cxz^p}W?%*`GAtIj9@=5DWxHq0+aA5A;7?CaO?q(?!f zu$Bd}6bLm5FGQ1y14KJv^%x>j-(`{iM4s;$(DysP!+S7?xkTZ2Q?eUaex!gd{g7A@ zWIwpbt$Uk{jWKkqmk`kNYI?60>F;N|ukcNqOl%V(S8(__0p#W1{0v#yA+4KO`Mi3b z(YOe>6dN}2yLNIq-njVlOKr8q6+*cDt{HJT zr20LbQbOaS@G>EvKK;e>^Cyay+f9Wi;k{e7iQ^?fZ{s4Mo>H<|)Lk699*o{@qz%D4 zgjw57h2Z7)pG*gX-n^>A#xy#aDUhBMIPKh%JF}UaF)el|-VS?n+TXP&Cgr!g%x!WD z7cc*a{Sz?+({A5dNZ0f9JbCdmnl|X+wsE9sci}sr9>O7Zn6GMz4kYQ!Sn!ex!4r!c zd{JCoZ16apBVQ3C7!!~ z54b{(q(X6^e&qb$PQLX0+XLp>nHVg<2QUQu%0ET?-cxRyv{zRDVxq#yWB3(BLtA7; z`wK5VSJsS#yfi#bx;J5a;c7u_=_yxpQA`U=@#gLClH*}J29W?^KwYyvybu8QUyfcI zXV=Ies61NRRgdc<>5E?eOydb2KV=){a? zpD^rfHJE`1_pw7f3`T&VBOuuywD59sdwqGiPX+;A03Jm)#CpK{rcfHO^DwKU`7^ii*&)XxQpeE+J0vB|!=&h>qQ|JApll`KJC2;GC@%6yT*I5wfP$jJkfM_1mn8RvsKA` z(9&iw@NX@N6^Tqd-?;Em`3Y+Z4)n&=V=ThWuK}Bx4F>ia!ClYLKX2QOG6%0 zw3cGAIyn|;2%xf|S;x58@-f`j;bz4LnIe_68pt*Gxh)oUUB4JI7AyK1zO%bUYJFGy zsPynx+<}~MkHb3$p@9hFf~onJ&#|@wWu*zM37Vg4on&*j8JJ>d(9$DPi~4*o6H`%i zRPwB`-toATE(AH5pg+`!zg4Ws5SNpGTZq2I&{i9U!GO&bBY=~d^> zig9H!Rl(NRi9L;-`D8M}riV#paqBJf(Q}RKK_v|a8dZru>Ncj8@M9Z={wC_F(j@I6 zhL+9K$_dXj1-U-c2RV&=*(5XjR$-R6Kvfy-tyWuW<|u;anyo&k zr6!|;^F{Ey{KQ@|Oen9)d~PoElPA=7E$%G!KJRbTHtUsI&S4xu(*=iPb;DiqJI%q` z_n|W}a7M;5FI}@=eoUiG;fS(fd%YWl&Y)Bm9(BzM@7_}dtz7^Ai!Ip>+)}MG*ja?v zABM|>-@ef!9#&~&7>VN2bSoStu2dTDP{mrnh^EB~q^Mo*Byq-y#BCl(DP@5z3uMNJl z>>aP*_ZGyz!0+xo%EFdv{R@NPv*xcA);XOQk(Ky2$n#0~9DTc=1I5%Y`bb-0Xq=G+ z8`ZIh1oi5%?<2_Dk041r*xRg2Li$8zKD3$CL|zU1@w2N}nI>VK)cXC&5mf$Ki9bdn z;#}y&>?67S{vla=JwrkCq_qU$6Mqk0tNpzoG{v0;0=}|7h%-p= z&tbu*IX#JUt}C@DK6td9e1y43W(9}-)c&x^TGW=p0~vE^Z0jvSJt^l%SfxRN$Ctmz7GHiHJ*ilqf|u3iLQt}F7&(gZhzm0 zPgZ(-xO_e$s>(n~w3ML5KtdiBJu83Wi;{pRLK zsf64O>4!PMJ>tx2fG?W7^8ze&pP1MEriTN%aL>uJXdK;f!(E)0{^rCyLkL(tox+JE z$TV;b-jn!sl}+TUGSFC_l{Wf_-*(tU>YCktxi&$&NW!c#(oTC?a0mZAI=S)RvuIo( z*FzpKIlN-nG&AUzf!{(I)`UJ*6G2ce^g$&oHbL-!Wg~T}}hnq19!c`Is*A2zH2#l?mNFDrO-=0Q8xQE}ttjjCpVJ)G% zt#L~=cu=Iz+w_8NBc;pFnVnazr?IAvP}^3(W+g_7O}9}{+4;BT79R~CAAvgEnEfFT zrXOcvMx>w&5XQq5*Xzp@*k<4d>J`Ll5lFJH_`O$4CMMieE&aEQcRaeTWbY_%rcr)) zqtoPd(m8kIu=NV|2f>qNzdConZ!id@{;gNQFkajBjLa6VDH-EQ>FhM{RpvZ0PbRx+ zV*2$&C=7!`0P7*#oA%>CDI_NmWD+8)itvh4zv?Xb?YjjbW-eNp(qUdaH*({UKLWS5 zi|rorlzYR4bZR)I$BL%wdP5)0x z^F-dsU3(~bjX8P}TtkFcu|Acg5}V4^;gnC5Neu$UW0_Ol-_yKUa?__IP~`1VYQ{rm zSE1b7o5{X zsXtgxsH#g_JD0gV&C#so3*#RNx8`T<8`DJUSww`;S)9$))M4$EGoAm!6hUq84W3piriXHT_#nxFV;=SWTxd4UO>z zN)JWwJ8eS>=$l5eHF6fd-oLZM2H}Hwnl#!FS2_i{F-&d_8mZY+X-@v@djq;9gDJs{ zXCGFyd#P~MC+KtmaQ)FDZ+e{R`l3Mm&d%)dk5Ym;$@;xIS3Rf`O%p_O&iP-8<5qR~Hr}vV!wb9)9hj%_j`Xzu zoh7noT3b09D=b7SOB5MdG@y4a_Ww*|v|&-e-?ESro5b*OSb26jiG`3b$>AKw`=Iiy zgd?;HTKxGz&lr%V7Wlf^{{nYnyxgdXSR0xPOSLcB{Vh<%Vup4ot0~Hnli=I5%y%Po zyb+<3Z{l(51Q^dUpyy6t@!itPGrDPFeB9+e=<|D46dG}%jUATmo-i(w3nQJ^r8)H` z0Mo0z5{};UX^-hP?w0idm35MSs3J^l8-d{3i|1a)_x;Z`QMpWm=l~Qy`Ov6{7$L)B;U*_ zo-L@)<$AAsFm&z||5SBg)H`QzLb%KvOv9S(jWMbtKPx5r`7Z&wAyY)of&m+_YIvjw zks7!oy@a9jgX@+uCPBex?g4r0`9Yy$*4b355}8%GjjoN|K*ia#fu}F5d>M|Qg-gWX zr&_X0-|xfyI`4H9{||N7&1&YWiNy?9@;ZBqlARKr zo$v*@v@uF2_O~x@gjOC{b)}9dc}-xjh&jJ`j=TIN5AS`SHWVUjF)khy%^xo{HK3B+ zkgqhCaGX-;Mkz-Rzdp$?oN=}-R2_}%*tpZX;L0c8;dRFZ{ZPdnj(gy$^-eDKsys|? zZf!CTU<4N-nhUc99-+ItHRtmz+c;eh89FgCYK#u#!|bK1&yn|riJ?<6s7B9#&Yqsx zUor)w8vwAY4^Byo@C=KooBa_(z7uLJVx~Ujv-~@LhZya6#}_OajT`s!Nqd@u>Y1V& zyYcRO2qy<>T67AxXGOzjNcu6?fUm$OSz{)rY6m35rRWfC5^fyC5tHtI z^Tzq^zijnK&ifn+(Y+piPBHboF9_){LN7D=X+&yuci5T|HCqTUIJReH3KBY~S~>?t z9g4=ga26vgvNDe zi<@%t9yufdU%tjKj)dB8nw@pP1l8k=E&N!86(u~vt0jSthfAK%9~(w*Wd4&f5b?9YQwgyqg$S#eJRkp zYO%ZVU{?BM$NgbNJH8I1u+Op0O}mMVPoBaVRW$A!iwBS&T#p2$yEdte1S0TsrtNuA z+oX$M$B6%n2LX9p?SEhR7*M5i+UjrStP=tHB|2GU(76h!oz<7W^0&dy@RjQZV6wA` zPm8+P#q5LFNth%6*}JYZQIlUeaTL& z!{^^!EVcl?as97gffI5WZVoq*)=_#0jK@YV+Eq|vVLWT0bBO?=?~FT`VX7p03_ zx(5b`_-mmxHouGtf^lF?1@SzvrYxJ8kp&wah(SZlDI%VUFa|jgn+iq2`QbW9LcM4t z?wg2iX4~{@sMqnuaZf~R?SH`tBT{{k?+39_BfmeEL_bILxfig9zLMIQEB^|hACLGw zi@1fjPly=TmO9FYFBr))lKeG*IbO*5Cg9SGdn5eT42-!5h~2kpx&<^lM;&GCu!*#V z`BI;FxoD3tt*bly=;?y)iZ!iCOBwLqN=?x_CSmUYgoC@#+)r^9j>Z|&>mNQHbR7y| z^*!PfzL_o>$G-A88wA~%^2egp!d!|k_O+a=?*L#xv*azZ%yx=6W3z>0i)lBiMo^(0aop$9C>vuDhlAM zyAU-sro8^61@6J*C|Xh}khsPx4!Q8QL6hyhcPZ<&1?uHi&W!A_Q|!v4O*KREmc5S> z)iqc$5HzNcm#n-898K}=;jtWxNuODux!&+n(oPb?284PEXXa`gFz^vX(I$Wc7?cq&wqP_Mk@;B(n%mO9` zXN+cLPh-h$e6Mh1ADW-=uis4gqulNeLdYu8PT?dPv?Js;|8D?7w6DuexE}+@p7uwJ zU;dyYw@I2gc|&{gmYiRJ_qf@nnMe1|;GM^(eYNOhR$@NQIcV6_KEiF9ld>7n_bL%) z#cp7=++uyb>n~Pje*0@cCc0MtR}7xhYhiRMCxQBy5P%IN8WoGnF@MxMh)D#X3-IX> zip`grt=j8;>{$lTT5P}(ZPbzV1(~T+{n`%zkZfcWk=71y5V$+`+!nv99Dsge@R1p~ zhGk>LUW-B9`vHL3K=zy1+9*B*E-GIem>6+nA8(Y8>X<8(oD#r%mrrS(qe*TK`ZDT`{Y5VLiJX7!E11mY6R`dd zgq$Ssbg6LR zI7k`Ub`-#ePm_uVB5$t*K?p!^nv2hJ?Pw!2>azHxj0f*9O>cB=Uw#Sl=lRu%lf@qw zC2=0t_q~4-42a}iCbvE)xKQdv2y{&&NZPP}+||EaI1dUy{dfF5Am6C$O2QTp;aJny zXgAp>V&k|_u@Z70cd}1&w?c93Y4&1b%JkES{HEji=RrCZ0Q!z}G(Q5wd9a??iwUG1 zHI4>J9@L7n;;eAA2T`u?X~kPN3ok8BX4#wpbE>;4>gikV)@C-xnIt{WVdn<#y>h%F z1_wLo-XD!3xB4_cA^&7cqM#f2yFf@5x*z*nawlT&=2nZi#ZkZ2#Sa^jZu$Ww;QEt# zQA*nWRPK|&Iz%2lP!WB169azQX6{cJHEHX_q_C8Uoi1iMwti>u8;C#b{o8OK#< z{dg*$ueH9$cd5hOu2XPLsIy~P+0FCw#YM4r*?rg>Pc~hv{btvX_k(2?*On?nSCa6( z8bjgpd-ig)u%*i5+PqFHt+&CmrOk7EW#y`?6NBag|HqACknD4Zx8Z5_FI`>tLYS@J z!)Zo^j7rW(YbHsic;>fBV!m%5rS?Sz)A^}DU6)}G?sQ3Q|NYjefs*#E8P z-9CA0@X9PO`Z??dKOQew3IBi#ieMpfN=h^>*!C{k1sDS}!5C1i8Y* zybR_@6MEaVhePE>4n7W1{)Wa!kTcPLz&-GLlA-IvF8?|Ipx=tt4XOTK5zZ>2j#%kh zUR+dnEmsNOpyL+aP2`M?w{dd5`N8KhOrTc4BXG!4XIKv9j<<2j^7`AFfw^?1%Vhnx zmXeC8ZL!YDHb7S`)4A)af|{jyy`$_=Ae(l@n!mZ`q1=jBcr^dGd<0rIem#==bx``Ni*K_kJeUhI+Eff zciZ^=yr5T;yM~Il0 zZLatxv)qB?%IFkoakMOZ!mH%nbHZEz4d03lmSW8+7x4do|TEdXE^Yh(J?cBX` z;p^-BTvwF$EWw)fUcTy<6>5?5OIBi*Yl zUNt0+n@WGOl0gEE4}X9PX2;e%XsXifqfiMx70rt^op-_!qj^{E}vj| zH{mc9>#!uSBZK$8?^Jy7SU=K4+j6{t&9;8Zw?cRfoOs6e1~XBzXn^FC##^6LhK06` zjHo5o+GRVI74F{F{NFL0AZ#A`x6}$9kW2`HT0P|HcItvSrx0`m%0JB~0#5?Cr~##y z`4xV~5dBLl|HcuyHo#WDZDmq><%EG4C)l;>p2;r10(|sR_Sv}S@pfxVR10!-4$_Rf z_V0%5KDjnC)ROOlo`Bc;&r@pTfMrpwH{d#p)_ZS%M}XDq#9LR>C;^}ctkx{jSStW| zxtKXv9|63+&{ypzj{&l+{Vtw>7f#JO+r~gpa$LeD@d)G+=yq}QQe52-afQT51KFja>&tHF^QPb=6f1jAXMmq;G**)K`uRoJQ00U>e zlVG4W#NzS^thNLY4af)E`+JvNkwcVj9d3J(qF*MOvyc|>P&!aXwfH5jTeKTaJ^&*O zSP!YDw3632O44H*{*TO9ua&1s>SntM)tn{WERRD@y2!T&x>n4(DO@bW=)4tlSg)-l zqfQ8CH`3~g?5cEzv~hw;SPP~Rr=qk>ETX_azYhuftkZvA+sB#&O?No?=xbzSzjTHu zGw%Lr%fpHl(-@4!R5sRNv4&g!J*74)sANf~^o%b(#x7h9ONA(gTsWSQuh+33jg(c@Ki!^8nX)WYc!UirsSBpYX3K8_fPKY z9Hd3tp=;f7Lzj<5tDy%Ty9yi>QYXi`;TZ>lt`iKoi5R?~n-sIvNyRigG3B2qhu8I7 zC6V(c>ERN++7x;(GZvW|M4()Q(i9V)Z0z_rXrjFXs^7&e$icUA70aWu!?d5>wPC*s zX1|J9Ev7ZKA=4(@=0mf$>*aL2HV6dHrI@^c?fI0csAA{Pg^jT%(K&9X17q*7CtpS2R_BXT=LKM z=oj#azexn~A~szU*pvl7^}t*z&w{(feZSa1z7|x&UB1JweVP?jFJM8u41r%Gv?2d8 zD=YEl9K;Lr-8ksUdlnzx#u!co&qgQM<9pB(-fW(3fg$|bK1!3Fc(!qYKWURU{2HIc zn`Mv(v&{^{>=xQ*Fzg*VoY`l)S~xU_gn*o{fXxi^>=yFc8O$YVK{dcRy3I$?vv{^ zT7ra7xp*gj6Anrf1paaber>M6P_saUtf}%-6Me9URFDU*%?g3dNZ?a9Of_j!B}x;v%^~55u5kgtgjFO>)8E?%alDY^wN6DLZ08BuU~LY4ZZQ`6U=wS&vivsz!`4 z%h7Rp!q5;~#uY~JTb3|Pw!Qb@9#v4Xj_S@y7S(Q)5q=KVJ!g*w4XA@5L`*JGb6wkl zkupHX0%(`0o^)GFRk)}hUt3?~R(8{|XF{$+6R1`84FQr0qp8S1xkU#11(OzEXjdJJ zef@fHz0?bfMXNIPWx!NnPNJk>U`xa3q!$mlB&c7@Ca;8BeIj&C=wBxh2WjxVN6Oyf zc6v);?0VSg+E~eSs_qd|T3KS*$J$Ty_dFWirZntA?nb2n^|OF<%vXV%ZQrgMK6$0z zo8Q&$mFmQj-p@~Qq+?Gl&*|#_w$Nuso>na)4SL34GOD_U{!w**r<8h=owhIT-~=)M zln@A}CmG|+=P5qbS;rQ{%TIf{e9jeExv>93z|K!}o+9WiySQbr$Vq))!u_uVt*^e2 z^i7rjVjyi=UjA|b%#dmHX#=}Z`bO-5wZ6+^8!doT;zYee(Z2y~n+I8mV@%xzOdkS> zB(}Mg2FQq*#+pt{e<5jgdHgNSQ7t#klKI|2j66Tjhs*M~{`I?y60?dhDuc*B24t4p zA;oN>65vmDmjY2)%7(Y?Az1j}c^AeoDO*!t+pi~BGLomacIB?G`S$WcMnS&oIiwi$ z3DOtx2#Q76A9+fJFRcU1Fjy_>=d%C4duuGdq!8<4$2K|G<#xh`^2}%Rx_-G$xmWGo z$cgePbY*IZ-kr%o{4TM^yn3}jB!QJfMv7s0 zdy5;jhZ;?GCrm5pk(j4fO!|IoKr=J#^cA;dcqGRD?nt;ku_VFO8LWV`Ja;I#>7i z$rvR#Ub_fDDkrhDBTImgk@V5WZhPcT2)8Kf{S_flq@|T&Qk6_7>oE=QiO~DN7^Q6A zXA%x8Oeo9FXC%e6n0 ztx43i&uxGOc(B!kHbde}2;Vtoql5ez5xhhe75~G4I?vpTKL{wbQ;C_$?bwKB`GkLns|>zRR^?n31=Lo_m=PnmB53aQiN+n+i&WyO<)DJhvL zNu1dl8dl#F$Ey?-GW8f48)E_tS}Y1oLE|ojyMH%mHxMMLiNAEjrA%IgmP?QNBvLep z(u7taB2zYsQCck1hfY3)vWpQ&*V#+t&C>hT=)Fp#(u4+=_*21POZUx-a)6NwO>B)# zA9PaWjFUNQUA(v%8|i2*^OO`aWf&RnjsC~7H-_p{i>KHUs@Q;OLo1>fd(u?L*w)v@ zc|E?>)+X}$-^aMVqN`%Uy_=Za5cy&96`iMJxT;Y&XKl5b$qD^cF=GgUBG-AnBhf9l zt7!r^dL!z!i=FwO7|ls;9O3y842O=I$FFo4W~VpKQzi^x|2DgByZ& zZ`<#cVZ$zkHx~;TA{33mbc%FQXJ_0jsei60zWo}WW?u}(jB{nGw)UGf7VsphpDdyN zEMdhnS*v#H!aUCTiAlzVYPLeQGoEYw&(C+5`|1wGZ`POlxNS`m@B^vPIyxk>!J>G|?qXdJR zDQtc+Bi&2S+IS**n;HGmIfnlb>g1gvARacG`DrvM_(vDVl1D4(KL*HX43iZF^;dsV zEOE7?ISaWhlg}q36jEP}!u0@S=jU zKP^$ty_F|E^Dh zNomP6zO-y~LGZI&XVfx)GTk@q16pez0J~ZY_`MTE5}Ij#TF*&Ucw#~!EUAhR+SmJ$ z6Se}UGa5Ap*h<%-vCLnD5v47oSzX0Br62snnRD@dM9&EB(8LaUFMUGmx9h1xtG6Gi zdNAP9>KM9@xHiPpR0YMLb}%s`(&JGdQCl8aNx$>5|KsA_F|q(dq0eMMR-v&}LBtgJ ze8l&^Af!lHdA-9LFhSbcl#&z7h|)-XP6t02LzHwr{iun|?{2Q$h6&5(SrRI!Czp}B z^AF6JKWatneXF{(om8m(>pHJ4FpVKVh6$2UC8DR}jMf?K_r52^G@XVKuaLtMQCIMh9}0le972;gL`Vdjz=(@d!VD=Nq8-%d$LB%;t^m6zK=t zdYX8d*!!7y*`M0fo+#GvU!=DzV)Uu)=sRd+)_g^zk&-qKBnc8MJ)J)^pGZq+evCt{ z+8NXrZg2zExvK7tyj8Cane6jHM3j)hn&RE=Fc8NAGFY&+gh+Vf8Rt$f@8ZG@H@h(N z)5~9WQc+$`=TB*M^#Ns#`Cs!byK3}iswV0xdcIbkpZ!$>^0^rO6(gz}E=78?22vhF zYxvV`?8w+ZRAxSWTHV7eYyjUd);aiNH@al&%!G;SI{n}hnUg^-ZM7~o{^dFp6SO>@a|8Zsj*H#*6YAzt_DLNC|)*h>Ckr5uaTX>#_oTsiQ+{dIjN-4LzZPd!yA~vZW&Hj;;fpi=bL9->C<# z-W~x^a+SoF4pK_f*f2kb05D;$YNo_W;$V0va5Qg>(PosD{s}Ur=V}w?Ze(;4VFg}P(e;AMW7{u@0leo8-HXLV9{q5SD90tQ>a&W(f!_Z$Idn!u2Yde*A~X)R6r#>< zXqQxlbN?|$Wj}H+`6m*vVj|5qvT%=Z2g;;SOt5`}N@O6(RRqay1j%WHFbpQEG?2w~ zH?s)J#B;-XO%BEd8}sloKX13?aG+@7)fW*T?cupO4xPD1mw%mP_)Dbfe0>y)zus1ej3lKp={7m6#*gn1D?Mb2ZyfX3(`ea^gMQZyEy_3|q(<(sL4M5qY8% zFq#@Lnhsq*iOwNv?1F@~9Iyn9wQO`4VQ?8?04v|zZ0!1<5*BlduEf`^e{y31>?CM` z4Duw!{C)LZ{H5n94b5(3X0zox`*F%UE_+Q=WsAe+w|?YOF@Ek(qgeb!n*@)tBRTE# zNIo?$NP)~XxEV!(1-k=E>-cD>Pcdl*w>E!GG;NXb3vjwRR3A{ho5=5U#cgi?WlkXY zY^H~nc}-;|QwZioj;i9MMtTUNjVm(Q8;Xqfp!>{y}GU&|l_iv1mfWU|YZW<7#39RA2ws(36q1 z`xP6(0m0LK21A<5*q2*PhJ$kC=e+b`(BIc*VyAFbHMgy+2+%S{`w~VUr2l?({_iY# zn5r4e_xWwEaHaHJuDx!=3s*GsmPgcBB!UC{Wi*?chX;B2mFGxZ_I1@!WbD)>2K{hb zQv|(`LY34EBs)^fR0RP7nY?^DR#CvhQT4uEIiHf`vX8pM8K*OM6sKiwrxnRIo)Cc8 zIH92wMf`EJ0}fO4V2>Co1~Hv=FovP%-Q3)(hW`g1^4^5Y-`1Dmb(>z4`v7j|MnIFb ze6JpRlOLvjy7g1X3_m&48YiY?A7XbThBp@Z6O|}T=!mt>E2R#Qas@_Xb2tAr%-}cz zG>*{@kC`<;x?K=xHeb!~joJ}5IN*G=r`sw+B|r{_QFMmmaujkD0UE#nmXZG?pjg^E zM%m00-{HHyket*WWTs#!-a~s`4K%4{-XIA!vAD2?|ASfwC>-3=q23P&be`50ArkmY zDa)*i@l)I+RzVJ`-|{(XAe)X}mFrtBio^))kn8rRt~9PTw_E-~I!KP9Wez>m#G&I* zQcvIQa4>wX9*&~qNix)f`<f9%IoClaxuUr>_NFqw4A9IOJ@;h8H3ph+; z;W!vg5lQm^879!LR3j0!N2=)us_8r8pB^wo23K9W{+}swHoS!DoV?k#vQNp0utSoW z?jpnpb@2A`b|YfnC}$p|w4wVu-3{O#QJ*`u@AOC`^>HHgi6gU-26JIaoHFVdPp$^r zgZh_Y%jluW#E)fFzvbZt3a~+iY|}TW(503Oe)wED0FO-d7VJGtn$AlfIX$1fiqJk3 z^Y3h_fknb%8+vIzbq-60U=6E~jR3k&DWvPS(Ls+73bp~%19LI8WO1(JjqbhLk#YX!v-YZ2f`o%Tiy zaga|TAk#!K{qGkXw_KEDi9%!Aqi?YbXvl*H0DnLg}o%4Mdn9loYW-wg^K0B z2#w_k%z&lB_5Xya6cPGMO(+yeQ*{5M|MT6&LsMp;`y+^%5MPJWVvk#mLq+nRm0n>e zdj5e5QK)0VNhs7+J@cmVbuSaF9Fj{qf#qZowI2 z)pHu*hk_Zi9jb#a*9cGP2M8sll)aG`k{tc_G3pxAKR#JJGtVgAQLt3X-qjx-b$?7o z9p5l1;=7rGUY#+|s3rbDP$m7jksj+Q7DqzV^PP)ASHwWdtq+m}L36;b`4w<=zOTxb)sduByK4Ck>!|+*>o4Rx=>pReb!&OfKZ5rlzIk zcOKXJ+OcR&b&<&1sxZ_~TTGrZx1f!1&gX!A#D)FyWv7f$fT5h_&UV45I}>0vyIGF! z_oS+|m<+?m?}MY?nlQbp*tv7W{>GA4=3jcDtI&^$y@S{Rw>a}Y&4u0p!JV8qCiYxX z(2!E-t3jeMwl76|USIuTO!#m_I{NeRn%0xwFSb!l5^CxQi6LPMKa6qjPJ)m^=ZtT% z28J(>#hftjqa{|DYNHB~g;o~q;}%~gUiP^k!obPpRV610t$*txf`_`a7CZec;MiP< zC`q_8g>Uw}ymolv%8}X7*U5NFOD~5^{uQ+ln0s2q?V>9Mkp454r5LxA=C~SsH~opA3>)b=AzOec(}?p!2qCP*nya%%axUZz-QH*}zV|NO|v8^5=Yqc6cwSFs)dw zth#_622N&hkKIbVRAOVSiH=+}rxu|g-?DNhUm&yjsK*UinB_*$)eeSX2IsS$N@n`s z(#h6v6`)BiaCtg z8$;)Ip118MDfH`p?onurYD-&{SoA{H*5*GBrrf~gou0SP7W29te5uA>I?JA*@Y$v} zlek|H?NKrM^4|9Fn!_C+<_(=^+{~xn6DH1pnzx6i&#$OXHe1OjL*<;uV$2I2V2R(p zEOpo7xd8=Y(Sq6`VAWYRd5b-l;{K+L`oV=ay8=5R`)<5*v1cwv$@oVD((rvHhQ_!y zHM&D$9Fkjv=fmPS&^Df%Uy;)Nz3_u(3gg18^VZk4r+Gof828n99(o^vlgU%1BVl8n zWX%9BKiTbk?10f(k6G36{R}R?2dn%46_^KS_&W;n@iu)^a_Rf2*n@L^Q+nBNj`2yz zyK$JY{3`5W{IKm!DC3Kr3fCTboN-T@w!I2v7vCDRtp<;YHSE-;eD6ltC395;-v&n; z<{E-B#u`Besx(@irMYT5`>4m3skn+IU*D=^uxg z^eG?LgviJFEyeL0LLofFB=;&R6ko*g7O6-p)}?K{M`1f?t)t}wXAB&JIN4J%^8Wz< z0RR7Z0i;)ZY!pQpp9KmnH=I*xKKG@wujzm_aK*6MiU}H&8 zBm@b@L{NwlqrpT=6Era@icx|Q1rlPw#~&L0AW?%4RAS;E1~B@4-|p;nuh5pT$;{r) z{N|hQ`I|Y1-OGkB#y0=D^-FlM8ffW6+)D|=6Gm3|k{Oa2Y-GB9a!uSZ_%~C!(+k!6&%Oah9!u zHi*TbKQgxg6OE0Ah6_v!qvov;Dvq)}&}Nb*RcpIXqQ|9VCvDoU??JS-r?cxQMJhTb zm7oYISqr_5qx94hTMRvTy&FHJ=O7C;H%A`vVbH_QgBd%$ahgCKra?nxkyUy}o)%RA zCrxI@;P>mhtIy&hRE8F%HA|U6k(mASH)n9FG??;vMQLC$h`^CKZ90sH2KU}bX=D}v zlK*9&M?jp%tvz=I}da1yEc6a zuh26+cnXKfq+*87d!=i^FW{ii5?=?zup($pr0C-Iao2G~IyxbcN4%_|xyl#{@{E68 zJMj@9WV$&y%*>#mFn-D?!kO!G4XXhslff`;y#QFo(g3iNSB|&CdkQr4jV|=KunVB0 zN#@^OMTamLeUvn5umnm2vf=o?R(R!8!8BA`xLD3T)g8%-0W|t->tO`Tii_kfP7t%q zBJ3BhPTw&UMr3TL?vW!1huv`YH1zLZs&7Gc%b}rVL2N?0$8dUaGm(RN{~5<(@MB8d z(NnlidW2h~vR_G#u<>LgJftAR0b}*`He(A8NtL37geAI^&4&K11DCEMPMR5Y1*fVk zX?l*t%g9AAbXKDTdL|GLUi*0a=T-1M6&l)^vdyfoGO?`Ba>{s$_PnP~*E|HqN!!pF z`G5q_I7OT7-AsCn55$Ig1gH`UMtQ||kM(rcjhsxnVmFkH0c=@U*|T^bkq1fP5~Z5* zr)2llT3jUe&!4HFa1iX15CWC-xY)4~b0Ty?0oqGj+{JYFpCYnw{lyR7L)|6+<<}4j zh2KdYex&r>W*iy^4LwX8FVAEDm_Zp;M3z5v)J;XlNxgwNI5DxR!wCf%f;e0FqbQAMNpZv zUHuAe3Ar*@Jc%ORZEVFjdz zNc7P?>UkWI{`L(Guu7WjZ7F-S6EQOWlzfihwwm^qi9C6gl0b>-bVhrwIMklQxT(Z!Nbco1vEp(k3~|HUDBG^04BnWT>@-Lx5}hC@TA+1yAG zVc;NJMsD9-S&K&jOF&!hLKdi=lL7i{#04QqR$K7ph?IZ36>w4Eu4u$TKNu-5&K=Pd z%l{3@khd#$bgo0`Sl1*y-VA~BR1WvL+ucEr=#SOkOaC6hMMAoDD~yO0hTNmjire1j z4r05ys=dXS8S?bj;aoG5dGe=ls2U*r44p=+_B_#Ag6z}xDn~KNUuS+hum;!5B*`=f0X*2#s*6Y8o!rjG&ZpdU^kGC^(pIJr4H3;&9vhOee!%_+-}`pMhoQBi%`P zu2|`M;UVE4u4p+s2hAb#&(1#>QUER~Kr7`$6cbziB#&ZIBT9=BBXZdP00030|JgUz A$^ZZW literal 18357 zcmaI71yCJL&^C$$cY?dSYj6+2gS)%CYl7RsT@FqN?he7-9fG^N9uDW`{l2POx9(r{ z*VfF_J=5E>y;C#2yWNWg1qD@&0{yRc|943KLoG`;cUxyC3Qle|4mM5-Nl#k`3koi7 zE(#7lc1|vKE)Gs^3Uy02FI#ghcuYmSz+(7PcP$&WyPKPaPB#qQw6I z6bk15Vzcc3q7U>%AW|;5OqLft2{MD*811+V@;Ua=H?C-eWeclh)JetuNt)g71B&Q~ zG@nPIe`Q)=8DdErxMFy%Jh~ft8X6wD$v~^3*BWjb8hUTyN*Ep{!wEyR--)PrYCdml zY8r03hta&B^Mh;8TJxWOwQl{ssu8t1U#`{%j^I&VMmQD}*EWL=8H5qcHsdAH`}p8C z8h3h0Ub*XCmPVUXh=XhfVG;DH(d~x&7XG;VBjrB(AM~It&9SW}k6kc^$m#I3CJcf1 z_vUw(i1}&^8a+HNPhv_3F3(w*Ob1HZ*6K_J)yep@F0n2NFOe(wB*u<5>x;|Puxq0uK#3;6=^!w~$t+J3(T(tgboK&#^Y?veBx#g0S&)1aY&C&Im+QP|<7K7y>KWV|A zu5pw8Jm~8f@dNLOIaJwN6J`s)^}=7eQnQrt1XcQl^yE}k|3d#OH{Dx*zIycC=qVHH_;y-mqysJ=oEP1JQF3ZCw@K zyqfUSwF+cu|1~jx|El9tf;s`5iKd*IzZY&%Ugow6%o&UvS6g{9cxqmfu@?F^bF68* zjG^Xbl)l+Y&2x}CYPvC&BlrBMxPlhQ&^orGFh;~! zt$&g{*-96@-w&jEs=lTZ+-CRt)cDsQxZROOws%I&J{k;(tPD zb(^}ZieBspBHZ<$)ZZYY+B1$p~F!4^wCnJ$5&ZBmvjA2$Mz6qB8v4gDt0s!%5~ zM%;j7dwU{~+QG2~Aho`^@11~ZiEhV1gGbJWV5ivD-|>yDd%;T!{iy#jA79P-|6=}% z$cH|06?(h#gjR+<&@*|3%$jEN4rEi~S2=N%zH+rPR;|LKVgxMZem<@Dm^kl0;>6QW zR}ipl`)U}7p|ibw?BL>Hk5N}^gbKFY_>$EAxG8R z=3}pHybI6)vMJ0QYvnIx)nZ)ge*`!k>UN|`W&d@^E74@s@AlVCMH3ccs6d9?i}OKB%^4QIT+Gp6UC@G$&e4`ur3L zMX`^yud1U!{suHgA+{&z$An%I5n>sZOG5&c+}!|2+u({`-V{XX+)f2%_eQAX8OIjmZLZ{O^l zs37E|We~(Ew*AUnX`MIFGNmQv%ob!$DtvnT9dWmOAzl)&mbvrgtU z-75#V$CjiS4rUGNo7j_%1OEC6j*^QV*Qw)P1varb6*fY~*SB~0DNVujRMNKDnUI4= z{(b(urTOFol?q#1*_xU7qP!Y#O5i&e@KXb2-NNe(-{b-N_|sv+HiQnaO(NDHN~-ct zuyTZ7E%_eSi2NI9L6@ENa`Mrjd^Kz1m}vXDEBM^^_SJTMLH)6P-i5l9qh8+kKIahc*Gz}%#->sR;wVg`=sFT*is*^ z7XVK5E3%1o3caFMA?9(Pq#r?^%OD?oT*y>eK734D8WNrF6{M>pYo`UIIdqlS>#~&k zug(RyZp79Ps=y6LmwI3b#iWn8YB>lox-u=7rsF==UpA0iZ1`d@JP|5%rcH{hJ#N;& zrL6dq1BkH#za4w2Tr<2o)i>x$Ln{ta6rxF#!|UhP;+#F(CSys_+=N!Y4UPurpZ`5hk>oyE`q_iPJv zW0#AeJ+B4|1UQ{XM~_6wG}5WPQ(DUY3RaN=y}b6Q)N@AjKL8q1o0e>mJgc^`L9{Vf zi+7h~0_Kp!{&*lovylaD@?Ju90A71uyBCml@DbpB& z4^*uThCE92aaDbu->TP{Ek#8tOX_WNI7F;W-^b2wV8yUn3 zIZjMCR4kDMVarcm*Bt8_1_T=ZTDe>EBBLd}^9&qMONY`*r!Fm{)m3?2Kvb?;5{l`4 z`gR9vGT+^lkF8!|i)0~#vw^0S7x1CbK99YM(^3+c8(KoAUMof_zZ?Af+?>4-Aa@(t zDrGy+{&&v5;}5xvuLC(JZ1#2w-Y3Ie&-01zJ1<@ODQy`vL&?pn?vpEz7sjF)$wiNV zJ$A=ph->Ex;dZJ@<%isgz9WSV$SnFGxRI{?T-{DD`Q2ja7(>p!iDSt4jBe$#UhtM! z#YbBi!?xR?b&gj;^8SY@D9cQ_vs^Bwx5UML21A{P+!r!@31qEOsFqitV3scXh+|3+ zY5OC|bY?A`bY9yO;2ltCcaAV-6jiHXCL9!VR~<-i_t6ztad{iNbLDrI04o398&1?d z1lFG=zfq|YOUq8DP%}ljRq^V+%31u~WO1?ZDE#E}UVQ!xwTcf(&6HPCP!m0lq?Snh zrVDf{rPb}l_X>g`l=)!PAMJB~&A+Hh*vC&i5&ihGm+^k_=o7?zvfbYv{aCzucaB0~ z17_6Llg#Tc3rta4NUl28PF}Fq8ZAxPWB26VEZMMr=8?Nykr&oYxyavAeW#`UH&cnY zEv)-hD^IRLdC;a6&3>}C8QeskgDVJEukp`h`)`sUxAWj-C#ho19L z`zG`9h#y^TP~>9p_OgF91Y?=4(Z2%1V(D9u?=HQb<0mT@Qy!f1(Yw3}kqdN)Y%XI6 z_OCVmt@N}(em4{8?FI>ZyEce~u)(rE<kW-5_X}9g zobEVR)fj7?HH@o&Arn+NRi^ik%ofI&A?Z}U%n`#Y+j>*9=Xd%wBz+U|BK54bk#yTf!PQWtBZ$I{6g znvbp=kn*QRN&{SMFdE|E@yFQMyrun0ZbGmR7c!;#ow_MktS%);ax{B4R=ZknJUErB z!SAx+zRoPuw*=sa8=T3qU8&k*v($mBa6hS#QL7K=%M-dt(Y%cu8{fIh(jOije)LK2 z<;Y-gbH~d zxeKb*>qtdoq5VLjXcnH6s>fYG1ug}!hKXTO4j7(~V zTbfzo(DxQ?htMEGW{em_jN6lrbIQSig*IH0tGX~`HHh>BSLl*@)i}1AA(-R z$IC&j#RScQW^Xmolc{=`KdY{N3RV-#G`RteF_qrw0H8jvzG4PU?`(aabR!1@xMGZ$ z`E-$-2sVPNRXubR<$`fz4SpqRq2{llvZPri?~zsDO~7$+o93<|0~Xz=l5m23KCbp` zZrx^0Ci)^~nb!kaVVLS&YRU;-$~>8;oodW9ip-S()V`) z3T$m{(OcUrlN|tMNkc&!rFZOfq7^-jEeicagIZyG#Se>)-Oli|?dmgRU+N`69t54P|p zcrTtB*a1Qdqkia)4gC2`q4m%Dd|_xajG0r0Q&_H5W%DLm7ux`N|2UuE1cAX70#h8Z z?<0O_HI^b@e(Trvc@BY!xvxp0Fi2kSdk`YU(nwDHKSYn+WK7oC)rka-_LozUc%0FvG z9toP?9e4ELe)vYtXs<)<@D#7>HWGe~QRYTeqa+cIoX)nsIfkdO$Oo^6dxuk=RCy>Xc`GUvhDt zgMD1Jm3Q3Z#w5Ld6`F9-OtrfovJ0;>@z|0gpGoi3JU;w|cLS_}<0rzgrLPIl+Qb6z z29AFTT$)t}#xnBufSaX4EFF5}dLGTnO(%BUHgiiIb46e<1H@2-G>Ui3Ed#1J1$u${ zN=EK&h7Pt&iYfFoVcevnm@9MZ7pj#;XJ0_>1YaUxxZC_vh+nT`plD)%k-bwm_wMA* zWxV(wlkgOoQkiymFKN^gY_*)A@S)1P8{gv-# z3HLiuITzGDv7SX2eMzg(!u6_f6ZW3DL{qxWrWQUA4%^=*6s~o8NW3pBtFW*b!OTHE zd%Rt5MZ2xF2*N%0Om=Ck`U8Y?oA?eSMk3wF+4Kh-fhI$6o97WLwWA`Kifb`qidsKR zPYDg13sDl7Q+fLuT#haXPA)`Bnc6Cubl9~pQ1ct@EKBL}=j}uLaMK8Ed{@M^G4j*B zW}@b~<6s?M`{OH56@s_*Mlwfr+ng@f{;Ag3<)F_lYwagGDFB?I%NHSF9BX~9F|Qu- z7mE9+XN*5sX1bygPDl4yB>?|XIX1x^>y)@V`ICIbWX6k!QK#8=#vO(`Fma2j=u=>K zliY_}dD)4$tTdX&x*;wXFt=_b;|1d^B{r<`Q$L#Rlmssm4zEVYUx}YAvI_6iWL8xt zKC}^j*q{qt#M>$D>+F3d&Bkw^{JaEup2v|CRUEms2G7!~@4^f^GA#8zQH`zeUO~7l zq|=d7Q*V}EbWo+7Pk++u+v$(_!k|~gmBXM%`=JuxKN9RbkE1%kUH6>Q-bPyJA=9I7RV1-aGnK9jhpbqpfM7i7W^N-JRng;BM zU1_T}iOXO98?w58fN-PiivDH!(bbBcS>AC(P-M&EH|j`-kZAOGLV%|SNy1+j-=nF* zDvZNMFkoehLk#Bnu}ioLYbG4Cjm+oyR-p&s4*-tr{K2K0n2p$SN5?yoee>qUb6_=K zy7Z`NblYHBgirUr{tDf@1NWOh3)zq8{Z8T;Z^Y^V+r$;1zdnx}*DNq<3a?hGR3;sI zZf(!A!k{dP&a~+zA!M0JSxLCS7oi4@tJTEWoK`1$uQ~5NPH7lSz|88|ff8Cev|;Gh zbYdG>p0q@y0NO_x)DnX|*2swipHyl&AG;NPiIi<>_5)Lg_KhA3pOCVviEdQZ=DZ(` z%%}~+6W&HC<)d~M8>^v_ahq1IfZYgL;v=zx^|m=yWR-G%X&_H&_%#ModCS$}Of2JE zLyyuD<}r1uNTq&in1ueLzH3)alU)nOBqiHG>QE!*%`X3)$O_8R`YI2J0e&)+<1-&3 z`PIdiDkX=pgkBYP+eXdY1Q*kLCzUNJoU44KsHT*)`K19~kORS-CwsT8Y*jOWMVpI` za(P{uyjya)zUnT_1Kze`xx0vTD+Q~9hIH2@rE`X1o^CyKLSf7PAtC7n?kH1+uRu*q z0p3|w-#xRO_1CA2ySas3%0wRzzh<(XwS*pv6=vB3q;rl8p|{_8Bv*h$bykEK3axNo zNquSlLaltwBzG5eeWJ=w7AfOb!{_m#Ic4R-;WtP4hYhz%m2H0GeBbPw9jYY&(lx@F zBip~x)kS`{^GKl$ss4V9B45C_0T#RtS8@(s9)*6^@h((_n_;rW zoUmPN>G1E0E1XxAw)@57{tf;*JdQ_!%ZJ@E0Cg*tkbI!3!)b`{TN`(vagtNvM|t|hVs}K6YvIq|h-Jh5*HZ%r zk;KJ@&wHy>nkOU{`$IGQeAdtP2u?Q%hN zY4eCiWOIK<(MGlKuy2p){h0P91CLSdiwbCmz$lw!m9)|0)=fR(%=jlUsN9Zr*%}4o zuQDjm(R%A_krRiF&Ng?&n9-u`Bb}M?WTBvV#Joc<4{rk z`TD(uB@b|m;cStzR}-pYJVPWJXBRyS!vk#UKUv7Qjlk&po~2@pF%rPtRlHHC!tPHX z>TL3j`RT{2RsB?5A1n5bQ@-hbQI2WlKCbA%y3@;^nJJ&C@Q&dAD-^xobTdGcI9^dSV#?U1Q$ZvCzP~OFzlsWyrc> z*Dj`6vQd-c&u3W2WVR*)9a|z;eE7cvZL@~vJN+iau=o+{1Z{QG1Ut#G@wvZUgBb>? z27VR}3Taa22WSt?q{oL>2I+j)2G>#iAEmo)Rtm`fe78BoKS6*hOorj{(CpaZraBlW5b2WCR>4=SW>+cn0;elTL%}29Ft`?fY@#7m2KC=+n&AS^4vEo`! zzk5O{)~TtywjCxK8Dz2C>4c!~K}PHlcflE5CABV%@$*fi=V1Gf+JGJlLz{ z!|d?GL(=XJuh$zD--}IL!L^_i9QLR{F1nnn`w0aT>J@k;HG|h28~yyJ7i%Vu1$w%r zvzg656Z<`fhecODmqE+s^dHoNRz{jRB`>}Mw`c2fFZJJRZZy7|c3s14-@FgecnTm3 z>1_}_^?U*7#s_WHzrFfSj{=rdD4c*Qoxrum-HbAY$g%JzLD zo;Xo+Hhw{!aj>n9?`(;k!)jTTd5*{EYYxJy$uzVzq1-6xNpBGcrZf6KJS3yYh=Kbhe z?K4#{H;EtIT`Tpctw{Bf`U4e;I_00Jf@@Cv^{811l1I7`AB-n|$%)B^qiSGWL_9tR z3j}q1A6_7x>;7f^hBbbnHhi&>WE}m@=g?XBF6ZE*rYJV*O=Bb6sQ>daw172ox)*-e z;b3KXvfiul5rZ<6PxVOW?w?zxUIoBFI^$S`CCZFnkM<=N#CuT%Hu`u8p0=S^r$2$6 zlZ->&tqy93ykN$~q?CpHc7TmauNkAKy%>K+L8_uSZ3s!mrZ!?SuSBV}(juqi;;+c5 zrwa*1??I^$;=`4n*XN_oTunlXuWpOm;dk)`+;mrbhhkq}@lpwew#9>B&*VU5jJ^1W z3g06t^o`LW3&30gDCSK7{0Zl{C&$Eae(`wkQ5_ zpFy8&9`cFjiCm{u6a(g%d}v+bELoJAsJNuuun;h4vJ^e?^S_D5Ft3Y zTq;M|Dc7#mvZwvkCSTzX81|I;UfUYm3w&V@QMT6&1+l9Tuo+1D87??6^qn#I^li(e?=+oodrnC( zR%SQ09nM(mc;J(Kw^h8BLZY#G=*u9yZDWZ!3yqv;?zDNVQUhzU_BsWpTE;_k+Q{D( zHb&!h3g1$(Ls@8=t#a-0qz)RxblR`$EE8%OwU^lJmhy%@ZiZbd$})lpt$f3tzLt}} zjDC`+Se>^H*na-JF+J#|(ig}|LQUX~tWU?O1sEB2F9@w8Eu4}6HdK~)8a1m%Valzv z(-J4}YaLx7w;?MazDeCTByR#X3yn^hfo}|2t9dSUXe^$-sMCBu6!otd3^BXfD?6lZ zbla~!tqK05&G^`2E9*|Ivd>>50J z(&%{(H$8vXelW8V{*>T0dW_i|K=R-eJRoqsDYq2y;qb zhiu)g*-OwtB!RD(^eYBQ10e>&z)`sg^TxyHPyu9*Cw`TX7YN20Sxan?4|$82`0K)G z?Qjd;;dxzst6XVFU)Jl~Z1h53bdzwkOBnAAT*jv7_5Ra?LGp1lT*+ z#e*>J&g*agOv?1cCPMJ<&g)z`qwn~sA=C$>Md7Pgl385jl0i&&Gt|c6?=y+DUq$1p zQ8tQi_PGv%(cZE~A?O15I6a~7V)c39cO!|l(jSO-!Lrjbt>hHt0Zua#!;p{&GmlS* zC9d@+(&|CDXHHy?gB-5VW`=+==1CRyJ7OiUtST8}pS);#WZhDWi3i`}sXzOrM(+mng`UjR--f-2I|v6QPBvvE`m&!Om~^5% ze6Eut_5bk!WrIL1ix-Hm&pd7*+}JiUo3JRmIjA8Afu21N>BWD=;(hr&8dPs?Rbbu| zD(YJgGL^M~NO8D%JAf_@%tP)M=x2vb4}wDj1l-t4a^rJHTHKQ$jBaEzVqpQKca@3G z(m`-{u}SxKOwW|74hhqH(-FPR&}xzY{)%$MO&No@G)hqj zyH$ptz8z6GMwcS?ftb1EO#go_?e*9HFvt^p@kv9bqQ8W>p(Y5iHRk8@p?H! zC*rpsU=@9Nri~%dIo2$%-K4qH&GIu7>pvTdVf`1oYux%)EWjQ&%k!$`w2ADD__(H- zY|)0Q(o$cqiH2RQSWD)tlLu`R{T4h(R?z0n?>V&d@@nHA)Z^nB(bhCs4ktd|LJlr2 zdyCq0fYYpGptAp8flN-%}Et zGBlo&&R|IW2rP9b8gX!_a^#qqrzwBLIrr5h^0%A%on|tm3erhzTU!j1^q-i-UC__T zXhxke*y`%?JRDE5oJi+yt;yXKYz%y@!ezy?cjt>FHNCcwx)bfR@cZ$V*LLtG2AA0i zjosdmkIUb8V$!mjTVF4uwP`wcO|;9*hgMV#v;1*bPQ89&!uA{B(umLPwkdX{Dk7pB zIK{KTfyec#VN^Je>2qhMjw@X6Pu)~Tm0@VN-7=ZW<6A~N&32fK$FIlzNz zW5<5P=X^~Pc+t>tdsf{Kp>E327ry=)1NfKv01P}hFK4=&c-LF@T7JEdf3=ZVw)#hq zsu=1*hB#$UW%S3-`Y+ul;x?X@%0+>BYdv}bjOG+L%$ARZJ&gCowY_UcTzQ3WiAti~ z`@8p#+ddX=do0AC4N~K9f)(fY#YlVKe$_hAx&`LOgDlM{^4nI`>HGsjATdy4-6?4* z@)r|Dj<$w`z)rTS`{yr@u5#!q1i0&xa-yP75=6x^TMpeDWeu5GaUBh9K-56Atf3%l zgIV^J-JG`I3QDziPC3mvT|8n-PgkJ_nVtsZq+<^}NBI%0IoXmy_idgSjeim|hCRNV zhwc+3z?nz4(1r*K{1s-)w}(g9AGH^41S_}WEvrv$Xkv_6mE#T-+W0X!-$k0k%|{#; zEFN4hwAniF!9?f&tEVdsZM)TYD*`V*>qceHRUa%VuTq;4Dfz)9*YxuObf+(X^VNm; zjtJvDfm*E3@wXvu*`c1AFS%*LLh* z1jQm#hn#c`by?DG%ROu!D;V# zkju)@+nr}U>+@~B$zcuizWpL^K$!>yV8Q)WuZ2GSiDp$@TP%Hi8QnOuV*mwq zQ(j;C*h%qNqVCao1E--DKcN>ur7VcXcr6;C{fmm%jP?FPtN8@#^CdNwUYj9^8*m<& zx3X)=N&XB{p=%1Xd=?revUW3MB407Djs@QlS#RtMKEFyElpA|74oVvwlLfmPuIUI2 z`d0I40f+3lE#LlnS_Zgoid_7Z+}L;Zh-*aKhx|-gGpVFs##-Vz~RPj9!w&(l}H zra<9r{NseCEs>rPeR3k;(qY0%P*6a56-aK}zl*!n0ydAjv2GvyoQO#!HVnlXSETuZQ>(1B)B=t6;FJ=S@ zdr=2Xa@)Uby$sw!kfW#fd5sU&_Kxm(=f%z{R8=;WFg!1K zi024NwWPYJn<7t0U(uOJWL8yXU9$dKHXL79t(5IdoPqh$_oXb%MW+jhjm(lFS?4Hs z@ujqZbUh$+S(j=+ucMm+IU*eCv#Fm>EV(JQfW(cy&pN{w?Rt~ETngk*L04=4z2~8@ zWb4Ebz$)mR_+W-Jt_CSJn%GLt%95NYBElv3BJI~NGA}xhNI!fKEIK)}1l9ItYkmvD zC&PzT3+pj9i>6!2GXA<7)df6^EXSGf(rBo9F;6i*c}Am=z!B`6MSGuSQSa}Ekd38c zKE!@cHqp%O|}!c2~A)yMfXK`WJu^_h*n5!fZltZweI$*#VZJ*DnRK zVJ__j)^j94egH3Q8}9=fXPC=rlFRsl&9vff=%!Bhrj^hZVObFK>{qv@gr8nofG5t` zt@PqRlBU)J8z9tW9LZ%$LEgUxqNX8%b!%~dD%p+CO(^b7&!G{)3E9!jC=vs`YE!d( zAzrS4C(+rhq~dP;CIz>q3Gt28VngKhbP`7tn~Woy9Pv&T+0IWq@8?T#f!9!IkPcp{ zPJ$-(1DpRej85+0g?I%5mNC}R1oX&l_>XM53pP`W^I+ET-J4P*a#D&n;n%+do^)s7 z-I{_wIhq2V;AY?4o6aT9r{@W zD@4>}?bfs@4hjT3Vb79{&Y{C!=9649%;rzcdBI(dkvL+^=8w-AArl?VS>zw#pJic7 zzCiT{UnH3_l7v30`gP1!u@4J`PuL1~h^V~qZh22pxFn@p_DMi(w7LBZILazoLC5ca&+&!BR(6q7ocTSwvy0 z;V$XQo?yyi7yN0pZ_#nO^^>WyH*cA_EP;YpY%DBK%3-sP)aF&LdNbkSydiR`An_m{ z#c>}-4=+>PXvo(DM#9#OGG^lgJv!PFFFGI|4FBJ@o4om-aTp`@z0~l%016%k!q&*l zW!f-F`w{s>J+hGs8`q9R#1Hlfsv?hwT}XkMyduVS3DUOQigv(!omd~P`B#Ni^6<5W zKU@(@+x_xh5;nVt8f|{8gKs_SKl;O0)T10eASQ4E3-9)mG^sw_CL??_-xQq3fbwM0 z8FGXEcTtjGaOhT_k4Zhg<$rJU);VDSYX;knY+CWy^b+|BGXHzllU+r;)3*cf%90ci zlS3F(m1SGd7_~aE_?E#@b*Mri!_)&`XMHTfSeqsI$yh&|R)}l?MgT7M-Xw#URI9jo zMV~KJzIv4lfNVM^nG#fP0xT(&Ns93sjxW~XLPs1&qz!Dt zi#aY5>Pk&nH|T~h8e+H0O%(>B>PGsx`v0p(e%;t0`m>OPn<^YPN-3(f{OKBQU)24n z675DxxKVaYSL8gvMTAKDiI>X8`c4N(0Buf+W*VGJwrB<{r*^6G3bU@bVKP_+JPU3V z1%}w}A{^CxqfWS%g1}8@V&0=f5 z-ZcN@`8zF4uGD3i(rWQ6qk>6>?^bvWmq_8UJMMxe08J;v3f{Qz>GadUWg|8k@BH~G zT%Tl}O;0XP#D^4}^nkr;WIDNDWP68_5@xdFg*4+asKjO++Z4?0pbl^DvjCM9P( zW+I0*;ld}i^kL595lGtgQkEiDM*J%9I#ws zgPk!26gu6yd)rn)*{Oc=^C|W_Y*Trz0DL(`Y*NI+DVk(Gmsj zmCh>ctt$^cjmv8J`PIp{aWl3EmB}|Vi6M)|&M&8$QKng2QgeP)3yrrkW|**anOpRv zHm?^O2cWn6p zDJKL)kRK7ljg8X$$_%|rXg43AZ+gG~U4angXu7#1U<(~_d(}Q?*{grAY-=bl5Cxu_ zAnmFeCjEGlo@Q#rv;TxxzQp!(SQD4S$3-csKYh(7HR9r5sQR*37N*AR@iM+e7hqE~ zu`Z`%hhO`RBX+C$vQDtX-d8^FAqgv|Nj(MI@bW=^XCu-(*?Os#QAPLAyDTg9HZ~IS zGIELp_&$S(@0KAu?DCFSX&o>5b&1rbl@kV(XTLZOPB;!SISzEh$B8}ICSiVYIM2vU ziOj&tVa*X?NVf%dBT$t%h`0Y;j~$R?LqcxnVL7-f!0hR{x+5Ot6A6`sYGD5gC>|P* zi7EnzAR-EoVZuZH%5?id3tB2Gu$ppSl0}^ih~0^6{60~`pplWjh98`>DLn3|OLPvW zgzPO-$AN2zDILH@n77X2CdjeL?xGWc2?8hYLQtU4)n1c=+Tzve;5~r3U%3x%~?0k_CHJBfI8I+O}I2h81zRf=#*mS6VW$oERxlV7x zcz)p!_6C3%n7A;g_`@#+`o;CiXsve$ZC3@jQ2Qd4oA0~00FOFUx%?BbSVxoaC0+Qp z;pW!#NR%%eZcXG*_-J1Io~V3i9y9uj8=SL?DI!|P@BxMbf(({AU+PS@#AS_*RUln- zEQZJ?Wl`5WvhVmrp;Dw^LsD47EctqOH5rl~3{pEn?fqAVmwrHBU;fahQs^eE2pk=RAAB)Tx#W0x@_?J}dm^iSg>l~5 z7d&?~o}MF;7}S7If_t$JB480I1^>55JXEikGK-j(2#;?>q9UX}QGFp-<$w)85@CCu3 zW&siF={SP&7+Q_2r!q8(*Oa$dg7REMQe&NL3(l)qCvG@iLgb|@_@?B9D)jVU-iY#& zwE{lu07l#GUK<8|{+92}CDi;`^5(@|B^(K*vmPSeqP(^30@#Qpxn zeds>ppbFK}%`Yp|(x0Y;F=%kHS>X?KF7^Ysg1r`+N~~>y7Lu<3>e+Z^rzc@DV`x-; zwXyb;pMq(Jom{BHs);ud)6P1u5mzoK!VC`alEyM`cAY zchexpKM-pJ=3%i|-*6~|6CXXjA6Xg_xJMo(SFVMBhSktR^%=`C2%lnM+b{I0K=+5k z7^Hh*Jb-Fff-y*{oot=Xa-1Re8Hu0qf$+#9WDu+yLa3XJR^6sEU5!pjl@q^dR%wcS z1j*Wg>Bnu@O=MJk^eE+@A~C6}p}a=990Br#%27GUBpR}pTb+%a8mUdpE-%b~IWWh) zxX0a?bD6>~$nfs4TQNuLP%7Es`rB<7-Www^Qr59Ab)oMYkTWy1|6CYC-^USJY>5DFs>ux4Zq3Q%as4sWLNf4x6U(1VoZB-#V{a4fZ?IN3oN}(e6@^sU53H8WD zN`n2Xd)}A!B9hXffM@Y4?1Ny;h^~RmWKk^Y7tdSl&~Lzl3F<9eX#+C817_3FYO*MK zw2rC&_C)Q0#EpT(TIf9j(xTv=D=ez2?g3b=9(Z01eZ{HwdEeD}s8qv|( zd-P9EZhkoXTOhqZ3u6{u^+N65ub*qP8~aTUWA){#K2iVqvViXVx2`4RvtoiT5ArDG zm!eAmIDOfc8-Vqoc&iB|V8F-`2`w=I!snT~JiWx@&DyJk4pj95kDb zovn87RfSN*_Q8w^YI~iFLl-iNuH)5u$Dvc1W17oN=J8>Ux z@@Gy#gbq*#C{oZbU_$k!LG@)o zZ5Knel~^H<_-e+My}ij9rk?SkzSoRU<^K4@=lOt&h;Ko+yz~pP>EZjgm6fPWjvT-k zBDN4UgHVU9GO}(&kwO{);)Dohn!Esl3>i?%AmC%&lQ^BNe2WK3w^H1*LZNStVLa7y zx}nrZ`{Mn@lT#Il!!FK9ah?})Lx&H9%3SF*K_`6fmNlBB<@$ zsITn*{OfyhT_ULeUl^1U14dF^uK}N-VD$kL`7kQ-N5Qth|`emb3E8yRy)_CLJYhY zz-k0);?(K(y=JSFwb8ouHLM5e&v)u=)VSga4JP$=EJlhmC@M@s_c%uGZ16zgLX_>J z@s<~yR!$iRuH1 z(BhJ}Jcx1B`C+V5rZTYuiL??T<#1T#2w2u(XaWo3e)zsBTH7#)2>kiz7kZ1)$5tH( zZ(&kgGC?n)l&Vx$j;5pY90ye#76h0^)%!HRihCCzsWabX{x-z49pbt&Gwn^o1Mn4f za31*ga%1|npvw`Uoy4vElrM7iq1}z(PVMJ$r~Lts+rSsRH|i4*?42s72Mh z#^%o}2w22F_4tUS-_U=h*Hi%*I%eZB9orER^NB3baH-h%sOI_0n|&zvF24>wXgIe# zpi@(|{$)<>T8PIqV{@erBfNH_4og>Z3Y&Ga#Jv4MvGXFt>-%&Kr#v zOn|sD_Z_jFj^TisjI!T3`0NLw2oUM)HL@;o#-E~S%=kt;jFI1uqDX>2oYELo>SIh? zUU}*os^op=Ln(o5?#TI{)YSH^xO5I=2^K4+2Lo}Y%fA!f``M}-mGVUFhgnWp9z#@C za~iq72GYAoNErNBH@x~6IIW5TP(UUQHh3{peO?7(PXR!oU_J>FAMd<_PQaW~!GOWG zd!i=eELwtSOH3C3`Er3f{Ju;tWAoOnALEJa7Np3`fpiIwN*utUJSY`!3qu^0#E>q{ zR2NCa!7}{kgjx-*e;~>je;S;lpgaKfOZFW6K(u#8m+FB*barAnJtfNS<>`E&2D;gulJzgPP@h^T3dP}W6$_0It^dc$LRLt`OMcMc>vhf!{$ zO7-AI1PGzNqEn#vBGr<_*&#^2d|>iRKI{}ag(cRLMTJ2w zhAwTwy#qQ`%O|XB*q_gcAitY=s_jO@j)HAS9eC+$KE&&`St34|P};y6^P}|n9IYP` zH8CY_B0p+K_tRt{?+b^EX>l(xySEo;8y7_Aa=3YOPq7yq8f6Rx9XpZF`eI4o7W{n% zR$?$zVo*wAa6n?P_Ggsr&nO5A6&V^8*}octDu`729X?9@`h$<*z*}PQv&3MEsZ>5P zvaev24_%ZGUz87eRLG2S|4*aWSz$P-n9#0LM?Lq2o&JkUoLdu^471nYM)v3D){}nV zhf^h`K+%caj8ph)ap?H={4V#)`;Fu84Ab)yQazqlXIse652q=+U=2U&c$MU0<|Y)! z=BdlPCR&P{IMRl)_$p)DN>B7^?61M9hohrSDBNEzbpFa41Y;c=xN9-4jOCv<;b4K? z3EZ$L01Vh$*~EBvUnm~t-}8O%!`~EdKd(+OWh2C>G5(5bV}9l=qk@Uywq=Rpx1f=p z)3M;Nv1A(e34mFbgp6q{CO?lfb2yOKtwyE_;-jJNWN|Qu<K4BK`3J~#xl9GiQ)#qa)9@*-Q+{7Nw{BQ1Ir6V(N&r2M5@ zg?U8%J3GvEP_0X3Rq3O#l@9(-h_ zjPgz6y)ZSw4Lb4J8aff9?=2*t-03H&(yCt0hW@{zw{A7%kSEd@s5cR})@aSwI+MG( z%ophQCZZWMdevhf)MuDY_9@@FSW{_GdkDL=d%tqEmHDG0!qFYKYe?A&ZaK zjn^`1E#&Acrb-uY%kI$fVWU3WBM5&uQNQ_cu{`w`I2wQ1l9OitY}h`uI40%h5csWZ zaIIk~u&dCI9GIZ&+rZYuUavX6-~7xrh(x9<|F$@ub3qar=~(05u%V&(v5~(qUJE>V z3U)Xf1ntI4iaJ3UET5cf3+;(~f}pyls=Hs`r3)vPcd@^*xvov36nx_;(fZT{{n++cqRhu72Dl9|EYA7&2lVS>T}t`4=Y5?J zUjx#p%SwjE{EvZi^^OlpRS0kDSm?85>R}&hw+ftO4Xk?oEb!{n;;ZP^n~|cvLPoMNQj+0^i4Z`l z+iczl_CdIy1VbOhVdWa1d<>1u@q&#G*xu0!5}@q&;VV^%W`bPC+NVQ%ha{{g_ZNyw z^LTy!{{a91|NnRaq*rTf6jcc zs;zbFhV`v$n-d#apK3%hdgjGAErhk+oNy&2R_Lmzt3%d(@vDyUtG^{b*UCAn@Y8yB z08Hn{m@e&)scIRvWeU^lU)%*T&ULrs%w1R4Ok+SiAB^#=xhYSsrhV>cIppYjd0X^l z(}xDY5Pjqd)zdArf2|X2k;2%<3(gJ@j*Sl`d_>QtRPLmFgPU9v4(_qLV2oky@Vfr( zf}enjO@o1_aXQSmJzqB=&P?#8ZrEAa4W5VDO8DV#ok5>tU`8sF8-O#@mTzdm3AnwG zJqGiErdJN&*8~`d#o&OzvxS)wrm71UH|eP%-lvVlIs60!8 zKSsa{Gzn#QH8U;+>bZz5h57ek7b&Zx6goL&SC>Tg!+2}QYg-~&o?S(OeB$>Uo^AuWKa&|M8;{_H(ZMz%0 zmO`YWZDI+skev0%+PF$j9aW1Vhi-J@r?ebIq3-3$A^{9$IC&6bXEsh1D8p13C@fZ$ z-kv9-3aCkwSQq?$YfsfVBtl_`D5KlTbn?WkpT9YaTczPvP*;?CmH-bNozrT-dZcjg zgOmnl10elh?j;1G{qcZM{;ZyKxRdv7t`}l!F`-tk+Wrnwj0`E%tfemVRjc65q3i6Z znw&iSpEpk)1B47WH;<7SCKUQl8A&*6UB3QNz{y}REyw5qEMpk}IO(e=*28-;4780Q zjHGaSKt~bJzdMQ!qci$QX_8V;PtCD%fyh*4gDR(tBX7@p_Dr=2 z%1PbO7zKxf&?I@A?b||fj0@RDa)hW7az=T@cTaXV*3FDevf?y^jRtI4N7)N_ACZlu zCy7!;@l&$rS`8A(^9wx{1P+~j3S6L)9GBWQ4neduLJzc`vALH)06j&d;rdG-9Yfh= z{VUKA2$|nQ7Jj_+gC<-W3j-}oHD31Ppv@qU*;FilXsexqhLdtb<=|AYgTf6vjA8AY zMd&5UGxJdfnemro)va1l$_|x`2yRDQQ8Tg@_a(u5?vV8pw39xdWBU z6OJM%Ox6-P^>{L0khKe{m1Z%jq@;!(b z;o&CLdyQBh->Y806>0B4(GaU-NZ;nNC)yDs{ZGN?3ht;Gf0-y0uTtWuucUw4at(VD|fc9L++T@#68&rj`LLx_qsbh!HCI^+22e4ZqCJmbo*MEF)d7a zMo%s7_?)|`+SSh9SB#M%ySD*-%}N)FKeG@HU2%0Nu&d$SdN&R#44@D&aNqV4_aw-%Pn*St=Vp1bYj~7O)VgCaF G0RR60TZyv( diff --git a/cpld/db/RAM2E.cmp.idb b/cpld/db/RAM2E.cmp.idb index 143299f2504a9fb68671535ef739a1300281772a..f64c41a090462b7beca69399985a56808ce09731 100755 GIT binary patch delta 13814 zcmcI~byQqU(`S%mNFYc;kRXFg&;Y>)cMXsr!7VrhmjNyg2~KbsB)Gdvf=h6Bcefc} zkmY&a@7uF`cK6S{eY?9_PIsTGuKx9{x{YS3c2R1;VHL`ge;!Hhf3qhPsQ<}RdH$2T zp&X<=b^FwSKn!Ey|7ccLkf-K}&|Pn982;()5c6|mV_|`YGiivO(=2ypfNH}{OoAO4s8{J;$K(%r zLgr7wste}0$PATNmL}b0Ncosc{gT5;L?^`G<1U0AS5$d=KuowmxA9jPH6%&bgR031 zN`}1Xbm5mhShF}>+dWv@JzOI;5tN#_QxD*wY9?5X!$=E!50z*QIb-c3iySY9ZWlyaVoRZDhEys|l4wz4og&*V+t_ZXV* z!KCXRt=W=i8GnVDlN30jO^o_J_R+-1(hkeeB`8oPsh(ACyy;`_D~iF3iH=c;nWlLy z$1k@O!zHs6?-T`a$#wq>i&vl^);~+yaO@+DVWZWTZH@P&CZ@KC?+n0dQ;Oya`A$@+ za#ntEj@Ngf%I5p`Azi^Ww({p+Vb<}sxU(|VAg=yrrO+&Ddq4gG@+e^{ll-qm`PeI84p=+HZ8Tv z`0P3p8=#Lr#+i0s_MSpZztY!4yzlAQQecc|Ot`7{>%IgIh}2ohhE-p@YFW66cHe2` z!(q;XXl2y~lhzXRwbYo#mPXVjRL(tQ<71!UBY&N{y0M0xA$^c6!6|-Rt1&&%Vt0zE zTnf=*FN&#r1JPn%im5^`KTS;0Vl7HP&DWyEfG+ZB1-G9(Iu3?WI64~ooy2cV)KziZ zRrzYXz1_ZAG9S&xsP6<)Ee4V>jv0R{U$gFH$a0+nUg@NtG~C5;;MkA42lQ% z-^0s=#GjSPNy!)$Q{h10U12=NXO9v6F`_=kC(w5nUIiFC=SFy_62DBb`_*hUPTz8fE|HC0=={@o=Cs8I-knf5y>Jw+ChwP;MB7(IH91EJllW z^TP@Ek9hwktzyh)+KSeF6ytK>N7SWH#rI2c1W_mCnSG?_f-&y@PPU-hmx(Me;UZpi z{zLwzjX{a2rm}o`JVthGBGo1qA)g#Xa&0SieNDz_(ux3l7Xu1K@x@WFnVsR0>dtYMU+$2 zKZ^3Nnv_?shtR-@@*nDH;IE>@X)9cPJXK#opZ4a_M#>%~2vWFzJd2`%qccn^ppQs$ zXyzXO#EN!GxQ?S8kiC&HI+TJWwuux^QosOQD^4Kf1FM^jakxk&O#4D?J zCdHpu#AJ&|z{pub`UQ#yFUjH1OQ0ifQx;1X@KMS_L6m1rKUXOIS#| zcbGFU^RnIcB$?(Q2&+jH^4qr4kOu=QI)f=CX9=~Xw1V1-Rw)E8j*P?m{pQHEKF(LY zXxH1Yg7S&l4AWsWohr23ev75q0`;EoU^I#T#i7`G`Vq$sh9@m7a)B1`@P}RYij3m` zWK3fyY;r{=@lS21BWf0y;s)j4gajDD!9AxBK|Wa%^4S69gJwc`51tybVL<<2$Xaq4D8M;q$Te~2ZE7!T zz>lj3iQl*PKQ;YDkv_NjlE0gUr8oR##P34(QO;!XVt%kI@A$$|uJa^)yf7t%Lb#f1 zpV`S9MPj8~`gnGh$*z4MFlkojrw{RFd3E{Nag*W~X@~|?4!%Kv(mjRUZ zSl8RZi~c^6I>HMT=%#@g;+~_Sj=h(v0Dcoqe%~hGfYA#&%1CSP0Afwwhecie(Yv8Bmt+7ws&;M0wms4>!8?5%IT2I3f4`C-fM? z5CRG0cwidE+!)%PDCwmS15OqM7cTrJphZo>9oN)=zMVw&?{L0xEX^3DF{CpUgIFjy z4dWMWbObw~?hr`VwQQt0nulxQ_hmKW}!fAk}^8G^g6?(_VL`-Yu6)Me}=Mu zN{RQ89BLE>!o9?QMOkD*E4x8ShEypp%ttGx{EjfESceeKWPpkzLyW!9PnR%5oL}hx z4Bwq!aZJe)ipF9RSa{6PuT#djMPj?R1hQ&M_Pen?=Quz)h2iw?QA%{HkXt-*Rxkts z6KO`EuR-X`BWU(8@hbn3UOq?yLecNffV0-}4tG=K*`2r#Ad1u1?>AFLK_HG~Df%hMQ11P3 zM6aG~icFyC|F)x;f{sX5hSThcllS|c@N8N3{-gO124=D|>(ovc>`Fklitt!>sL%8d z4-uiYUQo2YW~U+e?3;cx$msY75_3(+Ph8^n+p^&291#~;R3hL2p|hw6-tW92cUb0l zoiL(ZqK;rm{7#*hMyx3B6MPcoMgG#RP|E(rc^Z%^i;jEgLu5w*!^9mX4B39&>4`$N zV;nK^9Zvb{pABOUmH?0xbD&Y7kOs5>8v_vD?-#1wyetfB%OOv)$Z&_zLfl_Iif)_G zL05D~1YAZ|zU8AyEmHiPV(JO5qfiJRd1orN5q1w}u=g8+%b~al(=gp^aZmt+;`UiP zIWBwyPk|N{ilQ4oWc%$!>`fw=(pU&}71+t})T7M915g6eMISY~`AL@G4$F5B zis|T1=wZ~VE3UV+)F_wI5H4JlaPxhSA*mq_TASYq=xaU_Ipd`?4roSzDz;FZJHv=dL+G>F@Dd>&28yw!aMM@l-^QmBt`& zrQ_9*W|A9Ij|fTm-4sSmShD8-b~1x_af%@BkkAaeCYLSJ+S1KggD=jRm| z#`zT2>?MVewlS_R$M+NQ;o4Ruy+!T1gUJdgPu`EkrptrP`AXwDJ;?cwzm+Ud_`yu8 z_H^x{2Vc$6NUigB#NwR58~Z|?(E>moF{Na4=lR8DpYcaS9)6?qT!Nne!P+N{YRZ+v`4%hJM3s>O(>iXYxVhS0hQF5cQiX3sF&8su z7N`5FOo#Q9Y6E_>rw%DiHeO#GZEh@9Xe*1mjJk>8kxJmgM*MzJc$5r0mskLV!1hq$ zn?_a)Ew_>QXIgGEbiW`vaMOc%@kTHAUijwWz-}Y_QWT*(yQDW^!}iMtI@`*;7u*7e z{{h1}`sW5l>gvFiEq5bbIS4d&_$K9iLa?>|l29o^zYH_LtNwy(CRje<14>*#XcSud zd{okxAj$mI5e*Et+?eO`MgX(8>U|U|ug7FdfR)1tyiwyrm-1~z(faxqrS>eDebA{; zA(!|S_Sc8$QntOiZ{+LOcMF?S=U;#OeNXzjk&}5I;n0S96e|LzN@ubhARI0i^;{UC zdx*os>Z!IF_H+eo{}_kNmoB)yo45p~(A>s0C(g?bsh`mZ(cdbc4g)TKmlq?2=e<8K z1LJ7+1VOGk89)TMPm|+B809#hLB(Cmt?0eFFYqe zEy2gQmpi)!rY&%ei)ic@w-y>yDz6WMb>S0-waUt@7cdM6bkBp|8Q7^*g4|7|TR(uE z1HA1<&1UiuvI)+QO_z10_7qwAJ0wSgihsEL;QK&~%vuvwM(eg85!j;v@T*^LM<0B4 zi?|Rr>^Qb~Elpp4fv|diU(6^$eAz3|*<=o1$MWjF>++h4J&c*5hcau6lC3B0Xq zHDtJ5M0wF%^I^x%`lcl}3`fc%^)NmGL`o`t1iew6CtULEp?qzc#+?Mla>=Xx5OL}t z{CblpIyuIqby&J1K}*LHI(E3~4sSV#={D|KEQsHFot5uv`sD70ojQ=P;ePghqTcjk zCT8?js|68uC(^#i;;4|xDW^8c0zCDb<|#Eg)2oH>X6b^)FVfQ(Y7*F9>JSLeXf9Y6 z#p1hlPbfDEA-Z@nXihCS~sRBjBX8y1Cx> zY|&$&^e)2d^sax3Wx~m(aNo>^k?)v(Jer$Ks0h(O5@ol7ABjor>4!Zex37}B4jCMh z6s1;Vfjsl9J?dkvTJTbKT0k^#FVtidVZrus(q!;HZ0_1H^pPky$n+AfGH^!fw1wf^re8Sps@_KhB`2RnMb2S*A@^na zGjrdt<(+T%gJyQ(&C-!$=;V}&`XH8Jr;d#y&w#3ciRVGybrqZnhO+UaGmy*tlR0v3 zlIZE0eVNGJ@n`69K+^j$r}A^3l@gv9=+)=up}b-Gmr|{FlQo_!h+XLPG1HV#@7y;Ixb zUhp zWx>q=I&w}zn>BgCnBeq%(pzZ%JKaZx^sOh)pJix~?sJfD0HlXk>iOh6rqRIehr84l zstyujfVc=SZN5Hox^|w~-J1|(!tzRM%;V!4llaclJfgkTdb-S>!#YuMPV&CE;*}pH zIFXCyaj~9OZ7Y=Ed(XE^%WZ?)Bqvjn&+v{y>h|$?cKEz5#8Dxl|2Z^Qs>q2fZ;Jc% zvv4W4N(rudTH0%XF(038MERz^SY7io4(QNA0rdDoOv=qBK| z+2VL=u$NHQd$aDPw-A^mjV(|Q2W_ki~4hd7Y>+UDA6UX`^py#_aTHRe-u zCCz(^N`=R`-M&FnUm9}bufJx;9gWKuK^v8E_V`UXz<3X8gzCc`>UGkH<4%>p%d!0y zK<}%dVi^Chl?TlT$H(CmbUJO~39mzU{kQWQ_`hsgZk^L-1^FGkNav$nGx|TD{}y~{ z<1tdv?DR0jJ*UGhe& zxo6+aYJ56%+QQF!d$Qin#DE-a>Mo2f8XU!wwHAU{7Fr4vT|wN7Zf^4@gKx@U5Yaa< zQ*KxZxdCf^PJ>IEGNRt(XnBv_j&)=~lgdpyY)MINTmP@G#!1_CMMC;A$GqkkphoY0 z<6w-)O|GBe9pz;oStfO)W3f5#)T_F?<)L1m7@eaWYhF=wVR>m&pF7`ed#&MceXSe@ zclWq!3w{o|ten+on&#AtzNKI98m+L%XJWRJEs-mubGC7r-A`5g9I{u_^Kj7yK$Ny@ zluk|3?60qO--kn8zXzK!n>bxd)A{AKo?OC|zd*)h@?saNyP8 z_c7wI9ui^}`e99B%!#dw$VNV};lbOTONzCYdjngB8Ns|mm`*cCw=O0m!LbTO>P0>J;%hO-qNl+&tyAZhuP`m^CbK5b zLhRIdD`KtaE#*QqG}Gn)-gOzy67L5(TYQ(Cl+$d(S~?JmwdkfcYvp9YwDZacWzf;C zHmlS1HD4=3Ze7su2^rRum1NB9mTp;5)O{GmWYIE{W6<}ytINzTQcb7w-1&W6)2BYkac&hz8U*+rB1TI@FahFC_fMK@Q%#KGM!#8p^{rISU3^+J^cP-n7q zqX{_YwiV6jV;Zl64(0EU6uKNGFN5XR*T2rninHB!Q*#^|J66(NaJ$+Gxz9DUNUolaZ~R6IOD`#+t+9i0?r5sFtb{Rw*yH*93!|4NLjT6#C<-XkYg?xc?B-ZPJR$*mIu*Oi!XPd)6@lD^w!mGBl5zwe$ z*0vnrV)Bc~Z5L+_rd#dBRj`FH_c|=mQ&T_Z9S+0)Gu&zj0zShoA;ZvS1k5D$e*V6T zR%uV48UuP?dyI1X3FpLi03`gb0(t7>eUe!j&|Qewp!HvFB9klv8rDPP=|Q zrj?69gI8BCCXu`(Y*pfUvE458aJHNACemp5s>FR0?Q43#6!n`24FX$=)(jL2cA9J( z!j6rqh)-KPbrbcuWrtNv_iUaF8S!m4C0ga9zAm|5fZX?^i`7wx^l4JUa|@64)F6T2 zcIKo`Wg;vD!Ll5yw_cW9c_5@~+WZ)+;spD&ieNd8(=l^vf9KEsd~?318vg>bk9h8z zUwdduR?aDc?}bD4%e6Gq$p`0qaycq&EQ$AqHTHcOrqP3Lyp`Si&cY86iXTeApwQs+ z`kieZz%+`<`Ls7H+!xA9$f$kZQ(NJ}LcupET#+(g|0!F&fc3mv{%P-nf!rA*8A~gB zQ9&+`^`@%jA`1yi)7;M@7Zxc{Yk|mg_G{@aGl7qXYLCdVQvx zoR?+ZB244;GB4+J%zqLbYiBcvnk`>x=r|iew67B&V(wd&9fEH1#3cuqb?vdue~Fgd zbLn&y@CS)IU=D4vO>Q!XcBh9!(h^o<6C92y8D(N7@c2f`VwKtnirF65h|JR>+if&V z;LOT-5`ncztKC*HyGz6darc^6XEK(LjedbFNgr=G{-`AH{%NgJ(eC)t;=(G6znOmD zg=H{LU6-HpFo}*jf(dZc5ASPYdp=2ZWn8$$-@0#mq=pFppxBG}fxY4MQ!ZTu-e+2* zqqD^<@#*%WU0pHH;Ir`b>~ir+waLvkZQzDvSO3+kF?q49TPRcM?wf^E~Eb;SJy`-a!EK9oI>+U~f-wOsS| z$bX8Rf@9@+lkJz!@4dsH8B91|E>=i;y^-_Y_q6Z0fCM6#9D};mbF!Zg_uM*t0u-{_ zm8rAcnAtTEBmh#-aL2o$MgBV2mCKWK;ZZ;SK_lU-hd5fp zM&Nwx7sx4yEVr>alfAg23J}0?9atBN-kr+w{Q*Q~zpuP~%`?agHgI z)t1#1wLV09-87H-Elx}FA5eRvY7(U9dbd{oV7`>Hg+ORFrWic|TCXwge$JfO z$e#i&XeZEgm_wJl>~GxpZy1ANtyc2$^UQ1w?vvTE!eQ&2HCDjK-{qHrGJ@1wT@T|< zK~6FfyFEF-PTmjJ$LX8hSSV0`xya^;1WziFxU!(WRg0I+vG_J#u)yl|UR%d?VGyjQ zCJ-FZD0BJNTlqO1@90!U(d|v25_#opLN~YIU;$zAW?Y-@=o~3eF_XLKs z_WEe)=K4UWCw+uNsA>0UcFCvPI`9CF=>+j8OoCKre0c9mO~V*_m@_Ga*` zwG_>j9H&qNe`*%^?|SM!lLPYSGx611vzZT)Mio5`T?2GAIqU2CR-5vpi+ne$!_oDMUhUdUUxaaz*1I^nbsU-(jfWgB(UonuB3~nFk1*Sgam}mV zNBD~IPXD3fPmgTF+ zsX(#o(3aK^1u-;ZJYyXLSjT|F;NbwY$)pD^; zi&LcuU;T=vz;(aFc%;_Men+}Hv>%knFfdS<%mU#D*v%~5U_1oHB@hj$K*D(9RAM~) zG222@psPu*@^ht$481OOj;Wo8!>W_-lQ`}=nUxdt%b#I4@=}eOUT>unB9)^}7i&Dq zgfPsu!@G7|U}3SRYb;6$t0>DQ{hm7!byLH&vs5#id8!)_H^@gfWyALr@DV?`C^;~@l9Qw4o zZG8L9n&3tKjZD$<=^@wB(p+Rh>3-g9`GGfw*fML|Rnioq?eEerhtX&bSGU=sSJm5p z0C5*@L(WNph6V=$v(n}08|*?6#afem-p$7fH5 zVjrp(yAYH*OhC237!BwXnWbZkL6|JK|o1)Lbi$DqDMxR{_x-0 zqMt6#WB2!sg-UbcnrYSlRb0qW{kcMj53~y5KaUL@86u$T^~m~z9lku^pX6Dx4c&KSC!ogaF#oE z2uz0kSlMG@{iYgiTl}hTa_-U zH6mnlu@)R`_YI)Sr4uw=f?B&oFI6jQtrK>BuB~v>wsJBX2_+uRu>+f-k8qaAdsZRS z=2!cK6DfPeJd%X^J+t$KxzC^Ln3o)@vDR}2x!y@QeZEy@_C!PKzd-f?KN`)RBRg(} z>+aZ6ZJQv+X_k_kn8RC`YY#s!nULk57kX-j=?5lT;(wP@xKfr@7v|bf$y|SP8=Zgc zs!1w+I6d~tErNmn?$SjbX;36ssfEHLtR~*k?<2Ngl3ug$bDR2yZQ)y{yrP9^8#B0Z z^QD}{T-%>f+#^W1elYSI&iR@Sw(#InBI&;Kb&JJXs?wnBXKkT%;n@Kz(<9e%pdmBVX$A6+!HiVJT6)0JZy$dE>j9>N*ZP1#bO#h%* zheo=%^JBi;_L-$SQ1nFc-hvmDq^J7hHSP5duW)1YDnIy8D~z8;U}*bxeEcGpzQ!d2 z23B`+UsgRhK9(+R;8fYjSUmXPKmlsq%d2U~9{5sc;r{IGg`IRV!~OEj)tHdZeS)32 z{!^fT%oKd=QYPQf&Ab)fI$v-3W~-FbFMojRG_@{5IdkbNV8gsv&2AQP7b!Wo(G@Ib zpP>KMq97>4F+OX%-AxZ=zm3C)?DuD*cqS+`@j8X@FHff(Xr-~KQ)CyYHot5M9&O_I4JOWUUW9_~RO={X@kF{yJ{q7arm|WnmGnE1V zndBg?L{GH^iZ5TD2QQUQU4ia1N{GqSPybdS-8z=wv1>Y_iu;=OTVb;r=M`svX9?~^ zZWg#b#PNo3J^egAh}@)`7|4M-EJejjvP0H#DJIvRjdm3_F$*mV>h!XdH2$TN*KK0j zzC1aIUq9;-m3j0O%~Ts5GIu^&YX0fXRjsP=#3#1}cIEFal)v2&STHU%^LUQ(k=LZ~ zeJ&ydzB<*gA04kUPmv@WZ*ecJaE4&E@JX?$vaP`aQ!Ez@51Sl((e_S&Xca#6J<%v)ojd$+a zk-I0GBdQ4DCcG%?cdkmArH`X0Zq)huFkxoVHqS1!u-Lxa6TU%UwmkN3+K_b9c-2X) z6w)G`gZr?34S1D@<$0N&uK#Teio-v^DaL{Bm^hBPFZSTJ0!R_;&suRUvJTGE1WwztPa$O4V5=Whx-Mp9E4F|cI z_H1NPTc!7dD6BmPE2*> z#koUAKBN*n(0k8}u`3FAq>ZK@F957|zxCGi{HZ*zzv9S2+<15nCL=q(-i(+$ka3xf zS(3Z1YP6E^rQyIDJ90>Z&a*&)7FQW40TueQC-EASB>m3k-Fdfb^r58*?<95gB^=YV zw`*J)FPKe<$~ke4Qyn7o;sh-D>Wf>xB7*M&E(K+62eD$!%RtlXyPmv@n5_M+mkrzv z+k_o=w>OBMv&hKCnZg&0Rm)Rm+F4<4igGeS;)Alr3qq#Rr$3sH-U2PhX$DI zfox4r$iBsKKglnFdXq51H@A#rEm%%MPA%GXEkk){8Lk3!DYAC@Y{6We%Zk#;-oR3c zMndKp$_%-~#Dsp~*|8#hB z-(#f!pBPfE)S{g`k=sn(D|`!@mWZ7!dsWF=Toz(H2%%aZ5pDE>(&pSZ@xw27oFB-u z^t@V@t6S&^LxCuFM>>v)`o5bvM8S;^ckTTFM|$D0qxf7$cCnK}%T^po(|zP#6b z{7Bh%HY$FZ%I;&g%&eT3OILk)?zkBpxs8had|=EI>T&bkqcqfG%{6k$dOV$e$H;ov z^6lUfOQF55ZCPT#kh(?Ny@Fwxfa(5Q`3~KIUQ=m+H~r!^o_jG(*v)pwTvbTp zg^LP(DPPu7Qr(Q7P=?+j+l?b;nrzmRN7j;2yPZ|;HSQjA?AkbPMqru{YBur6WyGA& zOZ&Ulxn(P)lkS7~#6awh7UfV&IoK8aF1Q+J)}*ZI*o0B7O45C8!YcitqF!hyx(xC1 z)D>?Ysu47_?A2oB->P)C=#meA+?51?(1tG?zuNs6*!5wqmF7N_|ADfsfa@Q3%0n?| z!u3zlvERcm_I;$2ju&C`4XYd0%S+vpy{47Pf15OxpFZ4+6|`dN{nI+>e5#YLuL}SU z9~t}6{?DMF25Q|=&?3tRoDrXiiv=?t9ixQqB4qhRXqRo~=3X_Eg=o&s84-VquqP&c z8~HzKfcEx8?(TAQ{^|1A!V1H5&bYtd`0q<7KTbZ{W!X_Yqkr5#20~dJg(DR;WIv)| zP*wHl&Dy+<1|20~*##Hxsy(^6j67>-aU1>K6isqUgpwp0lBD3a`tK(maeRRwqMg6~ zCL2yRgxhKHy8BX-&PDDfk!npCml2wL+}{73#g#i?6;VVR}5);3z=%{z>zQ z6E>u$yl=_n(+MTA=0_K}<@RvFY^~bxMY@7a6(SOm%g&nW8b27JJQ;ekN~i;_1Uj>2 zYdyGVn}qfHIw|WMiCIkJln>4|AT>;oLh?>%<(Kq7sCPg{7#Yl*W34{kWKUEV`>yjE zOvjf=8zSsoR#d-rTpgG)2=G{1L}?2j3^#DZkDGXIA~PlMOwy+cq|~O#&*x{IP+KI| z&-gEL-?BjJA|o5p>nsuRGVyU6_u5{HW>!5lu}oL$W8QxR8*J+INJLZ*66Q&HS%MByTP=g z->JfircTyVfvL(iG|)-|03bg!Oj&xYV4sWDjRDlT@X0;kt#dQj4&3@B6q&u#Wu<xoI!f7_{~%61)^St}b_JcCZtG7`^8Vt`_Va0eHX`jo51= zP>mj;hyGZ-TlQg#e9P%u+|-aFq=pSTQN)xTAC3%+=4|bw>HR@UNYW4 z0gsU0pX!>io_f`lj)}w$vDXWN3-yb>QPr6|QvsBQrLWPK>2mz0jkeddzH9%4zTH}U zfOdbboE&p>IcdVkzlmt2_K#OX21NA&zUl*eQyH_YUe3|!RmpDe z?U>9d>EMCz2^Aq`zhuLiwvB5EUPn>)Hjp=8`W24h-u`DZM&-{)D{0Ix$YhH_P0l&d zR=DxwUkeFSwDajOU&5N!MMurwXmI7M4icqv`Dy5vFH5^!424cKP)MJwcOLoqOnYCS zEBs08Wi#1_vP-#f+4(V<*AR!%!hYBK`=N^orX7xDee`+J@5<&G_K+B44x4UVOgNqcNPCPFf=hW#l!hq~w_60O#1V!!}vCQ=)6S6JqJ zD_rUprFOuo$Vac$!#xEq7H`yR)d;`Xk^Wx6<1QCa5>^)Zdh;wP1JY)9-Vh^1FM_k1 zqOELbXZCutOe>vS`Nt}L>nWF%Hvldj1dnt$sy!eBF0M@843X~2Gjc4A?Mahk`KHx7 zX{R|VjLc~Pw29~V&3}{|t$)3G9e=W}P5hw+=T26KlG%1x>&wmiE(&NxU`5%i6`Jey* delta 12886 zcmZX41z6nNvv(<0gM}K!9f}v%MG6%6;#RCU6xStC+}+(Biu(eEBE{WZ7MDd9TljeY z^4#a%FEf)lNlqp@s53;}1#h$D*Pj4c4A+n=)LPgEqtbqS;?Y+#w-tJwM~YD|g4k zx_I3|ZfDy=rrUxe2e!k5$?WW~;WqY`8jr+eUQ7s2*k?eVLd;JCzai!{@8>jya4%Vq z=uF@%$j`d4*ASd4*My3g*!^#YV{) ze?QaKi3`+-3xtXbl#1UG;sY%6NO`{oidNjUx@XtoM$SQ=xLb!Y+SJ@KHgP&EO=|Xa zn>=fZaryw=)>cfrG;_2LRg9{=5PY{z4Q%7YfO%k_-EkhD7K;!lq9=D0# zUlRkPW|7uQxRZmYY&!L$R4@C)Ovm(7t4J3l$WkWDv-xf^nvZDP7aB)xa`iLCq*8H9 zR>bO1@=DJWQ#L8t#}De0H_6%;ZyNRs_v=%^WPlqPeo?AG8SGdh`dvyl$pn51d~!D( zc;2RYzhu8d3?3DWlwNczJwCOYsQs@pmndWOezq7B>U647Nwt_VMqXujQ|;|%`}$4x z{&Z#A#5@r;wQWM`E@M8M*Nx1Qe=(`csbVE*;sux=uizh}F5}A{{by{*{5TfL>+m?h zh)Q2dFZQ}J|4xlft6(LjmB~#4Ua<-8zm18cB9)0q@T9+Pc{C2Gh9YBeHU`|C>0nU@G!q_@H_{PNgA5mY(sK%I?XoN%=d=qJ zq?eiGS>-lXOh?TamP~cqjrs`iA|6uR0Glp zv5YL5O4TvOCjIm=!!fGVx>UNg}u7-9+ey@^j=t zO)t6^*(A}zsBxgEYwS1>B1Yh8Ph%umkHCBg=ZpEEN2ymut9@?ywif+SP%Ph0hI78y z>n1=yqudt5F~UBhGR84_ZC7Tn`tngw%M3lwm1%!@l5@1DPFy|{y_Gd z3>PCrQ3TC48k^#qp1+RT>oa=e!BVnqRq(em1FtF69+UAB2FZ1u&pl0O zcFZFGta=7?^FFnU5Kg1~QDvM(HH(0jg({(RYLuPb4v@; zR%$t+dod86B-7CplO#j7agQk&-wnZG+r~c0raB6ZdUUHdc4>fRm?_Q%UUwrpt4-%e zscu|n={DUM4ND4QOMaaH@=5Y0gH%HIJm$4Vs5d$~>*Xjj&LPSe3C;#-Sd17E%Hh*7 zW*kceoPX)Vr&T>@kJ}DWs(Mf#*B}1o^xh}#zQF#iPgY@xGs79QPtfgz^+kHUIlxl{ zcs;{utTRJ)gZ@QoIjY+!+)-yHi{uBGBErjehdbyD#qbc6(@r*w8sz2ME|c>RHD-%5 zgBf)1>;7P;r${@D`Pe1rf$Rp9^RQ|zbxz851Ja8UdmxvP%E^qn@b)5)@bcNg3-a>e zc{IWjboTPmSPx;){Xfe$=sEfT*^Pg*a|q7|swO%6&`p|tteAbO8A(H?NVvuu-$p$V zol3F_bsS5Gm2z(j9P!4#`rFW3L!P>))Y-+n}l zKb7*_LYv;!zUl+LLqVK&Qjm%f98gwZ_T7qiK$azTd=gNu*C?_eU4WQi5JxLFI*X*? zVF=eg%5_j?LbnG=pR0m{1`ZPb?oxQb+dfJOTZ|WHE8@j(kXH_o8NMPm+h3oLm^no7 zPs_rKBe=cDXGRLNN2(t~@4v}Ubh*)OfNk@hCBZO4ZbSy2v^h|82$2oH337P)dxgyN zrS2qZ4w&pNut^2fCjk(h(FP$R$d*ybK*vL!3o(uNtT02}CwprtaoLd^=ct1e2i~r> z&)r|>y>_z|Z_V5x4Qjr4!XG615E5i0cuaXNJn#hEH`+qKenR<7->2xMCsVMyBE})= z7%2`C}S5CS{oSJzg*YC0M5iTdSR7b~ABT{-%tyD>x zdAU08HJM8p5#a#YUz0atO$R?eCW_*0X`F;?Vo41GlD3b-jAtJx;T5i!&}VUPA>14> z0a>)T-g%JMQv=${XZTbMk`$m_4O^e9gC}KF!6VPeHo!vjS(u9m++GN2w+HYe;uq?0 z{33PmEkGU}Vc#O2Lh|cg%(Ie8kn-oE_Rdd}d>y6Nhav!mBgWYh@l<>IPmhnj_v9Vz-^BaFC?a@2?2;V`ku67h z$5S!L48P}!u1M^HU{(7L_L{0j9ZR;g#2~0`r41oi;Ic}QM^3ZF$*l6evO@2^z;b?v zVl4q6pScS8bM18B()DFfe8Uz2IvACEkQi(SzM~Fr`9@JMo@LG^Kdf^bFBpqq~G z6vBABC+K$=hWAfTz0jTm3z`=7sJRYtYY9^IH#O*riNNr5a2~yjVt-FCH_hm}NQ`Gu4M2Y2UkOxq0c^iwwet$!qP&V{o5#XG#ISpK zGG>E=#83hSxeGtOgi9aa&;y@I$c=14uVNp&JcbfuHhotI_>YhK5PeKJ$V`A39{x({3cbs5C2XM!&v8IIpUPvw;uOpq)p@KNKN27p|3= zz-7Dfw)6LPdn))8_mj|iN)h=}PYjO}{2*_~b4}VF_MW%KXmNoGfRfPD9-$yNOqAgZ=JBEaRWJMKLbCN48njDT&4SiZC}Rvjm>*q5O05i)g3UF9lOOXP=UW zoP0$~W5>yy@V?S1sG5`@s1N~z#GoyGy=hO@p|9$3P@KLodPj?Z9AuukM0dq5uKA#~J2jBY>*>CnKq5X?T3XCt-#13?j6;16|NJQF^D z+0FUtHw)Q;&kp9Zhb-neR0B*g@Q0T>m`~v%v*=1J&u+;Md>@@n@Y!t=$E#FRPh}^*tCh)G`qxFToz>uy}bq1mM;AnSQt)I6y<~+ z@jA88?3`<(KI61aZy8hABu6&pzk=xE`7sKZ$@?-2SS2WtVh^w-T#zqS3m6Z;6Sf?6 zWjsd1S+wi%>X}R?t?9HA{2{e7)sU0G{IKc-wsU}K0W?>Q9Q~7xKy^#lBG*m`w9;H= zt3c>Cpyxn%&N*s9fy|aq!*t$}XU^hHVp-sSISm>5?1P}7dXxNx#DvN>v$NibDMSkz zJNH?FA+{s5G$BFuoOsIXP=ewHpTctw?j|%?e6{YU-PoYZ^^j5cg+NCAg7kv=zAHb# z))|06GaiIdyQm!y>?WkcOm=8?X9m7Xr4f~XQ9nT7&L@!vco<|*m+SWRM82(K8(3n! z!Y%`-8zG#Q>e(ubG&!02 zBMV9w6=$|2x`3z?z8JB>{ouQMyauN9UkHy@=hY3)#oUY#$Hy^`^hM4R%XmT+xZkS=F(jAqxTrV|K#y-yzG>+67KZ=jruArjqHlCDqFH=mpJzF{P8DZ)M*{_rKYkoERO;%LH{@G{KIN%)V1oa3 zNn%(o5!c0=RV;rFa@|dkCC@C~P4L(&;hkxJhD_5lgs%Ya)AsG%rKssuDqQ;p?45L# zNta31_39f2L>2f6Hu;kX9(p?8ycn`4K3eVX{cfEnstF&@rnYpe4;4Bj{WkEDv~E3X zUP$?NH{NY;Dr=-3%xZnp;-oiBydKkh>lbz0o9BSPe#@Z**-3FOm+>*7ru($_0Z*Pi zSRmw&{p=nfc~7@0ck-L|T4!l*WgQV2pp?+0S^Vd(TdQ+Vv{+=9oH(qpF@67Z&RHzZ zd|!Ecr!sRYcZ7%qO1Ea=fRH_j7TDMMt81GxcecVg{il8VM9Ac}ua>A{3)+%@!9CUY zG4a5Mct?pUo^T-1S{Nkb@|t(`n$U*UphUfoX64 zs;`O1+}-U*xTw?2b}yp4{~>>hEwO@O-fyv4bCJ`uqHmP}=BrB|&)-Fdv7JRonHrF_ zcnUzrm=#<|H}_yE{*7SI1NdOwv-sr21lp-9sewT3h&_htrXy=7+6ahB#IDnB0+jiX zP!{AfX?ZuoToZUXz8)py!qK;Stb8)%cyBkNW)vg6^;_Dpa+QD=@$JV{%&~5Oe;&n* zQAJ#iT9lg0X==W1q{8v%{`Lpv&iX-lFwm1f^KvEXy*0eKLeH7Ak>11+YeT}$v6q*t zg70oN0BwYLUTdVY-|6-==v$@9OWoi0#s$fs_Uu3gqsIFeFq}==5sf7$ha1Y)Gq{p7 zGW)e$L`A1_ESIum#LU6eIoHU1TI}K(k0Ko3{8~&}p_#Avb-t!n(q)@Fj!sckDX@RR zki0XLJY_eJ*1|*K$=;ZNZ^VaSCy;mG^{V^ebTpH4G+Zgi1Y&uTA6ODir0~lWNp@7z09f zNtA-3-)!-EYVH%_9tA7B#_8?dnm4FjtQ~#xE*>)u^B$e##O0cgAOGW#ZThLlTet4S zi*p6m(I51NLmnQ5LqD;?$#AVad7>Ufl&gReOsHI<6u<4RzJ%S4;c?xZeKFA4b+@&J zbn*&K|75P8rg?5|uU$QWSdeJO{qxxnsqXd5S5SL?{LD#z=R$;pP$~J~@B8UJ>ks%J zS{ihiQ2^!Lgz3;KroXI*TR?D_Vh%-QQJg-#BJ=Dx8#7 z+|soNPajS>z0PSbSD*4|Ac2>bmaiLQZkG{i?byY!zh*J{g@sDKZ%5H$A$eOGiE3@Q z^80&pK~=!(q4HR?NpPX=zMh^-EtIBIbLo!vA+vwrVVbTUS;4e94hO*MfDeo{DdGi_ zOAm=w@Cq2<@$~cxN0KEQ4yeUV&#!s8v&q#Ym+p$%eiqg)9iFi1b{0yatcSBPSe|br zPH~sLZ>^hhyiB~Io^JWU{6caftaJ$YzGXoCt`zpCl9JW_!TYF+8nLp?9$)ij)_UN3 zsRYV}k*>wmWQYVGHV`y{2WD}EF$-o^@rrL&N@Yx)5IsMY(NV%4+^kWJy>Q4vpo&4g z&dogeoR0!x)MgNiVRhvh11~n*WKO>^Eo?i}dPOgB=#}a`kGR0sO;@WQl9#cV$-C^K z-##r_sNPiW5|m-p6Bzx}E-QI(r@Asq-a?^9?7YdrRsLP{5MWM%==fPa_ULR~j9#1zt_}6X*ka0+joRPhVF5_hMNo3*&8xc;Y zSZ#Ss%;=C<7&(Mr?+6e%DwvkhK_v@Q=a`^T#&qqA8x^M19m1lvmNT=ECBen@G}xhu z4DY6hR)}7Dnms2_KE-N?bico5%8-GdMw9mo+B6<=ZV+5qMzCnAK!Ph=L;TJ5V-c!? z3$nnD2pwy4;$eY(r=@whXQF&C@j^vAk9xsH_ObFr+gstS zyoB9mW_UDS)6JpBpnk%PzwVS~l~Q)w&;{>~N%fJ8QLFw|a`smLCkKynf%Ni>TB=$s z`!j!9qZ!9~?nt*b`Nu?hUCuz`_w%dXTAk9{K+m+wSVhWrv7?-5kAe=AVg5p}KtbQ` zJ?T;Sw=>D8q7aGHNTw0m+uZ5(*kH7FVqBpvtIb&a;HxIzxuB4LLJ&_d2@k(}9jd#7 zwE~rCh_QSk2a9ew!Jn%2s;iBj4^1hVyLv4GJt4oj+3@(H#P>a$Y7vbC*pv^`#T0Uq zExyEBycWAEGB@@O@_;-x!dgg zB{=WsPn;=lzm+(PDk#;vN09K~`k6AmsjJ7@-WZ4-T?%3la4I9r=V=H9u57sR@TVe~ z?~-C)So01i5&&k2sKlAk5eXR7*<|3l_901kq zhX&kjT#bFLR+Di5h7_BqJRwU;FQv{s_Tb13;)rhL9*^g12{S3Q%cncgrK>hS`ANGy z@WnE`mlHDM{lQDSyzx7C>!NCfBM*y@8h*HrW;ZD4svr1M$vdSx;qsYe+oK2b`&SCn zDwjou=6C6>u+OyW`dgUlG8SAG*Sed&xV%L<6HGR)hrG5`HQDsyUy^&R^j4%DZ%!5Y zRDZN2B*1>MFMTBXK0L#31{5%1ALcsT+$tDF!h`C)6r^C^JY3IXFi3s4-fc{6B2 zp*@qQ8=eC+!e%ihA%pIhsm2xq8HUzFe9gK)R*fg>mWzIWd~rJ$qFm?nX&=A(Ag8|V zsjI>f8PiaW9XlWUp+5~}M6O1m4+lT&14+)9C!J>v2c|?5rR{^nSjszj%pj*ohI8ZYdNxp}*3> zy?40P+PVDpww8E_bSYR*BJEQ%v%83G1!8l@_^jXHjz#Ob=qrhq(06n(^XlTxf|)Qm zTAo25*u5t^5!qZ2GmhC!#s^1OkV7i%Hs`~q3d}kJ!ah~Kf~=r`n^>c6sB;IJ zbkp{;;|i_#%W2PHlMI`+hIJO=S3m4GF z zq?8{6>y^+eRw0Q!jcuC35hGwT$f@8MBDd%kNLrcM`;N_pyQQ>bMU{D7T`iV%+0>M% zcVBy@a80OwLubsyO!V8EzXs|N4gx8%Mn`#BQ|0qYj;F;3hE}3X^AeU9PQ>i#l_Ywd zg@~hdT4$=H<<62ug3MI&bA6E2s(Z|yK!J%(M(J3^)oXv^ z1uY^Dce9TjVJefO1?0Xg5xmd4PGzw}%-Q1aS8-?-oGz^_6&);_YGp>K$%ytJVL0Ru z7C2`^6SD`4OGA$9P5~}xlpycB^ocMGCxy>5F!1)Gkk^$xbm}_JnDKSjYIk91W9N5T zcaCNfPCSLYQmPvlJ_tGe#U=$vK&GpbzB6?R%^(qWxM^Sa=U@{(N=A?*drIbf3EG{=SA2?!hzS86E2PwV*2AZPg>?0 z4#`SG8+fd=9|c;zfNgf9GGV$HW>ZUp-ZK)OaV9W01Ia)0?o(f?<1)1(dy-xB2Y zOgbsqJxN!@^o*vYe{U;ij}<7NEx*U&veGHJWG>kOz(iPrJ3Q~t;w{BHmoP>nz9K#t zy^yYgS&p}~0bW+R)^R0+U%Q*=nf9AL zan0#Kj`C(~D~un)e$M1!Of0+GY-KnY&uxkggCC6nliL?$f>#i?nG%ubwIxzyP-PlSMDNx?1o{&JjWT6*W2y)6TROL>AMBED^>x-^iz|KrBdiq77 zUakio!)`4@S)uI zk8jrbWWqK&kl3vkaY>zDjsXeXdetW=0(SSrZwAGS;S<)l7K z5L3+Bq!TiAz{6=Kav=|c+4`4bLDh?3FDSWR0}<&_mEYdfN4p&7NugdO@XKzV#=1`D z_lfeY??^nKi!=&26ewN#GR%0hiVI1AAT1}LTnpFvrVQ{M#jt8Dz|E-an@ZSaFjT;T zi!HmPj^pY@y&c(oIN0*Sq=l$~xpIlgENRC!Xy+I_wz9mxB#BsXU&sz?{w=19YHsrr z$uT9&v0HalD-g$%}ntA#4(fWo6cJPrW`DZ@31Mrq4XW{ZU5!gGi7$Y|mHLNWl%{EGmi-B%(WJ6& zD`;puU=7}6?WK#gUz?_lR%g_Xh+AfzW*E$oXPo64_X)@|lT~BY_t9q?RjoDB&hGE(L&0s%N`bEyYN28+ z5zfs3B{&nP1$uR7UXAX_3R%_0ii){se7zy&huBI_EIf$-+x46d*L`@*0I?xc=))ww zFH6-C%${8)du@KeAArQVtf52Xw(28D58qxw=%B5Ok!BZ&G{;0ta7I*wbAw>+l#rKL z)W#apr1*#exnE#DXfN{VLi!bEN2@W zoMupU-W2wkbB~2)k7axbkeuOcQZ!HRk$_|Eq9j4pVzMuMI%^{R?^r-rY2RrfqGtm& z=0o!qS%g=;_Z=e~+&XQK#G>3CVxpXR1t3fUSHx+%SvUA85$WHvPIL;K%c`)}CqcdN zq_gaEvK7M$)uy%r7yD=*X_vbz{hu^==KT|vud-xvMXzBN!yL%Zn$^Vne|ZD0lp7qy zP-hceg!sf4%Jn`h#Op{3@?$$xE)wthbxO1-OlzuU!fLH03WxCu8Qx^tA2}4P0HaHP zzj`ZhUgU?Qc76OY{fz5tZGi{F{)9qt?C6o|K5u&E?tQaKGeh|^hYk64eAsU8p;_<1 z5(ne=xBB+KC<=$G1RR4hY4qdZttiLWDHmq;SI%fI>2Yxx3I-L#Rti2I!1{bed(A?` zMlI~Sd4+*c*l*pS8^+X*!fH@99>5-u)H^-4aM~G!K!;!4oESjmT$mc1H#6%24yfwzsi%Qg4JXB(EVsNzKOTr#`eWkW zAIZstE-bI3sB%YZcPrj!7rc8%r|o;W*wm$2&TMfhBd*4Q#>Ci1sg_=dJ_Eu}j6b%t zQMi*FD8b#F9TQ&K=5@HV5K_JvV;O2EA6kyv8J=(4Pfol<4$`D(0f9-CSPnU1#x)8V z+oCD%Gzd)nq9nNY|Dlc*m=7?8T|EB`d5e)yBIUN4xrx$M?SpLw^5U-$QharK6_^p| z=n2n#=(zvUb=G<6^oF6`dh$2*T!AWi@YKU=u=WTu^9=0+ywEzgRrj0$Tepp|JKqf; z#KSQ+Lp`8?lJk%&K%^Mrk5<-D0%OlUzb=6Z5mP>&la-x+DAB8xtJF3%oF~+W3DFU* zOzTr8%G5BG+wAw%xVKYQ6#hv({j_8yRS|d>9ifN8_ni3DT6^zKiRAnUI7V@tR1 z6|S$B$h7~pI^p!{LL;FeZ2uBqvc6YJG0}W1z3nEP`dLAwpcR&Lqys!~C%5nO z8O$s9g8bcVSa!&w#-^bC&XGaQE&m9?-ZkvVCTvVAt1>*JS^<~lB_Ts}1BDR~9c%mu zY_SmBdggf8I%%Sihyy-WsTC+pI29U~(7U^4$*z8$5BYmkIPSCp&_BqGI|15!N|%*p zMt5A@4fy(BkXvmfy{86-^Jv6v72OeU!ldG!zc<<_`#>0!`PQh564wZ|`8n~2F3A<5 z%|BzYav)r1Sw0#xbDne0uw$mru!KoEYDdOi21i&e@Z09G_%W1H5C|o)ZAq2qjeyBl zLzy}rZbItvyda(Rry|_Wi*~9lG>RDY{4xv8 zwu+a(enCE8`Lm6%FVrPEM?XkN7|x_5z-HNO%x_h8nkG<$oKxrFy9~sH z;4N_SNw*I7W_O~{J`NN59aLnxU1GZJHMBtpQ5hLj{onk@Gb_Pikr%CFXbCE<#7xFuYlr@nmL#`;g| zpDr<+dE=`8C!SLzx#D&ew)VRx9IoEWg?F?sq6%UCm>>z~Z!mJFul?yZ@OScSvw<31 zhNKf301SS0&)$825-ot&E;rbtjz2M!a4}(W-fr_1K4Xt^38odW@26&F8&W&lyjs=0 zgG97WRvY7z@K6OOr~&EyU#@kIT~%JAPCe$oB2n!Lm0|sIme9u^VrUO`E{b?t$=%rX zu7l>-c}dc~aQrI)){ZSkut}7D`@yENsVg36DacplR!e$FEpoJu6?8n$m2%Z5xb~zs zJ$%z;sz+ToS9+szzDk$Y=I8UJsi;czM=>Dwst_YE)g8H4Q+qaWNUM*kj!PcIYc~}k- z9>|6A#bx(q^!Gus_%Z7|@7SuXGWD#@P?V>X2WL+ahMouURaYzHc13q(IUF!xX0N z1JM8MO3g@ytI}wzjA7!{dfF1IWR@&j6;B1$ZwL!nwbqY^N?p`BK9+X;wzQ;1>mExk zb+rfdGhK}vxZHB2CU^pLQkOMq_FvR(OEOG<5b-yI(|y1+iV5K9b0Fv27k5SPBCU`b zA{{D1rt}Y8XUG`%dNm=Z>TLT7!NaH|$G);%NO6)M(f8I3y=AHXZFQz(8qCCe@zCyv zCs6kAa1yDFVO0edVBoUhMw!c?dTJMD_0ZZ_oaM>nG{V-3wns3fPF@;ug6m8Z>%Ij;9kb#?5$cwf*j#I#&}8UM}tr|Bp<-Z*_GpkkJB z>M!2|U&dt}@n7_ehFl%=Gs`V6FXfT4jn}?wZEiEQ!aMWr>Al0usCRXjDaEXiAKcrwD0@I?K}(y zd57W~^CILySuM3djhbyF6pz>K6m&R6$WF86F+{S7%PRE@4jo3iDs;`&HslsF>vEGv zSS}}G3eC<Fc#>|5C5k@?S69{4NAX{ zuFps1)D8t#I&6@bm~W&}5@i2utY|Jje>>0aiD%lZAKL3to@WrNWj~#}P*!*?{BkOWd|mBh zwD$A?`us@7DFN$0N9Z~`&P@?o$tw!eYoY9y(Jj4YJVwV;p%;Gp9O)1ok-%{)T6aD5 znyJ$@cdfMkC7qFg0dk$jZl;=63s+1Bk97$mmjbQ^l(RvEu6;5jQE)OmLyZ$@TB>(s zFo1B6W2=-koaUtc8#FV!SKIAeLQ~#suaXLY*7rYZ{(qT=M;vP3M|s4ym5a*i3V|$v z6VQqG{4-U;><4dyD}C#q|7s_sX8a4D?#Hks{sU43h!;wP*%p*vf6PK@_OnY?0Y=U9^OBkdB641261&!bR%A5 zzOF);ZHM>dUxzdlD+(WNobiwOFFgN`hSEIR8?D^6DuMn}0;1Y^ypBigd_(j>Un%Eb sw*LoHLzvCwzy#|5WdwuPdP&89|6chYeMe1l)nMg!Pg*0)rGxVS084AUivR!s diff --git a/cpld/db/RAM2E.cmp.rdb b/cpld/db/RAM2E.cmp.rdb index 71ba4eac96c43463b903307121b3896e64627f03..0842792751b360a9b3cf42e77b01aea1260bd9d9 100755 GIT binary patch literal 15087 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*H-70RR91002rk00000 z0071U00000008eg00000004La?0su+WXYA58Zf)=^)53WdyQEyq&=Q-k3CYWswA~E z;0;%oO5O74H7d1w+Qd?ERk~8&?NXIokM5Bf)&xXA5b%2=0RPw^V*kJ|6Z`=JB7g|< z*dNOef=2|8C4$!v9^PHpw|DryeB3;@D(fM2cWKm9H(FhJ^PbE+dGh2rCr`dU_~3&N zeh+^?z~2m>Yu9EziJwyQ?FU8MdU{QY*% z-*4glH|^hV;Fo_a>HmK4!ME}AZIt#|B;QL;;&-}nyQ2_F8po>Gi0WtGid(wS%3e_x z$nZ7(_c8qK7B}T0XmNop}(`<`pR`ukYN)3a7`{IH{L zl-^Lem6hCVem+-F#d;@hMXJ;yE!Azus(BRn{H3-!jN8d^Lv@*93 zD>~66ZUUF&q-)z)XZD6K<9gI?H)~1Mi4WCbv(`O{8y&+9NS8EF%87YpRpR$fTXDNx zKU3}Q!Oz6Cj=J7yYHUErleR{@)@&Rmh8h-AOXeMeliC1+4N&-crF+n>wUSfKd&T2c z9JBB@9o;w4v}l@Gty%K=lmZx78hS^kr}d;39n@omUtL1v^Gqr9rn>$l={y8!0d|s@ zy1t1zL>+bJ8b1NKkuk~KZX(0eE;z(6#dS$q({zUBZFLegx<^s1(`|v42WPGxY;@$J zqpn+8khb81s0&P5$+t-Rq}^#H2i;Dy)t*yoryfTruoWMHLoAK2iz=p7(}*5*Tbkz% z<4%;++jG}-KX83yt=@d1D&3QlsCCxYK@jmH_`8N~GV|-`sr?n zH5;?5@ln*RchsGF^8gyDGNj~}STc2*>u>UR`az4JNs|PvfrqX7GJZAllb{KCok4S z$@ObV2lk%Md2M~KFK0F(b9T9MEZ|CUV}oBdxuueN6J}*JK(*gwk+fkPkfJTxXm%8A zO&B~4bkd}uBK6K@<&L(N=g81_NSHs2U!x4Vr`mc2w97~X_562%(pX_UEbZg?Ews0;(WE=Jp#SXc{l#Kt}J zS&Z4yOcIKb*AWa$0Sr-5;+l2|>_YJkA{^r72<{T}9u8XD)tAt^ONG!$Ws= z8q<@f{VvS4eHz!2Be?63Auv@@z1ct)-xCEIBo1+N{Qkq(QBT?!yGW$yV**St?C*m!`!e2S?+EwfA;hUHmT z6-1SqjRt(G$zyV7>0w-Z)TZ)Sy?q=1XC7c;wBmm;sVOsqXgNc75Pj%d(}STo45jA2 zdD1=>mh+84xmNlu>G2Do)(85eCQCPC3D=H@=zC~ke#j&+Fq+!EeGN5@7)=4Py>`mz zA#~~{)ZHgAmi{twyhb4)WmdK`^nIO1WT`c!$A^I)rfZP>KO#do&Q9+njoEDsH#uA* z8wPs#*AQKL>*l>SjNqH!h#K+DRXYpYzIi9<-0dFR)C&4$?X-S))657PAE$|*J53ri zaPW}0oLhkTVa8h(S`*F2fReRg=X`YI{?csux5nuBG>Wp?d)3T3(+I*W_<-`X;-ApK zj^r=|5yCcO3w&E-;%&uxnFT2pOJ^yJ* zkG#LeWf%W`gsnHql|n0Ws!PlaH`QrBEvA~{!=Ndq?b2iJT^Y87fWh<-)ewiXyYVr7 zIxSVbwbPdUNjOXb3cB39JyM72j;W!~c* ze}Kf9yS>u3a6A5a zBs1ZrY$E{om_w& zjJ%?m!(GeRxz=elag;1fm8d>ws}HxCk37Ie1N^E$PTCy|PXUbHd3rkdHv9<$?P^+J##}EuJnFp)(Z}4Mjzd{Zn zV$+u?4W@iB?F&DBhGZg`8)c!^zTDG_x{yHm&d4v}-dM-O~T2eK#>~=ffG_ z=QziThx_%rP@J>v*?#eYf>(yDH!y?AJN!OG*z!m&)}2H#Wc?`w<(XbM^{UhA^2R(Z z+R}5v)Q#+yow&t|2;)PZzuoun$m;Q2pspoCzZ1v-cw%#m<1gb9baH*1bH6T!y?9IL zM)9^Xx~N>&w=@oNN9&nwx`-T!Q>IU?Bz~FX3%SGok@VasgzC&b;dK9SkElKY?gEwR zDUs8aB%m!!RrKkshXbZ7N$!+rq*eMdNuo`v&>m9p;IaUz6qZ&DAQ$gLNRj=pldGq; zjxknwIwG!+Rr+AJL0wB9>UIHcOsVrC^3UMPIa>2vr9FLFhq%Gc(`E-#=x5 z$LbjP=kRpwl~{qYu0u zhyfCR@JoabV8G}ubEixgfB|8i4uk)L9KOeF0vJ?L`ZJ*c^PkZ-Hk-THpz6DZO!}^) zO1H+_myWu1HLLE!jH$$@Dz}8Yn%^ocytS~R^7Hw5lLrrCe#hMZr`Ffi(!%U*T-&#g z1Hl}Q{Ves*-<%F`8o(5~{MfO=ci859eNsPLjI4dzYDQ7T;C-CNq9E z$7h>&%Cp7I{KEV<_Hy&{r9~4<#O#gPo7iz$x1?iUYYAqD4HQr09>{3=Ojg`|t!^sh zu5PI1f_hC^gNka~q)b^`Uxc|t@LMZ{zU84`Num2X1P$mJ+fvN5r%!0@n?s&CWQqFu zJV^(0emDYnXzSP$t@XR^12{<*N4g&J4xrZ+E?nV;TRiOI^D8%VOK9HwykicJi!+2_hYU4p*a#4Sz~(HwjCF%0QshxKax z(4^BLP&sZ}?q_&**2lXr*|xO3 zxwF23{3`2rwu*cAcFWcERRgNNd%jLb@gnSOnzy0^W(QQazT zT1t?p#f?3l=exDNWg}&>L18wg%Wl8CTUp=ULJXdd;g14Dzsw9uF5{`3(W|SaZIJZq z_qIxV$gH|o-rVtdEL)D0=>~;$e(PHU^Ap`{P!=+tCk=1!?5%IEf1_O8ytlEp&YZW< zJdE4AFgOkos+e7CPMTN(bNX%RcSE4cGHhl{rBJd1nk(U6mTCTjkx4koaXDNSo>m4gb*-rOy^I`Kz zTis$lGn#pp=4`u@Gu~TXsxB?)Z#-H)!zs@!;{d}vv%JUPn`c(m;0u<|HzrwpamOTN z)xqNk_SgLUvSBy&fZl-v``prs`A#imzCXL60?SN$Slg6Cw%^iMiJEsh@Xb3m^K@|7 z55|-b-Y2GC8yMP9wi@KF&FH=4qXZfw#r#-sX^r8I!TmX87t7C=sGVtX_+1&Dh3P!=0X%*re%%P_yA&5QHpPeL;(Pa3N8kjAh#&e5HNpP2^_g39Oq9o9AeK;eKFqsV{H5 zg{h660h8Bqt55z}kIaGrQAb@kMtQ3kKH<@ow6aA&7Y0KYmP|?kogV_d*aw{pLsK$X zYKyGr2Llfw8~ba9Y;97EXNwtZJcV9Cgl(<{X2ie@9!eWhE4E#kr3H*y7`~juxPV6h zlaM(JON+mH2x);F->F*Eu0@ze@i>SCulfY-vqtSUDmRIJTA)15V)_VR=5rfU1$U_s8(Hlv~)u4<6Q{ z2Uf?MQG`Q>E*Dc*o1ceSUbGF(oZNsY1H}U2@300Ym8bBJsk`nfYPFT3NYmM{Y>DK; z8y*hBHcIInw2gJ7xb1!8+QYJwl9lP)5G)%DSuwi}!KF4zHn&d#*2%PXeZiJ1bZs5N+ z5XO^XIl&2%X+-a#f?p}98!H8yo)Luwb)&E#B9`GtUCxP!+@iXXTeJ~WJ@E9-+t*$s z+2~K2tw;F5L%O1WzYnHOuf}>`f6*#TY_2`M64<_xw=v=RC7DM9IM<>+=?t8Ds3oTu}p15kQd2)*Hjn0Rv&THX1lK|(dRftODhy55s%a-{{ z*0vX`3)UvzWd^te+l6|M+Ob-NE8ZvGNNRBdvEI66zq9`CbzHR;HEI@|A5hkMqgL-i zfzSvu`4FjM9Ts(D-YIALoV#po@1JGQ+PYaZ@R^sPEJF?*-|7_Bo_22A` zy+NeY+i~uQ^eoWLSojQRx4;ppik%J$#PJ!Jwy8WyP7#eql*xWL?>wCBhxU$OT1;*V zh)ImmP?#&s?yHUc(&l!>#QGxj+y!nHK1se6E4_Mb7N}!wo^>!9c%mD0d5hj!KEf)p zj)A8Xb9g}f*w~LF)=fqIKm&5t3r)7T1ryI znOM+US5$3qp4;R>F5S}Oj_^AX*{Mf0y+%wKdYd*ot;2{kiAbi@`JH*;K*}O=?zAkr zSbq|owUs4>xhC;;_vi?V1ANhG3uT&%jBRU8w=WhA827bF$6dAS+u9*x&USH~aBpYT z#GVh1hV~sSVu;{7iWU0=eLZb;H+meaZ^SK3K3NoEg-IyAZ`d%sDMvQG470E7zJ0O}~8sdTeUOYZ-Vc|^zwqwVO z4w8D(Im__Og^DnE>msb*PgI~12i&XF9d>ZcDU=GFu&PpzpQzo`#>})<=7mP;PHCMz z2_Zb0ubCGL^!uFi+X2VS-aEx;wG9WYGlDa)nHNq{Sjlp`+n&`Ow3M`J-K68ZvKjcy z3rAO4v50ePEMaB;mEqY?65T!ArhYmNjF9t#=1i%XmlE=`3#rx4!?QLSMODrkJn|}O z>x@eoH=`NeljChNZ@y|xxSO;gK1?>Qehl3xo zl78uVBadn}3z0sQAe&jrrS|lQ5(pGoZ~2e0C-94pwbf_>wZgpn6r*M*j@ajfs zb?R}e*=-z}tY62^ZMrDnp4HyfBTn71e7N$CTKLai>S4e$NRMnl>eUO3;Y?9fKz{is zJWf=&evtuvV#1S{zOvKV6|{OaX-^Wdq?Fi|&cH%2H`e#nop*Igz5cTj9f8ttT3{q4 zr$S|q&XD32q}_4DmsDAp3^RZ-2M+hN?Md~z+H8EOqe_t*mkvzzDpD0Oy#>wG#`4az zFtrH-g_B4^s#0u)mLz?2#%y}1ly0B01LM9o}%zODy6w9Rx5804l|*PG2#n;U7cIPX>!XWuxu z3*`qF{_Lo8>d<0y?0m(apUgUWV zu3^|V#!aYO#c=WN_I-7}#G;-XrSitug;LL1Y%AMqds$p%!^t%EaqVFv`KH@@@Ns;<9o0EnJ46sqe+*d>IvHlQoe+&1 z$8Px&vAt#ckPN4z1CekH4$|Whiul>pR)iH%eyl3VBEz)Z+!*rygUb5-2X`P(DO9wd zBF4zg3|aw)CnJe6FA86E@s)?kQ72`41Ww&xf*A}SQ;`xyt_f2;xopNQtv91utJ#L{ z&d!o2&eN0?^4T}~M>J-7h^4GS-G`T?iVRa|Tb#tw3K-h*#7My4k;!D3V_`GoqI(<5 za$sTHHknVr@SI@@|{D+q-(C!+_^3rNVqwK&`0hzB)*#sQaLX2E*! zFb*)88kSD8m35KfJTkSN5;-;6@YcuG=FyRMxu=|?W9&76k6nXhcqj8h@q)&|>;V)A z2M_d}*)iRSE+CScjlY})NbKq3$QF-8UxtnB)}?|l4PIIJn1hAV!`N*y9GLc1` z){eMiAp6SCR5kO$p6K|(O$RvT5s)18;gSA$MDY1Kr74vtNKfo2p`M+s(1Qkx6UTM( zojtpPu{SK(wigZ=(Ta&~@Xw(egN&5TE6CEWJ4Kf=iq{{?z*5+p$dJcYJ27TskrYqX z5X!z4@ZINLc@m#?tkwQ>`M#COx9=>8anL5)U_&4N$CG){E&%P%*u3{H?P4y+O`Ri> z-({G(RWBIA0EOL!D-zPapOvNAH!cG5l$BF{S-9-mSD9*{l7KVLNZTR=NKi;3IUZR7 z8Ga4lFix7?j85T>I7WLTAM1CO?4~mrB5CQkcY~(18#*;qynq_gp7s=#QGR)nY$u&T z<(psWK@+2bu7|aTX9(h<+iNxMM2TA+Mpp*Lc8PV1@l|`T@r#Gq!LGJ$O%Bm2oi0=Y zroZlNtrEgat~c9QWD=S8@w3=3l}r+{CbBRu+R>vOU*xP%9it(^en>6LG?7HFnq6VCK%|m8q4fNvV(7NFjD;)gH zz7LVH&7fwwZdfjNrWUnM3r{Q`;z%Gvwu`SDc1t~&rR9L9TsKTrh=Xa91j&k0caCz z>`Y7#3Lrx&{NWKnlFQEjP*>x$dPN8WWBHfV>0J=bbivaA=)$%c>ZuS*O=3lOVwD$I zQFMNNuXx9TG4(vac*uY_Vt^x_A~Y0z$rxe8t(q~a?H+|29i9?$^X|?4Hvou-*yRha zvGMZy{{n>`9&(!AHu_Y#Fned3XBJ~qMS;igKOT+&=;`K$N_xMJ>&*kW<}wM`3!GeL z-3=3FK$z#UbBwWMM~Dm*^MX^f$}dX=AyOM#5WTi#6!dGlR({F+qp zFq(brgTB~L;3LfWi3W%b}m)u$DhSgJ>iQ;nCC^H#?VU~*s$9wJdp8h>*Brwufl zWzo`742KxB+s+uY-Gjk-{Nd?=wXw{tVXdPNR)4#17%UC38TCqQ5K9LFbY#y z;3~lLbL8LBxKHC>;b7^#cqN6EglnwjBFkMwtkD3Y5blL!c}gXA)GF%A_E; zR-h~dvfu_0oDj-XCOdc6EoN-6f@oiXaG1sdpua}4`3Hs1%@!QDHA?fh-o-B-=DR3b zS_B0J1)8EBBKCQ5g~qtNwJgfWhjA0g3r-Md3gks@&wLogMrqtHlfIV10tXQkoFecQ z!Tt&j;sY6fF`l?zmJ}`sb`%(k*f5$C!kFD|lD>$SP~Ns#66<^pY+K_P3++u{f}qEN zelM6{ZUBa>1WtC+ArsM5htx}f7T_@cKE*&4aYWVb;1 z)A&BvtwGZBer^2VA*{{DE?O|N+WMnDP%ZoQ4pv&7nvd+)w~MKJgjzDJo`&*YFXNB2 zy=4L!9;4R~WZ|S}(C1597>VNDD6Cm-UY)KPNY-q&FKDYT&12`fW&%-Z5ggvVGAPNq zjT4@9{aIe=Z7U>MVCn-cTi=eQCF1!Ac z@E72HTm21I-e|}bq0vQ^MNrhYtPIWelP09RcD*!oK|FfRx$oO)f0|MEylqctP5KMb=-K_oC7wDC%!xuWb8s47lIt4HN=S)K!cNgeS-buRaB& z3AiNsK$rrS@o5fNfU8ddX$88o!$k!d6g(g(aMiV7+6$x|xFi~01O){|>uA;R?tmp+Vj4qJY;G(E&m;xf!2Cu#(l=k4_NL?T(aMgPO zhmeg@v4S5wl=%G&&R8KCgbcXs9v~U-0)t^%?v0YDyWo9MVG$I>x$3;HTYh!B3>x4e zB^QMuELeOfQDh4|)j)_Dxig5uyN8&4Iu|0#y+F(B%I`|H7j+lyErNpWEf+`}o~rIl zJV_^jsl8h>*kkdDDvO|~{Z%)8bnW*uj4#GNqRv7O^aDJz#-BXnPjW5ekAt~5C!M%7a7TUUP&lR8>$BQaW~a=6nS=$ zq;`m|W;PDF!ytH!dm@vl(T_Py=;&dhH zQCJCgk1$~bHAL{mA&hi1tcV(lpb)d7E4}C(BmEC6qTVA36XvcLhhwB$Vns~TjwFl# z5WyFKFf`M$M}JU(i=(S}1_=(_!i72e&3Y%j`j$h={oV3fHdc5xJ_&%rGR|AUe>W5s z$oq3=XglCAi+%jyp~*2Tc34>YzKrOCJ|BiBzua0HCpjzQ_P!v9hZ}Zwa673vXirD! z15~qB=20{}4uyvNO;L9ux|+1+OgdJ%{}>VD_7s$H6!picy@Z6^Um^~RG&zoIBMY+n z^P+r+MqYZX8efjx!TBD>ha|nju@a)kW)qUr$_mn`vFpaZTn&ZUFx)LAqmRVkimW-%l~q zbk1orF`R_l6%?~%qVy5_$|i=C7`q9YS)_;s7dg5a&WT|qT!n&af}>Jz>%>s9mbvf3 zLO2l#tt&)hp7I7W#N+X425SHhBc)JpIA`UMhxVc8DGiB7&Q{Ks=tIxFY#~AH9lv;>)32p94W@Nz4y zCWn^gCykcqf7w=a>)$7bmSrf7R=;ky)N%u!iJ|4zervQur_54o;yux=$<%0xUYSM9 zjhiP&Ez3_Dt$qzpf&S{=W(7ZZdIY)`j6TGCoLCIUN(hGYmUT1+VU_ag-s(Ebe_j|^ zF3}kD%Wgx1(%a7y1IrK^gMK+}Fj%z%lwRKOWcDFubk1fFGTC*Ze%a?dfxS44((N1t zmzwqNN#lG2E!M-W=GWnYQrS6gCyJR6%=xfjZupaDdV0@uC7BS-u7CA6rt@A-l4Ndc zif+t-(%DT>OcXQATD}triV=~Cj4KM3jXV}U^t^3*cW94po3M()u|)2ep9!+FJ9kqf z)~}@4I6NuW@$)1x6N1~xsUEiBA!^PDnj~gYp7$#?(o7!jHc8Bcl^xirLuKcWM4KdD zLYg}<6=+LmXHOC{AA2=HdYY8zgLU9#aE+Ac{R2KHoi4$makn~9ZaV2MIGWnIkuJ3)p68it zJI64P<-66x3@-|KzR<4&$>iyonG@{(?(kDhE-?hOL1H3~OFbuI7n+;Y#1evB-ezF2 za$WL*X6ePz5>k9Y4E}o1x}ddiakPXHzaYjv7A?*4=|aQvvi!JM_3^u~-<@=4KkT8^ z1+CF>v0}GM*RgBP62jgIet{PA)tgZrYdtS=^&=)^{eqD7mR>1h&PbjdVphC+g)Hbr zEVO}O(WHPFI6W0!vEuV(`#7gxZ+Phv=PQ?_=oZRO^e-$P;T7(9e04&hKECxptg$W6g2V6{?$J}9>8nJ%GMT%*}CQmUT zu$^WX5-UY)^2{$xX{Yu>h+S0Ao?`&nX9KwNfwjoDEEmGQ?y%Zj%j%%eo zMLR4DVeJejL5&GVu>!Jho zr@~Db+|FFO1RfGHH*ikQq`PoXK{|2X&h?tU||2OQ`(ZqT0tVp79f5yURrqowKB$08X5_P?g%llcdv8d#&X77<*CI z8|fy^@o;8NsOU4uNtZX5KVR*yqxg;e)k?KoE$kwUXFR-_aJO=Sgsd=*+BpTMXokC+ z#I2}Rdw8a{numhvHk!vtO_kz$y-lo)MYd4_gC2uHU5*J%EoTo+ne2P)X&sL$-;gTDO~|QpI*i%B)I85`$R9{ODSr#&Q$4Pg#FHG zZp3XTsy&JiecY!Ix7MxKm8duNMe3>vaGbDX(Wkub< ze{bj*b6Z+YjGu)WCyz|EvqbczEib4W%L_I=Bl3&tMt)I5tjwz$EAt{E2Z)@5Ae#UO zhe0orY=bAw)+7AjAzhVH@1v#O!e14Q(|KQi(d>qTTsf5IVlKwv~=});;J?9JH9tQTytP z^DO*vyzwXizk8oLgGUH-nyS=n#mY7*m1$&UJ3bJW-Gj@yr9C$iSPlS30!y=JB(NOxjs%vYy^+9j05%d>jtE8q zOCxp5=4y+%Qi=I+) z6=Y{HN8}-Zheoqo1E%O|WY%;I zBXZ_={bAX%MKtiZEuw+PZ4nJTZi{H(aa%+KkJ};|c-$7zz+<;aW?|`|7NOqDoMSQ! zFmpV`AVAFx>DjTv8dyVS&UG1{r#zKr5F`ac&w(Q&K*!-O=8DbgXItCjG zOA2O(1KAVBsASfn#w=PAAw0}YoEgTpyHjr-M0I|gnfWyS%sV|+&2Fm}D;x%Ps6Wnd ztZu(s!^F_10-Oo*P25^b$P^CO>{lXLC46x0wqNrnpnuc-xL+ ze#s=n|8$^jl&bG8RUhQ4Th?9A@od3>Fgu-(iW}Z{Mw7@b8<6Ia4FAjrAADf)5M>mq zTf(uNv*lg95MmlCMEDx4yZGW|Ja$E-#L8D6K6XZqas8+4YH(y@tvz)?}o7$|Bt-eP2q8 z`mClk|Fg0cA$+ug=kqCjecXRY+cixp7Qp+s@1?=tNb~cOz~}eB()BkwLi;wg9`M5?J^tt#@`f+A0rGbz8hbhiJ?h^sHWn}0fOlUI@_nlrKy#LKW zT&QlIx<0`V2g>-z{&O0NQ#b@HraqK{&D;yr?fYp85BGBcx_DXRbN*f+?GFNRUrx*Q zX+If&`)?kFG~_ix8a4BqfwW%^#QD;E+ECoL)6f1ZA6;18^Z+0@ctr{iKN5!0)Cx`!9!q z#HB#Fe=h(R;?ocfRG{4d=ut>R|2qKpuLE&E8i+$wpF9ZhZU`=f-@i+-@_}Cql=16< zxZg?Rd}%)9^Si9LB*pLYaS74j)T5P#LU7GMJst)4G?ez$K-zzkmgWP0BG4E9ZlH{i zjQ!<686lk#!tdt;Nemp)&G;w4rjxjpIPE_u+hD?-R-| zgx_Ba;P=~sxc38cH&n(?1=?dP&>nvyP{uzBq@53>4e?M2mk^wnIi6ynw4u0h(}s8` zgiEMBLgkJN7fO3saiRK#;=UVb^AOzA)PsYW-e+8z-VX5JK9K3n?-zO_@cv*-xX%RO z{&OI|5Dh|o_2oc*KNpDG4Akv!2ihZ4ZYVB9XAb;&pHSM%iW`^L{&;{^A)G^e^;-ZO zhXx^B{(7L?!$2GTd;soG1>n9DfU5@Jejxz&AOQEb0&v>_I7E#_gAm-fao-EzvJ}AO zpFIWKVj#bN9>^~Q7pliE2GTAB%J>&!!u^>5okO-%s?G&thWPom`0j!MRAwFp$_>@` zvf@HIIfTo&aNl*r5WgY1j2jm!_j3W7hT842;(jbpxsd-L_aU#xKM3F#;tei~taPxb zwB1Q+rrkLyvme#Fv3Y$7KYPs%)`7ONi%2tbKW_rcTx_G7M;=mj+ezbC(GC5u)oe7o zZB_ElXg0F-z<*`z^nMjKliQi2*`djc?ZM^S42I*M$smZpmxdx>rmD(4Q&nyY?dON4 z-D$<`xC0P8qQ+bty54vkw>nrT>z3DQpc(6!R!ui8$c2Ph{_wMCKwDiwd2&DlOCE-N z#WIH>Uvc5i;IEu=9{Tle_$%pYxUSJXIlvlma8=@}n=+#Ms%f-gT)Alcs*h}9AcKP|Y3a4W+KT@dsP65&8{Rjmk3eV^8x7~RN z#@j`&BZs6D?0)qw=_zLCiDzlSHr6Y6$u07Zv0GeYu5v5>k}(n&SAWH|jsm~B_4-6+ zaRCXImwBt1xRi{{ZRfITVu3$z7SorQ0UFEJUsHCGI@xxkcpI~Xelq}t{t_=t%lF`- zf$#098W-(b+=)q!nB>uX8B9LKteZ9Hj--Lz2eoFSfm(J78~pm1G3l<>#VdO&kW&@10bLZa9V5F5|9-fhQk`gOSt8};3d@!&#v>9{O%5tOUG%~JWFEtAM)S!< z8o!cOhfJ0?iS2~GFj%4Z!0RiRgw_jK>vT)J0jy#7rzEgC_}dMpTO`6(MYOfqKkw3N+84wH=8f-GgT$%jft zpllyWS)YN9?W>fIwYBPjwVk9Vwol^g9QrDzV=d2k_Rz7mYCW7_>y|Ce-Pq!3!yMe5 zLyZ0Z00030|9AmZlDkdhneYGSTqXqyBmkh3EAHR|5e!Q$ zITmfyEt{Qy2g47G3cymgIqSvUBnE?8ZNnherel+mPMvybm6lye2Z0DAP;$Pv{mUdN zA<;-%qbA&9#%p`b>Isw!2b zZ-P_%z4W^ui3vfKSBPZ~C9A|>3NPKr z_2GI(Ikpxk8d*e=0&}h0`6xcfpi_*HOEy@E=u{)RY+sNg{hu#_B>x_4EH>S+CErHD)5mpjXYBV>Jep2WF5uxt?n>AK5FGbfvDV)RJx?&MoMbtuS)(s|+(K2%*Wv RTR)1(;G2q?{r~^~|Nmb}W^e!i literal 15182 zcmV-UJF&zO000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J{%0RR91005^s00000 z0071U00000002%q00000004La?0sE~WJz{bwP9_xZSd^Q+UtK}cXnob?5XO?tp5>f zZFW{wckR^QR91ISuVtlfW!3x#5g&f| z;fH^Q|9^`AGYB_s%zPR@<>uLWQja=Gv!M>+^Jc5_=aKsE#(NtClHtZ*#M7T3_c!tX zk9+?A5uX3h{{I8~@;^)Zy$?V9Dg68tO8Z`9KTOWzce`=BqYz6Pr>fM5>K9*+Te{H7 zVM!Lq_znK~1pXhCc8VK+4v}^z!rw0g;dkJ7J3fu-YPZ=*YB3)B!j0eg7S{3nqLrLJ z?xb7ImJPEx1Vp|=@?c}tfI?ZOi z{g$dXPm`KD={9O)U|Zdc8po>Hc^tP?yLr-i8nt4@Bn!@H(rK&G&i=kSk6N7u01b|t zDU!Ezj;L{=n%&NMx1&yydfZkgt>zitAoWC{0Cm!A#ivd3@aCw$w2+zBa5F->fC2LL78J@S*S=8vBM72)01zsLqxO%YB zfkh|Xw7ej1AqP;oI^52D-`2@1v*k|MfqBAX25Z)L+8S zAyfv%`)<@djobQ7&z~DVjRa^LYMk_c56txO<>vr}o&%K;`nW@}2?`8HoXZHhVIhlA zu9)3sV|G10iMsWUx?67^VMMA7D4E0V4UTE9zscX}3$21a`Yb{FAy5uJgUJk(& zZ)5jU>Nk@PEJTfYbL+4VGnh-vesebD1V7Y%?zN`6n>S=_Te$wV_^7t)T&cWR+ve5l5+zC6Y;eG)^ur};mryH#|BGH5 z)p^=fjqce|j80dF$ArC;UK*E%K^;90SzyT^PXbp|4X!N#L-!ecSX#W=&X;zYJc;4c zyEu>O`_rBpVr`$twd4d2J|G08Dylad=;DW>KtlvQb?xL>dku5T?=(A@7+$(;c#)t{ zNHIM}@W0J!s>mADn&duC;LU8+9$%!|M0aUgOmaFkYFzt!M+KH}lh5dd@bx?)+}fv~ z7tFGbFe!E>yZK8!a^u_ZN7kq}J`}*q;w^>3MR z?Nua|n~es%u*nljXZdkld%w-WWBtw@{LKVVVn)T^G`XoWgJd~FH;+H`#_7q>9Hvrp zUps4`3d{Lc9@a{~B|Ulp9Q8pyxyjPaT*9>@68Zuy%#WA`21Qf5x38h53Bx%+vDZ!+ zJ%vski@N&+=F&e5j5nwRq|VBAhQ7Dcge8cS+qdr~oqOG*+j@Y$T|2KI-!^N=#>Z*W=gyM` z4IBhA_Z9rY{4n#a3ayD|Bd26-*f}5FsJ}E@{);g>z6(X!(Ra@^n+D?TAN1)8mXxM6SBO#2-JX7nl0J=fjqgQlCeV%59fiNnwv`(0Ed&;n30yFJZ zACF!I#fv~~O)oJV07KL@jg=d8iwqN>aoaAO?U8&l*V zc1_t5bT7WRY|-6L_d9w*w{GvLJ5l3(rI#~umNA%o#RV2$DmOlqu%mWAs=d!$<~`o= zM|f@aBI5-<256bB5p9L9?ctqy%YB`Xjmk&V8E@)ujE?ZtHckLQ2D8DTd5%4~`qO0~ z!QSGQI%^*L?fx+B)O3npK>XWGctY3CCQDv_>@a|oRR5ME=g|W#iv}#H%78ia6i&Ot@}6)z{tU7i z_a8sBQaB|O$A0pBN}fc(@9>|*trkD|*GCP&-KUR#!_kdA9Y8z!?CAl({3NR5%v0?j zwC4rbFZMlM=Il(r_+Sn{XwR`04EmF1k|!JO#rf_**FiT2KhW>n!5%snLbS#7Scv>1 zDW=Cld^-;`FU4r7x;hoVMJw<;1R&ONfKf zS2T0@)iS$I9Ud={okRL}d=N1GBOopAFzf;ee+Fs_flnF0*wgKwhmN7Nn<{D#_t z-Plr_5stzi++6%nZ}72fp;qvv{$)gYK(Q_P9?ro>vF4P4!6ko_CHH+N$kP5F1%Pj+ z4^tjY51L zyiaJzd1pAAMjs{q+Zfw=|J!3&ra3WNv)#d$j zTD7HTXsKJ-H~Vpm*ZRfBJe#^N@Hpq8=Uaai06-@`9C7kx{Ao_Fk8&o~^@tZQ|Jp9y zQD!XKsOviq2fAweJaCkP)Wn$d1Es_MC+qnU4XQKyluO}*-HG~iv`bW`r$jF0k%6`_ zRnaGx9_K9O>ATi0QD~}Nu8q-`p`eFVJk%?|DurzaIqc$H0cpV$t@Pm|$cLWVI>A`y z$$_|#Rp~>|^17Bj@a$tF7*}pa$7`NBbf_<~Fn6EER)HaTX6Co?|6ek~Bj*eh&Khnr zWu^*Y`dR$fmkyhEPZC_o29qF#`6aynSA=1H9JZ*A>P=i}pz}MWqYs15r2v^f{1w6n zC}8qmBX;V990~|?H46SOF#Iyn1SqJY^k>2Y;-As?ADes1Fw}PqoAf=L^6f(Lw!THVvabrN@)h+kK2jUz>R*$QpLHJKGf1LRyOFyS zk&`NYK(&G5*UpE{^Vxd*1Q#@In44B|p}B6k8J#6{dy_4Z?UdeA4-7KCHb+aFXX>-1 zo#NvBR}TyG^W`OzO2X{@(>wSYvu;^OT$>42P7M@KtIicPeO_r{by3|`z^-nol@;~6 zvIZ4bSWV7UwDl#C%WD8%Sp)Q{fPOWF?&}aVpl57L5ou4K(4%hwI150D`gsb-2XnSI zA_%l~>}k*XS@!{)vWnwQk9j}N8w!_^@MBa2cJcYO+w-ev-r~Fyng#t%OZ5(S&~&2q z`zDDq;GTQ6v}HCz+rNUWxckoTtm~1H2i!GhieQ7eje8=QCHDyo`DCZ{YU|kK=j6Y% zy}kFay1(~ug{_Q9$E5Onf)cKg_9+sTj5Gh%e)Vu~Z@ao%+OeD< zQ%l>2JnMFMZ`UTuY^i%rZk>oW}z1?H_LKY<+d3x^sW~aEn#lg}g9r_pZm}#JW%3L$p#e z?UxSFb2hfCl}EdW_ckh96@#n~fy10f<7-5wd*AxTW(hP_w|4jMoBsG0d}AM=X%p|ptogN@1t`Zq)y)vs3ew(nDf3^va2cbn>7j4R(O z3630i$g9OF*o0bFrKDwm^2(6uR4e-jdtccoA6ED7AF?* z4Gg2>Chsey&5dg15NxULl*;$EWN&or?rVooLsF&d_N(q}R4S#r8`bTN2OHalnor<| zyF$zpS-%q>C*3piEbA-dNSF8TD_+^GZoy9B9c%t( zM?0X?6bRjxg=7Tw+4=dm`LCc8X4ohNr|_s67MEZj?A$SL`{BuSvnjd{qG(e3F|Mo> zLKrPPRZDuSY#-8mGK-5Lq*is)SR|v~#cuWvSw=sT=F8V(+im*m)=&9TaWzzkC5nAr zw@V+@LlnL!deP(!$`Wtu-id0DaS5=x*KBthsbR{7!mZa{>}X0LA!7}5pWVI_HhpCv z5)cN92*<|tjmkTRd;7C@my7py-?eI#?eq}W6gHo>)e7;_CDy#o@PkdK`C-O)IR27O5~Wwo3eHfAj!sWcnVul>#@)YxC;~?< zz38By^k^_*7kdLa6vFH*ZXP*1g8za1gIi2rc-!EW(dJeZgPFZ=)s7VG$Tn-bP}OWW z*9akt%O)2MXA2o9Bnp)=Z*uxd``BA}W!5jO`wt(y(FntxzUCrc-ck#T8yy6euN7LK z{d+wziv~nFv9YkSk^*`mA9~S_mjb$&54~VVQvqEFLsLOeh!F(vd_J(%Ccd^l#%`No zTbmr?d0-m9URN=(SMg(;tAUj|2!n^x`gvu|01~AIj9Qq*oW-~_M*x$N1zTAfJ{I-@+vo6Yj) z3qD&kQoaG=NPTQNnf~C~YF(%rzY7!MSFqM5yE@ON z^PW8N)Qx`}tDqsJqgwmyY%SSA-MDjtJ@=Rjsz%!0oOqQBi#zy1U@dxJb-I~MxLVK^ zWa?`1d6<>d=C+Adpcp9ZYcX+5tf$H;Koz4n<{@v?4B^OawU zO`8-r<`08Zn)Vq0Z+0=;6ZKA~0=kN3Ko;`>S|Q)ig90K59G41f3+fjBys1;Njm2(a zB|!>}=sg_g;S0XCx?=M)p}4GW6_-WADjdwK^CF?Jgff?G0*4*|xc9w8veBP5Tkqos zfqX^({sx3Lz0T?z`i&mK1Rg=9s7Fugd@ySdMPog(SqzUeG@5d;S`i)Q;6UmI!?2?W7hrkm`+G_B-o`-@^51QKM$T`2uBaHEQ)P1`rxz1`mlU z)nQmi=9zk?&*{w8_I_h3^WEDe1D|;qC^A%bqg#Ag3sn2v?X}C}%^p`?-q|CYZo0&# zZ{!=i#75}}ELsiYA4iIrna|_@PSVgb)^f8ItFO3;)nR<5&y?-9Y+n*8+1r{rzn$?8 z$ z*#~O-L3wAdVp4sPdKCi~0-q&ckCoooHVe|RZP2oZlyX0KjfX|j?sPVbg2i+LjKaxr%SoAW}3k@`6RaEn~ZQpH7L@LN|@ zZF33U;GmT5>ZwQgnS|`uqnh5nrVPJLo1NETN19AzQ|boKJa8^$2^l*ti!RllMi*^m zSz&HBywg27!M+7wblO6h=AvNRTGIiH{S3yDZSwJJ*{wb8nlVSRxK4Puziv{;gQH1) z7yBzBc#&eoK0&WfTiuJE#OkYY3(HWJgjiuYN`DV*gx-`R8(+rRSN7q@YM~J>l#XTE z(&T;>Kc*G?1$xjsj@v1ZBzp#B8uX6s-Gfk}i>i(CUfYnGX`{@;Z2-X5w{9l53ShIJ zKr>mvw_OOBY#p7KEQ2KT@FS9%tvx@LQC_4;ovit=4#5W5Mpx=kQSM=Ff$KSXeZ(lx zVYTFXn%;aOXpo)LvWZHLdg}~5wgz2uVesZ8(@{e@(BDg^r!DLWN+5RZc+pW(PdXPF znYmOEhHhPib-RfQRN{c6m1BpU6>%}80(Y#c)Z?e>AT={Ht(AG8ow{G%VoyR0A@er# zK!yI0tAG2DnA!X1n6S3ts&#g71~&7+*?(nxHk3to5BIS?{RT$K`FV4u)XYN}`0O5Uwg32{O+itWiv~}sO4=H6Ipb+G zGki+C&E_rm4C2fKsdlBLQ}OjK)PK#4CS&6J;Sjz9__OpXl+WMj@XaSU$XYCoTN3cX1f-4ADaykmKql=5VGc4nTfqE1XUoaQ$`z zy2XSuF@0pGvzvSMM%SJ!Vz(=)D_=l_U~X(ZPxDH!sc2^%`=Ou)>9rsg0edX<=AEZ0mI- zp;f82LYtF5K65rbRZ73?vJ>O;di+5;*U75(?9&&(zj6yZ-QdNGkGiL)>^}7G8#}-{ z0*5MC4mbPMIqaw>G3Fh59Yc$z%`D|Y#;JzzNrs*u@14gD?Ty&4V~yxB_N_jJxTu&1 zWNM`WScHt^WF9y%af{x4?n?WjTAX+LusHY6N#&Ax1_Pb>50F|dthwC}fh4v@rdugH z?xdzfmjBr&&Mr4T=(IR*w{&GY=@4G(>A%a*8e~Wrl9?`{ty8Qg#%bBGfVfbOi4)wm z{B&@rAaLrmMZc|9@pV1np>3uyV3M1nt~Zycd?cx5as*cw|6_z1Y21DbaTzbNw>T*Io9R`U!~l&1z4 z-XTaim+@EeZg=lc-DSVq@3tS$-ofgV&XSvl6UYPXPvitGKF07yZhC`pXv=Up&*pcQ zBr%!214F9H!P|-kw!|Mi!iOFs`BJ$2oaU>POY0k>=H%FDbKc$FyHna8HKT47BgF@M z57l^?MLoC68`~on%5lz8TiM$@%+e|wUdGwkjYpuwuDS&e&?$5_Ena*;gU@V+HYwRF zeE{k(u03ufUvr;Dd=l^PM0L*AjuA!ZPoXGcOomx)*F@vSsoTgzYFBI@lJRtQATo|1 zL3%zy6+gS)im*4z&s8N`WSq8}n?pW)RM~p?=q~grm5TOL#F)96Nh{zGGDAV;LE)_~ zzVbLZ>7-(h$hn(L5W(O%6**Dj?l9GZWhZXwaWks5nr-;*>_U0sJWW|8pM9c#L{p}x zSjrmIeRN5#$T*d@rAh3rfT1l9dISuD%qHWU3!5ny-P_oi1A{Bwr%~oU4fidrMl*oR z?6h{$+16j(f>GFZGJF!fh>R>;tFvv+cu)h3IFJ&oELaa7<^cw&5$P1Itc#2nfz)vLDIml4myatqZ2P(Rh8F>A95iEtx34}at+Nm*{imV7(Ln!;SfcGAIxC}dM?@U0>KB4i%43qbobcJ9ANyO~GX6T=VV*R*6P?2yafD0Ox&Y{081}`_mX(hga;5c=lDB zHI=XI*5QKPP58Qp%&r>f{mH?t!_#%KZj{X*5%T7C=-PU0$*#bvJgfHN~qg<-#+&#y(#-5!%_6l$X z_YUiRH@}>I-@mx%zpm$UgUN)`Rcr%tG%6M~I6lT-D|%=>57Z~mxx+GO6VwLoI@XS> z-s3Ukt{ZBV?6zbQu$+6qfaRB&gkWfDD}W<#Tv!0riy(Rh-`lD;b+Rr>^+m}oyZpnU z8fVN47%RSHPVbgz<_n%vKo7P>s8>QPGf5TUNmWr$Ma}t@!_r*~#@zD&bG zN*JK{NdhJqajRx*YWtPKtq#vGxqa{U16x;8S6q0VZD;-#sq+vhX!`rnD`6peXPIZV zV{?QmxQ*SM0?^UTFDvQ%I;}U4;F-&0U?*5uz#jzGJ$ECxg<;8#5g9}r{ubs6)>`J1 z|LBoC#>`#4hF=6HXuO-F*Sl*>3dv5l1E~AwKDB?R8b?P=Q;n08 z%T}iiP;zAL9THJW8b3Dw;|2$tWog;O#cYUCJK75E&gi^{wVg(!5?jL>M|p8dPP)5^ z73<$t$414JyM-SFM{+@u%vm`C%eIohXqaRHFkzC5g5*Uj*rZdCA$TuX8-}$2d7PE$ zm*(<k0=kt8fHJ~qLexi!l3EAQbKfq0ihON*#zbU~)5he&;% zQlTl{*j*K66vMO$;)Ns#GW!AY_$t%K<}oug9&V7omcxPu5fzdm=oQfk(W^Q9a6@v~ zc@TPxqJAOmQEn5WnB8uY-iTLF-k#YL>%0zZTjLrFeVo7yL7xLXU)=tn^!5j$ux^@z zEx)V5Bwx)v@hKoFcd?BLJA^77{G>ZNK8rW{8zNgHx0>vBD1R5;=Q}pYdftnT9|Xc$ zGfF4f)ZHni4iXAdcz6;$reFSgJL*vDTV{~)5qdd67SBqC zd_JUwktyDh!kQK4)%m7@WX)##qPF_dK6Zz2^mIB=|H0iWLz1l9DDiRYFL7R?`Yf*e z&W2}8cwiBA7XX$Gl7xxYJyX~vD$gwI@9x|)wI{Z8u{>X&3Pb3+>n|C9`RZ?*>J!Ro*9UvtUnFf(BMu{`?5JxFNo>|Y=OX1CKCslnUazz*eqS_)=a3IkBqf|5x z=Gqt_mEVd`{zDmH)k0o{#!bIA21rlCO22}mG7vpO00jELxRsXh<1#?zy@Uctdw}#> ztn>>w>mTj`*Ia+{>nekP$^hvDSrOKa=mB8?xaJ=4tOP(hR8~Z#MKoN03n1yZN_^Z7 z@XQ22x@T5J2Nw(wicxeCD=b&3w9I>L43N$qcfv@I5hQ9YqA$1#p#6W~r$20qf)I6K z_=cl_>Su&fHts0#Necj1n^FIQTp%w9Q4iNzbc=uncp(|!jG&&uE?j>xL|6dXwl7ru zZ3l4GXFc47Gh{`${v!27Z+}~VX#y_TPTf;~(dYsoFaW%W?JrHhqA>RQTbf)E^?ym) zUs{0fB;KC(7fMgm|0QXEX#p0)OKRczTUNa2`cE2wMIrPI>Wc^Z`8Ra{~$mFX}2L24aqAqmlzx ziBEb4kTO7;fXl)J2s6OSJ^P3SxJspE-fLrkv;p0z;i7(w3JDMl2#kTULgU7-jRDdK zTo%nPqJjY;^<`mzv;tSc`c5!F=zzc|Fm9_$_-m5@X$CHfs*1K2siF%6h5=cjapTv< z0BHvPfAX05~+=}MGUmF9YA-FVj6c7w}!Mgz0Pa5S?1wRPX_5IS#RG}3_{||^i zTQFUm$ZO`j^l_VC; zvWSY>2h5%fL6R+_#K&#=OktO;{ez6;i;0h@vls{Z0Y<6WC@|yJUgErDpVohvJ8Oj#{AfhimU;0n^;K(fwsp4@XI9dx=<2-2AJ2+Vd&j>tw;=#ejW;Rv0 zG(HW0g;gA_fVIL7=TMD?X*L?EMsy7xYmuJmh6%d6ev|jQhw1 zQ3QUOvyZDt&5?RKDR8g}Po3e(Cp6%1i@KB0^`tdt^0CVOCx(~>55XD7QGamSE6B(X zNyM>`2IHu9;WxBT1C06$loN^2V})qUbKX^kbOfI#e+2L_QVaFQ3sw(#Xdio?+K_a(Lm+&K zKK9(p7J5ZUtYtU3>W@KTPtw=0;}_w%XkyI|t5`f2e9Q^N?x7)qH026JVQw{G5_n|2 zLg0)BO@z=SqSFXDgi@Jk_tjJhRU#&{f+}bgw^wE&Jy=s^Rf&%G59p*r?%|#sT~?ws zU6w~exlndMm)lx3IkK!gX|hEB%eJE1@jf}StUzh9`p0(5EjQnp7+G$&wOih;Pm07afta)L1~$&|f>;so)2pN1=Pg=wrm=)M7YQLNH#mqN6DY z4=Jx5u5YpY=S6{)5=}wB?lwFqzw@TTAD z`d5ErI@jeSS?0b)(T$m_o!#QZL@BeP) z{*XJ*XM*DFj@Z*#4xqvwx-*C91hqxVnvoOZefi^g4SO1UCXr%JxX3Y0*yK4T z6t)}Ld(?#{Z1Tb{Y-u;_hX}juID3^Tq&o~)+5;ilE~{g&M4Qn6&LjxYc3GuyCECOg z;4B3}+c?%ihzvi@vte>AxRpLL+Gl*;27+7k@+FG;Q%z39gkKfaIA2Tu2y6cthp4;Z*EJLe2F6M6jz{}BfE7kBKf)^-ENuFFo;uSAF&5F+Tj^OS~ zNG;4(`}8-B8!aZ;G*Th=4`f!D-B2+}!laJwAH^(T&MKZHVM1*?^H4M;)iy5UvA5BT zJCnZ3*y_IKr;Is^Q4nT1<|c*{;uyPkdK;0Z%ql5CnPu5MfFewaWgNTuKdK}x{ywtL z;^{DMo$=E7q}fmhaXV>uqDC#o3k2RZ?>;g-JhW|2&WiiG_!;YNv!hB+qNE-j)os@B z&^cOOLKWwh)C2q?jGhS}x?CpHm?eEbXyS^6s?;8zC7q5sY$d0s_zHEak$#>z8qLIn ziarBOy1cpKc(uQY;Q22`d*7x}!tu$m$oC*=b-Gbq zWmC8BAI|PI>KAIeY+*l*oUVYLSD(TwWVr2K`%JIkO*v|{E>!t(gfE>_Y@}^Js=Xf{ z`?Sv>ZL?diD^YKJ8I4o~_L%MD2>bvy(p0L8Zyc0%iW`KPnIY>7tBdLu{=BJEW{eK9 z10D5_FyQ3*sCJ=@&b<|UI=-@M^E08a0*osnVRcR2T3r(fg(W~N*#wFS0NndtBH0E{ zo2~cpgFwD2rM`iddK>?%Xq?VB^cyX1^Xk^TMN8uQznkhwwE!eRWWCBGo5k=rL!%)v zTbH+yh`JyUv>Mer-IKFwquGe7(Mn5KuP3cqgS_K?s>_I9Meu7V>0y9$m3>?$}Cu#=6EfSqiN1nh)=Bw#1}gMg{J8kx;pgP5E-Nq-Pp zwulBEwM8`Ws4b#_M{N-eJZg(*;89yd1CQDw8hGRu$!sajYY~onnG;L~0cH-S$OF{U zke(JhsDU+P=0umlIOTaXd5}~HJx7fU0UbrUh!vlykD^B`=onZ>kywj$6nV9vqe!a- z9Yt0x=qQqEK}V5O3o1YVAJkes2P@=(Qs#OOI~WE#N_$aWv;L<%tQPVfG9V1<1DXT= zs8K%N@rwY&leIjtVBkm{1=h*dD6merMuBzGH43Z~uTfx~e2oI@1Z*HIIhdUeWX}@g zAhQ`YX3>&}ArPB5EsSkU=pf^IiCFp6Rh_c3ZVr;TW)E{c?tLb^CTZX~beK z{ZpxvoEZ1FcB=*Jt;%a2y@&mZ@**bF~4Rq;x8R&+vVze zE7eB})m`D{T`(X-r}3z`;eBT`iQIMpX&%Y=`G+5VXmGePmUS7ztz5861D7u(q*#4atnP|cge#XZ+s74fcAlnrxZh3jA!76TSeij>eD`^OA5 za{)MCnuiOe{bc&uzx6|4`f}#i0%iO||2mDKYPJ>9q?v!=qiO870&u?`Nc*_}ul^{& z)1MB&g=k5$rj*Rg)B=frKY-8oTA#TZ$h#lN`;P)}A^8If`uO*M0%@-Y(*CO_D~An&IFX-Mj$`M;+W_(%xu3xT}<-e*Z;zaN0}G<(cGmSzK-Rt%sK=WDxDd@DK6^{h^D2~g)U;9Yh2TQAPl)DGX}LCXr9emPLLe-mgAWbLbODD5hIo(s?tDr3}k`eE`%wS*#{qmh0eru71-R`1zL0JY!G-Ga&jYl)6DZ@K zjR^M>0bcze?#iLu>DYNn032=E}PcddKs6+9j`JJ@&F z%5GZBtTns^D0791YMyvV)omw@Q$^S6<5siL?6y_eJ6K7$^(z0!$oc)QUuL(y+}VNI zOYQvfErP-HXAlGl_~JkU%+kn4&(etd_WW}L^X|9ec5K&^M2)!_ajWqpZgsFR({1NO z8iIAUs-|0p;>I;BxBny>&{kJ)o)XZ&68nI+SXLkK7FWCEzvU9=z_<6pZ^=(1b&c-X z5%wfQsuEY-R1npdl-(}+D&Tjz^-gkLk3Vo*nA=E1U=8m;@*XC~oyR(5hRY*&qIO*8 z!dj^nM<$t$b3Uo$ge!8LR=3vaw%mdocbQkBClu)5`)|j4*AgxdHwtfj5S@W6CFzrk zz3fPfZ`+PQ!)j3-B^^sVd*iCw-pGHP_iu^mQEWlEreSx3R?_`YePu25l(p=!vFL@| z$4psV60l{JE9p+HPaw6hENiwZN@Gn|1@S#Kd2KSR{b z8itOffz9ryaIm9my5F zQ{uR%eOKJB|ItV%-z8hZ!}2v1ilh4x?#G+vr(Iu#KLTgz+1lI>Q7l3bsza zoAO?#+Ddr>bgC6)Pk~Ogvh1*$#qoj_=AP8PijmL0$MrTNhQdr!F3&Bw?BB( zYf8@_;9!7H$PtgX4#euUmz1L6{ZUO%S0{{a91|NnRa zRFb<+0znjp&vFq2%9_=hS{T_3lSv zTu`MIV%Y=9Dp8ok%e#;JS3f2z6L9OPn8cui38VmGTrriq+FeA&n6Q=#Tk=YXbKQr} z@2BX0$6T&yTNP95qY3`-@yo#>y7fEdqN09f2`y&8Dx?WM3alRUIdZ;Hx)Jg I0RRC1|MFAgm;e9( diff --git a/cpld/db/RAM2E.cmp0.ddb b/cpld/db/RAM2E.cmp0.ddb index 4449b188f2d99473c18ff48c59dcbb139696239f..22996a707969b66e7ce35193770ba2b0b2e931d1 100755 GIT binary patch literal 85254 zcmV)CK*GNf000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IrD3jhEB002x%0RR91 z005H(00000000I}0RR91004La%zbrq6iF9vPZZZ6i$j7;!%~GTi#v-h?(Xikcm_*w zcL?qf9G1o13GRz6lHdgQe)o4P)icTN_s)6$y*`IxGPmxn>vgNTy2r9C%khJnC~t!< zS1J4pqEGnl|EGV69pKL}vKs0GS_gk70qoEC&M?$B>a*&jK6|#FxSrpu&;O?R?>_3A zf79_C-4pA7apKwMf8l!e`Cp&!dgwuo^?z@_bAKP!SZZz+ z{_M}6)hH?Wa}wn3mzE^aNdcG zLNrrQQoQHSO2e=Db4u>{ls~88&x)GTfEvY#fc|x zs;9E1(%Zjf@#Oy#kD@$8QC2!pta((ol1TUTBBQvWusrKY3`()1Q^blw8WD$~ua&f_if08EfjZXGExPlr20p zuQ*gbprliM6t}9U>Z71)+;0~2=4<#+o78}oJmX90M17+uCuJF*v5l0Mdd9o*E2Vig zmXccWtmIXas#f7D`d(x`%la1c?<@UJ%ou)X{^LqT-?b*zOVIzAVZKoR3-otVkG~(p z&;a~1eSV+BaK*z^N}q#O({MyJ@%)#{V)2Rb&Ta42kj7vy!)3IkdDqzJ$}6hg43dpL zpE7GeQMhIjpT=UM;n?9DEyCt>lV39U=kB1vA=Jf@i5hEX&LDaA+H_g^R0A{Uy%p^D zH+`Fj{uznUj0ik0KL1oo;&Y<}hU-fpeW(haZ=liG?aI5%5rmx@*ietL5g18lMTqhr zsxo#kVLt`-M-t4c4CyrX^rxfEVTA1u>;xo}4>4Vy zJ{ViZMTq+46?g$@?Hh(4+UNmg()_)e_VTXkL>?N(CuJk-z}qy@_l#eyewZ(_Fg*GJgH3*4X#?E+5P^!nAEwXoRw{`>8-)5 zV9=K|zQa5HIT0NpWuLIF=4j1YbAlM~H{-^=Goo6cvRDUl5@u~0z`dxkPL#eW{{9*u zQ~Wc@nKcm*=&Fc@c_xR>UV*wAlQUVNTPqP&OB zFTs|F(P-jtvhQ$a(!|kMT{%+q6!q(@iA`Js4Wrhci{j3;*-U(aCQeT3a+HDUH1Tu` zdN{L?m+nHJH6t0MfU7O+EH;o|wPN!em0-o^;_mb}G5 z>|4gwuoZbr_?}|cM(VL|6NJ2u`slF>W?bV;uLVni5y+b@Yu2#NqD=jju>}JU4rXLr zhD#!BoDyulCQd_JMx>|KiccQx7OVzgTN%Llx$mPzm6MZLu=HB62|#>^rdxQpDAY8L zVBkz$@#n6{`}xaBW^I%nTLTSSsUxnpcK)mdV{ln0K67uE$h~${Y{A+Q86%83SuOqd zi4F;sJLJ~H=l>wCvc?k3*X8UMi#U(4tLrE+Xv@Ud#2M1om&UHLb+4E@Zw#%jVL4Wc z#pRO6c4Q4Sj3&2NXe}e5J1C0< z8wE4%6aBoE*1BopVA1MHfo97MXDY6Vllm;<7`**Ioe6>LI0fRK&eT&A_W|+3a7E4e zgDk_HB{gxZH656!teO)?iOjx}V%r;ITi5BI@iuI)Xp~MlQ*%xH0F<8%jVJNynmA2l z!Nx%}|4FQuk~`R*3bh1j;-?{U2TV2psTSfX=JHfaS4~_4-JHXq zB{Xq2_&*Km274N`l_p*iGF?M`q16J;t7+nF@4j&4|K$821VbN(C+FQYaUMHA%&LiR zNH^bEP7`PFh_4g|or2m5|Ekks8_#OPB`^!C9bWWnEgFD@2`nd`~(}@Naz2}d24WW1SC9(7t+N4 zA&6r?NND0M16Mj-@xTpT%TcgBLCP(aq=(4*%W9r#68b2pF$$b-K<(Op>|t@>mLqoR zc@6MRG-t}M$Xhi~&7qW7m0FiE;%w*tSsY}% zvrnY2F_#l|dQJQWd6i=lg!d#qRTIw%;_Qn5n>fIRCvoIKHc5Z_;P^^{S)Rm~XyW7G zDE|gyT(Sh<{Rri%q+h zo2rJ5>7xFte)Xbwu&PI%YBuO(fwgTbB;9T{# ziHA)}vq5hsj+w`h2aJ*6T#t>ub}{&e4>A1|_TKM%KzwOApG`upsRWxvDcP6~wP?ZM zYoXfLrrBeA`dS&H$yZsM^Z)dAu0eqX3ry*)~Ftz1f){Z^XSw8fI3PCX65u2zW>zaOY2L;vV%jv|Zk zdh8$)!vg5sf72PUzKp8wf2IDs9t)?1qmh=n*pp;pgT7a1n2>D_k4 zff#!g&0}_qVK!K)iF0j!mwH?OnXp4p#ty>Ro;j7g+ru500qqXRYnwE2H*5bp^>820 z?2v^RW=C#G9~?Eoz9t^Hce!(xChjCmD+$m;1G|e(8RHm~L!TS-&^~d~rF2gHWAYkg zT7pIsH%4r9F4n|bVb}?1qL=g*jV8s($cumo;*QC8_lf3-RYsVH{94E>_5^($;f1?34&Qw% z@?J=%Cg@PjnlFvw*od^O-J#X)#?GR8>?d5J%-yjfNwzuWW-O#|)yJ@B zkd|}*en#9MBYD|!@1qsm^fp1R(AW;ze6frf2Um!7%hj^w9fj8NYy@6Oj%3lo(J=Ia zD>Q11Nq@S0vG4GJm^R9w8FFR5$W>ca9_2_+U&$wo4M5xjEoja^g|2ay)|{^tm)YK1Ki;|BPib_{Q%@EQPL*ikU3CGx(XGDlY=S#{K z(IMt?jr|F;OdX6}^}|;2>Rm}z>J?(GIapi3Oe3$w>%LCB{hW+h8%y6V1#5MnmM~~d zv(~QfcxN=e#kCop(BFp?-?3XW5mI`g`1{x@bG073B@Jt(#YA=W$azt(KzSbf4vpOs zW4o_PzTqPILN)eu8hf#=7Ve|RhBJNCW4p1nGhytddh8_-ER{bswW?eakC!XCFVonq zFg8yXOltW2Sz<|IHTD;BrbQV0nHSlc_TVhrnby__V`t(ylmodi+~M}yO`>FFf7Tj2 zm$_O>AFT55^E{6>i|}8fd8%nfzQ$85t-K6lD>ZhMti09SxlflhjRDR`p<;~NG*T=~ zGgdh2l{b&k?i`Pu9y))Fp?0J^E9ztq;<59PxJ-Nw#@+?64ugm$HJEcBguyfV=QxWI z!gq}qtzMsI*5Z)^@RnydWVnDnTIVv)0cTbOCa#GDb-bq!*3qne#mx8XMgNU`&1Tva z4?-qdTNZcH5M3S^C+p|ETC>uH3yJa{_} z_&l&X5!g}uqqofdS%LQ_jL9wJ(S}i-{@GePS#6cMS(ngAIz|}~|7WhZtdUV+)8A_B z6%a2e{Bgm0Z~56&;H;D`c&Er8UU-WdoA}BzH_aWOiHj1u%Q1{RkM3JXi?oxw%G8^W zn0>WDi%}P=0yv+)_L=y4r>nf%qldYhSYvOA2QREgV_T!%JQhWplw`HMq^M$VSqs*& zk8Bi5^IU}cc9WahN0_@V8j?u4A631$EQQdQ^_^a3QSO57#kT0 zVDa8W{-9K{-A4sptuqttFk41mbvzSHN5s>u|XoFJIPpbLnvLGsYVzs_1~lH6+c-%far!60<-)Eu$8ogWjob-n>! zSOsY#>YZzXXmR|QuoAp5$H4n> z)qmY&FCP+TMFNE;kS8ymlNSLrD&wF+Q?f za0}*~X@YnK)I%h9u(f)okBkgeU~Lif(AP;Hd1r+iTYnw%f4guWxoD;Wr=!_`b^Zj7 zGL$S}vli0hv`M9ix*id04&;1e*wN?W_ub89mMlZfVC|_-V$(`{B$xVLU%ndY$vZpS^^xh0wOEdhDNZ4IX7T4m(c@k|5 z3A|7PJ4=fv>Lm7`-bLMT|4-KZe}zSeu@y? zuS>=rp=bZEpnD&U=6uVpKISUIW?w4 zPuD_rnRLobp*=Mr^I%3J(3$Hj@bV-^|RdipWB{HwA8+c|Y1soYo! zv+Ze>T#iksz_zFQ!`FUAl~u92Z+`B4}&|t=7G_ieX<~b1}%l0m#oNEixlJ3@p7>{CP!zK@Cuk zt6ZNgB6W~IkG+n><>H_+DvzPitX`%j>9^FmM!RA!L`YiDy5kor9^_psdVUNr{WbU~ zJ}jnxjwWZvitKG2GS8YTW*H6UluL3LR>IW&^2@DZGVgB_cvq53i-nNkJy=3U zq%pIGFM(rxApJS6pk}&z{G~W~OM!pYK}59!LEsLWwJIsUnU8cBfP#?~M(@1XPo`fJ zBAZQFZXVG|b1yc(xli8wUh+hMO4!IX{3ZP#qrS^sqQ&joU70l`E(Z64srf4L$7^wE zu>xzcjZ5~HXPUrh5q?^Mhfz_)I#-xz7oYZ*`@KWu)4vax9W{D+xLH=1WNl9$x$$xr z4&ZPdz^xc&5LNKxR&v`SCo}jNH7|<(1us8vcCjV1;m5t{2*{0N z;%W+~SzCkQHjXM#U8?kx#pmCW$$Z!Mo24{(77UsI7Mrr?wfL~IoBW=1j5&z115Y(k zm_ykavo47g_jc6g7(i5AU62J_f(Cfgmki{V)LTAZtd~y2*-tc9=c1)($}d%Ry}6Q!kk~ zRde}h<1AjD^Qz`6ypYxg!N#MG&&0bXN-Y{$4^02hPxMTrEUdt{$j{lC+;C0&;F1-l zq18giEL@HXU@dXim!hem61J_9MZ}tQ3;fMJ^io9sq`;WJd7>N%*6xwnjLF$vi%z2z zcwDT^#0==M`+uKdE~CuEF?I&SzCly8W~3+^(27m6NH21+)nXh)qqWvP=P`Hr&bMiH zgSAqV{bZB0UFED9hs<;&7;7yjOg#zwjW$!r#a1vA_oE|btd@+}am+@IkvcND>~>m# zzeQWYsjyRY9chF&0IsesD>|H-#n{M9(eTxTpnDZ^A1<9j4vgoroeAuETz7{hmwWpw z;{TDE&NNCcmo`-3w^U)fEmjZ{srK{JOLv(&b%mV@3PTBC4W*jtb&sArww-dz!ZFCt zEu;QjZy8X(7lUnY!3LFD0W58lLbfTOz(h=i;AP}2w*IqI-ttg+1>QtMvLjbSKy-k7 zI(fX_*UXts7YAv8y%cI$nQeq9zGbY)5k1|Utp^_lY%l11iWMOOCKZ$+LyDWt*^`Lm zR~pwb4E%}mr$xJ$`Iz%Ebau{wNqmTmH3~!dKloWp$ugV4f0M5nLG)#FAbO+G#24l% zWuDT+9bh61Bc#f7R=h}>pRs?@#77gjs6jAx<1Q$`um5?kLvm2&L0QhB45GIQInGNs;?~g?T-}q$XwWdSH7P zIZrIGX59FdFpZ?3;fZZKGVWmW*s;Jzum?D%bP24s#8o*n2FH0e- zeeEe1oeML&>uK&5updMCsA8`~k#Ln2F&OX+Isj&=5taqN5?2o?@D!4Vr#VI}3zKMH zdvGYsnGV}pxXOa7{qVfVC#^;D1JlL0v?a_GT5Fe4*#8WVdc5?Q@ST>5Ij^O)b`Ddw z3;tMVkq|@QtGs5jmJfdJ0=6d@YT@fK5c|OuJ;7;D?96!+%{g!SbKfT0^NPP(E6z2tF%R}0 zPik>^g8RTpz#3nPh(CK1tM%CR&ib0QNCf9)bl$qMof!CSooIighPhD3_fH7UJQ$() zv{juojT4>{sfeYsHXaV@w~ChZniI2#OPjmT|7yBH{gwcQMtuav+wV ze`+Sr)@z~ocwd3R>Ie90T5=4>K3m814KDldS@g-Ohdv6RTKkW?7#3%OmD|Vkp$HB3 z3!*;;K%axrXwDBc8G@XkHZ9kYQXNy^u5;hc5x?(>32?_U8Z1bP+Y+pP=D6B8%LKG zMc+1emV?1@1tVea0rA3(M~aAwW5x27JykYu< z0^QLCW8Wi3`rc20!B#U6?+(EhgMIwvdAYx63HCifEn{(hllZf58;-u(%z0l&aAp{5 zAaP=4I5S*i_-CwGoq487Ye#jxAOK>4g8afc@orZ^`J~+>bA?{Lzk@AjP*+D0uPAZ- zP7BugJzZhbu~?UJ2V83G6V(Qr)iic-BJRKmQ)~8;Ga~=_YK*OiHu4dkRR~FNO5Zag z=zs#_OcBpS<)E;i;OyGhZcH1%-om+My6r6!-p>((E2{{l8@Otc%mVGx4xW1pEIcC2 zc}N@dcVw^=#EPS4@77}a+v(!tnl)x(;+%>1fxU}^^Tc7ka^sLLwy$x{o`~hpdqi>m zF|Wk@qVvUzAbUTs)81_zb;h6aGK&5+Zf^ZnDqz{g|WYAdNg2C9Cs=|+c z2k|(U3Im!aT*eGE|EY6dA0pEg*p>a~iaw2%&YNhRceNu_*AC5-9&+m(+mpsIG@V=b z5mhFy6Lot}G81aSD3#MjF?3@#dM185Sk~4#bKVL{^@U*Po7>c!lQsY3lZh#H~KFKBea#zBq~n))jw zE}G_o?SmY+>#&ko3MxX!wky!Fq3HCJ{pia-`O*%_gYhJ6uMy=IT`0IY+ZL9Ei8Tz{dT-B2E2J(Y;tD(~ouz zn6(-{lohjMgoqZW#hjF?5XFukv(_I)$9V9kiR1Vx&Pef<83yf#vGwuQ;-eOrD)yzlmYner~w5~(A(=g!gpGSTK9{; z5Y~-atTj6L5$xUpk0M6x;-FT|5R>X^kBvjB>4L2>r^7^W4pkiB?h!|5f3gOob{n+l z)Mf6ISY079ozkVW{JD!*K47(|5Hviu+oB-lQgFl5Oy# zdBlf(r)PJcVkTKxjr|KarwYn2wjB%=2WO~fxDsi()4*cc&}f6c>-5@LSI^&VuxzPL zIlw4WVTvdbwNCW%iZWeVZk(hx7DMjDjRWMNY{U47cq;8oRWNJ_X51F$Suwv*X|~ud zqRGzm3^A4#*qZqM7E8^xn)pn@vkn7$()1@{-QTt4+t+=}cRGlsLaCdvW{WyBziNu@2$@Dhb>}aK2(eD71^aRAOohNz3nE~bH8_D*0nFfP zjj=OOW9buU!#ajuFNCK;-S@}e4AE)+Hv20;a{RJ{D0&7~SEhA^Ti=qHR zw(bzrNPT3zsOeHQGS($Ld^G|)%3y?u588%g4$NcVd>s@Y z=ZafGYu1LXZReiHhTHR4i$pU-tz?gR$%Mx)nOd-2JET83L!=(7z?6|`!-AT5Fv%pi zjORsaQrPVgKVXs>)m>u!Vf3;`h=JQ+Iw;{XW>aH-gC6!DY*-FpO&I@sQGZ@Z9zZ@H zP2boLh-ExG+gVKK)B(`^Nif6(*DV|BI0f8QZ|!~~b< z7_m_t`+CR}T5i&(VGKg^dr}{{q-ArN%Gu8h&|}vCwiDoa%^r)&wUuDdGSlA=rmusz zPk5xr>{PiWiC%aVhTON%=&_R(=)s2yxJIUBSFrH_p8E9T1uo%FH;&R)1U{Ci{AiwME+qccT7X61@71b8;86N8Ro7$HyXnPSEzRgkqMWpRYI zf}7nX;&wr{{N^m@5u(o57fdyQUx}D&#4+K5s4%^@ z+;{PrDYVX+1RcwFIntL5kT3U+=C#32QYy<*c$&)tCMu(k=S7^Ve4Z8Ga_OVB)_BoKKIX;PbD{3pXc{D*C(`_;rgvLw zO!6BE?`T(Ho=8wffyn{XOvGR&uFKf9MIJc|XlG*Z4kB(%o8l5}N*@y=yG?PgVj0ZM zwS5=F>=#w}=K5OgYn9;0GRAjcHyY{yvrhmV}|wY`S`@|zE}ql!)v^V1v?1yY8(kC4)H#&n~FoXL1E&xwpJ z^T{R+T)c{9M@IBHD#KHE=e!^;>||gU%Cqg zbnwDJ`U|C+<2am2JMzFAOWd1S3ovYcU{4&oMZ8I=JQZK^VTv$}O;4@|Tkn@{5uGw9 zFbjo|M%vR{pO#xhSuX_!BfQtM6=|g)_=|fnue1>xY@NXfaV|B*QYGhdR06i<{AQJf z?%DqbxY!BoOUJf|Vn3@>K@`wR=ixNAl^Z0^$gLv7QZ@TyT-NzHAYK6jJu+++V{0pH zL?G|RGD9v~I{uUN81uhTA6yN@2>KiRkFoi0dTPmVjMZ+L|VFX-TiAU=` zRM-CMDKX@^l+*myn$fzP>qe_%<35e;cu?oLC|RZsKhl?u{G4N~30R}@-LiH>o)J%u zsry8WX-J+8GGK&S(1ISja?bvy{r;A*;5-tJd_3uCQT<^y`L+c;m7|Gw1o3p#IDX0I zB_Ahl$zfnCbq=r}Lv^DDM2pdD)e2fOj+c<|)5I=^cTz98?3)79u7xTkA+e)4B{w?^ z_9QOmuGf4BY~=-sDs@A|@*k=`FF-oyxrENW1{)XA=w%Cv;6wJ{kee@E1pk=1*0gH} z4WxUUkDrRy1*~by!FIuc11<@Nm#RGA zBh2(~P#B%0b2(Bhyd)AQP~bw86?yE67<(DqK?_!>n>tLvIhAgl^Yh=q#<$acHWE|lk_T-hb=_mebdB(fSsmY#=5cF40$2meQqu>StKH@v0w}y1+@ex+b-gd zSCz*dngn=?ElDytXtHpZk}E>Pom;g<+xQSZ9dV^cR3f{HgV)xGSqc6!`)Sr_F=bil zpqpUvTCDrhTxO`Ul8>12*eRhFx^Ee53{UY~)SMf~Te1iP53ex_6;)=d=LM;| z^3-7o=d*b4KNx>6~QBbSOTTb(xUUk>mzEbm%S9s1Os~|)?=@B&J%h3)D1A& zP*-VhIItUIdGVi>CMf*-DnW+gZHYk;neL&{4q43W9~#u&<6NLO(Aof%_L=DUm%sap zr=jZvPBVYf0X&tMw6KtHbRPdq)LpOEL}w`)AC&-OJgTI?8t5Ji2ez!4F&tZ zID_PXvJ++RYn9B*dPC(cKoF@k2B*?9mda71?lNeVf0psdA z?Kf4#d#zR$rwGifX`shDHQHWvrqhYb4Q`QisE9hcK};Ch&1|ou#0{?0;IzmSBqa`F zVpD_bb2s>I$y4In0#yX#gf#2?9HL|vq|?~}%a&A{cs@i)XGo`)Ev`kg&1pJ-AJ~5W zq|Y|dZA~>fxVOvvNMm!9WQ3p3fmL^QdL+_SQ%N%i$<31_v(}M_+m4*PTN~4dz#QK{ zfvbLK9u%7?N+ecVD@6=*Zixg}8Qu-xuV_#^(Z6O{#=Oi3A7t1il&vF zCq|r7ch9LfP`k11p-xt`%&WlsU?Ac1Gex%g@;fhsOL^|z>DT_eVHJwQ;*ET6h!O}w8QLjP?)-mj-9ef*-<^L zq z4&J*znlYOUT&BR74H!EQn681bU&ySoV?70~O4GaBQ@NuS4B6qKj&NDw)Jy?4_Ht&KdS^PqjvL7msqU5nqz8U{8$`z|C8F$$4i~ad4az z!2!%%apReC3z8^|9R_vx0DqoWYt334h&&bi=^(=W@45eGP2c~<{V`u{P&dhWk*CGV zC>2C_s|#!GKI(#HU_j@V4gJPC?Za`&p!{ZFVEqc0X!+Z8v8KfylO{iPy}^HocB4|V zC!%Am7W}l~4GqqSg6tr&_V~lkMDG_ZcdZ5hXhjB?~gn5&@es%C`mlbK}uAc83CB`WTCuHHUm!V+$9e zK17*w%OB8Max66356>#2W+^c0Hx94H1aZ6ocCD#d<(n`C)=AM2M4YzZs88x%S!JUs z3T$s$GW#6P&YRig?P3Z{-IZYdAy_GleQ`{7IW|avZBHBrjr<1olQqmL-;P$`@)V&Q z2FXzOAA+xGwa5t#%?CQ&zee3(64GJmwcil!+buqA1t;VoCns(Bc7*T zzy`III-anNVz7`WSUU^o8%8pW;0d-}I(KSi_R`MgL;Vz=2ZtXMB|iqrB=hQ+r!=_I zS7H)3%N;evaZ&r2+6hjg569htE%~(8T<0%%1tM$hJZ4RdeS?f;Bt&k}?tmpS4X5VN z-H>TIq^sOBL^MjQDr{Opt2wj+G|~;6Yi!&ABX3Y;4bhLe{GQPQ`=VN4W0}L*;SA`W zL!&ct-K7(q6LlO^B?%q*K>TIlR>IXgOyJ#6QpdMH!fy)r%hdg>*yW?-MjqrS`3HiP z#qgRq?w*g;#3y1{)~bFDLej#2nI&{i?So+@RD4JH$kf#avDT_+&byO@MnXcjr#amJ zwu#d_Olj$j)6Dw*cR_kNt4YM&HB$mu^|y8xM6JKGF>&O7cVY5@!oVgD&c|rZS)(a{ ztvLsAaL&Y20`d~r4?mt4!xO5BihLxPiQ~?mb(e;+Er#$XO{%%%6__sKLyB+NWr8^A zP~ktHl*Ja~v76UVyws?B)~nk}AgqKMkHLHt^CtNDV+@x?cB4??ql+&(A9 zu4*iCEFhaUC=Q!gxq!Xgxkb!xs!EHiboiAG%C8`+NnLE>nWvsJX?I6O=wnQhW8lao z7x>D~w}NHYwzo}(&P;d}i!mF-ujGCue%jwn;t5YYAIkOGBry0Fg0NqW<0kc;4$f@4J5`ulyg0Xbmq*xIt)(@!7x2O|o!RY-C^xzqq3r&xUe`YG; zgQ;X-!JYt<9zk=z2pC@=|MNUn3S5x|Vqd+99AjT4(>lT_QQ3ZuyU29ZKwUi7W`aNJ zyU0@yZ!>diwOFtv_Y6zA=Jz6#EsdTh%3F5?p9?mTIb=>92G9C*CnETqT&z#_w->3X~nUn|w-l|>pFn;khL zbbbZRrP}Aj?B>cHiV;nA)uvmwj?M03Y>%`JsH%)rz zBkpx!&1f$e7PwyhT^xkQf z(MqMW<-vwG6d#i5xd;re%}31eBvc%wwmP|4{F7U4pkZ;y&eR-tD;uHlWKC+;mLi|8 zLZewLJnf3vS+lkU1vouLN7L}U6teip9`a_xa^lKpleCT~1 z#9AD!ZFc&|j%i(-k>N{REA~WQW4nC5=p#F{P++WrI4gFg5H#{)O3)^8B^>9zXAwkd zvFNoI!A5cKMI!qfgNfUv#Y=m7UwW6`k@Sn&KqDJi?8_ z2eQr`jMXx1ptv$C}L_a2wqk1I`m28YBv?3>R%vE-;7d z<82#AWMcq0uU^he_N}Hi=b>pvr{}BbAt> z;^&xC`#5LJp4y1iZBdGFTkOwHQ=AF4#pnQl%V>`P{;S+*@wv@Z(cRq7FG=B9kY4RT zuj!?+EaPuq`Ke4Wj}42lL-j(7_huQ<&wS<7-xV0lFz3gh?r#|TRZc(owzmRB^lx;yRB8=_}ZYji){P>Y|YwlMdRll!J-m`51P~Kw_L{ky!qt9Ung_AAEpgT z1-NBhLSa&_|0&UWvO48CUmKK;su+%Bpw+imkPON+LpEPD&;5v31A?e`=4@CWC2~wt zm4_{uAAIdTbW^o$lo;1Tfe(_sS?4ps^g<*qZSQ~Xui)b^?prxUA$*B(+r_XnzN}Pu zF0m7H9Yc0#?7LkocdC?&(^H)8X~)=Qe8ohnH#NEje00)Nwd#5Xoo^ye9q*b>5s6!$ z5Y>yv&pi&T+gCjhPqH;o_Kln_8&vOV_R$e)cQL{qJrc`(RIRv5pGU3>Z`pt*Q<5C= zK<`;{)Y`6QJB_^_QBoVt?fs|3ks_s7u=W~zD@4u>Y|UEJunlIgw%G6Bir#}r*`c_< zT(US$c9?)LVE!sq@t1{HDX^W_NPDynMW28`f4Opo0_zFb=m(Q5r^d?L-A~p?rYwfd zT8?kG+}dJThtlk>ioxN?=OfTG`4S?2E~eI@IBC!J4n{s7hDMtt+4eimRW@%n^?}O< zh{MT`i#t7bn#p2;Q<6a))8CyF&jVXZ9A_M&Ek?go;xcZd^OJOEMfYGSlZn~pNLo5@ z>S2|vfnO18Yta-Sjv{cGh#^s8L0tuIOKRbI ztqVbvQ);!Gy}6zpnX|(Y>SrW?S}OI}F2?mynF%^)*;0UuQa;pNO1MM(8k5%+QGX^* z>ZL73V#Tf<7Pf!nbZSQ?H2QrNFi=TM6tm_Z6a5p-H#g`gX#}-oLinfI79qm#*Oup3 zN4ekjL$@pFw<N_C zg2ecpn?>e9s#YAV??LYc=hewMERDTx+5~eHVaKU7D{Xlqyxyu4Nsx=PB0V?kGEP$C zm{a+w*fm_;tATrSd{em_Z2StjwO|`&A2;u6Z@C5R7MNae$H+C~A4Ezk@rb5VTArodbNy*ckn z{!Aq8*NRurt+Xo=>6mNOqf?^Oy8KN1PdWw0_Vz=jzXtZTL1&!(Vp8R-F1r6jhb+;g z`a7!}Icl_=uxXNchOz_u`5Y3=u?Z##Y;Zxeo2givrFSLAA_As?QeWE8`1IZWp6-&scREFS$%rVmp#qa|{Itffv@Ky6@#sBdT`H;fJJ|Ou7SXU)D*ERb|HVsw z{-Ch$YqbQB2#x~CEt>OyE-7;7(`qRLYa~Fz-ts+2cDk{R)neDFK2Y}uEL(Dn&M!yb z+09@(anV_EdK~~_tZVM<^v*kl4TgwYTA8ESK%6^%|EYj{lVT5J1I$YY2Z+z5#>zM? zzr6a7n>edIDdHyynl-;>m%qPK#KAAflDpD}hKNw3ZIJvamm&_dLzf^MmWos2A_=;C`sK|RFRN(!J z>9i|`LFZT5UHx8*(~WD&Q42?y{j@WEhPqu~e`5Ivak^=F<{VmM*60|tW&8^8r{)o& zQwIg6GEK4ekw&pbqNmQOMZa$5hd9{J@g5+f9e4}<`A@MXrz*g0#s@>>@n~91*&!;t zNx@@7$t+F|xEa0amrDGEe~LO86!s9Ea&N-T$^%=gWk~2W=P)fdli()*;?^R;*M;JC z{>f(Ae}gl8IV{?ip3qSqdIdX2O_^BLf=Mu z?mTA(YcM@oU^wF8uco_RiGE()=bAFh*ShOH?+xw_k=bl5O;K)5e zyxs3RMAO7^s(TC&bKTe>HWqiU4ftDnk1{<7343?izg^t9sY*%mE>)P&@iEIFP7HgQ zT4nw+=K-yjQ5d!(HCE0K<3-ERHS}vccg&X>tOusw${GDa{E}vf{5!!hbBxwn6O6qL zV`n0JfBoJ?_U<>rv<(_b`f+fU>%8iTXtCpW_Ecz^>Gs7ZdK8s@glyy!QFOfmf7i*Q z3Rr82Mze-zG*jykbhEbX&b8?+eK^W=jICeQ z{m$>9iMf%L(GoiMMN_|jN_o6>hzu$eYSOQzsH+-?r^M$SbT)Ql&yswA#r`3^JMaX$ z&u17{mR}IrnyB#asi#o3m6I>(WYfgIhK#AV+wG$u=#znXUhgy)yc- zh(APaYAhzTFl!nA<>%P?`%~LH93oO$rbBEo?+Pk9@1z;3Ip<@$wCQ3v4(Ifj1-3Vn zd+v@homy+@5S%+9Sp9?-MENo*JAiha9Aqb_?b?Sww2oPe=?Ykg)Y+ z(Qo)Hv$qDv!`LsmAak4$Q^!}6?49G2r!mv-cXqU6TRXPx9ox2T+qP}nwr$(iZ)}~p z@8{I{3(m`@y7DreR4P|po#fk}?r5N4ID;;{yElj}Ll>QFzg3L1S4{A3YsrXVdQ$Vu z4cR#Zs_UG~m#a~)KSZ~Ae(S*c(Qi$iov#_nwqeZId^$G#8p9chgA3M#$EzvlrpbQZ zqZ;gmw=4?YDE-puV{ulx2caAK;NkD#_n1J9G zNyTVn+`FW^mRA$9)`lP3D9sObEKj7!b#T|xt-ZDWa*Nwf;E;C>ug7^`Cpq<`6+P`- z-e_7X#9y+~Z>JU+Ng{V+gXLW(TqluNf(s|0#^Uw?H&I`8ttnMg_fv{qPFDl7;Ze1! z5mi(4+e$s1hgN%6tMAg+HU=HGgcmtUZ)%okZd1GX&8p#FsrvNfZP%Tg-gC9dXCeqA zqTH$+Q!p{qR8pSVu++~ruOU0Cv0nq9*15Dwlrq20vp>o4D}q^~gI}>E%KRqWEfPsZ zK+>VeuS#t|U^%eTGb-9_f!4BL{{%cFw3pRfp@3nC6-s$F#B|TQiW}>1x6bJc0A%}g z2qd_xDaNVP3*Zf9|Hx}f!2=ijIs!6LxlK4(&C$)LtR=u89Dd(T;T!RNofwf8I&D)5 zbuH$?maE2%WK{9A{i$fBH8??P%97_of#L4-TL#rNJJi6Ef@wb{lh^Rw3}4@y6=lPm zigkyHN%9pK4d`#lqzeUR|5}gB?tII?UpT zt}bV$$>q-xf_mo}SdzDn!yvB5RY{(k;JJPo3v>po)n8+hVje5pKa(Lw4&~< zQTXYeat}I!ny1X4ooke2gj}T^WIZ*5#!fhsKGY-+r5C*(HtjL(IyNBekaoGqiu7*G zWvN`4WRyzf*;1TbVlI>X=(BFry%2#57?3jbD(Q3n`+;VuHhsRtB|Ir3mQ5c=2=qgcqMu^(nJGICxD z@|SC8us_`N3SL84P9+~UKs;tFK2#mY<+P`h1Mx3ltqTu5r z!%Au1)Ykj3BT7g6+zr3dbwPK6^7z`+A?tz(A9Jbm@1veCtq|S==vIPvkuM3n_Hor` z>2t%;Tqi*De7t>F0+(z~%Gh;frg7$jkqkLOEdgglC{HdP$r&T7cBGfcXTY}tOepll zK(W8M1qWQ$83y?Mz1n>&n!0^VA;~1QjoDd5e?1QLGNtxU4Y;({c;= z-J^x$(8T=^eDiS5p3&k#L5*f)RERyu%^f%3>ID{jOJlZ@v=tL2Ko~v`0CY&w|F430 zAWM&=OIt(h1p!DzUmQ$W`{%mny-ocAdBtF13w6Mre@J5n84;$0B5ULL*h;gzW)EUm zR}u#c3XGe}gq^DoL}^#dmNC<$o~y69?-#Qx>ll(`n1miKVhKr%TjRw@5V6rR-HPBM^VH6Wv}8SyV||*lR~mJSX)U*bEt4 z)&Ya}bDuCG5oZ!`qm>hd1J2NymM}O;KI|lq+R)^Ty9+s6N2q|-kc%Z_OE@lh zDfvj(5!|o9Y_UTSoGN0OFjQ-bK4LByD%ovr6!(vF0sT&KM5OiNMS(XWA?1K*3P^Az z9Bw~bcOz{W$nLkOtN+zq)>fYov}(4lNBoDdV`LuuB+?I;LRTyomB%*Eq%Y;!JoP7f z18vh32g*rZ@#r+=6is3-ooTDg3rS6qSyw~wXe($^pO)2^we3pjaI$x3NQ)-m{p0)# z!g$#CabL>sZ}*11#E#YL@2Es!e~3|gJ|c=zNF;YJUy-YSlN~t)<%?AfjN&S7j)m(- z@aTG5+$pN_1cJMfr}PBVkLrY@UOJeIW)2BWvj*>8=Ju2mPb4 zZ~>DVrcZcmyjDL{L4)I85Y{Mo&Pq6(o+-6{ib_0M;UVkk&LyC4{*=?{=^`%tV;?@P|0jTC=?F z2t9$ZhGc25;L%K8g8(ssw5ebK2lPaCH=;Udb(vkLH3Evsh1$&hgzUPv)oQ=7`tZe^ zBF9{JIPA+_DUa7+CSax&$Ru0BGBxL1Y>g3L-u~14t>WQyXoO{2XG4zz1d>9P*+tG z$R1JKyeDR;(8lnhjkZVW2(br=)Kwj<8qk8A#$p&Tw!2QQxO@OkQd%x$w|}XVBMDe# zwB!CwR2j(_&miW67A`!Xl+uzbGg+`?b3>Q<58-LZ!p*LQb{9)-%9Shx%o#@`H|<>~ zY9>y*S=+|%cNFF)snVo@poTb->6+h{5GE9vl>w&NhM}ffx{YC4P}AXUx|LwIA&9)w zVeu!4x{dFL^hip4xn|R7xR6e;E9wSmZ@pSrQ)VRo95nC)|C+~7~;uF#?Hgv(kB*rmNfx+3D^JDjQRg$w` zXUE8o$pPC-iC)*!E;4lmeQxCO8=~2a;+JLHSy>Px8EOFMLkO^}L}D>q;Rp+*`?2K& zVTY(mk~G-n7g2tR9nk%0n1H&m&G8u#e!!dHW_2wiG>r3gDzbp7Oz<=%RSu!1(Bgfs zN8@x1|5luxQ*=n|{$)$+r-?T_powSMj$wgPuUT!N)h^w(D5g8Ff{mW z(FMQIOsY%RCP_rw3RvIYYK58YE6S`Yr*pF)w@5K)vmNcm@p|z>X1I*DrlDW;rS^px zlM9_kN%C4o#jZ$GYKiWxnHqn1)q`(X@p|C5c1quO{u!sY)xuVRsbJGz2C3Rf`?*+{ zv`fD=Hv0uSlW^w)X5NjE?;nhl{2}wM8C=oG{Kwa&JS673E(_5)5Rb83BSn_|^K58{F zHmDXm8@ec97;_=ssYCThD$RdmQi5g!i<3vAKiB$)BCgMuJD&^+*oSE(yN#D7djvh( z3w!CtzEeMUid0SK_qD4=Pze~PgcDeol;!M$#$i=2>7QfA`mlaQ`>=$OkS}dyH3~s* zCercrmRro&AP~NX&P z9BK*kp7Cdu*4$*MAX!!%A!8Hcag8HMPS7=dbps`21{iaHc9uTfOO+ye|6|QY zOA~v26)+uyYM=8@d$zb4C@HHW$v5%Q9A*_wF_{FhV;t>r_rVn16&Q8piJgYkbq}sE zs_YL8S(>qJ!<}Z)ac9WqA=Pvd@3f>iIwpadl;+5J7E~XD25au*rtBQ3utUhyx`vkK zQ_owtc(}v-ZqT3Dj?Zj8jT$wN*My6c=_hip|JSAb&%<6TRFW4P$#TWQm1dbI=F*t{ z?_GW?7h`PL0jOUl4r-hZx#&Sz|#?~pM6Ar3@v|HHk* z1fz~SntM2TD2+=braSbh$@!R}p;SULw&Pw@jR;3|@Iht^4M0%Z^Mj^5-We12YG0L1 zILWibc!k198vjM++KI7r0$RSXGBebA%n%;%iAA34m87d2L|cvcDzM)eWrR-46bdzK z#f`|g?wa%*cmds|{6)W}+->FW13SItSCM`3Gnx1GLiK}8;zqs}-PJ5zUAgAueX|VE z-!-Zj!kG@9D&Sk^@Im#zc_Y(y=Z)-&F;m!{`C^-xs-q&X9JA?o z+F>H%Q3|2QnmE+k+=`sv|BmMggnzx+ET^duxL|=!moDvtS=fkmTz5Le<8FXUmgEh` zna{w-bzcf2WPa6}@seWviMr$TqL>avykB09S7TXp$=SFM`hDZ=TyeNyuzyxBZ+Tta zxHK{Ch%wwAEQ~Z#`>@+gVWA#i z{rjaLx^6IKOTtu5ii!0Di;ig^_^utyQ5}rekX)YjZc# z!|U*le(H5e?x`+^G4_mwq+INFCZgIwN!VK4z~L7f$b#PuL>AKq*wVo<}KQN`( zvO4AdxH#0Z_c*h#rcG&bxLVV)d@g*{ujXUVt7YbC91hM-LHu;QRXlS?)SQ(nWRLko zW{303UE{0e*@gYuBJa!d=4n{#T4QReFZgNL$#n`g@@H~S=WMon!KB$6?yq`;wtzQe zP4jH@Rz<%CGn-e|+Y$?l8-L2_^`865TLLdT=B|S#GVI<8J=yTI)euqf)A@XS=yfc6 z5AByD>Sh=3!BzMDK^oX~xSUhZTpP~Zai>LMYV@PxMnnwAps>ick<>np4_Y&bD3hI> zaMBLN^EnEY9uXyM!bZtw#Rv4lUPoM+C~nlDK7_zeVavG6)sd2f;U;(1!P2HlKws>i^yXORmibuEAU=B$Sg}3`5QV(G))8`-F{Ff z6&9SKlQ7FKLXa*RyTDPJy3e;eif0%b69aTe_W0cc%YM8*Zw!WQ79Hu7w4|n(;*9&t z^Zjq!YF?p@j3d z=+TlK_!82|nIOH+L7 z?QD{#q&1tb%7D;5qunWobDih8# zDMh=QU=d-6IftZP16D`PnS(c^%B&4rX{yaK$ohx6k4^^F4d%mC*KJwyB-sP7xM}L5 z6EwP+(=3g{Rb9(c zx>gjgT6tX%8Oy8EVMNFMhdl@q5vA44#?wAcO4MeUVZXm$C^f3O8LnRWRX?Fx0$WtE zGiwDsyRu5LX%?@^n+Ws`T=JcI0n8FLU(F zy?sRfPU7;Z8ymB;T;wOlSLsOedX^K|NIfeOTs6bLO80i{NlcqG2mK$YL(CIYd;+gj z?2=BU3!bQF(5`3j@ptWWvYaxu)`l$}`sUUa+`JYz3;=+s=}&5Eo6$$C>I=WA6=31} z7orb`pFj3*ACCW1N6nU^(@a`h&gM+VwvCrh`AT{^PnXB_y3>+UN8!aom>Na-o7eLaPPVuhKHNN46S?6=+zL1{kwt0G>q`YC6qBqPe2 z4Y>XxUXtGL!$l5HSLn&jLZf0w?}jwE>Z9Hnx$2n}o;4WFeAQX7l7U7I{q>nADKVCdo*x zI?lfGlp-m7XKGT>HfZfgPx3o$#o2U&QeJo`AL{-Q!=rcexr6b{O&mCZJxz=YQE-}X zQ?AEEj;gOq6L`m~|AY4O#w~Iv4ZMJY9?FWuY3yCxP!}hFlb9RBcOrk zuWq`9Jw|P3%;i%AcWn%tPVgMk-y0}bUpotlk$q~5Hibmy)<+% z;#G4Wp3)me(AizDP3!QyIG_CmAY>T9O|(ZEy2pINR-Z!IgRFm!x) zf?lrbYz&7UaMI;nDM~8=ckedzScd58*^LQw%dllQfq9X}80S-tjhyHALbJD!UFGsSopyA`1=w?yh@Mv5UjvIRo zO0UC}qpJ0GsM9w)Vl^_|fJ8%1E3!6LRrX%^w8q zI;>g*<$3}^*P-wDXU?;AoYkTnJZKxuHsKx?2_0<+7Mc#5GyKozV=om5M|@B*T9?{x)O58xFbpBlL#%kS-qFDsH3#N-5ws+I8ppX4o=> zmWmlF*aY0&0k-Nas_#1ERjCwJfg>L}jY`{b7Cj}~y(vpcb7?F0ckw3x9PK)PV*5J( zqi^vK+Tm}|WFIrI7-Ad(7pbOr!9U`jF)f?r7(~J`k34J~rQ~-g;jYf2wvMuO#CM%b zq6yB=BJs~gY6c&{38$?i7wQ^n28?l68iQIjs%@xl+mQEgf1q9O|FLn9(LXLeT;1-T z<_^YTsgw%Qe4$mK3;6Uo~hR^wy0BJ$jue?!eE^*T$r zHVJG_+)YwO8=CH+P^vortxke1)`v8!N95S?>QhwYN@)qQ`FeTOOy(j!=yv>L9e14d zi|ZSzZ;J9O#XdwN>giW7slDr!QJCZ%sJI2a;M-{)awTdu)J)oiyW zCCj+}o5!lVXwAyIuYcK`gZG#!`=#hP4G=XiI+5QJ-=DPW#Z1?#O8-}a6lP& z#2#Aj!pqN`iRIv$&*46?k6VAPNZ~g_kxOam=R4uCnUq9OzzL^}O1XZM0YX|?t2Bpd6B`W$5? zKf$dk&1|7QQ-llot1o<6ZG^zz;z7FV&Uxqkyn87ZQ&A;DXyU`;uy~2fUT|;YuWyg5 z{uNzAt~u}Qb|#$!#8G$AQgNZwP4rH&_}}{Io%b}(`s}6Ob^ghWM*KmVx)5dp_rM;! zqi_0D`0w@ka)(L=MwFGqO+`iPf+TTjN-C{CU{fQ?D)ljlk`mY$ggfpHmLnKNDrzKU z;F;Y}Z$oyGR1jfs^!{K#ztgA}x-pY4sO;jL&UH2a@opd(Lu;-~R`T?;DV6H@Z!VPL z)Vgx>uVd*NcqUA7IJnb)PmVAt*>*#S&3#Z#RW8)47dS&7;Aum;|4E8@+Hw&)s&wm2 z$fh(R^jhed0?LbSg{Ka?8Aydhpp)6$7p}fo>}eqIDhk$i0iUV)St!8f+$0BsagPr| z-|#-sVVf6db`8K|hw8-y>cVZ<&KnbjbA)2@9+!aXox1#6y>d)~bVFCwqEP1c@i?Tzw}trqXc^-~Sow?CUYY~P`3&Bnd; zQ+i*X_81f}nKU5J1u<<#)^FQDNwxNo9n~wjBSj4-ock2^7~?1sGa)GH8I)fgGV4XM z#jIpnZ(A@^K_1)nNR|RtWDiYkal}_@2m~!cehTRZKU;a%;}ai;DORD`6!O zXuX;T7t=-0`?xvgx5GEYU1rKxeLfaOR43d*c3*2m)`@|J>0a#0)7L*%hRB=St*(z* z$@u07C708bFUxof=q-zK8&01sjbn88BG~H0I79tgMuzI&XpqT*sF6dre9mgM$~z)s zQrBaVvO6>J8X*&s4dbl5=Q9LQ$*9>2tg-`1G2_!Ci&mRGjo6y$%4p6DATa9a$ z?Y(Mb)x<7DcH5bq>UV=wJ0(yy+3bXrizq#8_YG@$xdk{1Kj86S@4HsSWawT>!?&JD zuE;1;|4=Jc<4W6Rf+*3wPZw8qQu-k;7^XOE3-jL@GcsK$eb?V()E+$I)n1QeUbrK2 zvoIIVLRw39x{sFxJFVPN0NBdu1+HoHvuf%UqXExs1< z;iiI(ZR6ys%#M05h{e=cb64H;4htE_*te**)VPs0Fk{xtKHpi)Q*37?p9s@(2-Q*o zQCe?Lerg99G@YH^QJM!MMVx!7K z@Pg63Yp}CQdK{exT&aEA{Hh>a86U+goz|eT(p33zzh#xF^h=fU=GOF!)OeYzYPG3E z(b`+vK89lZczp)#J}&LN1Xp?N_IguCiWfHAfHJCPd7w~vUax@4(t8RWcG0@`BV!L= zIdXGaAk4YZ84sjj+x??+LOTK4Pw+DB%G~#rq&KJR zrfH!d-0NFz-*6fXxlX9bbX{WI+j6c`S*=WT?fF^0<;Yk+W9?G$?`dAugncA10N}Zs zA+ZlxY-^I;gjq`_^J?Eh>_zUshrUFs!Lw5(o;{7E@LpGIx>vK|!wcdDM-$fEQ>~G~ z;oim=Ptc{qyJ`P9>vR;~zMN+TyHQ~wCGEE?+aZs0p0eBSp@mhtf(mP>alF`9_;N!VmHW?Y zu_Z21%-bQVh#8-)!?Sh4dM+MBDd<~{G@*=<}XsPNR<^&u#{4RoQI z1O65VA9EQ4a?V}5Y2tI&I5V8sHxRvR3_aFDNd_E{#$3DrY4`S=Q+O4qlO=$g52l}w zH2C1^J=5y-F_e0f|C>k%oPP{8(j9V?CfU28ui2x&75mY#1D%$&t9OH)hadtz^En2w zR=+aaKW`!^@VgsA;yu}b#b_7=X& zRF6)}VP{Wrz;2T)*Pn0utqu!shbZsA54W|W*KN-@_bS(4W4J^ib%mPyY291JMzxe zfnkeoeegF{NeLfl-9qRbZl(j5+Y+`uw@P*S0(OlWWKz@O+3{1-TLRYg6io$kpROJb zj?0B%k86(5+y2yR1@+IQI_+Su)+Hrb?c#pjnZcu&xWLqwHe)z86`ZP`q+2)^AGC_>mc;VJ7Gqr5Two!)Y-26@ zvNP*u=FFm3V8M9OtRrH0dZbDawD!m3{PTUdoDmII(Dv@WOlYgbZ(nSxY@wTE_JKu^ zMjU6>LF#1&zVsw?tzn#(Fg^QQjwf_<{>6V#=tF}zPHUw&SyeZiI&I2aVK1Gxo2Z_$ zn-Wn2YviFenJ5R4gG$3;SzPJ4;`~}EUV=@qVV^#}z9d(X!79=az7X+|!-{j9L&U8U zZhu{5ysM#j)13zB96;r=+B=;^Hlh%i>fKhn%XWL@6wy=!lQOr=$q#tgoJNiJ=%QK` zSZ_F}wLZSHTuxR_sB~qlc}Wo@vXAKqtK?;Yu6^st2Jm9Ujh30=lRGe*{DoBX?_O9a z`_N)MAQ9ojsynXt45Hx762cWbgqkg8g=>`{SRE0HIm9kJ%K*<4mQ>8xY*lDC z^WT(7ywEfzvKcpOQf^GMPJ-9^C?duI5c~!3!P*7m&}X1JKrV|rGn2!^PY{Iogd4U< z^W+$8sW*qRwtx$T7ETZe6=4+RI*EDok?+|aQa@m`%< z7L1=|DwqxWqZBaOjwQr3CY-CA>|-oXWk}@|QZc)#7L2#-r`aBfK{>3y+7NENLr&y9 zX6Io58*kHuu9`)tCs5e?_HbZk8zCFUbA-f|rdrRf0Ret=-G9gu zy%J{MsMk$}qX?4jxyRz@x>FH5AU>l-BIoiT9lp3DuJ=%kgg&1G$ds49qR}f5GrdT6 z#dyJgo(?EtL$@KW&__teE1KO<6xWSTXr`VqsQdUT_g@WMyFtSuPta2<<8HYSxN3q0VI`9_ zCo`j^8=2__%(d@D@xwYneYNs- z_28<7G{`U5EpVTS;I=Sikvh%;EIUA3s{Au=^o2|5CKXBixiz>7S3BnM`q&Vc$xg zt-H)hM+-OFOo}<&tN5Sn5EfeK@Mm~ylP{j8pH;p#7c>4EsVMN*Ob~<>gWr*fG0iMy z#2cn1NQ-mNY$JOox;P9B1oRd%N1pK46B_h#%$u|?Wo>h&kP&FCFY{XRm>(#bs#B25 zxYNXGl>q5T$oGz?SXVP!f0*)iH7&B+$7_v5Bnw2RtB5DnQxHe(PlsvBCg@CA;&3n} zrKAzMsfi8?kWNXGWQkeIPu|6Qy|b*CB|d2KDo zT=lcFxwG`Yn&f<28q=gqVeU8vcZ_+E-AM-&>b!{@cdAgcnIfuXx`&HMv!P&eT<5s~ z3JI%;v^=W84DrMb8^pg^<{;VQ=kYZ1S+KN|gzWb^){IvLOmqP1VG^8%9pGbmUJ{en z6(NCet2vPWzLk}A(rb1!OmwU<*sbk`;!g}dsvNXNQaL_u+ofRdpRLkd+gapT2%eLX z-SSo8>=%zaJH~{Oqr^=egHGnz+yl1j5xNTOu@rXOE@AdfrT{7ji=DEa5r(6E%*U4! zjp_~F>AW@Az99tnW3?#Gm!)o&*5nsomwMKxWpEt@x3=8c0UF0PFgV5HMk{s&*i$ex zjdp{~MJFz?S6nqGs?m8Z!0fe!{!9P|z~v4-|lCRmwk<1$gDYbpz$Gel|I zy)<5sjM;cR*2Qp%`#U$5_ygL|t5GqBXAL=1j1XD5ZNBS49ULRpu8!WDcF)m$xYDh19WF@|r=+&WY>}-IrixwSVk8!I9Se^o~;%PZ`!* zhIlrd-xlp03|?nre`XVHmJ?v2&-?96;{+W{uv>r?yI`E;{>Hwq)G4vlt3Bdti^_Jf zQz&wQA|b|1Dm6%bnQ*| zJ28GEg>JtW1XQS`j7s(jlueDQW-XXtNN((VsWj?EPK!d-#KhD4ZGCQTf%ZeG za-3vU<+B5c5KDje?PVl;eM-aTde}J5AhVkFtY3HHe&Q41%?DOk;lLch&uQ1jgMFW@ zv@TK9J!eWkE1i2wT*I;|rx>=_a9 z;`VhPnrVG0b-HYw&<*Z<=Y*4zsSJlql$+rCOqw7CxWKJr6%W7QHey;;`7Md6 z6jspfOu0Q)L5o!&CKF1oN%1gu!wk@g1gEO?5zF!Mx4$-^+1x?Jd$k>3zwP>&+mIzY zDJO%ESIt^wL&I8Wr&=}HW4wW_?RxA@JA_Y!iKxliunsme%i4;nYeA+5?i$;PzT7pW z&^4vxc+{A>>dDIcVJ1<3#dQG^UwLbDy2V^a_+eA$we~e)FL3iRP(c`}_y4HPKvcwIn6)|G9l z+VQz+!(A-oSKr-h3+1#$6>CR~r0yQ4hsYVHht4ji#|1(KWp1~Ild6Sx$GjSS-pX#^ z)Rg2tN9a<)v?hx7P-=Z|J{(%Sp>mJCF9q0bjar*|JY= z&x2^A?F^~oMLQtpEA+Nyu<9$}W*NF}vMui@x9S?kwSp<{C&w>h4Ac9%*6*KUMl#y> zA1MSM&zi|4>XmwNnz`TUu>Y}kjMM4<1xO~G|JcLsKe)WEs(&IvI4E77vkKtcPB%Av z3;#8j`1XW{#@|xl|1s`_MMg0j%2_!%5g}TfitLnjw0W zoZovdM+KBO#%7&0f?}UI{>ve7?4elfffC`PoCtip@(BHhJ>o!cOU}jAhq(CO z(y-;dr5&}=lo<5G&ig%qaq{JM!3IqPnhiVIR;Q?o@WlH9sG>(W=x#yz@0hXW#dt=o zs#V$0h{Mgc_WcS(dQEBy-O}6NV-JTrs&)*no1vhre=_I}Rspxm7f85s7V@<@u1%1! z2G?@cX|k36$+HawoaXnzl?S}&T5=9AI zU$7y42yaEQ;I{{eWJQCC{7>wiLa;4Nwh2XdR`lm#j=Kta2#DfoDhKBSE+0%D|2KB@q z?r<)hr3!E28F3P_7P zoaEj(UoyRX9I;dPBdXj?z>&zjuSV0aeJ z(BhZ+cqijdunp{*E+u$8zD98n?cMdwE-R7OZ-pvWdah9ue9#;`b%fX+e#j?KQ!yrF zI0rs_*7z)m5>>KhM6JMnw=yL_fk+|}Bz?YYFhU~@-yRH#G=2^AF){UtKp??EJq`}o z54aegp^)-#X%?oF?JFFS8?QXh)f$KLB~_9}Y{&3;Od@h_#IP&}{TF7uX5_m?nGj$- zM?jA^whC4UED?)znsl1b7K=#uU)!zPv;+a)Q!|ymy@EsS6-ey$+Y^@DWlU%FGR&&G zc-WTL6C1seH?Ce>RgtX$tdQXW<39#tC1C`Y5p@g7yS_P8>yO_{j8F_=I+T?SvA_}I z1&u*@O%4xe`IZ}{{+ms_{A@t1ek|S1r2FBjNvImgU{+7Ffql}6t83dm@K|qfh{!tF zRTs5N@Ld9Bt#Cpyo4`US^+W0lXSjIQjX8mx$Y|Qoh`k9oXTr~`No2Q9*DsQV=WL>~ z5e{6IAqi`aCG_7JCj0A|eE$3~4wu3Gs^XXY4mn{U?_go_4}SL?p%qGgj$y|-;p+nX zbs-OPbdde8*rL-;pYoe&$TF3ARIQ|UKm*fceAiJig9|!fQ2P~AkIYEcvVR#O*Ao^% zq`9+b+xLN^@&4w^nqi%Vlt6eoTXn0(z_H!o=ee4_kQlj99!Fu1b>Y%rl8?#g5OCCG z9NGykKC^AIMcxEydZBFiAUkoyAi~(X5oq z=09VS!-}&i_im%b3OE|iiq@SezzRDp@&WZ?^(<=3-A=Us=5f$2%dG_->*MAes=jP$ z>%LhdllJNMqx+so&LXg=U-zHRA_yRYHH_N6MphzOK|Ni^5YVr=IyhHT_ozALuB(1g z*v{|+)QRi~Gvz;&{8VD%4)Taip%!w?=tI^DN%j@LeXLGRUthswwO-_-me*j7bj>M2 zorA2Z1N4D-cE(gN#ztP?B9^M@MdnKP*?s*rt-~4QiDh=seF`1YoVa8FxI}x1)q~d; zYZD~Lqo!04+?7KB40})sXAP%S{mKpDT=JK!0+(j+hW|KPM;ynu6kKw?a*{Y41IR&!BRGl73G#SVK6^H4l^)@u$CmnfFQoeB=~kE97FJ0a`$Y zEB9-_S7_Dtz?a~^`kwiaRhz_TOE=75=YT-)9+z>DVp3L+NPXd=yB+mgg0V1~+4ChG zlddB9S?=Y?vmkR&fpM91b5$b}^hRrS&lU*gM!@`s&vWX1E&tGQ`H%tM!?U-ldoCa8 zgBf3AAlhkb`3}RQM}F>h{b^fYxmq`swZ@VXo6>&R>q`ABtWo7xNe!vk)q`cq7XWPz zdpW4Et1t|(4kpjIMmz#$-W0bJa&iD5GSjM{onEYt*z5{R<<5Q0DciB?F}ED#uE7Y& z<@#w~n*aS&exXR4x>{qo z9CV_l`Ct#@+JA6E3{MIKC!Ker5|hB0z_pDw>DvB|$Jf#c*R}`_&lv?la|1^17WNmj zixDhngUaR0)N2Iy7(wh5e!Q$18Xpo!v*aSr4(fdSJ&>e_Clt0=5JZ5*lw}~;7(*~N zCr7jPlTv}p_5BwNfIbv?x6kL&$+IeyT7?7&8Qmu`Nvbsz1sS&aXBJo}e-9Y+-9T$- zcrt90Mi()>@sHRuZ%24JC}J2L#T}~MG+H=BN?_@@t@(rT*{YmorM*46s0<{WTbHBE{fss{!9#DLFKV!xB2iBngwIz+? zO5tpHsfO9f_-)ie*SqM^r=sv-UhK`2NGX6Kq)WDHYf#S`^17l>X`Cv^QA+yw;(?Vg zeu6Gpx6eOSTcU-cpkT}UXNky1Bv6?<^kj*^!(yZ)@Qi@!+U@bq;)B3sVao#H0}uk| zRY*!Ovo3!^Uf-;txKV*4A*4XM9kEsdCqW0KHI1u z*wr!t4UCi^>`utD&hdi5rI0nl@>RMoSBw5O zF44{rf<#N;H`i{8tPY}eXH4J|QG;Sdqw4%7}3Yao%)__w&3Dljim!l%cQ|A)PI?9QxfqJ`73dBwJE z+v%ud+qQMZwyjRbwr#s(vt#SzIrsZ3-f=$d4|9w)=cv8LMy**@gsmku!FO#^cH4T{ z$!VkxNXKeu(+yQNpZI%Tr>G+A7fdG3I56=@$9%7LlzFikypgA4`{C&(KuQ%Z4RV;!dD4eFGMRYiyIQq${7pfSWX`s?eVrclUkuYsw$+CcjZE=SWmcIU$ z--wI?VY(9kED>{doj=~E%Eng(se;66jI>2OTEabFnve<1+b|C~LUl=}PfPr6cm}O_ zr6q;Ca5!ZTg$Pfb*hj%;XU!Cz>-j(K? zcVqjSumQ#(R3Nq$@_T_>g6+9@GKRS4zuxS$mf7;d@ZdtZM^!xUHhs_V`EIe$5{zQN z0r`ktY?ub|h>W+#KgYJ}{ujXLtT$89QN>aGMOty8)bWrVXQV31@u%KRVnkXEhPFoX zei+=?&XZM%jJu=2xL2#fu0U{4g0QmI10bXe(!F5xTo~EYM}e~L#*i!H@uz46FZmjY zz!z^1ufRc}G7_FuGEb}KyC+HS!w`l{zRp+g+4iuSQiID6rJ$N$pl<7n;#k9@Dc*x= zthBf9Q3*2vHWoR(9Lq?58JWC<{_eigK$|p>Vz)RBa&AJp0-yNzk zruWuq_obDJ^W1n$Il;Zu*3ztE<3_*Q6{4(u`qlOV;`+Rz$~S;c<`te>gWI&0$lr%N zy|rRzSVnXD#_6~|><~d(99Vp5ypZC}bsKo;U9~pGio3EbZfRV<8lunNc}}K-`P`dC zjr`8a3vo6_>@Gw~MaO<_f0~62F2yc^^H8~U>=)K&@s5l9{t1pOFZ zHzFA#}E9dUKu_jx8X+ro<^%<;69OS1n4RRDTt%wynn{x3>uMTaSD?V60+%p1aI2Lt(vuUV zuM4@_+2Bp~&J?WE3IZdMz>KFSsPsZ|+sCF}ohdlvZ%xuFZY9Q)%kQ&jRE#0}r=9^G zlU|ib1{;LAkOmsFqH*Hm>2osG$8C=3kcmCbFC>+~88VtQM73kq{tuAEK&!;uy8?SD zOCZBf0nc#5u`1$%1l3*A$_-nor|>R8X0s-ZmxP5_fToX@Klik1#XJpreUK2dusXy+ z(0_)sU4yZi3FrRfg~%fnxx50Y5@Ti_$|EAc?#o3ip zv^-TrbtJY+qLN=bv#FIvGc)J*HYT?0c#Q%7xYnGf4k~Pe=w%b11h_)H zk%8bDyq3qdm*tJqDr3kt%Z0x-6$@g({aE2eb#!ixv#IvX33FvoXP_Dkyvrsg$Le*U zbVY$>q^^s)h^48X#IY)1E`Q5@^ZyX&E=zUH4lyiuhOiXC%J!k_)>!hxgW^{|hld}h zYM11hDL>3dClSCrm+fg@pCzxZal5{T+Ngb5B~bcQvvmAvg)dnuPF)A*OZZdHf+fh9 z(b_f;TAbO-eT&9#+5+ZaNT3w-c0{o?m(o4L(@-S2=x@;aZ4>uZRV_?W!} zV6+3or1HFD@H54ALzsyF6_)i3l*$X0Dwcqrd{O0MQE4bmT)4#(#`nce;eu}F(wPi~yf=CC+gGIn}2%jkgNBlNVqB*z~fyrotV;$wb3i}9_ zq&;u6Eik3-n{aQIfYK2F>iZy{TOv@mKNGaY)?rN{{+Xii-xeLi8Z5?ZS&MI+hyx>| zabqGb5hH?TCZa;$sdbc(&Z|gNhi{G8E5nko{vd^hf7VI1r|d(F%H$J=7cy_1J2~(_bFVN-=jY}yaseQ&3a#8i2kO^zzmv4scUo=hWm^s32$3# zbae^_p6HpTq=Z(pwACaCcQCwP;-2wUjY^Za0hgQ?bRS2yvc!5G4>v~$F_D$KhhzBn z>`*lk)0|=AG5w5}=KLBQlBn1_I%x|b7{XS&Nkus#z(2-2z)qDoy-iE2h>O%)3I6qwJ0e;!ZWbcWn1KewbMA%iUO_J zkF+7%BZcAmKp2IdtJ`#hIAWE%;IK$Za$GsQ#0RH%4-d=!E0@fGlX!{m7C!i76`4e~ zLn0_D+bR)JchyfZSFNUM*e~{6^xV5hGV<(-(HPWz(eouG{?|CWY5ZCSvXO~Jfxc#2 z^bH&8_`LFRlAus$GUi~A${W%5_M_`}!|JCh-@ga0nnEg;r$}mh7Yy=qZfBBZk(FWV z)@}jr9>6)`@c!?4oddVB!_;_l{aW$L?qAXeVXmzr74`*>Qi{)8ueOCROPtrQ)7kavACfMl%wQ1ViIck9ie4BOYoks*mnq+IW(5?1&$ zE;9<#!f$?&AnK2fV}T;s^%}H)>PLOXphgtAux|e2H=2s3v5F|b=*R33cIMa06%Sp| z>p?+dbV0E___z;WzTOm1xWPh1$~%&Ewm&H!pr`H^3g4}Q=ENa>v9+-w>Gh}pi^wJ+ zt@#H{5TY=I;Z3N}NRfoSJPsK`6L9|$cM1lSo!ZHj61GtxX~SeORQ32$^G=n*`27q`J3KV#<(1GH%#=*VmN1~^e&|94$^={Yz!`; ze|+^1)%dG&V4rHk-X}z>*xc5zo3g_l&PLaij3^UQYZk0Uu_K^KySVHkycJFZ(FSDjB>+QA17=-(StWSWg)W7$3qDV@>^2fSjiKki(P z$LP4t{#S?6?Xzms$q!Ue8AeaH0S1vK<9M5~p)^WHQocn)^54R-Tfh@ot>nCfNNsJ6 z@R?LSB*)l8-oBwYpf@#5zQMid{p~=u5*AhTL_c4TaibLZ|`DB7Pub#x(a`w zkj4r+_7@5LIzCS4mH?~}S2qlHYXJhA<7HJTUj3v<<%}D}`Vtg}P^mgnQ~55DdYvSy zJO~6gzsWZYt!|0Rlx=gT$k3*Y`T9cpyOpNk3PR?#b4T(UlQ7lC1s-fDtb_%4bPZc60r<3 z;%?PiVE>VOs<~`9D)IahozuX`SkCH?21=<>=#r1mQ0)v;X(g2U>K30?JiC>QcIW5w z-mF1{43gESEi<4$TiB!D2dr}2=@Eiw_@e~hWAZ6yFl58OmiXp(|`f>lY2s4`P!qP_avELE=qBf(~$Sy zgTF+p?F+vdW6T^LlLpT1F|>PVxYa$qq;qQ%Jpv=0f$%LoNGKEs0*}Rrb*e4f@bm!E zl?N(1aEEocKaK3>3hu%qwvYSQJjz2K;k?`CD6d>-5;lj_o3QO01N>2Ry%r#wLVP%*K@{7c2zGlfMW&c{q^wz&aqu zkA}>F%wu*bY5he~GZE9Jk|M$2w3X+Aezx!d8AnPIt`SA>E>So%ff61zP85lY@N^4u2nX`==!q&k6Iw<6n7J&~n#fOpD9p%pKy@vH~IU0?#$Xv!C{`F5g8i_$wnEnf1 zzqa#dR29Z>VfG4#LlKovn|nV-#PU7H_&|9t74ez%gt&I)a~-EW#c^jX(%1Leuho$-Kf1|uoenYsDq;XN;kyL3N}tI?vU$!S7I_TQaW+$YrH9U zd@;BKm-b_kUB$IURgmVfKAe><)``9CCFwc7xES|`h6{4}DvD3_x$jorkOdi3XT~Uk z{)DBhc(T|F_*8554>`)@X7JPXg=(>)8midl`Z*}OS~kO?%N&ROk2#CNvmBI-$u*+m zp|R3wRSSu)*>zb+RWjGX`cbkQmwV1#i44EGp~OZspx0d^G@`OfV05jXA64y8(J9Mq zk+}-2zL01`2dJ@)f>G%9J_qmA6R-xCwJPId(BKdwG2urjR6Iz^j z0+6?i>xX9tmT+9#8m4?^v)m*>yCxNOZh$|t1p+2FblD&BUlC3GIfms~nAY={qR$zN zh)(pm3B`qHG+I)^g#E#Cay;?-=<+Ht$S-H>cMbPSnWk-xx9auRmSaq$=5^{j2aZ8B#Ikfr&IYPw&EjxYF zZ4%qtXrCI{kPLyF465ZzRWFTKrZfMcEb0%)Xx>+L$cH#6BTUsiLB+8ge7R6C z90Gu}kNYG1#M~)b)*Rsb1TrrocYs`g>Lg#K+wFbf+U$q0&_)}hZ~ z@*-ps{=qm?A6sPu!iy;et)B? zpxo2LpcZf{I}#+3O65q>U%E-4t;S7}cLg}n3(ICKv|8k=ec_V`wU8fVC9sjMuQp)v zPW`~yz-tEEQl`>5%b5~eN2+V>tDk@vt>*{B-n)Scg<=}W{{3^QK!SnnD|wytOrMV7 zanAQ@XB}l#21YBS#M?GOX{!Ca*$zW7o{KnUaO!Q1EC0Eua! z)xT?R0X|9H! z1E(Lg0;T%i3^Y@cW}`yDuDo-OYCOB27sCamapR_?NW(@Le(2CsD{oqe&5=UEd3grH zdMV4!nf>nqP|->MU=$Ocd+k~DqTsiQ+7*Uyv1MVDgMUdE-G`|>4WUW6t%U%*imF;s z&yi3?hn55WG}9q`sUIo}ebh82m(8I%UP4!W3@5=n{_5W$KHbgwlDw4ldERuv!WNMc~;;-3ub>Q?W20m(+EUC&T#y<3tt*#(s&&a$l9p z&>tG|y8Q4>kV-gPH!Fg}iV}-VUj8zu#j-)T#u57(f(G2~-YVIq5*h^BNxhTOz0+_00RjYy>13sXTk|wKlax2rY2zxawP=jmARpbz{ zsEh`#P9t7WKT1#H!uj)XGwPFbs-Shz>1(1>r7bmZA*bu1WHz4|yo+aCTR&3i4h43t zoUKf(3P$vIH)%Kq=u7ohCm@tQ@Eu^U2OASUO5Qf!Mfij~j`P4SX*{6+Ql&TU9fNfx<*I>i=aS6_gz^m; z`cn5R1~Qhl{U-VQm0xnJOeqtE`P%@+ZWW<3M@4@+Jkb=ZWHt?KgJi)?dL+iZ*Mes~ z@19A_%9o&ERH1k|@=HhGmBfNNj}-LbONULAr-v^nOvA(R9C+2S$z(?K(1&6Kq)S`m zh&BQHZZ-KT5xI#X?qQ?#$4*~qsY^SziT_FB;<+QPmmx<1M0V~kJEK^Hs;{`KXWz%y zT`K0@dv&)F+$oh99&=S4L?#6RITMrfuCeCOSshrT#Nhc|=gt)l9Xcv$KnrU@2Mo(< zvrlCJ7t?%>X@?eTsHyLZm>}l&|-PRtY+9yjS z7?=cU*2#{Bw%>%`|&c?vYv!(IXt2uw9fn zF!E1*=pvNv_xF$!%T2ln$d35}pQZX`($WA)aL2uo)mzA)qa6o&Jugf38Z z*r*OTdA%?G%3_$FfF9C-Is)UP>&k}~z+bHXI4i)`TRg344}`vZ+s?~0Q1wt#+?MwZq(0~ z@3R1|2XvL4FdyO_LRchV2@HfSPj2vx=}lK6_3)-tkE~c1xY2ZM@g#l1cc&|d=A<+U zCpdAlr0UroR$Z00Th)FH1>aIZICO$wzZ*<4hP;mE?ot^G|NO%@o5^?!KK|7htY12K z*dF@h*e;n??>M{jL`Bo8M3hh;QLB-W{n|a|L*&7$lcCRg*t|j=vAC*MKRJcZC%oDg z4W5Df6mI9iePzr*G2vLBn^YOmb`TfG)GhDxiq1=EXM)(C#HrivA&O*c0HZKR#WO@p z4v~}i?9LnR6O`!bq)zGSX=h^0)Df(!BLHVP`<%_(RDm z+yEe2(rA?&SA^iPhr<^v^I~U{+uO%m;jx`oF;x0{X%e&dUq=6dgw*roq?QuseK<$` zR)Oh0UZAXC75a(cb_fz81f>oNlpeb|D0oOREaOa66na(^VE3-?H%{Diq?&71tNx_B z{hwM+ccQ+$3T_`qSx503%wvS;a=E`IV=qMYA6mrv2J$8BpbE4)Y#I-u+kAme$Ldk_ z_tc|1E2PGE6}`EMYVlNF$FzH9iKU?1M0=}m*i=$i*)XgDd>c}f{QJIF9_>c(3SU{P znvDsH#xeW(1}wD(X6+M&H)AtHBpYExAn+7GVKF723Pl9CIN@^v3(_W!Iqjq4cY7vc z`CbC1%s^O1=KA0v7?%?^Ex_;2WZG%|h|*^d8=zj?%B1dh7~aAkXN=*rmZ9}WLgyTu zlY0*>x=1Q&HvblZ*G>>tv6LzQdoxxxXUlF1qA4-ca6uHCg)Ow0(;tVzF<iCBFx8GNOWw??}|f50@4GVa4nL`)(G6ECBaXpe|iJ!snW&3#$lv6fSu&) ziSM|;**(oV{WJ)K8XFCwZ6GqTw`O9POWz(`3%Y!P*>vF(&i{D7@8@Lt_ZYg&@u@Si zn1Mk}bs|<&FgHst?kL0w1rdUXs7J+ygM)yN*NHc4VZnAkD*S%|h#U(xHXI%MW4 z{{b$AbF&sFJNaDsS->C>C@4n2{}%b?M*JU(*TH{4koZ73;_&_hW+VPnvKL^72n+iyT=74t9^Co=V@Ux12k^}OUnPoovs1#t-@-9r|C7oH z^*sZ*Y~61{Pjt1me>n@J!!7D7 zVwZ#?C3aKq@6842@f2)4c_+tT=MiH*p>)SY{kuKBhaP2AM7TA@YQz}h8rnC^hFFVaD_7hXPlyDeG!4?R$2pp>VVcg!-2p)+ z&@g-QsSDfd?hm&l4jD_x%Qy(CB@^iq10knSK-cJm4(CYcdR=Bl;#p9f%$!sOVq-O< zwo%Adl|hQf=h(|}nSs*+N4ZL_Av;0N91g=>yNG%Od2qobZH$Wm^CarNO~+c6>QTuo zNA=z4!U#5}9LMIy_Q@Y^Z5%R@wPAclobeZ}V$|#ut3-Cj;a_lIY7-?!_ ze&-_YK!T#?PPZT1q#~nch7~EN>=5Um0w2V`BzyC6CPx2TN#ITlnO<_gD> ziJ@Acc-XEpf|<87?5!|CI@IiHKjLDS;Wh$cD@;T+JdIt-X!nW`)e(FZQP7X9$ZgtJ zy^4?%(1@tmwiQqamH$A=PNIK*$13;!5F6WZx?>X?&p26@Ye}00XYy7KP6STw)+l0K zc^7e~v1IDY-7bZh%3~9AzQSGqiqmuMZEXHQ$apAN<24;xHl|}`Xk1r_^3z< z#Y&2;T?*(h@=sn^p{}KsO{!Lr6%v;e86;-R9UPMUN4qSLm`MY?F@Yd88B#Ej8u94y z+gdw-2I9Gvb}}>i%Lf)MKZLCn$-qp41YtQDl6p1_N02zepcW*c43x9D4||?yMaZ^X zW~i)ken$!L2dv*InB>=I9-`(!O|dYw13w)xLVF?fwHxa;U?k802a-Cf9bT$J%byF5J4$3*$$5?`IqSbS z(P{!2>V)MI`kLwSo(L(^$3-C4?qKmfY8vK8FfMMpAIan&N%%%Mme-s{LG~oDln8#b z2uA_Jr9TfKiIpKy^tmD*3(&TCowiaTF=c@JihW(A4-P~dWpJFuVJ7{Ci&Wzy(+Zj| z#eKHmT!b82&q5mbJr4nvg4lNLz$%3ntl^w`frLUQUF%TziJvHo8a|$H__StgTFYga zd3*25vpM4b%N;VS+cZ@Vz5$NsAY*o~6^}|FnZQIw+q}yd#j@5E^s>Z=M_&E_)}#MW z)w}pL9t;Cg(helB>jxw?4~g3^95$%nBoIPy6LBqYqu+^4&?3c}5A9H26x>d?_t_^% zEhnl9(a5mdf9^NS4G4^QE^A$f5+zLA$B%vm7+L`4S>`_*LP9n8C;3_8*2|LPicMW& zKKnASTkBnwNC*jyPl_A_E`wW^6F%vyP=fj5jv4cXr+Nys&Ek+B!@q60c4I-29~3ul8G0WlCNDgHjLIdJE4569(hecP+_WhTs=!SHMkMF`7ul0+~+yUxr73ES4`=-17*iln9bhqHy$1G*(g)GJ*aCmEFUQ9cz? zcg{c1urC~v+*U#w2)5(HNrn>64?FE2C5EB-fLM(?Z{V|bFW zhJ&Y%oaVF13|SNrx76+DWu0nsL@K>m{R1~F?ZX70VRP`Z7%}kQ%&hw3n^P$JjkuO| zBb_>1{e^biHAO{z*`zgJxGcU|dX0H0_fZyA$|M3-{Juq;O)PQe&+OJAuT%j8U2oek zjt&v^gtRz{nPx-52pWn*U6U@Na>g;aLQ|C&j-M67 zr%Hn~l864150;g{e!FC4FAXiP){N>`SmIDay|sE@Yv-7I2Y9b@QxGS&g`dlfvi z&gPykgP3itX)Tf_0%DRCQ9aKdADf?cx-&cqc8vkJ&iM`mj-<U zq6x>K2&copbo%N7t6w2Qk>hy_8Ch2VW%kwao=t@|7i9L-H8>CMnj_8Vb)UtZTHgtW z6KgR8K?GinFCr= z5x5(hvnQKO97=_&9g=Qqw!|`$fFlMFS#ZIHa_Hj}XsoT!mosKL;e91qB=nKfp7<5{ z@J@ozfWoKK8FxH2eLj9mUsbXm^dy?Nw7BK|m4*24om>#OlP-k1P0Le<`O%gk=`}@e z>ld{Bc9O&>eeIMAkXQ&Wb^IRZ$D{nOnMOGQ7GDFGDfZYic$BuOI+h%;|0A_KRb%6-H6$M7mxLaOBT8OmV+DC7F2NkNg)2!y%|N=Ak@7U! z@)lR#I!oO&lhu5lh%pdv+7XKu3}{PnjA_7>!|O>ej)EG%Ymae+34Hxm&!U8Oi0uo{ zbmT6R+*dUU3I#FDw2f>OsYEq{q6d9OPFsQ%aS40vaE2;MmOHn!E^hbThZ)=$M^Vo$ zi?#%u=*B*D^v#shnv(aY`beRCM}V53IULGSqMjNd%P5wBu%uuh zDzEy)842B;66V0Y2{zNbQD#s3==%r?ErK{IqSqX9H%5MWLBhQVxqDj;$U6ggw6?#Q zc8aW9i*omCGUkIAWK4s!N{bii%?xImE<7tyR-@D>lD9r+&99{of5eseKNk7IcI1B? zo)VI&Su-LO$B-kVGjNK%vt*OlX1ZcBC1U_0Nfa{U8Z=5G#BGkB+gCj9m(IDzZJoE) z&plTiGspWIBWvbWU61ZHO^@sQn!aAiVv6MM;P&C2((L<50)5(9X*g8CurDuy_W1&L z#_je}qqv=mD*ZwDG-gYXD4&xA{vci&f6Hs$@){VAZC;Qs5sI#b16i=&$SJbJNNd&) zdA2Xz>7FpqjB3ChZ}9?=;x7M}fA z{6UsQY2nj%stSeU;_pol<+;K)4QY|rBAVDpqNna#1ov>S*)J&>g#;i)_63~T2vJ@j z7GiAmE}+D?GCpfko6F4wyI^g8`RjD#cA3Gjlj!L9BB61!1}VggOjZx2d%pgB*eb7H zG1QNaQCQ#OPMCEww%S*b%zFLkr+ONNH{_Z$K&zKeearmzRm+E3lFNr3?GNs=9rUJE z7v=PH%fh$@dDNk&{tit^LGz9Ub# z-mNT8jUhJ$OylIh@4|=gDM{}3E&1CpaK$u3QSv3h`g@^udv=MK);fbHQuepd zv)52l7Z`G9Exi_MxyAZ2Xz5$W2L_ID3dWng4YOa_I^W(Br)!fOg(=SKutMB>8$$)B zrPs^?zkPlTn3K>?y>H*i6no9kI-G~IX7$GPDd#yv&DK|_l8bQR%6!c^M2cD$3T`C@ zQ6cEkS<4m^RBse)XoY4UT0j{(*p#h{FC4SatL)XPzFC%Jjh&&BYEid&pH3i%@=7>sBm~wqr$W(Blo+popMOuqissAFK<{U!j=cdEizDEByjue4 z%5*0j{_$(2^eFIPIS5;sKI;_eOV+W}g$(40N$w@%E6w#-o~pZ-{ z6!i3ON>K%1Y35=|$*88as`aW|Yj58*&3MR?U%jF&@PaD)HdtL zR^Qu?-H~Ksz7~@e$8drQ{LyIWFPiGSYhTJ{j0jyBiS7xA-buAxFgI&)RD;?b@tV@Q zTmEwAVQZyaSBk(hPK)Rrw&daAy?=t42f+(75%877U(IgS;bX%j{o?{ZU^ znt~~&cH`b|W}d3s{#M@5W|U@<{e!zLJg@B%W4cclU|A=fI_W7h&w}>f#IXgAn03+i z-|5CJ@O+v_{}|TuPZSdy`D0X6HHnbcK%*LMuPQEmir+88TW+zo^Zi1|T00A8x{9aF z?wWSihO7#mjN4?9Q306<-AEPQzY>fcP=QGeKL7ck1v#U8?`V?(cX2)UXFwa$d+KFX zCpc7(2>{wgudB+D{%In}Dm7;z+{6`pt+zOZw3W#=%~vA976V(Gz5X_tiWUU>G|58v z2W1H=(4SK}r98R(L}IA{&h z^OW|6p()JnGvoSL? zACHm7Tzp%%nUy(}e$Mar%w4_}K-L3z!{&Gr%B*^SB>~NTGsG@YM5(r=sAR=OCZ6CG zgY-1ey!BGNM@T*)dR6NXtgOHkdnJz&&X>76nBJft2>_Je-|3Vig3s_2hpAp8L%w{5 zC~iR5S{p0Gmd$Q(9e^kUT)MmhqK(~qWNhrHk=e!4akV|s-SWAdW}7WXT~V>jG3=)- zYU2cApZal_2=X;+6FMLjfR=auzW}*aukho)kO(7FF<_^88r7sQ2fgyPKw@_ym}=@} z(FE}!3mbkq!Y>I^lN0{8)aKGMwMgXTeCO5Hf?p0}mV{B$+Di#0SO3iTfv#~3;X~mB zcY6P#2!!Y8@5tps7RRDC$r)t1p!<4)U#B$t&Mi$Y>Iy*2f(x0Wg!l$+?kK(cDhZCI zR^$o~eXQV(=A>*_zmyBb%v$^x=+pgIpv;)>6#+!@zd~~{uontF{bVC=Jp4usUh<#z zvEBs2Q5Z_;E+9F)jy_uTJ*kH@emr++=bZrfa=!{P()WIliB6phdw|TncupRfZ`F5O zV(Kv8+dAjD`EVo{A=*cVBH){9ytqcreGHNGC7&8k{Xo{6FTp_MC9*QW&dq6_ptZ+3&_Igr<4xW`VRaB{2l6R=qFr^Nva=)5Ouaoi*tG@OSF0) zQlwvl#<%e@wd%`mTq1%bdR2cFiy%YD#9D1SnI5XN=y|I>5{U@`lv)xf(MLAbeLJn| zOPpezY#0?uu44at*gz#Pa{qj#Xp2mnc=iJ$Bd$$lheP#Gl$2^P)@zsoyLxK1u~&ur zsHDKBcrrwku-x(iaVhn3q(^WXy`P8RnxOwl3|5>MxjBr>Kt&|f?*s!?0lV>Qe$x}y z1@BSU8i9(bV9L-;;}l|v2>vVOPOQY;C3?N7m-yL)U1_#Y#^#+;*0-c49kR&k>o9XC z`Sv3JX;H1}LcHGcDT)A~ypD?TNOyC>O4$8f+IP2ZCXCB+BY0BekAwyVX1njkZLtPZ zd=j@SA({}VEMq*lo>1hFiV!L)94M7YSNn6}Yh>uNypdR!(pn{jxzOn5^<<1$Y*|Bp zB7YyVT3t-EG>$^ij1)aLa?JZ8;ya2U6v5BA5*Grlyh3SAc|L9YTEAGFgXmct1!4*g zH-q|=?7ie1KvuG?`_WTSLH zd)>(_$K2f(tN>{ zlrNR@CUFdMUx`~ST5E9trFfnUL$msl3`@3Yu2_crrkDmbDqe_!Ts7LCI{aK(oM4wb zjnB9=#~hwszFL}2SsKH0aG+u;ttQ)4{uhj?!>_Hk_QM#nnIioN)qDBnl>w^y8tEd< zHh^e#Ucqw#PuM@2VH%vV-#(5fH;mAVPvyRsj3_8=emw6`&huR(8ODHt!p3CgVk@UH zD`(T2?$R6?u59^B$jCAyDkYVudVpk7B}&)Syuk3673#3Mv$zLy;rffz$|?mMi>!|Z z{+08g+({iKyJJ6$&K~IJ?R-?>0JQFK9cY%nyb{@jY&jcO{Op8x@+<%TLne^IRycR~| zqe8f@n7|F=#HimEP<(}#JdPsP`;yOQBCuFaf<2b*!kB7qWRVcs1@9V9c27;Xg8R1> zm|q0D_P*{*(qCKcBZKutFfuxe`29=NR6_FekeEqWN0d45nG3DyLx8!Vx!jKBFU_*} zceRh}9Q6Wr!`1?CVw_7^#%dPDE7sqt>CvkY{mIQN;uK(4}E zPYt+=7upxILY?pT>ax(nKmJ6IX<=x0FD*M=f-zqx)pc{Di>HPbISJJFl}YpAG0W1H zfN^K0gB-7czJ$E&G{kESr7!QlsS`A;9xMnW^vwT~cx3ukd%4=mVYF+fcb`rD=4|T>I~X62 zwSeoXN4Si6Y*(u|P%BDH^9t?vys1dtoI0ih$j3kL2E(SPmJ;99zU$2Loan7J!59ro zlws!k$&6=1FCOMX7mD|Ib96gQ5n!DvQ*rGOf+c6%mbRtL>hWheDyTqeHBJb<=$icZ zBEoHt5Bc!5K!23ep;z2P1ui`AF}gD~Q*@Cj^|v@?I+1*WP^|8+b$b@3Ei|KWUt4q4 z9LUh!1H?;mMjl_s6jteghjmYwY*?m2Do4BUKgS|W(faa6R1dBlO9R{g^cWffyLxqv zE`X=7UqkTd14)Z$5iqGoIjnCw$VL-OiKs53M97FAJL-2vPiCcICa;YAv-uotHvp^=*B~-91pE_|*!y)-P7JGFwKUAXs#_!o1t6C>JbwoNc!(gzA zGPLyi$jCe1Ba25T)$iCMQm#A&kp{uMecu3G6lRGA$F9(NssU=FPmdN@T2s2a`I27= zo5ZJ>G;Zq6CHQJ#rE{#8a{N_?_25PXT~nRMJccG>hN_;9(4FTa^pvl zZ;8cM#L2eEYiAKgvZ#9r8;Y1;&L-M)rg-tDfA(bRXfZeF&fMYUc^?qgovIHWsDQot&^61LN#I+*q-HU& zU$f15-JFC33H#ni&OhZ3aB0x3sA*Q}l95pYk5%9Ns!mxCG=51;s3wdOj%TUKaN71r zu#)|Qf4i%_r#mhUyLGy4)M?U8d8*g(jq=!{FA&3V(J06g>)QWZeK$pdiCSikb%liETqws7AnKJ|C?2Y#L)+2lFAlJG|H*pV_GZieiu@L~``n=?E`Rg4XK z!bqnyS`dVFeejhhG~p79^$lw;;g*YdTK@PND?DhdR8eyh>|e3HA$V5w7dNS!zYQqH zB@W>R(V*-p{V`C5evbqS89cuinVzil4vuZZ$~`oyQ8)D8>4CG%^JT`=Prd-sQ-c!S zEhw>FmSThcSLX&3XTXz;+hxK5C;1AcohG@nvdu9$#?YQgD}aKWkvYCC`5Fc^qji3u ztr%rh}z`-I)r-`LuP$dAiY*y{MF$DOo7i?JEz_D$}L4Fa{` zdGs^>emB*c1qLnKbIZ1ME$tHN4<0i~!AKSKRuaJo{OiEP4cTI@J=lZNG0K=bwaVp% zq$&3TRh0~b)ix&nmai?yFUL3V>vs-bj<6-E>vzRo2Zr%2NY57584 z&VgRgll>Tqm4i}p0wS943UrIXR{(CHL3c_Z6Z2`)Ly{$8>LhB@dVehrmowf-(hwkfHcteU(bq6dnS8dH=0ZR=>==7LA?zxh0cMO81 z8PSB(BWTnsIH0a@Owq~MHF$BivS^CHjAp~vU3|fbT|p_p%Yf*pY^KXYSXnh+K|Anz zbNKJjf?B3s9_1`i!V|CFeV%UjJfD7Bg7Bd@fp%hW!FPKQngFsc@X+16l;6j6SN?Vz z9SLM@F(zC2ckR7q_3o~&scSQq zo`|L2Njz52+z+o|9NB|)+g&9zy#YL4PrW9`ac#deianmx(kTZ4chXJ5*-n7Bu0`O) z1Jg}~swMNLtAcR%fqU`ei0$kt0y2r&;#gwe@0vUZbBrY;was@zZhY@)`nh|6gK#ZD zexp6~UuCO9C3!jw36S!;B8s(>HEx}g-!BpMR(#M0(ZdMe)-|AbgduSnH;$I-*&3Sp zkA`Izk6&rOUYHn?B}E0l>N`;dC12yTA|Agzq;r0nRw#9PW1eb9vye5U#DcGP9R%)Y zwHF;8BFQ;ZR%(cK0Z=u^j_;XD=2w5MARg(?2 z86S$V1b8NvIfN7psz4WeGim-dc5CA{N^_%lrV%#Nu&z9qBWEn8pr|R-Rw8a}fmrb} zGIF*p=GUpkze@|m1%f{bhrb?2M55J*cJ0k)!Y5-S;t5CS|57oWnm9M=$pX|BHJW^H zNBjxqm|+P zfx&SZmQTVOMlf79y`(T!=nEpi&G8Nr3&qfb<>;?9mWFoWo+xG7Udz5gt1;rgFtpvL`C1*#FnDI8XtUyFFm)zB7WQD zR>#|vy0V?>A~n~ng6L;6iXdTGHoh}0f9W)sD7f$PG@twybEmC#Yu3@eZF~H3UmBX~ z5+E$oLhw?V^NNnU0) zLh&h=?cJXAe1qQ+##J^H7%p@XA?}~3gbR;FmF~(>>CGPVdqPU$35qHZ4pWs1iq&8m zS%*4i%glhrTkXZO4bAk1j$i~@CLO^dZ!ZFM{9Od@4N7xo_Ld18|7wQYP z{P&QP%L>>+wbjJZ^vDs|D1qI~E9h39OvW{ax6lK^30IRV^$k2?7iyF50R2H%1{DnU zpmZ3i<>Pd%45}kyvrX}&h(bg|q2;n^3Z-2Q_TW1%O`pAzPHB5yoO2t?71h)ScBL$D z@zd?XC=fwi85W9Y(cR`a!1}_{$O2!*XT}ndn>w#Xs(Jimr#60HUi_WuD#_U9=gH1l zO{R~n2jWs&mPu8iKEOX3Ke%s}Q4cq1sOJt31^C=^;yc+w;zTIU-f*B9MB$`6;c3G% zdhqo667I%mut8<@D~cl#AmhCpNqelZ$8eq3YQ%3}xUE(-uDkCfmJgcWRdu^J~uN8(P_Ahksbk3XEjv z)Uw9s2+jj*!B4QI_XbQ9Y19qn`x81AGpT+-MpmHMbkC&%=iChK!R{wmP53wKwL+U{ z9=T)92PciAwmI^07~bB$F_zz^%`U*i;m5wJgyvuR?8>b##un)v#rL#CY&EhlUq$#5 z4i6o$)$pTW8QKIfv@6aje2eL$J3(BFH&0D6%j&qA|Gsbz&pv`obs1cE(`P_9G4|K( zJ8_oZ_Ly2j(K*E(OwNK5x^>{nxd!P@6%)VFaU;#$a((>s&72OeNccLGR?||2BRS9M z&!@tjI@~zesD3nyM8bqB{k@S!FISc~D)?uSH3JX=umYbem7?6(4`s;|yZUR4*8Ek?4E9) zRhdsW%r8uV=WS;+3vgmQOm0+o2ao4Z_gvB*V^jqYkB+eWj;$lx=cs_|FF$$&Q=}RP z&7v)sYv>jUD_?Xj1T$i!Top@qi@uUl)pY6FhcQSdlb^dFYTe{6D}+I98kJu)dmgi> zNPnd6m1*Zgaf-6aW!iEmm)43iTmy9exw<uA{Uw! zlV#74v*C`BSJI}J>W6&93F*XJw&G~YH zDE>}~c4vpQ6_d#@Se?4#F)5WW|Gi1-q*#h8+dITzqCmHQ2+;}5og>3fT)JHr<`o0x z9LiLR@M?EeJnD^u8RUmw3Fm2Q*S;;#*u;)h+a0-=uBae!@06p#j$uq@WGG}8%23u$ zibC09z0R1#LLBU--*AO8PDBt){5w_d%+dr!oo@XRQiDt`(?JcQF|TkK&$KnlcpAGV z!eZeL6FAH3CbweI0n;Q4b4B9PS&O{hP`g!VA<_LDJSpic(T|3jfSVFyZcma+q+%4L zTIG~*5}JR!SaH^uw8&NmHUC3~{Ql%D-{|>~{AiGhQ$yk#_)j^}YtI|$lSNGHmCM)x z{7w2WriqJA-97i&MbKd>jAQbnW@tJ9e3o?Hn{Ag*WHMd>Sux5ys@<;r-CrAY^{FiT z(wb88;de>j{IiVVTe!daixB&j5INyJzf@qT3d>}8lRuKT?Jb6S_*_d4oKQxm_`bj| z_hwum*QxmCE^jeq_i6S?f=X1(R2Q7(n|uRl|8wdgL7jOTr5S5&fSiYa$st^Rq@p1+ z-t_i(rR$I6oK9Xg+)6s8&@Ue2=+@?plx(W4<3kXx&Gx{c>_WnTczU8Wx_?OpM+;rk zzq{^=beZX{h|hK^`8~KnK}mZvA>U{k8ZNO1;U3lM`2S|zuZ`m}+8S<@$#j0m6fu>} z`ag(tbB0vTi>b1+2RW~GH;VP@Tl$60zbnkhQ@OPo+3e^Kc7GUQ`f4fFCPV?TjsG^Q zLVmX#SSvcsr{OoH2>?fV^e8uoy?j@5FIb-L3<$nDR(&<`GpW-~`ReNQoaRuBq8(EX zeG3*oGye|r1puSrH0_%+kR4?*cz|$>PIjO;%&#}>mG_PO2jV_Z-+wxGldb}v#3og_ z$;ltGF`EJ=q{CXWv1b10o6!d}TIwC*E&j__62il3$MhwUKr_0H0L2YrJC|yE8gO5< zRLB@bY$|V4=lRT+KYqov9+TEr@^uJu$|lNnOT?3EEPHdtr*tB3i0~ttSH%~K1&>>o zi|Airv@*`u8VmyvXZFWxHODWrK7NY0WekB_*LjNw_J3XOkE8T$>n9$l_CIIHMok6( z3f72Nxau;|^fn#vhNjb_>X@d~B#XE(TD(g!o}E6Wqg=jP?0r&IR4YULeekVrZij*8T@wTK`QnBYK6jyy=+mxx&K%lCvt|LpWzUX%;Gk`jmIxIu4w?ib>%j=h1AaFD{f`3Std zI9Q5Un3!)ng@j}0Y2?&y>+;N1s6!d?r{LSZS2t5`r5p$*OAUR5Mf^K--m7m$6FMDa zLVu%BdQMitWk;4otWRea4DR1!jjUPU#LmS-JOcD=W#Zjy)rXdbi1Fk!O#R08w}|cJ z#ApuJ8Xv@d(^sp+PnS`JXiz)Mq*=d4Nfs61*_3YAH?j}S`qA5w07?4|bQv-@?_S3D z`wbVH=eliU7(g?`3-R_)Z4Ddpa3hxh`G4|WV=SxY(NTs9KfMs05V~52gm|jTcI4zL zLHbXF(OwIzN5xub6N#@BL*W8GrPOD!a+e)#x#y>1lBDIgruh^``T~b^!7QVPY9ybN zc17fR2UPu7+f<6I7lU`Cy?}co$RoL+o ziFT}I_QJS1B!#ZGpNN7R%xqVGk~vZj`)lN@Y3$0@;5}m^@($)#&vvq z9nMDR#R0CXybkqXgdKQ~Hs~|HxYiyFmk0(sX3B2tQsf1d3S7n`dO&04CS8e4-q_skCn$ot}2x*@pa;ZY*VVV`vQE-(R`pVBk_PLKbbK<>0$Vq94t*JpRa!T{MIFt6t;FJdX^wJjYbuc_>j-=u zUOewn4#e`L2?gAN7?~KOeu1tOr^@(&UvS;!f5;-6Q4U({w$|!MB|ps$IVFUvQngG*R@xtWGY zUCWwLy->-6?HKnYBNIg75SOX|Qr z%W8elewk(e<9#=g-NjhIjA+PL&d4KyMG3#i5T`)R2(%C@A80V2t$I&+V=VO`Vaqa@ zwS?I+$Gf3p=NnDM!RNtpYtfaAyrVzQ)3%Y4g{Z1~(WzX8;W>L)g;v&y4LBt7J}$_> zc4Dhz|M?RBUflZ>lqJxyO`RIIHy2xud^(*Qw2nWnHj*;#)o^t4Lo4EvW)D_dy;%fB zYwtF(KJ^$97SBp6b}^e1fzR1o(Z8Ph#hfTh} z#8Lnmv?B){k=zX17moX3IQq;QF*6n)%tOq_(mHjLU(e7_1 zQL2%qc~4Jtjp#0|Cqno6UV|Cwa@HiOo>~yaN%{C&Gam}dd%Cb;uTx*u)$KISS5&3` z2-cd4!9uZ@Db~rV34{@{FFGT-L(YvyuR=^OH zy|;9Tlm=^OL$B62daGJ97)%kRcGr@6b^SIm$(QPi(ncK#^O>!ql1ev`vw}%hl#G~# zy`4e5_zF~wiA{Eyga2_8(;whVZd*SkC2}ra4t7@DJvwdD&*1xL%NkM25_zgRvW=eQ z7hH)Yo$c3>wujgLuY9BBB3lTKQAtTGwA*;jmppkzhWeiy$uCylpN(GD=a0@3)Ursj4!YY8MJ9(|w{Qj`2 zEPMHD$y`U zfdhZW?5F3`tyFbw*qd{)N8PD%UCHl9aX6( zJ`2hu`ZgT+_5i1c8g7NI2DdH99T_`N>~sNus4#A0L#K+P%utBeZSh}Bt{w{OL>-Sb z4LJ+S{*FkHTr6`hYfA)E+PS*V6B2o+l99kN_C1w}jw4be<}av;ip84k_YI1hBGKl{ z&5S|<5WHuj%Sr@|_{~(gCrzW7uX6e*)lm-NR;jox z#iE?y6PKwqwD*aXEU{)oGzc*R>E}_IcP6f#C9vY^oNyfWZ9Y8%c23O$i~pgirZ;Mx zoeGU}eCGQSGuD9IL0Z!L9K(m^x!h-{oLG%0&li|5YvAXc15$xU~4pnl(uuux_!Wl!g>HQM~{U&zl-hc$#cKOBfh1phK+YlIWrSvX5%?z?k-?NC;X z)VHq)Y(o;fSa8QP3p!)gCV+0FQYJpd86txf2*%fj|E`jEaM5{EDt=0R7Orz0fYw2Y zq>|QvQ+`z>c2`4(a>9BX9LmSey`y6e~YAI54R~Lp0JmLNRsmR1zU*B$qD2uJC))( z8z3Pprhrdpx|E7;>_{)zto#S=rGSOYhmY0Y&42WD%)&iNEq^T2Xo2Qd`CpV;bT*gC z(LrO&_U`>ZA*s-uOjBVO_ieV(vDNqGLR^}lQvt>5quan$jV;p@j9{` z0-_}F7dVA?yDz(UJ5f8VN;ox^tIyKNT28DYN)hgS_|EPh&{wyUGU_?IYNT~ax7xj; zk-M22f=9$WkT96>(wRmwFObYKC5)YXB<&)%Yh6kRyYpfnHRcx~H1!bN2>105+RgwD z_VwkI-c&PUdM2SRD`v6quyIGdub{~M(f6x?=`fY3$&$Oz#wB3TxO!CuE`juw9e!}0nLz3jGJmPBUX1!_*bxFdGNbv!Yn#@zp|hT#2)J9a)-_4NX}$%nRdyCt9>Vj8L5eZosU9Lbp#n z$>SZmv9X)Ci>QS`EvR!+Rrz<`XK8BGB5Gj;^uj>*6J2K6BWdU!hb=y<*a0Tx1KuBd z)s0*IYmX)|4u%%{eaUsA7rq^f*PEv_zUb+e#dc#-iuCswj zThN&L=Rkc=LM3yYZr%=N_+)a)vEmF=L-wc69k@VJ6i&H?2GGK9Oq_B^{(QmmOQ(`j ztx-+!klyz-Lhj=We`~g4ot3L}YbFZwE|qJa*q-_uw26A~ZE1Efdeju0IKgk}m@{C0 z!l}sbYJ_MX#hvsn%AIHR88v+WY82&C^)Go^m2RtKjDy;;6jAx>1-bzQ-v&vTf<|NB70TjF3G{@+G8Biz2Je~cBQ9|Z zaDaMNcCre?jv=+d{XQnN5;eziA!DgxVz6(+BW<27P~#L?8lewo7x$zCA-aYVNv6wX z3ZP6YYE+rlfGf|=c1Nu?CjEZG zB7L-bw?gPWxNBGZk!#6ep}VGrYVNbJd4 zv*0?0+@_*H>hIwZ?G5kt`k_CgHnPlZlVg0c(c5=zK1kOw@)iy>$h0w~A5`WB#qIy@ zQ3>0nwLUeyFc*}XYfk}3SxsQ<;V$77H_2Rn<58;&ONhEQ@`wK|LwQ zsXUKTrIhlE#K{{qyi_K!7aZ>Byw{U^)uf&oVJFPG8*vAJwL$uL_4&K;RPB*wDf+Ls z6A-n+LE$lwniPg2`;0=O4NuE1;X=X^h!5o;d)v9J>+X~1RBG4{xLH55_caAw&`7PL20al8Z#okD5o)CA%= zYm_9!#=fcgfZ>05&ovto&9LvZ2iMAt$vZkVpW+j*TIKCT4e9WOHG-c2BUnYQsK$QI zZeJ_*pg@6g;~sp8KhqDECe&id!y>-#qGGdGRJ?moC4$D&WNtBxCDvzC6tQRALf@@) zC$x2Tum@SzR)0aEPz5g{w#YF+@+JTfA+K}0rm3lel!S6T@0AwvFEkz0O5;2jp|>I< zQ|Dd-GoLrJ>34;Sh7iXx`IFG@FipG|COjzd*Ip%<8;_+vWi6o&B|JyA+gN9r@`poe ztZ;?gDh4ZRP@)yX4m6% zGqC}CM?Lp71x+KnNKYv#FmzcH8W*}40+&<;!>v(`jksSX-_i@_Mo_>6-#d<%A9txR zh}*Nv_O@^28WDQSOyKlFR*(5CG7jWev~!xf{jLKV*zzPWRdY(fRJgIW1WbRfyO=79 z${w{Xe-C+c#xNk$ZI3QHCf{%Wg@>?*n>p-$0#OR62L zmgu>12#3e>@E$iFXH%Wv(0;M4@{VZW|Hmn}#yR!v2IKkjJJ=cxdVwyl zZREy!LGZ^R4p;@7IuX0l+9IkxK&x_xFIGl~h`8s7BsBYdsbs3LZD2{(>Cl7OooXL( z^92cKCsvp!Q#b5*R`T+t`v$7*lGk(go|A7J4aN<#<8=<33gmhtODmcFOSGOZfoJf~ zJ@M-yIB6g5gxxm*MboQ3>fb37AV-Pg(S{I>*_T1sD@aVO3CA0>eX`wMXd=1-Ck9Oo;sgL}l+84eobV|%UhWqG1Nu+|z&4A~9tO@rU zFXW!8s8rkU_n;L)l)Y7l#~to>N$&?#dA*PWt$mYm;1!EUP4P$pCA3N zd;aJels#6uk0b%-WRMu7$wfb&x=V@bm?psb;qM#`Ox^cJtG~@9&d>GwQ}EcRcW>s+ z9R>~;EVE&1Z|c>ls1OHrH`XX-u#<^R?_TXdR-9{+P&otK<6n2>4=1Up(l-vRiVFv& z`y1FwFD<0_v~g9GJ<986qnwl>V?T3mH-?=(ST!6dMqGjJYgt-M=H=#V?9bRnahDKw z=`g>Wpvw)AMYp2fFhpAhW2XqMHBoE)dh!s*5}XlRO~o1%VroP(b}F%?-HlK!U)l^K ze!0RW)4~^JZ}+Hau@a(Tmq~%QbVVLYXiQJ~OOS(caQ%|)2;8q2AzySh5*>lb_q38o zvnX3~jVhxO&%;&wk5)hk);i==hxDH(`1bJXhkWLTTIH^ec+?~VJ5e{Xp^cM0F*AU} z97sxPsw~s*x<2cZ+ZM09MPE(DzsW?J-DLXqwd%K1ZYMnce7`q-*XSt+(hcG8e!zaQ z_6%?GtbHUNi9C$L;a<*kkhm&sMI+*0Q*Kj!G3Pq>K6y$|vvH+UL)=vhEkKrwQNvYl zzDu0_IqhD-g2gqbgml|`iYa&xr26kZ_Gj+Rg{1Q2IPOy`P18&3yWA3 z$8l9L{+AQFLMc}&wFeo6Y0=+&bdBN_*GEW42^?{7sj>#-0$D$vf~D4C?#xSLOgox` z`;u2ndu*e>1$DD@?uT#ut%sf-MyzvJHoA2`55!}B z$&{i}N^kCW=CRgs{XCz0>L9EOhG&zA zK;u1c?P%darzGkfVVJO3RdfL%6V;>8S1jw&nS)&Ybu;lqtrTbYzl~Upr@Ah)kw4-~Lsa=dN-={!sedmjDrf%`&M|9jqNKbK&`51VuiTtOwP0BS zB^UyJhLb)i9y{>fF_JiYLf&j8UKA`J1h;na!a)x&fWZ|h+eiO3WsXg+8bLm^`#D`! zFyN<#7;8vc>y_fk3(+LxOg#>j^z=SS;YnT(h`D*s;J9LI&Lbd`^L%TLvBv@?$@^NM z0ga}ONCSeavG8}#o6}a*w3n@tjn!){@Crcp%e2LyV%gm8=X@z4_iQNvLf!K6|0RE& z9|TS-Vea`waU9B$84=oAp()G_nlX%Y)uc6M6K(uhw978=5!(L7dX_Zs#iomFdpi^{j)u1m07 zh{3Mm-{SQzW;Mi(m){o(QhU$3XiG2BK$6ie|Kf9N!w!a98WaKLAym1x@`_k$1HyBh zMNxm9t_n+%*nNaeIrj_Fji2wkUOe(Z-GQF2skhEw_Dl8FA9^B7)gNJgs{zw%`Zc-B zaF-;(>w_vN7qw||B8%{~{wgTX2C!ZwkrjH^FvM-GMvnpmj$TQAu4J()C7pXrj=m)) zDW!Cki@}#7Gu)LHyp*Fctr?sNgD@W!v#=u7t1VfS(rI z?_FaZOr>IOytrR|edl}v{^ghwetZFbMAv+nhUke9%|2}URn&z#^xd68>ZA$Z)J4{- zRne6Tn7w0X*aF%J{6jYQwlA4dEDUQi-72f5&SDJE%F~6d*GU_Z|B7)(0G#vWLW!e` zydJ0{d|83?KP;lGd_pw%2ZWb7nax8HMfoQdW=4Vvn^Tp)ih&RUcf&tF79NmVP^PQx zDM(Zg8#^WM;>dOV0P ze4-J2hL!veF*nbu$p$#54mw7OqJlw410IJjVNptc`tNAl?f}pW&Fp@fBGx&e?K>rr5hhX? zUYfAHWr^MAHPzWm4u${4xoa^JEW;iv$;#7{E%2o}Lf|tnG`fH92cWr+rRuX#AmQ3p zmTdnP_Nw}V-04ru3lrNI_*(6Mk~S}zHnZMm)F59RGaTTK9snq}|D6M}N^%2ALTM3h zz8DeJVj6r%d~1v@xcT;iN7zYZZNI^RqedB~dHl7bb`*Cs>x#~|d9^34`GI zd-V>Pf=o<$0HmGvrlas|n^v+W+fgIM;PK)~r@;$)aCp7_;tMj;e5d=ViLO^cT2?at z(>{?a**@`IWnKyYyafD3b#ynr)N&xh$1iM*^`X)JkO(ZJ-8%e~TjAR>Xq4;6Ew@9u^1?Ol~XckcnA|;n- z>Q-fZjK%sm_~AmS;zyFX=xzWF0nm`t$$Pa*DA>gMKO58^$I-Z6zN`74Nubv*$WtV| zn_Gy?)A715B3v5j?Q8$#%c9N>hqVnVZ`HVpytOB6&aFEQd+*R$vN}Elxk_3Wf3Fjd@N^788mDQykI?887fZ_VUbiu+ec7{+2K8k}{QmPky@*1G-gc}tn;mJ;q7^O$Et>tHd#395r|hjjJ4@t;3-89jIT zYC?!Dh=A3)UnpM{{mDlKW)$_KCxF;_*vhrh$IRyD-wie?) zt&GZ6ENCpvj4oIkq+v2rJuS{ z4jL^QE^1^2?ZyU?er8zOzU$^5wR%2l`0EVUWjztUHyw<}WNFdoZwZJehzV2_q+zjJ z{a9bM^i5}mULuyZZ}%Mgl9iC-@yCSrzWmkAG3-eWO?fW6hKf2l`V6a!$0ny^HElI& zcDD>C?32EbjF1CH9#J^(%De~3DYDDKZ+-lT_OwWSvi~F(aVyppB-?suR49pUc%SIT z^fnYH7W~+ngP=ofXhGroz!7@k^#|oKK*_~JExt**Ax-J>R(W~M`(M@ZTr}j*TuhkH z0z=x*x>({*Ni-X3jv08V@t=OI!tMMl*jNoQ0u3|zB8y{*w0IEdcmz40~!i?eJ>YIDFj8h{z2ige^ zqGflkl}HGL6GJ1li&ib>(*!qC>)C5qX4DQ9L9U+I#ZMmo2chB?b>{{F{Zz%3y3h=Y zIO60d+bTlMB(S`H(AxNM)WoVaY>jqupQ zZ_(>U+#A2B2mQh^VvDfF30P-cS?CDY>EU@%5rn{wY%I&Q)O`q>NOOZtvh2}(-Swta zfF6?Ux>^k)9!la40F7d4BTo5UU1y=a^PJ)*> zW%?bJB|N->tBEdjG4zGQ5-pzV;O=ZgTf(X>l@6hcfz{ei#5N9sh5DB|r6pF2c(|^{ zXrz*@iY2%9K5FN|kwQIJX8*GBXazbBo+_jRUpyM2)+klS7Pz%`v{_LeXeYL^- z^jw|otFo`+Ri!rG8BoWPzEWuP;MT(@z`*rb_#iCzJ

p6x zS^SX{^3kJV@h`Uj2Hcr>k{!8}<3fO16`w~dWf}(AK++7Z5G-Tnp`*C)P=vcVnq6=E z+Wy~)w+ZZG%DLU}oTZnyv@vm6i3fFSQG_D;O-LIf+%VyOCgB>eZ=X2Jf^atpRWu9U z$iN<+Y0xI+SucwgeQkHS#lE693_vUqd^pD^B<4&G!*!?$J3%abL1db2BEi)^dremnFB=`xqxsZwn+SkbMm}0P zZ2pd4^Nbedm`MhTi?HGOE51)N{^QHU?=Ze8iIX((*AI3j8mg2WkRd} z*=buQ*{L?KMGi2_lZ^5^SF@T7}iDE3k5R|B{+MfFy) z9w+)kht^KCE1GceX=t?V3qTI z8agEBz@eO>{H@e8zfN}UpyT<8YE=)qgWxR$4v^UjO0hXp$F9*k_?!u=^o7=YxWnNz z0&FhMaF|!w7d52LT_bHt0$rcY!N~=N+HN}H@(gh(gL_YhL}5Do0er7T2-|^uROG(8 z$6&1G__a5=jcx-N@|Qq~;;EA9enb)@j$T`h@2RUB!viF@NqCSLI7bz^1$t7M-xncp zF4+L&>j|d0KnXYf7sU#p<vZD~G;93Fm5CM%_45sn=vRt0Q;5cCY zq_?v&GJ496d{uasz%*muou*?UW4Sjz9jb!{<+0CJ=HVgs{uaE?Psuji-H6#@#eL2A z)F1wprdJd(L>dDO8m)YV-L4oIq7Gx1z=ngolwSQCBYf(JdRtF(c6NCP}+j2b>zb#LGvID|(T_Rc=$^ z4EUrc4r1$)^#cL~t)^N=CQWIDhkO z6HiAG{?YiDwA=GcrQwOJQd&bE@j_Q!8{+lANBKxLw;AqtOKb`TJl8^U5KTWQX#OvoP}gD6wM34d{0la zclNgT5Mz89`co!=F;!Uph->W%uoyY>A=a%uA-PFJQ30Ut-uCg_`s})6985-&cW#*v zp0d{_F2T;&bB?(aV~}qf&gL#{#vlPQ`F-;8HxVhsKGIEVy=UX;aI&!?m9aVmj;Em1 z5k+x>`1ciOp6HND`ENLygT>3*NmAqRIpKkfYUGXu#`(ttn%M_wQKxF{O~1Y8#FGl16ZJEiG=<2bo=wyd#$eO`kV1u*8A2QremdR5U zo@tFT!;FVM?a*~4b{#?cyOeCkDr|;K*Kjvh#CC$;1fS?4lR`oH4(ksHFao5hoR{j2 ze=Q9q{`VbL15i4o5z`~(DYDg7tpy~Z$ruZR3+ElfL#?ozv(l&kX4VP1T;@hLygbVO zq7fr4+d}4%SN@jwG!N@WfFFflo?wgW@fO;&4rZm!Q~$;h)rDLobM#@C;#c{Oz_=?? z?EN5g{S?`@d~&}H-E>(s95-1FHB2ws?%U_# z&A-FcIj+ai^V2N0!|t`iWl$9Y|EA#3ZN*-xUB_U4&EpB*kl3kPJf0C1zRI~-KbkWl z8+&0(F+si+=q6Lm#&Ttz%3ikHj{3GReZH)Sc(4`%qD1>)ZTrOb)zbE`1o#e=%Z)HT?D%BlyS2G73Ka`sLu)w~do zbwyqM5WkJ%j0lvA!zT?@p!(x=l#bSzT-_4YFe}9nvs$J=W+%r$sH_?^-F10QfVcQ% zP^i@6eB3_oAa-;P0;_S#Xok`e5-+?}#(>&gVpU;{V|k6^Pv8dYk)vQ0 zgyNcUtcx?`e#{wpc=1#c$B@kHM+DT?@3?Usx?7(b{d%hwXm~{*_p?F!afsHx*W0j< zOa_WBSR7H#>{ytw04+|Crzi?J>1P1E!0R0nZJuXqe}c=?uwU^_xX=(SOB_OpK1n-Bjo#IkL+b=(psi;33foXxvei0~NcEA-q#W{h)goAN8HLk-XzMzy zA!vOzTFbuYkMqb8_wPrdh!>33DCyU`SPmmk_HgTY0f{%<)~E~r$EF-10hz!kU0xWdH8SR|(=A25N<=r!s@tm$tSkW9;uzl6T}PGnf@I zl<#sl|5BJar#B+GccIiCyDDL}?ch}BJxh>)d%#rp!_U17Y>r1p_h0M&W`0i%e|CU@ z57K={R8zFA92m7B7yy+-oy#KL>XxnPWqN~4>3f!z#c&Ka4aVgN=1X%>{EUNTpN3~f zTnsNBCrbpCQxN0YF98c|P5L>uJ3Rptnv!O%c81I(>>|XOvbQG1t*)HFdjt9_L*}!> zF-koggPA9tk?f`Aq7=$#W}fPFG~JEGW$r2FWt@CG#B-rtz;zhyfooOi7mm$5O+n-I zk$cLZ34ekOl_DfOagzY?8`BqQH)Wp z>%Qfc8ssrw5wct@#Lz`u@*V_T&Cl*}h0l2ag2Q|_y}ap0SI=ilbe^ajnE^L!KjT(Y z7=-vhm)0e=0kl(QPA?orHGtyuzj)ey#y48LDxbqHk+-ykWoI*>jxxdu&wn+H$_;5K z?5a*;xu-Q$S-@HfmvNAx29nCsh^UF5~Zcp}$1(KnmhKISKX(8kY z=+Ni5$%yUz2R%wygboiAAC&w*05Vwkv46V%B!CM6In)hJmY$dp4fTjPNpSn4ydC^M z0M4JEo0xD~K!?Qn(74cfngTIY{NunJaW#bhl;iy$(1-q?Qwtzef0`y{#-0|SF8sJ6 z_s6wQ0{&A@`G3H}|Ckti^koKz4hV!(WB-q;EXjY$_4oe=z=-~jNevpmTfNE$@sNT4 zQl+T;^q=x*;{O5L;s0e4{2ST|4HxNyxXS;iQhW6Mr#$ihfS3Ok%&QxQ$ zW60A*AUSDI3Gv26Q-j&2#v5ZaVqK|pSgHI?QQqSvQ}tm`#_@g36%q%H%1j|skGNT% zbsYbFz$cGOE4s1V-3x=ziHWwET&A7L;~%X$+gt_(OW$V2_0{%bS;SFfi(@)(GzJ&c z$zM_nyz%QxKP|i;Cq#u=#8C>keExQ@j)8?wA^eD>;Pv0pZu0?Lnh>!AS-_$?!?5pN z(f9oqXh|~L-)}D%A4m12DyF?=jpUbq-%g{XCeSm!fZ-UNS|8TcNB6?sJn;|@G?g)> zIAxG3qhld#Gq}awv$e_|Age8Zo3c>(v&nh2*nIzh=UZ59KfU0SF|imq?$e24!46NH zN)6_5PtgTA^2JgumMcw;y9@h=E}qY?qeAhZP7`@Lmo32sas+Y>8W)AZo0w;MsDob% zl~@} z!Nc)T+`zBT??%cWqp!_f0A(Tt8L#_W0t+yItgfwpo&@zBuVdWC4buf2t?{6+PV!_# zX>YQG@Yg3>x=~oOkRwr-&z`BZ&lBEHlO|06K6uP@6GtoPa+MZnuFo4P-zyj0vl(oD zgGVR&^SQS@aSwm-JO3dLHcRol)iEG94-s^6zBCk?IQcL-U)2hY~hHBYFj^dVvY1_PpoMt*zcYjtcdhKPx7dD{H ziQ6euD|&3AxJQz9eQGNbblf+WhfXLcg{TXbGx;0Oy!Xelb`_y{=WYP*RjJ&d+88SD z*|mxBj001WR;vm_1vRz}u5J~^?tGlUpxbw>{*@}S)yZ%x@B2J+EL@r_afgF(o^SH_ zvLvh3_i2PR!8}ZL@^Lb0+2VG`LkW+HL0uXJ&@f0G_aCNayWDX7 znv3MS^}8a@TG!1zr`WGMYXe_DfikB`GmXEXTn(Rc&(V9KT<7RvMy*myF6hZ?<-K6& z_mk$cRHzA>;98lU9TrpuK%aU+l8tDD9G-2nLcv>)Y2afxtT5@odmC-aG()jW94_62DTJjebfKfVG2Nm4FMh}~ZapM~f z`+A?GKDN$pOb-?dfd2Ymv=J?7=TrA)+-*=ztlWn%&%JVChps7d=-Y#kFIPBOXa&$; z&}=$tQ0FTj39ERO#pjdzjJ&&hO?lANABhYDP7QjICjfrC*ltEYbhuvsgW%8NH0@hZ zda<>2E<`0d0|b5ew)!&VNbr#4vlp60CPbKY;U#Nz-`1oBU$D|rKR=3QogPb-X2kdi zrzl?1_Pk6TP0PkG1ko?^N5dxqi|&=*a7J1&>ed&iG^7C>N}mQqGG-Wx&K+gKziI)z zKUaSlEAV-lbw8`h$LXEd@#0y%de%fAEhGG?!*!z3FhdJ=Hpx$QYkBO!1J?C^*hC{L!Y5g02*f*4`6N(&@Cq>*SYWpJ+>n)U(-Oxn*G znlfdx`kpa0LTgYlH6jU=r%AyddGgeg0&qtxdJ5e7yO=ErBYPk!pVMOZ;BQ)4Z-TO8 zg*j|shQbE}rBL7w3 zis*gIRpR2>4y$M33#f^vq&I5SqtBG`)!&+Pqa_R-7e`pmrk)Y#4tBz7;i^Am)_DHp4BaVO4RfVgijb$wU~t1ZYv zoJ4?~?_N9wZ2zW>2LzZRW3d$nTgKV)U9R{k^Wn3mtB|@zr%PuZ4c0koU9Cy@pXoH5yker^~M%_Di2Ptw6DGrGN2J zhiRF`(yCdDRM6-O%DD-q<>5jlco|$fUt=Un2C96WD#v}9Wo-F=@(G2gb=sNbxy$~%8f1$&rsqC3+3qUr#Bl=2(}CKzKmz?o zb6ZDRqO=U2Zp>{_)!)AUKhm=4(<`XFLjF%$kH@fQADE6Shsj)n)2g zX4ws-ej?&c>N1j^Cd7$$Kk=*pI#VASkME(T$fDH%rHxB+@cX)zGP;k^KYZ_4Vr@~h zhY)<6KScWXY^s&tEaqNamw(ZF&Dw$znjSpz>%8Yw(p^~9Fad?FplE&WX!TJuK6lo( ze~y%IR|}UwjcRwF^ie`d-%OeBD-#d1tRJ^FUiE_}eh}=OEY1sGbO5#-Hx7Iz{3Z|= zY=~=P&q3DK2>0XGlz2U2AU>2FdxK@_r?aw8op|Q+{KBW5LhEx1PDQ63tG|V=V+-7D zWDF0!FqL8dW56%=gF?wIzjce6D{uOxT3=l+HOtBq{{hIhOHtS;&7NK!!+dv_Ba_~w zl!SX-Aj{rPT)OD3Dk|ysEv0l@>lt>)9cn4Rn0Vo6Dr>VGZc0&QlepM(vl7=oc|G0Z zr-&_%XuBOL%6%~ngnt$id$SotLBG1BIRnf)ZQqkGcIPtMnO^Ro*0fyFQ2e32)Vpa| zs7ZRl1?149XzIs)tH=&3|N4VG5!h4n_)9b}++2H7O#KAZ&Nz05M{lB5w3jsi4sU*U zs7TS7^a@7tg*eeabCeK*zx(b>>$=0c({-q`44ue_-s|1hRBA$rHo|a){PizP5usBt z{}aMJUhBG#vWZC!Zy-ge>d!+qX%)Ek#Sj^7Q#^OhLmaq+pe)17 z`}@Hd@wmFK?Rz-ACptACW{x@YCLfSiDgR8%Or_~5Z7mQ3c;L^L) z&Ybet1c6t?s}IHh7Oc+j>H4mE`?f*}!gP!C7u(zlOKUGBni(hEBvunHxrWtBezo4M zYN13#5s+-dZ}<0eek1(%cR(Z#{wT09O5c6vg{PNswe@0j-mdGwI|CEYC*#h!ty{=n zpTk*+SV`;7&wlfkvU~o)yW*J52{OHBN_ncP0d5~c>6iE_JJALboyaTIzeP45#Zbee z=)c=ki4z;Z%uKZl*r|_&d102_vo@mP@9T<`mcY19e?;Zdl=9D`#-8JOfH3nT2wqI<$yh&hw{Bt<sjV-){vhuqCv!BH6u|FMiVM#12E8pmABv&t)*d{X`}}@%VE-6WEa21 z=Lypvhw zeX-}1BPU!YWPicFOvqMHbG0h?YLI^_G=$*Z2}Q*1f+w;I4_i)ZUsE|Xu*mhsT)swy zQXeYjuW!^&>kjmr*RHyp=uc`IiKW=FanUV-i(0%nBjTb4&G;WkdwTFIeN7?snbm5K zi|PP$1O+I{=G*i8%hA2jDCwY=FLHAiO={WS)Q6C@_q%F(2mxKix;gbnu|^~ra>nZ= z2}0WK`4naETEx1b5ChluwMgbQPg0#$4@T3(R}B2~3{S^j)&%eh^J|Do**wD?XJEZz zq}^ISTYr>);`bWnJG-!9Ht(7+YBdx=XlkWcxgfYV=u>_myYJYzx;S_ z+~}lpD2lXNb%%){Gu`jc0&X+w^=7I*HSU>6SN{5RyQ$Tfe5KpKnOw&h4-WB$C0&lOUfsV^fiCO_y#ch)vPpOXhyO1@F zJ>Va8GkNsq9D*8b{c;{2rK1^o*(S~{NbB;rCerBs3${qr`o#ODe8o&aXC30%KFwLY zzZzIdY|#8Ax&O~>Us`IsW?SaK zKg-XOSq8HJ${T^M%L8D?da?UzqPGr>nS7R9yd87F2GO+%hbt}tx;a1Uy>|o*I67Gg zC(n&bv>7vHO>YarY}j}B4+~CaY?En8Idwn+u(Ac*Czp%Sh!qzsE5G-3+)oG=$axGc zbvrWg#HW%6pDdV!f(O>WOar_sjXi!x?&)+?70i?K2pTqm4{PDXVD8LY!+z(# zV?Mwi-ZR)j1pCd8bcTpU-ny}|N=fH(zAd@9FlY140XwxcnywqqTsSC9014)NTyJ&F z^zD<+vJCykU2>``#9fXs(!18{YI}t^Br4qj*k+T)a${N$f(MF7dKk#u(`#1#`gvd# zn9iovCp4lNnR%C ze92!1sVmwPQa4kyZMm?FF;KB}B&jJD-U)BWoE4i7yT8L; za*SoW5MMw4#jU4H@3G2MXDmZN7SaJ^I-C-YL(Q#@Bjo5K5}UH-pWmp0Q9+@<%qBe+eTu&P8^){YrW!t%^LF1+P4_Xl8AMl5}c^xJ>_8cIC*HcnRv%7o|?6K-UM zs-9>Nwf$N@O3Bsm*U?yu;l7+mfuX8jEtec;cZ(1i{sYZz%59r=Xc+Gd%=b)gHAbUfL+l&)nxNk0;DzPRmq zBrT)C#Y!Ik#BNcWMg1X_gma@I_2SRe09E28<4&l11G$u@aHm3TH=%tro*cmwAqosd z=8yy$xtTQ&Ev`4AMt9mmb*$9owZis7flJB@yctDD1UYYG@~b7={M;YMnV4kZwCL?T zsR(QEf(nm9E4xzs%YU+L)rjR59_}5`$op2`9UW!@6%iNd^rekhIs!|M$v*M<-ivS&-@!F&%VKWy_n7Q8lS*Rp^29kjI?N;ox(IrCS|4gy=T3z^&( za^nhh-wLynR{wbIzI&6uqQ0+~VRlU6;GphYI$FLX`3*e~Pn)CzK9^;x&X^Aumoxk3 zEB$tJdelB&^_5^~FXjbiEf0-g>4QdDuaWAIa=;D4+ru;aHeU*L<@fXbgF7H3N4a`+ zKtFX|oqzSU-IF(l$i3=qEUvcee9qa!%3M4%Y4fdLej+(UA59;Mse^~+oL^G+Vb)5S z@L&Gii#9D2dQ-geptoR=z7I`TTBH4mYo#1sQXd*xtAW0uLzT2TQ_|)c{^4aV9jM|k z9g$8<-50tSBgUoVpz_c8Lw&@=)D3KhaRCC$5gA4H6tg5@y0aoGZmS=K#Pkoez127I?gRw< zMJngwJkj)!7=!%YLq1V-p`8EBo?RZHkda{0E1JD@s zraa?nL9iS~D&YBvA#i%HynUCc4SEuGg`HV~4t`S{h)J+$*~My-#C^f{@eCABzY%to zcTZfHyWaYjewGq6HZ)MO>v<4SBf2?tuM@FM){lG$?bvnzxF(KY_;s&x_nk1H{)*sQ z8vPr~=8cKRU9ZzdiP~LB#!dPirGexR$t{@v0Qos6Jg0W8Bo@7X*FS^?3SDbi-_6)> zPDPB;L*|BVz_F;G`d23-1a~wjb{}_D(Kt}~LAf*i%w2|sJF5JShX2$y8)o5?4TW6W zT!zNRS#OK&=n>BUd<>S-Rm-|LV79lN^i+jGY>jii#2zSu*||#An^MK|4+|W-@=tXM z9kq?1Kh0PuSBtjA;u`~kK~q?#d0ST72bvN60?S9bl-DyiV$5@2g*8RGAba&7U1)&n zNUcMQp2l5G5B+X}8avRrSL43aq7v{UZIW>ynn zx9%N}kZC(k&GGGDN5uTvt^gS}W;$nUzugru5LxxrZY+SqMJlYN17b8uytG$-%(zJY z__Bq4=<8e>+w6P)Guho+7YalL92i4s6>mvhNzwWc>kXFNs`YSsQoxKx?@~2GNgt3@ zvdsSwcCjwKNs@KX+h5i6H_!Ul<&(cW1h;2C<$NpFhx~0uND=%tHA@!<7+Wsq_E{w< zjo@w!vJ;f_6%BKot(|28xO(q!!A~9QJVm^K#mp%;Uy@=Iqs#^&I(T0GAsfpV zORu^Dhv-jP{v0P9W3;mlcn;Ty(zzP`h{_kfi=i9s4CS31-Rd~oJo=qOYq3nL?1bDL zIDtn!dEOic0c<{k5Nu=aO35{qBvmI|OJ7HJB(3CF`_KECSV=u-@55)P-Jsny$zHS4 z`}(ZtG4ohLNgg3#bR;bf#|)a8*RUi0TDL?bzKPZk|tG0k)-_XiuG>OS)1>v=Zrywgph1i>kXBE4F9 z!W*(Z$xdxSs%4{Q&te%%c6{WUe81EyIe=Nwuc8d+5?Vk>H-#Q(-Py<-o!O!X>)3}; zYspkVY-km}$n2a`I=ukSakQEHqa*7Ycktbj-|_FygN3A$x|?=Jzq}&7jxl%Ahw&oM zZHu5NVue;TGHq8&+oNgggrFmRKC0_SPr6uYk^M|aE19X8N-m1w^ zsF>^t-ZeRrTmx%GAMw(IO(SA&tkUKT>*ypofVTNPE*metB7+cSZN zj}!}S!BtPmTJ$|5H>#|A?@|moI54`{EFHHQ;8pCA2%Sqm=N4&HHlIDmpk4e5T&Zc= zyV3v5vsU6V1@ly1;|HUiqwwenu~ufArl8~#=A>SDxmnrP3Kfr#;p)d;{_K3OP1k@h zg=VkvsBLA>)9YRBjZFJFtv%q4S3(*m!0WxTeI>bqb&-d0)?tIEOw-h&R$xh^3o?rx zLGO9gP_fm)(N-&hymkPB=>ogelJjtxH?P`Cr>)9>d;sRi60YYvv|GFM001 zX;1c$G8y|Vw?XfSH}?&cg^QDg!$gh=STvCV8k4)PvMbx(C1XkD_M z12xV!GrijmY!SB|hlx`wIg*w+15{Gdy zyt*{E^^KuI-_L9Vb`**mGOs&3J*!(t%3()n_7N?TT|Xt8xlRFyk5}Bq9E)FtL|viUvh0)Y`bXud zOuCBZ4j5cZYcws0!gz#QMLHSq&7sZ2VJ8%QU^uE}SdMu4BhxkzbWmwptlpS1Hg1sP zhEqKdSS<20> z;bz8${m&+Dth+Y%)Aifk&R48~E8HGx`_gFExS<@;D-p{<_War50p5Bv_~&kuM|nX+ ze6QS#W=A5MMRMnn0bchmt+Dzg`#Iy&w{bdW2RVw6RZG_iJbMMCtU=$!vjnB`f~F1N zLI-{sPfEIsM5==}T_P-!ZCg%q;~F}86zz`BWppinOy1$jJWDofMtXWi2K_sTg?fp)lWo+`Ma6LTX)oDujW)j6&)>#fB zN&khb0*&b0;Yppt44v$?E~mIF0#A=K2b&##enio!n`|{;mFcWnt+bd!f`Dz*1F5BJ_0zLO}fDQ@gX={d+mT- zrH66yn3EbxS^$<=LAu)bVauz9?(X*B7v+8lP+b_gU2#Z1#5z%V*Wm_vML8&udGXA( z)PD-ih%ZoFwES>aJE+qSEayr@gQePN+`oB>?1ZA@*cwK7g#P?P2T{07-^Z!gjy}MB;2+VL#sN^`@C0M$$iwM!nPriNm>tx9;4Qzh#=lTC)s#Wl8TI zoaT>`2M8`P|JbK713yfS?8vokgdv8_;J1Wf2^ZSggPAP&=1%``Kp`0o&byZ{J~yPv zxN+G+LvE8ExTUx-9mRWSh8ldZMee<~)yK3-r~FoY0)e7bn!K3)AJ28;}J=W;QIVcO83S z>Z#H0dzw9^Xs6iJh~5N^RC|Sg`$fe~{LnA=>1~pY2R>XMr01IPFCR&wuGEX$Y2_VV z=;Jx(=ctP8nS+vFu$}KTm;Of=)i@0|dLi}$y7-8y%-6g7p9SRMP?!!OR6NT}#uZrN zJ@aMv^2^VAbdYND_6fYr8RbCGY+d(hdL=`ATZnt)Y|#N3N?s@S(ZaszY+?-Ju-lxz z;N%fi58qR(uKfs)F;Ko|_k*p5)loHZOkNxw7=I`|D0KZW&@0L@UkzEjC~+w1w5}7I zs=ujOH4hjHi~~#g#DO)et<@lYqda@p->Ik1m;uxGc>!^?35dQWk=wx7gED*i!>DE} zAm1kk$}PP!fA*0dw;tC=#;I0m^s9l+bCIz|FhtI^2cYR(Mt}Dv3*G+J@MPKWyBNaj z=8Q*ABsL1%?P~O(_&1Tv3%7-xS%+i-rJKll`-7z9xYf8JGx9jAHZS}=;UjW`rKLtb z9m&1x!1Mh@W(4ZboT`P7bLA?Q^vcJ5uK`H>wQ`XJ(-FUq;0 zsa{<&c6BwaF`(oj+5vR@WYEez%0I4sz>x{SG=%at>w``JxOr!or)tr|d=a=p) zu`_UWkxm1ZD))W%ht0t$qc6^geT+jYW3=s)XmB6 zlzcZTS=R7ws$<`})yuc|mB}c?Ah9y?I<7(@!SKQhB+B7~te|P)nCuX=bcYHByL>mVeVPj&rQ7T_SLTrI2VASJzv6cr&|hSPL)bW4{G z@?b_p7O@<4tVeD$&zuS_n+iEJ9}kaR{v=IRC$Z+bJ3gh>gB!Euae8Kn)K7}i_(4#9 zIsRLf$?cZeVY@n~#xd-isKEMmNs+R|=8A>98M4%EE1!~p&!>}7R?E6<$jJUv@L{+T znAzh5(=tkf%3GIYfbY1w6e_Uk+$%6bt@L|lU{z7tN2*^QF!#``&{Oe)_=|f1}}?Hkg0- zj=NCAujARN2g&TWjH=spco`9xM1O6B)m4cKk1uyg zo%JjtKbWywCF~3t{2sqQA;b2e3W&!NJZr71vg4M{nj0WA@@d~y2-tTr`tde!%RF%4 z?3AAF88l&Y_f()v4C7+VE!FOq8QFEJBKutg%LtJMhq}-YjQeK7U+7-SItE0C+tWRh z=cHvf-I4(5PkYT{RqfgkYIyQcj5+o7WNU7Pt1EyQu!{K`Mn$pFUT268Rr$8@4^3-O?Yg z2$&4SAUNC4XmSa(VA6%{k2o5*lgJ@akjI0`f^UKchk1C<3kT*73V`YHu! zG*MDa(S6TuQnJq9c7Hnmjgp{}&_iSSUEJic@|{KpUiRNO1+Zc9VZb2OzKW~}A+(zN zb}CXEe>!L3=Y0FKK$p+#HtIW4nbyQZCzZWTQmrLik*C_~+7rHGWozeB$&0ZP`nBewSlVD{ViEbwQhf+6y6K;4`n!v+2h_4OtZpr^1^ggA)enUhqjI*%OjwmC{SY6v?kBNv_Y}7WaOlP}@E6>Q&2gc;ocEH! z5*{?*g9^1E`O7-`>LDDmyEMG%VK=ghGr|uV;xYVDdK-~7QERy-!P!^AyVwJsrg3oS zjq80cl0a^#+bff$QOcx2^uvI{?7+^|OhTnQQXy}VR~?w_w~6#~L)3&Z-Ek zs5{QeB&#A<;4!4*k_(sM1uIo485KuQ1`(e>{)I>~AB{}-Ju!lH!Tx|>a&&zaN%h#7 zIM3_fWSRz-NOip6D%22BcX3&HVf5e;OE5b8F8)SuSO;1Y{&NEtN6%o~5F6{A^KVkA zny4Dw?p69_@S_Ie!%u(pN)JP<2>$kdL@kzgahC0Hi#u~;8jDd*w-mDb+pJ8Wdw8%fP0f~*+Qt=3CQ?J5SWk{&I@ z+A#UnJGEySbJ%L@PUUUB&Glk0JmcF!n;HSJc0_TP!9UtRmfNF;hpV5PVQ78?`F_oO z%|a^AByKL9^paTy`#{jK`80@3ep`xxuu?KNyYOFV;|38-|Cs(!Luf@wp{0JG2Nlac ziIyM_;EM8mM0~{%SZps5L3Cqu4*ui*j9NLzUN{G*UX)-Y*yNMI3^o zw0+*0=Vm(cnMv4}dvvq%p?Yr{v%s|1&yrX@V}H4 z7kdp7;kM=_cI(JLZ%SVtmRikV7fW>MYHgYAMsu|kNmH%TaC&4+U4+0}fNBkLaNl@C z()iyhrM0yBw%_2jR&#mI4<>DTi*p^1W9Q&(8y|QKYB`hy6Y2bvMha-;ntkR0wNuJiWCq%Td+~^!5_OL(l`^g5^2My85 zDitUiqtNXc*9vi61n7--?VbFS6@01{+M6Kmvky7G5t(83^rvOJ{Hg&9CD~hAJauz^ zYn`_c18>A1fe+ZW`){l>QmkuOg}E+@vW?MH9-W1`m%GWxcfa61?k^$bC%Z`A+KBeu z6rU4s?S~I)2K|^Up+;RfHKlF4zb@bScs(+G8e70UWOhbk0rWzqgF~EqO`U67;QZ7vm$ZHH-xIY3Ea9YkWo+0FREgCC=+=Y+jy-BOIk`lhyFB6}Sz{PJ3g@*5xE z*i{k`B$Dzu0w6T_^*R^6oqdHD5XE<1sUd)OMv(^lp#2bh2lf7w3BD03^!rUqiPI1D zS~&tA6G@r=^vtCBKe}zMFq0c_k<+L(A5mU1yzF0$bns{)4j{NY0 zD5NidWrY52!>G$Ov~v)#xDoc}c2yxN-dS9v;i$#^N z{X;QX6{#1WON7HJX#RBA;9rpZ3+?K-_Og=F|Db32b5;NsPxYhe2K4KfyH>(NQ%+;b z|JJ^H>kI$4Jq;k<&+I3~>%`T^ezt3s*{?nXq=I^of4;X)Yk4V`dRy};-6$ybOVTvI zxqX+UxZO#m;P-oXLMXye)f;#m+OBhl8}@44^?*%&XgEOPCFSM{&wI>s#c>M{PXv#O zz)~_!QE;=o@|)2O)9MmA9|CpooL2j{DHjCSeemMGIf6XN<<~hM zJ53Hv-QQz5E{`p@gpl9M@D*%7&WqslVy)Q^R2lO zM!&wBA>@Uge*;rw;`N|01grT~7pEe2O#mlGZ@up=SFy%W+yfybd0QCQk8BsZm2pmT zy>nNkB>LQ>9wf-~5Tg)yNgX)6S_`4xB!hIHM6?lhnfD`xPF|jRRooQ?<_3oEmWv92 zL?pH^GrFdnFAjxJOaC0j&eZ~Vc6kh9#RIeh^(dQ6x0*`Dnzh#+Pb+W#Mlico*~_^e zVn|&0=lB{V-sA$sn{D@`B`zw8%i?aPrvmo2-RO>PTY%!dCHc@-GoBQvFNu+h1yDpn zyFEm3{osR0gQf72s0aqZ2P#i8JnL4RqGXYJm0sLOu?7BzWGP*8pVq1+3M$GqfS1$m z#Cz7_9xv*WEjJ!^T(vXFOxZ#Ka*zk#MPXV~M9@>_-zC`FPDf`F8R|kO+M`&uZ4-tS zxOnx#l8?&zo}r%xZd+SQhq@J8Lp;WnTEEa&>2-~iRur6yY#aH?Nf5=w(?V2!MQE}q z!dUr|C?U9q9><}7kl|+nTV)!On*YHQ4BMR|>bhAm%WhB++XL(R)CrTgs0jg5{?04}<3Sb9+9vz}ghZDa%X72d-T~a0wNj5(U3`MuYQ>SXh{dM`ew? zU`iVp^!3{W#T9Bz2GJ)dOth}>dVp!LhdqO-5<}2&FuY-)lrkZfnRNyczK-Qczj14R zMjifpx|iXJc@kl8X7YZYZzGA`UjrlxbMO7$Z~cA3;CKZn4j+~IPr|O>GQU{fcX!+1 z7Vx}(9y@C}P%}{bZm=hw#n9Anz1EgQu8=Z;OqREyxbv1OXa4g*9 z^VfPef3r`HGBqnk^h4sr+FH?pSe?^n$}pP{+kX)p90(UG?yH`@mlAnbH?NA{xxhTC= zeH!d-!%gSVxyHO;tD=k<0?2S3cp1(2Z8=*?IcGAg zzdR@OLTYi9rD84z0pgkugUl7NM!H#eS0Bjf46M1tp5w*fnMhfof<#U_$b;Nz`55S#Q17nmoz90jc=w`27L4)wi^`o zq@%lG_c}VpPUcGfHzruxB)yY2!14xip8S_%N-z6QC+}rIi!}b-5p$R!W+tj_HQ9nJ zTpB=v>eGsQP`kc+AYf^fxJQ1fy`Q)^!`<`DOs@7PIjIi{x9ib#GWNwwV25Cy6QYc` zV|k{BbYl*zHcWj|&bz?o!xWg@^`J#veW-{6Kydpa(}!tb|+w| zGtvhEEM4NiVD}d4huR2VryVB;DmJ5t7(LDv4y#7BxJ2#4e%|gUMH#2yj4HM>0=gOL z`o)=N+}E=cAz_VU20eoz!Wk91`Zre6~9Y}DD_%;vvKwATr|z=ANXlrEiGcr(*Xf{ zToN7$nfqF}Eo2-qm!J|DL6)7WYM2i!&=FN3_PqGYK6pxq3r`y?)i| zfN>K)<0<>M7d^#u5?j^cZGRh6e5-(};FHp>%hBSws?#!SfewVS#D%-6@_;{0i|dCe z?F^otGl8L^#vaM@32m^as*eD4g*9xaXF=~r{Y4^Q5|x`-ig4!oqDxA#KpQ?kO)2G` zhwruh^mS*2`}beh@n)IxBrMxAS7*^RPnaEyH6N^DpU>)wgOppEe}&udO)R`;)C#OHqE!ZkY7%jL7{7NF+4VwIKWP7|ddCGW!~-Arq|C7^KD&rQTAl zDVTe5Zb3KxT6@}x*go_p%xm!U;MUr$_t;BJ`{VF6?yom)iC$Ie?{%CP_WWW>=#sYt z?0#eH;UFmH9NFYsmZq|Qo~|1J;jf47+mmE$LoVK2p5hqma-Z+0j}b{$k=t3J_0(0uT_qRk3 zZlZjS#{R#Qu4L*x!j&5#u7)$&(NmrSqOK3cA1bvg@nC#tc_Vr=Xl>oKT_jV?h32Jt zk84o=_svJK7~1Tj1p`zX}#K=5b zud-+`B6#ELev=0bcfdAmiukZejlz9pA6dVkh2D2!%UyZ3E_nxymzHM|ZtK@t%~E&1 zoE9hA%gSE73phk5of=}xvrL1|nRBi3@GH{uzlmu{;4(rP?1fXz>+|zE2q@hxMr)}k zscsc01h>ssFc}7_1s_eXEcuPfY3D?HUTMY#5iGBlFW_dM;QF1J(_yvcCs;#c8aSwY z1aA@mK62TAk3zGu*#5aZ8@Onwk%+Io&{N18%O7=$3%Hw6=)hk;ZleDKhmE6nAoctI zgWn=aHym=dLjN~5lUEM|qi6&0Zm7-${@9Pti?t`vCY}dWl|X!J zKfl5{ah(0nl^%R7H8O!Yj@hRh2McGTJO08}!JN&FH`!B5JgCM)M^U097QL&+d~W-9 zYOg`5LX@c%EZR_25xA-DaV%s8HsoT8j}XUOr4v0Gj5?XPxq#9%GZt>K2BwblQQSa zGV&3y-1Qsf6_o$p`Jcu3*5wl8S0Wm2zJ~!1It$pr$+n=$?d*H_0(96faWT1NsNB(4 zk}sR5n+A|}gr1e93aNIK{%s_8d~i zfA9VH zOA-J`Dn&8~%Vn(|Q8qpeq!19y&ztJmJ~E;J-ww6n5Jlzj*1r2(@WHI+T`e&?r$aRT z@ABGrwfQF}*tiLyX{D8~`3-&0^gBCvupbm5W(8eHXOIHK$RIOjxt}xcea22M zpdD$nvdB%|Of`_z9-IUQ+yOjP!Q&+QL5uA1{o^>fe6U9X$2WgRl&PyxoWFOr^6|wF z{cxV|r-(t)!@)O^D#Bx3WYm-Z}w_i^* zF~GP!h3(KNzfr7A^%VcOx8bk_4Hm?5SuR8^3SDCjPK+dC$Lp4r^kL@@ex>s2U~!?n z=hn}7s)L`7I_N~SW3ASMjYpZgLGl90{yedjo z#2p(m0f$#cwxHobHvv(ZIAxmo;@htRaMW*yoGXx0m&%?MYTF7e))L*mNYrU)RoL4g z-cDzhR&LCSakIz$cl}S{)tY4xOPn_6xcp`rpHV&r?D#}rF!}muh5rq$S>Le^u%J5s zvahG3KuJ4UFr{oHy24%P%{d`UjguFJar1g|Bqli_m75JMx>N8WL&+(j4hGY3ZM4bO zXti>%$}4V$Bpm7!&1sNzHlbZGJE|CXaXBq1fPS`AfuI;$TXR-i4sef~6k5|fJSIy= ziv4CJ$&vxOQUITC(nB8j3{yy%TqV^M?WuK%BP4Z}N^t=b<`Nrwb!LN&<|;*<(v2Lw d5Lmu15AVo26X?!%rGg2@Tmm)Q_y+(0|NrBhEyDl+ literal 83577 zcmV(|K+(Su000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F&g3;+NC006v00RR91 z005H(00000004PL0RR91004La%zbrq6iF9vHwg*uzIX!5bbu;YY;kvo1r`k~wh-Jk zg9UeYcPFs8%OU|na9=#g0>L3bzx%tDnwezz-Z}5T*XN`%)3@sSy;WV(W81cEey1YR z%b?3y27eCvgnR$r|AA$?avCQ8M``kB63X=%_Y6bbQJ>@e=Z@$7@7z}1@hlYv)z(SF}`3Pr9nUqLqN_e_#xX zb5*MP>a!=eX}SIruCMT@I*JojDoH&xpgt>{ilVyXDT})EnlUSXP&JkIlulAIbyf2X z*HludJBb+@#rIS3=NJ4r1%FmjyyrU~xm2x`#+06wEJ`j#{VUhZz!?7J&kBRGg@P*H zGIO2#Tqi4k_Vnui`krcakL!EdU2&qIs#F{*-cmE>NN%N|O6N)zPg^M6dP=15sM6CP zR2{{G!lvX>7`zRnJ9Q^LQ}@*WM>`%t6;4mRDXS?xDBt$HqasD|uXL-ZKV`WT6{T$@ zud<_xlm{NND_v!0t`tsnM{%g|E3VXML@KKKeTP=Ejj51wV@@&l`0jts;$z$Cv`=|lcLgzvb)mBD-X|6`d1oO_!Z`F_BZOA zzQ+7T(|=;a@Imo|D=pn~5YtWRKl*_$RDU;pkD~wj`-2!9`2LwbzfWd36Zq4YLiA@O zLeXS+P4S{|HL>L6c*l`qZOEJUl9wd^>rTY?$h@)l>_z8g*(=7i_HF`BHS+I8ZjO9b{d2 zZwGtdB1j+byq!LnsrH-^4p~N`{`EwL^Q#|yxJ+CbiK(#9P4$DJ!F z6|2MW=^ZKJuM6bXuxBdzGn{BW1fRxxKNTyUDKJ#`61?|@2>*bAmxCk4j7-7`tEDXJ?Lo!d^TNcT7p3JtqKlQA1WO0CEQG?IV&Kx{*D%qfT3Hrs5V7_Q zY9X}2#u^lwHJ^lA9m76SBV5Z(!)aEe!bpCzxbP`iNIu-R$|?e!xNZ_{dl<}n_swhJ z*QtSAc6oudM6)&?8rcHP{(bVCD73nQ{I;utRY0>gp4yrDfM-Ryt)jxGT+CXTcrmO6 zeYJz_mQ)xg%ia*TqSMG!_a`}WBte5%*SeZ75_A|HbT?>o4DdQHZ|=+S=Ks!(tCQ3d z^R!Ykfa&63U$Zvv#x%#zT5dd4nJ1!2_(o4#i79X61Tc88X8}dLqDMrrtQ%SGE*d$r z_yAVb$l(qpHFAK>(Lnw~chCYFhNnAp_e5?NCE70J53&z)l-9^U!4qDis5^0_$QFN6 zY>P2+%AmIWcHw^UdnV-$Ej9AP(C2*=nsXqpt&yjL$W=i5hsd*#V5+0?L_S9&KMTC2 zJlz3m@z==F*cgNu`=MGG%!Q{~f;4hBSaW_o|1?LbSjf3f^r@C&V}Yr$)#7fQ{r8Jv z=sac6)*5*!$XyMEMh?zvYUD>D7+dv!bIywQ=P-<&3Vv__#W@T82Qd87M+d9uUU{ zsgz4*R`ETCJ}7VXL_S#~2gs(Oob$)XFB4gln|mTJqmi?dwnldFMBY~;Uk|SKQi3sm z$a!s$Wd=Nv(-2W{UY5`ruL0M{1N~MxoC$E7uAV?Xhg{JpldPM_QFsk^7CeFkxHXVZ zMbUTcQE}*|89ViiA{RHKQ8BFI%KSC_R4?etiahebbd8I4k-nQ9!?a+N5dkz{3^x2y z_7Z11#p&LGR$W={91uU%naio?CoR}0aMhUlu~^8bYUI%{^=FKFeu&%&vc(C#>4`kO zMxF`dg14kKTWc*qta6OhTH8n1jbvc%=EAO`Z!@Jee{aREQ4rrv!NgS;e z2Uo+ue)GyJ^DoJvw3d)KVn;4RoZBy9vEYYM;%bcoGEdVo7HKiq7elK17@}qbcxLht zaVY*7cI0=2?z11=i~{nP7zu06q4TX;EzbdY3*G!sEx8DT%4?o#A#s#5eJ3u=Sx}uu zju_jlk%K9FAO!oNrvj{ydwMFuhUFd(ymd&2u5`bsZhJ>Jjl2idGXr7P*JGXH&e%8| z^b%syK8W7mN^(FXkLjR4QrF4IUr{Gyr2j$=re}bqtHH?)? z!)xakD0MDm>V-mQ#Uy#RI(BK+_CTL3U7@R8#gpIH#Lg{%sPG@MKSFFcsd9@eacv+b zYzh^3Pn3GuR>w|_oLQRy;ah(0DmDy`gPg&=f#UXo2r>4ILQWP|a(4vN-90(qQ02B` zk48QRWT&9WK61Rc@^n*d&KWruk9r;uqh_n|8hMyPzMh6uMspzdbcax7!;Z!=Oe4vmIhRB<#a3zl&bIv-JXyomwp3#Dfw_}9k?#9?UpNG~K z@o%m@yI*WAw1U$fJ^w1?tB~=ofdQ=+aK2b0Z;Gt56exbkxmzusoRf?HFSS7DQ#5jS z>;DIx7yd7D9YmwshC4$3i#+|F!Qx?wIBMw$g>|9Ayb^Lzw6CmmPP$Q?PlCwPp>vJA zI95^OxJv`ze7F0H(g`G8{{ zr4+v43U*>2YCXt|PEAyv&9nJYh9n6>wm_EzL1@GQ{IgTcQ}dqLMb!MU8=m-Hj520g3VM(PdIMf0prnDcc6 z=Dy@DG?J1Em#<;$5Tbj*RaDPdjKXv5aFbYT0oEw3*aqsFo?{0m)n5+QdU;bZ2`bhW z`w~6Q@m|Y4l7h^51I=ga7b52Lnj(g_cxkz2sc25YFej7h>;l=+%gz2F@=%S<)-v6C zHkhuCSa_HxLQKA?o~SMnYc0W=`#L^!rMNUlJp<1qT`mJ_?n_(jU6Ess(OT{mG@e!2 zq2#zhqH>81JZ5@G$OD1=D>&zC@6*-d?$CH_lBa}x36KZENg1q>e~4)67#~kHQRN`= zHM4#Xr%0T9oS2)rvb9+Y#_&<+hyc5BdH4r?5OryfqVPTXCs+#ws0 zTnyR)(D`jRoOXu@dys{E&b{D3a85yFn^!Z>6VcJi9dr~JyWkGt&}^lnbH(Qa3QU}- zPI52Q(hLr#ksFDF*w^Bti`_>mv#B?*jZ4L5h%Y{>HT8l#tBsMnVGf|e*uH6om>a0T zw+Sb^;x(`~1`KGe)gG9_>aKaSV2`U1e#?QGVn)@|qKIRxl~;%Vc|3yNgkWV7ofVVn z7m)kXm$weRi;ooT;iCC!?f@tKT?vRTVn$4D79v-Z6&g1 z7-+BUxI@g&=+CV)P|)$%!4H-#gw~q19V_oxZMD5iA@Y+zbwBTaAwK>+P}coA*6OGQ z3qZX*P~Ek?=S8=hHDu_;AZrs%-ZAY>^+Mde zHc*}oIBuo<5Yu`jkk1CGGpT%Kj)x)K8cL%UhA|ec?-74S)mOgK&OMsJgiXzcKgP7g zeVC+>?JL&?C@?0fx%CNXq&Nv?G=JzL+gwq|6B1s&D4!cfD5~zb>?^BhQ>|B#>qh$# zq%jQo26g(y`&W#)Gf-yQwbE*;)zXL>nyt|~VVhT?T+4cLT9)0GFA2uJ<_`$Zc#S>o zBcC>PGUp_Y3cUEx=N+Ip)5u3wDWbqgCLm~RhTIoWd+x~ia{29GZk;GW3~Lo&%Lr1P zsSVov{t0U?q6!xcL}{`FTD$+&OV&QvLps|&w({u!o(_mN)w3%vdL>pgaLNR0R$KnW zIcH>gI<}4T5Pn&j7a}}*Ak#K!qL{;=B*2&!H6Lz$F4kXH;OHnjI4xrAJN+3Sz{$BS zexl37SuVNXfH}AtuSnR}u00cpCaKoo>^s2g08U9CjP?0mh?`!6xb>)|a0fMQ?0wIat;1%@K{3Wc}Os)N&P zdTEVVd{}`AnNn&ts$>S^>m%Q_;>868#t@ogEHxaNrfl4gOiNDfvdCE!Ysp|xguhYw z>T+@Gu4;`o_~Vhg4X-z^hAtPoV~~SuR!blt`G}M~ee!bA{D^A3gm`7OOrVN(VhFD@ zQ=v-_9No01W=HZse{B5iMN`bzPl(pNOIhxyj|;`veCg%c(}S&j+L^Kt zZM!BFM&ZLJ#ipoIGS~Q=79PWJ&!8D_+vp9GNrBgpNjO3`P;0X&F<@(x z^qsK9YOYPv5VR)&MUfe=#GcA6C49})td(}D#eeZdk)UrG_BC(T2^ANhmI`2PQ13^g z%0dO6K}uw~DPh~jS*TSfu9n9;Saeg#&9if_(T`o|H&a}D@`wk)F2SzD-b`ul!kJ=3 zv;waqYAl%M!99%aSmL2$8=B)v1FaFaPNVaz{=&L^QcNy<+N!LT`kI2%ObXUE^?M?g zR11=`iq^Mw>M&?eXzT_s+gZOwVr$o|GF`^wmbX?*MQUe0BV2avMLu#<*O9Cid}s1V zdv*~08JQ0G$e#Ta7&pP0Q4v0z37Ki$zdkbm2zP5vdt8T$jnO{xbch1G`foQlm%~Sv z8s=o$TggzYb2qq`*G18`a-iH(EztT^56JMWY*2D@n(v2h63yQF$?$-R))t*xMv?-| z2k_K(yHAQ^*<%J|)B`i}$mkdmTuR-UbeJV{(&W&li6o~n+CD34Z7eOXd!M%YYPqu! zZ+0J44yyQC{M(^o1=7Uqpe3#r{*2kaV{1XJ*cOs?yl0ccH5~1UcsuZ=e^P`ULs1~(<hWMu&`^b*|1Le!eqt+75T3O8cnV*Qn?^{Yap^0@}v$hBQ#CFKN zu=rW=%3Jx`dOd_51`fM`&-e|Wh~JX8l1o-aS(Z-sw;(qjVvI)p{p9@7^VuEfri!sz z2;k&|+?*PoMh4DOV2)16R0Q?ndDExJ@qRM-1O-M?{%i(ZBF15i%>(daUC+9O`~S ztl2HchKogWn@VSoW!6D0*m1^-SXgr7wW#nRhy`PPgKh~lEC#_&_(h4`3A(7X$1KGv z%*9cn;Li%&kerEAkGqCut?nt`fNZ+=-vZ7PfPsrqsb##8Gvx3xhpZ_&zUzW>%4@;) zhrM3%`I9d4Nzr{)KrF59ByTYb&-qvQKBzCN)IMnaOs>clW7@Z&mJ?Z0%JT2kb0{*E zo2wx57Q5n7U%B(7>gJ*-{F!qLo^TYdxcOlk`7Cgv99;aKm7b9E{xuFT^JA26Fz4an^cDnmks_POO>3h&{W@vd3b< z%%&{ZH9gYAV}XrHYEvXXFKWmJvTf_VmQAhMB%=sybVi*4)8otYdzH>Xk$suAf$-A2 zZB`uwQLFj)nQ?eX!EQ*S8nEoRZ(D&u9MtI zC+CEP$cFvqbBwV&bOIBPpc_&6mAHRQ_1_Se)&7~7wp)Rct{_S9R5 z?|q0QJw6|BeW^v&os68xaxtn#sF+?il*U_j^8h=&o5X*vvO+0JQPrbsfA-5n?zFWV?8FX(Np(9T3_+rdTv zMjquJg^6uPq+FD@jP+6THkewg&of(Z5!criWVsvA<~RehHGU+bJ)Hd3DE%nESp8~V zY;S1|jSQu!-dJFl548eov|llHYgb+)+=fX?wPUr`j2mSMZkGWvPul3m=oYm^u^+zX z37$=zq~T8xOrRMDP+O~|O}wR6676i)iLCh$njLa0N>n`3iUn(=<$j5JH2v>19@fey zGH062U_z#7zk{E*M{4v0!-c3BEeFt_y(lgi*@0CP3FN%hD)rPTv38T9J&csa`FtFZ z&!^U|#TX2NuV}4irpCr^5KNCW>$kYTlgj`YuVC**q&lkZM5SslW&W+jo z@`IYtX(0C@xxwbsD0(@lQdTO>d3Qo%)Itt;yzGRCKP@dIuctYO+n5fhrNLq$hP-!A zm@?i!h;!SEgf~6G5Qi@s7sN!dCpZHcl$F{B0o)daW^MD%G1gM;Eu+bxW&yA^e&lJf zFR^5UMr-T?u*h>BK@{)yF|m1h0p`4!=6oeQVFY~Q@OUZed{CV0#zr$DYa>)lPjD}2 z!=LVwOFPX7bS?T>u+7*Fjz*fbjlk0sMd+$_V!+q+qW!Tt)*_u1XCnH}qH@V;v?1|E z773mIqIEuljAge&;u`DRCTjXB&TDASu^r67hEj9mTuUUIt2ozceeS93(tooaoI{TGnjqyYO9v~c9oJTZJuBu`>tHnthS z|NCNQrokk0QN+2Ni~Q&gu@-D}K%teo?b*{ z?Fz)c^%sfd2NW2-M_Ydkqd&aG3&`VM%-R$npC6YsT82=p)u%@GBbZh1oClT?lrwmI zo)vhV7}I%P&^eDhuo2x@h(Zf?Z^jt6U~Q<8iQRd|!)*&hj+l`L1mlY~?$8_(C=A}e zP=vK`3)UR)Fmf~dbzIH?VZml1F{Jo`+)6OiQqqVqFE>Md$kQU|DR zO8=KNoGDuRJZ5)*d$(}GmJ(~siR%u1aNlS}ti^KVHdLS8!ChY`kIiLAH^k1cObo)qOv zl$O^H9I$rl_@4y7`5hTKtjPs&HCbzU>0S@3F7+jB?>Eq=NyzP5yTZiUEUJrrLFm}t z?SY)hY>r{gy0^@_rE||bq})R2#0UKLSX8)FLB8ud((0)N6=-%XB#p7?R1gn{TK_= zbZyI|%WBG(=%J4KwglIqqqNn|4ng6Q9@&&0O3L9#&8F4kg_+x>vec!qU2 zEtd9Ii!p)J`}2q^oOm?1L2li8rs!*}(iX#kC!2af>VapS7QWq;#fs5LlP$KDpeCOX z(VQ`h;y4<$2N9_n)2|q3aoP&jE%MnOdq9>=9qSpZhzDe~t^^65q zWuQ7{-=knB=?7~RM-k^zVGx4W!|`nJLyJ8D*`atg$a`>(7!|Xj!DTTzS`w_t>LAKK zXPV=I_VX=>0K(uj(>-h>NU=BHVWLI?y|);Q9VA$=5nU0T{=0A=-E3yB2yh2 zTK|tzENvAc#^oGt#n)jl55%`&gwX!I8qxG9kFGbyf3Ve%r;f^X7 zdcjU;9rt3B^dW25*s#Rkbd~1BIcKILFiAq9<=O>SZF+4t=iDecT5Sp(ARbm4E=u)Z zV0md*ECo|Hh07eu`CJqjR?p>3thLoJHIwXl=9yU1T!D%6VAk62Fg1gI&fUC#H+e&s4&0rgc8;Kko4QKnn-&^<|u{VpY!JqU5%*VnmlIZg)5cxvOKc>7V;& z#mFL3{&8xSwNgjPe7GX74qUDDQhaU{$b!Kg*q>H`b}a~BG3r}~U z1ftM_VLvi_lT$9aRIsrGgY+gfLd1H1wIBHe)#m`F2Nb6<3;cO@(8Y8I{W~3z#K2mcisn~f7oE69kDTb3rA-2h@m?yU z|Jv5#q_t4QTDj*n=p8`*=IaGfGoE@jpfFK#UxCGF`ro}&yTxa=yBB-`rjRGh_lGx# z6vup&LFq1Q<}D!Hp$vMrQKVS)nnyjzTb%Asg0*cx5%=P-&Oq*9)4qh@SkNLQcbrj= z?qXLB6OI%*D<*)}vY;qNN4#1l8!RsU+1=VhA;_I19|?E>yX5WtR9tB&nR6sVroF)x z{#$&{iegg~m^yUh9k^WpSL{F;Bo=E(;C!PFq*tlQqk`*3TBJ05bKOC$SYP6N`ww`Q zi$64_D;{y=3+xhPwZ z)mXE}4o4$RT2}aeM)b|8SaZ;*hgti8=%cMSG}9M@{Ch|fYqNF-rhgV8*zCY5(ZOGZ z0r{uuOBnnMIuxC9U-VjJQHZJiOrPKm>rvdv9V)7wJWcv%?GEZ9|%MDfPvUX^s|JscC?>5uE2iuQnn&+hWn_ zu5Z8uota+46EYFhp0zHQw9Og3ap$a-n;t4`dl$6kmFBVdt(WTkYw59KLT~cRzOeVC zAV0Z$(JcAmMmg({ZXFg)Ed`9e!Rxd*O|#&TgF1ATJdOfyAhG5ekkzT(#iBOq*&ri0 z??K4zleEM1@@_SR#m#wo zy&x@S+D0UbZik}8m%I&_H5~;;X}H5dFfi}0*W#Cl^%-18(=HB~Dtpo%(=wxVRO_6d zA^w1L=M$_D)yJO_iz3HZJdFyj`qH1-n2_7myPX#a>z9#JUJbWs%0i`{#^i;{<97~z zB)&{gUClFcKJIF09>;0o2(AnHuKi1`MB1QLA#!za@~Qhpkt};Hxvb!D%SVIhJW;SQ z9&TR*`4EnqD77Yc=f11EHJ3K(4hm zb&1nTraQ^Q2u|c7^U2f4qI=s?%uH``MRzAT5OCeP_M(Z_7VV0_ZL~xxS?Kjr)XJ%p z3StbQZM#l`PTtZiRhE|`V;%)|$&JyIc>oNY5HCdL3>BF+f{JGjwj;A|fJx$BoV4lP z4Z%i3IFsi^ZGz);R62Py{B@=K{Mb{%YvuxfUl(i>y+YK22qOkMI<8@;G_r66fd{$4 z-ym8saDD>}jBiSFdw;sYJh8AYZa)E(d@+H%AloEvKSprX#TG^UY(tlzIlobT5p&M= zUWg$20NSa43Ki?>sk{cAyVnJo*1JNXqS#8+`)fxv8iVZ5V4(Q%ZK6?L)!LgH@Z8=R zkj?9=&3H)EnE%Ev_%I)JJTEvPf5%ozKE~~8fZJe=!Pmg_J}P20*PWn&EjmU+Dq^*E zkfFGX-3~PSfJ~3L@Tho7dy_9bfi{u_8~Rc4uif+9JII*4|(Ca#3l`gVMXowRwcL2b zF!rFh)!iw+zdtHwCE9KE)h47B>|moZidw0nM7E$-a#EAwaRQjt(!3YtwSy}4KyJQS z!)1+@$s$C%$_h-+z$$6(aM@DR#wZuLg-3hc!BssJ*(aPA(Ir(kmq&M0Q@|Cc9_{Cc znoP6ExjeRzZUlw;O*V_-0cw=%O?<`i^C^FB6gQTrX-BvYhhTP)E#P9GrBMZY*FT_r z-o<_lxG?FQlSfTgLp{ok>NU<$P@8N7>4&%^3V-|_A|5uFA{-?qTESZ91z@aU=nZmr ze~lv;k#y}z4P-5T4KF;m2z>IN4&cV0AYS(cL~#x2}g zxWU?6CRE<%=&!xyAqHDq=Sj6b!v15uxPLX=8lyQ+3&CjNmiD3Ki!Xn@(?f3Y>0<5E zv}v3gY$SwqC7zrWQ;I3hZ<0I2p{fTS$}Ay`aqu;ciR33 zBgM$mb!F)o+ETBw?HP$E83pVz=fz0TkH^04|ZC* zl|+R6sFB+whoMZcQmuI4naE9+Klz5w5}C&DvA<1HQ2b~RUjfbb^8~?9( zJ+^{eb53nIX2ED@ZLrZD{+K1+1@ZTRTAVycD#f{5I#1fJgOF~prd_P?TC2lrnRqG8!D9i1O0DeuR%*j_zi=8(LGHuKZvLk;+0H*+JT5HHmG$>NNpqqB^ zy%1p+RPQ+;t*Abf!J|oQuE#aZE7FvRqTLnx?2Qh2!;}zlx`EQUx7K+|f*5y!L(4t< zXoTaK7VI7(;1}rp$hm%E{-6_LMa>IV9c_{&$megt{@a4j#D*q;vgg&R)@Lm@v&Kcm z(q}~Zrt0zh8FB6oe+FyXCof)f%+<&lasW$BXC{pmXNPPSdD~_P=%-m@aCs~>Ej{;( z46eJJ46Q%L$t;0 ztaUhu;wJOs(D_J`hLb;0$b;ZIotT!VwO zgvLxqCb5@oD8>`up`;#p{toPq+cH8d zN8Oy_2oxz}DK zJ+w+-@c&fHeSnPq6EWitEr+ zUToT$afPBVP^@24?eMV*!p5SIQ(lq?3E%zl9&W5Sgg1uC{F9et$z)`+XjM+)L zpAi*~sSr%6H?ZV^+?9d6N}hb8VB}uO9p1U8`}rFL)OBRZ3=y1G z?bLA1&SeMQuas$wrg>%xXG;afnmVVRe@Xjh2>jgB9kS{{6SQknA4;1*W8A^N%PFf9 zX_ZNyqtYymr+0_qg*&v*rftFnC@2tHX72-2q!3fMCBmXNXS;H;SP(yaVcKcex5%mWK{&4az02 z{4!ZCP1eFnuCoIpF98(6mBPiHcgj=Ck+N7Vw3(P*<_G7|v=M@nbO=7A=H?q{O^0Bg zR-sltLe6Qg9jVP`@X;<_a`KKK7OV;(=d@Q73TuYK6Zu4q+%308o~Be2D=#7E$eb{Yb+9v{l-}j zwZ*tnTbQlsqOGEF6@T7wm_z557$o#BDsz~a{fE*TPU^6Jxi1k^PL5gH1Ucy-7rLpBWMBb+#d8OKxWCH-5p2vv`YwTU)+ z3!Tn$8pl0#UZ`+YN0_Ev8+fsW$VgCQh{%6(w8&R{w{=6C#I01HiC%JG^%ne)i=!`b z`p|o=&Whsul+InwG1>jDl)EVJD`7{g0LGgscxXX;w4BCr zs6`JBVm)Y1LvXf$S{nWmB8t6L51L=7(f=46>}V0~*r6?!3VxIe)4{#BOca}YZ5D+p zUbLv2Q`bxQ5w9xtEAK7WHVTpn{F_+0bY823hUF2a8y<&>ocXfKX33gZ=k$3&o*>T+ zOsQL)7wwWNohPTG5G>dkP-zJ8gT&tQS>7PIe%)|uyk_kq^hqo6hPffJ6Wn z)sLxYXPm{(gyAhp<9uylVtvG!=r8O%k=h2FBc<&>SqPT>tK zZcm+vSg3L`LR8z_l2eaO$k|gH5X2zgpcKy~yCK-9j_j4Q zWt4bTOU+8ch4|V4vLv|EXk+q1 zJZeW0IxgHa`hiR_LSb)xe@>jOSBOpGO*eV=o%VkQ^9~xbD81(M;Jfk+=9Xw(3;Klp z?8E!cinIq57|+05B@OEEF4TL8&x>)*6mqQ}QV(a&RtbAJMQE)Rh-|pdQ zSCJ3%%*s-sS>jhH;|$?qfZ?wCeCqC;A!@2^c#3*+--D6~nc z-b_R*UNJKVmxwEK9EkUx^i-UfuG9i80*f&Wz3Vry|8-oXNS4uUF$M>c&XsSp$naFi zE((l0JXyO0t;?a#(RYzzN>c@PWn`RMG7qB8sRB<$y8#M}AYo-xC%>^@AR~Xu^i=%Y zDGu%L;3^I5(tOxc(P5I}d=Z(%-Mw3&txaYDa&N<+^qfKK8ZpSRK`pC} zZ(6Oi4eT;E3qQUf$}dpyjSiUQX3NqFmu*z66E5<+QPZtIYl9vK+1y}X8?=6+ZzO2U zfuRl%c{K!Ey(?VA4=Bv;fEYs)1Gce0L#fq+&WoL!mDZv)xF0@1??$^S5u)oBwJu2C zRaVRaxf4U@*E&XsbGsCnxd!qNz}plIB*+*c_ODRjb=o9}IEcWSHVH-^&-KWo1JyGU zlj=-8@`x-q%1Wv&wuD49-y^5`6*)tP>I=widwN+?2hnHh$Lw`vHnVb-aPhU3ni<4) zdydeNu*Q4{qG@A{{7@(K3DC=862#QQ*g3Q2SWZID(@-m;Nh1&7xFYJg2)?7n4lcQC zAl?58yJS1^TyzLiU@ez10x}JQPyBw%OJ1z1!0pIl?5RT_Q!o`Sg9bjC$&XB&H0f-9 zOpG--wn3y0^+8VzP{Ls9 zv#C9sf3>`{&S6yJ5*gi2`^Hz^88BLA_a5e6|E41oG^qijTb<5`^aTpaFDrtqCR%II zsqqX(-%#j)4uX)m(g^ct;a`!$p9e){Fjjnn z#CkVnPI-LdWI6BCVe1UF=7Gr*a77FIww>;gmrR*nz5K~FS(_aqdJ`vRoe|#h`R@w6 znvk<2CkBu4sBp11@8lk903qkh)ScKeGlD;xy7$I0@3nxBuxvx&Yi|(6Bky_1trOI! zXN5-o6zcwpIMkev8#T^F3!JL)ex4%y9M zROjBHAWX6q(s`}$msLCMU@(hARcIlaypWZ8FXJz=ZP-2r(@o_gv_ecz0DyPN{fj(P zLA3^LM&1%4;%JW9y{OwC8JYIF(H^}c`7-5XRyp0HpyIUY%gLr5jbD+W~=y@ zUJii+1$c10C8ZWU9Ve^xm`2imwN3C7==f z**WMmP~gB;a?{uSRuTOSOg?NFmB<9%Jeu`ELHB~#OyR4QdJM+egw}d9 z#g?$q)>my3H}`LUx*)2gD=ja#o@TYv-jV@KkB91Z1xAWh@mn!%u*MA|;VpfTuz#VK zC!T7iJQd?LX3dRUn*>ia$No!OKh@0NxZR?ay|`DWkjJ9(u=;XV&Oz224gMXr{Eoa< zKiPRvDyTHiuZ3#PSChzgJVeRzYk!Mh52`2VirUYSMU?gQh-*ZO$(A>PIZA3mYs|)4 z-^HS17u5qJAI0IVdx^zjZm0EMX?hF<%zp3kUEkI1}S3`PVt|b49nW#S!dV!gHcsIt9+9^BULr z3xe$}^ipgn+*#}Y5Gucf3Mo^!~<8f>4*p|PBybk{JBj`&!M(ExgxMCOx*;!iTEv4+>KPN zhm#uJlN#N@xpqZlro-BqYQSP;Kt^Y#4as*{OEmT(1TgEtVw1PgyWc+5mnVOF?q2Yu z7x%;{wea>F(i|EhE3Tg4ex(l3s;l{cC*snYI67}jM2%_~8A;ky@UeP5j~!2(L-9&gsCA)1dhvr;#)7IuV&vZJxoA08U&~G1w>!-Dp=d?u~V{(%wSd zA??FPXA%Af&Bl&dDEicUYw>|~a7?@Y3}ZJGzobbTxw!Z!Ik;4P%UkCbbo|CDs$%|A zXst-OB`YudIVi8OK^Ku)jN)*(Gfhv3+-FoyolLB;N%kPEv_YXmaQlcEEEs$0Y>?#_ z{w-OtL3|maVoV#%*bL-}z+~Cp8^nQj3hWwj0brCv6fbA~&0A-p zqCDq7sd>U@RTf#G(lYKB zi+}GZ@I-3FCgF1oH{lanEs@t|JLu)T>N`{ zZKCRMDKm85ZmmODI0t34(pRPj{09RSWE`KVQzOcOaYMtEDXN9#`JMv4went=_>>eRPm)GYfNxTJx^EsUi54KBD z74xxmMs%30La-_s!QD|UKpjo{uc0XeT5ETp*Vo0+Zk)TUEc)|8-o@=&ZK;Na%-V~~ zXGHU~x!5GI#Q*TMJI@X}Tq~M%td7(Ims!`bgBaOtlQ?m7oHa>XG$YjA1jCwLlTM2^ ztIEi=k0Y$-8hqZxTFTw2WJZU2`%57mg7gyvwmkwAjwU%Rvb^_GtWiE^dv7MrjUk|L zXn?QmwqhW^jQ4My&sioe68sb)#)YbUo{>m$Zdr){VX)@BlR3=_(B5(ohCcwKcb*s_ z?v^PakB#qYWzap*0>n>w7`=JjbK>PR)j?}}x=9;BJI{&GEed>;T$hzP8!mnwg6ZV( zePkWpL&jNg8oJmbU?AcA@#2qnYOe*>ESU4{bChMNLj<;$(2HZFKNNJ4!zVP*tG@abiMX07}L(}(s_@W(?x}LYTFMjBq(3I0i`ku z9l#krPj`fB!9F2C?x4qr7uiY-X*yN-4W48bCzEi(<~METM2|7BQasr=CQ4kKr-DXi zLgxuO#FM|SP(cJ`us6~Hv0$S!il9dEm?96Tx4Y z?_9Cqs=-R_LxORH(%YwL0~nHl7OZIKZTC>JZZtu<100#FzsUFMgjlxchP7C`BE6D| zhNuv1PwE%K?9@e$To=TXwJi5|R}gJ&{aD<-rB>G{t*K?CKCbxIoR^#R2aj9W*I3-`}<1}_mI4VGGT%1B*j5uwWv zCASZp5mSCH!+9;g&TDSk$7xxiL|yf45Ki&!&TCvpBZu5V+uPmR+3b<%@25KG(qu8t z4&jKgcI=&1Q)NMuu8~F>cWB(*-QC^Yt$~fZ(?H|y?(Xi;xHYaDHtz23)8EXAm_KkX zC-P=pR90nFWUW|L`PQ?jo7aCj*w&XvX^4%pGLK893tqn<%>``|LHZhJZv;MRrv=L_ zBd?H*L@RGIfbuPNsPP0~D68Pqom~%0`+}pELD`mNGLRBLrJ~^%uO|loUM^xBaU32M zFQ$tpqNkE3e&x&jw`Xd1#!RI23z zs_++6ey#6o+9iq&eT${zjv;h~qcgNlasHWz+#vH;)a0ITp1mJi%x4v+4h-1Ti}!L# z#&eKBg6^rh$NBGIhy=xuXXhM!G#4Fvq;izwR_Gz$3;d5ej4q$!XSKA7XrPl#a*j2W zYc2}4E9|3E_sUJ2rku;rid6oJ%003Z-5^4O!(Sah6ZDmc815@~Oe0kH{z@x@MN*t3 z7=e@;cHI&JxnlIv&AWMALeh)IHxqWA8J1Q8RN=b20uLise{#__x)$YGI=+sBTp}US z><@)N>s`LXzB>3?0xyR;G%QxNY_QKiUd=0sk_tBJlt+8hg$yh;iCss~9QgAG1rjjF zGrxxUnL5ij%Q2xBTpb4le2tRb*#1=*mM=L8@cD-R=FrMEYet*T>iQE4b$N%4JqZW0 zyiHSjLApux5j4xxQ}Sq(`EWV+P)3k-jowGX1Sbs;j9mGUyK5+`iFk&D`>c!V^{=DT zewGk&TY1YE+<(HCiFBczj1By`+%*E(FR||^K1s$(@n@5XOmgrMMX~1TjXR8wY6rW* z`u{eTihn!&(?hH%Q4vN6e8rJkfJK1|N5KBP!|tx&_dD(`HEp z_wty0_PiAvsGWDZk8)F7m=0t-Cw|QWr)!#M$kTLu?sD~qG7k{F@zzA@XuXhyRbz{F(Jcm(+Bc_5bU>$b$`w{c< zV;@t2fiCzwu2dNW@>*f5NLyAEl>vE__7uD(c1wvIp*=H$n?LNI#{z-86Xtig+G;I) z)e>TcU{!f1(JNcx2aBrSQLDD?tJ0NbT;+tsBls$Hp#Z3vN2<`z-&uidKr@>%QXhOh zvmeR*Ch*?jmoi-8JRFL8=2C7;!4)2Xy=0iy-Z`5`31#ctbidE1ZhC$M7glaN2*+U; z0x1vVJrYNTp19cMp#tvzzKC*{(K#Yb@n~X9rd_w(2tp0dGTFFfPpBCT{?Rt(Wc!A< zhbHf`>FQJbU;wz_oYTa4Ji1BEc|%D4eu(cxBy}R&GMk&;CD8X`A9Mwp)%5oK4jD=DZheU7nK+$MG zA6yO7SESH;Ul7~W(cR)Lu=W|m+!A{}R2md-OSHMp6J4-JGV?1SW<@uz|BYF*Np>2^ zqDftJ`>hLMm}mto*BJWzWDCjsN^RR2(mekDU_%u*x1`DkYWPT zdz=+q|Axg^lu5@l@wM6AeDYUT#%!l%!YHYUNy`|%_*h5L>nZL#U_a?J#^rorEW?ix z>j(j3=2M&aS`3&5%Yz*BBQe2u^gZ#qA^kp0RB>E{B93fKCnDc0$NLrQC1r-xT_vcMM)^@<@3>J^+RoOksK^*HaDM-DKtBdFXh0lttY=Xkho1Ajr*4;f^)t&*Z>hdDLy z&a>gMAgh4TkJWFR$=Pb{vDln(u41L&uz)nqyJ}Nw9S7ws82KQ0?O^hBn{-y{Y8rg| z>zqi`>=4{}^x%%vZc^rOQ#{LOJLI}kNw~mzP|qC@>`&4kT`0VB!oW;j)^jG^fxV5M zvn7Pp6Gj^xnk4ft4ch8$eFSbhE)jF@TM1U#kGMt5-a~hFTPq4TI@t;EQ!DRv^5C&4z5k0lhK>4V%ph;qEdJh{B3zp7&<$kQ8GD7+G4zZ-9+BD z`G4y`l2#Kn@h0ufck?HzA-MnP)9OxAw)e;$Cm(Y1{W6Cf+KVZA7|mPFMXA2Zjij+; z?zi-?=%zs%(%_gG&A?Y!&57Hf;@x-rY4r2Lk7>+;f4N~dW)TmS-4HL8n5x6jQ*eon zA>PV49)k&AZLWKSzFi^IPqnNCi=O9_k^rOV(D2Tlp5SuR*pRmFQ$VO!-J)p&SDY)| zK6yu*G~Bbh*}yPT<^BUDD0e$omw`_|$ScSoXzmP=#$;4tQ>x7$y3}u%)T71SvmW1F6ooC8|2l0Bvm;R$N2OUp#WwgNFnK z>paTMgOgXpgzj(5KOu&vPN*cTKJ}|pZFuWGB+MN6a8G28IPjYauWWvtP|zPLK{t_& z{tm~@TS|f|aas~t01#N~Ace#_*#7XZ)PYnxonK2CRrq0DG9P43d@*X}tosaAImPDL z9(QNnKrhvvj?#20ym{A)G`(2C)#vvbJ#EH45_Z0yaIHMPp^Dnev&L%0x9UL_J`{U;K0c+HGs2(1WPJbi7Ld}R$+?@| zvLgbh=qGk zb*3m=pBN&elrrrtgB`_QG0jf4+A}_na#yBxD0q?uol5^wT=87-$tQYTCJC1u%(x#U zoW|S!&Kt|OUt(t{6;_#HOwV?!onXC8?9*i_&PM*-N!(^X7;IGp2;>U!Jw4g8tb2K{-qriyneT{X_0suzotNPh`y=^r6SvDvM>>O zj3PD3glC48StzVPKa165Y)1Fp(nCo|Bs$!S5Klg+fv^3>rr&sW^b1oSZ}Gi`RH(=0 zX%kE~N5sU2yE6wJuahQckC}nY===G7q?!kgF(Rl%;+97dBq{*4yQN+|}7^#kFI@Yv5x7!J=OmTzvQdqE6xn zEf8(fS$~Fan}gJnXO?i;I_y}|$LE(TB27w$$P-&82Y5zQP>(iKQqGEbxDa>O#whcCM*xNppM4itk$2fosEzkq&*JEvJc(cNcep+`HPCVvI@KW(^pclNsp~m zh72Pm8arRXzYRDYTb_@wp&maR+-LtSi5PLZ$v-(oEyUnVhC5O8<7+`n zsld^VY?QvjY8PJnz_O4}|GVD?hgtVeO~0%r>mJr z;N>r=n~Up{zm#vHSu%@NcpiRQP5oz$C1|p=;*6bSOkDsBjurC5uUtJd0f@nz1%D+Y zFxZB(pH3C>laaG$-eLK{Yp^|OALfR5kjgY|Tq;dlCC&gxYY|3jOsGduS>R!=T1heO z^*z5#x5Wc=PH(Mv*oktmqfO?X5kOnY2t{N!HB7T>DQpDwn^yZ;6$LL?HDSHplz;dU z_uKOC^XCYqz86l#QbJhHU!m@3YLIyvDG@tOH_znn=$bC#>)r*G z19f9Ej9Cc1-a|uU#xV`_q}#Ug4xhEovRmAdJ0nW>1mW$KFa?@$!J8Q-$y^&r-h0ob zsYds6sM+c^ej0o@Ame{rXQa;)E2q-V4&%yJH_+|=&b~pA2xV6i&jpvQ7!TKSmk_LN zi*5Iy;V``h(Vd*Hyvf68A&~#^2K-r%=oBCXd>%MHbpxStE>BhF{0@&^L*zNoBJG!1Q-OgfB zDzH4E&KLej3f+kS*0vqRxB_0zKddrriR|FWTiV~QY>pjHvu1{~{6)^kctfLWl(pp$ z&wpt4^(rO!H<&rY~4mN&9`M{Hj!P_I?)1d5bOj0_VM#Zpmr{(5#!Q; zoU3V+76uCzd=$$ljlgB3g6ng{zsH+kcPZ;cU30Tk-kDlG{cy!8vMBNkg04=mU8H*S z=+!LWC03tY#LMt#f5F%!@k^fNQs2kZUWI+X^vG9$(t0yVliARX$oGsIN^eVBcUV7x zZp6&jsfww~?)=kK-BuIEA?q^%8BY+@-ye;EJ>0xV8$M>Ce%ohH{eXly#nY;qD6zPQ z+nXA@XW?HcVfqT$0##D{ct>U2iDW(S5We0uL?Cs3^x%_!UDB*ZPTQd)FaH4o;Z1Cg z_rJUqT3ghNp+uB*+z;^_skc>TwQ|!`GN|9lOEnL#ZxiW8_nV=bT}Ix=8rQh|Sw$A3 z{g5|D;6BE|rDH=RU&_!zdc^20HDZcnh;25#@_;4Txgbnh7;`8S^L)~?xu~#@)S0)( zgqNb3zWGD*sLrK*hL0?e%$BlVWK5q~;fM8?f@d294L~9{jmgiDx|l96!)766*~5!n%z3I2Bn9lQ=|qMk z{ASIQ&HPrnIz*j!@%<~jvFSx6m&?a3udKnL2fx1=j0t@8x~-k_xn+Fqp$+yO0zxL1 z@uNs@#7}-0x)t0cjZz+zm?nwU)b~G-&o&tVz0h4M?tflI={vckO((^vWEWFnzp+h| zgvT!3COy~iG*5kizn&5b)I=I4mUk7rd5XY%)o3_a(&kh zBQY#5YiQ#pqAh&H5Ch@g?tju0L)|mzUCQw69u}c&QCr|?3v&N)DH$0V}mMBn3)rnM>& z%o_N}1&k$!NN4T5!H@NyZTtkTH_kb%La+5cFj0RP(bbjw{(ulz!?bHwEFnC#-n?bPU@c`otLf~Mz@%uAFY<-t?SSOP7uBK1kpfnsA2Kb$`l|pbIIV$!AymY}rWpsGKiSuuE zx<~QPJi9gOCxth_2#i=-z<0t*YsI2>mC!hIS8eNK$@^1+L#Mi_sTbOGGJ2PX3c4cp zr6|K##jW~4V)U^3r6fb#O_e?(|MxG|uUGr^<$v#5F%){K_2o^Lcbu-dYu)u_Mkz&P zS}hgNdH0g}$!GB_UhAHrU>8B-eI>4iU$!=7vRVCVeVcDq%C^7E&lzy4L#Z<<)GT!> zwBP3SP;y0JamTP3ce{&7S80U!X%2+#A~&tZ_*NCaYDBrpB@(*zJONj-+QCg$+t#u> z@iRClJ40XB#U=g*_#vSuJ)*J8+vXP{6r2Gs?+G@k5$}{>7i+!e5A+U`E z+8lY|kN2IQgs-yc0BG@E<@+JX*8euge-m86PXvT(v$1FkRy%FkR2w*PE`C5~Qz<5C zVi6J2UuoEyY*0;a#2sDauXb}xM{yV|61T(91a&%)d-b z#m9gmm(~_`#==AqZ`{WxkN7su6ZUdiQ}EL=*!$|^;CeeWK@SbP{W0^Sq zEpuP@qnHr8#R$SfP18>!lUQW?r4le3`i9e9XmQS-k~0<5C(DA)3!!6k7?h020SEjE z#PvhIcT=v~#Idpajosss7bbmCH*8kcf3=F}F0%Tc8xHFVPf^BP;5OG$4Ih6aV@#fS z{yiE1NedMIsUCou^6b#UUFPyYd%8WRu0z~7z4K}QU97LLRy^%>&}ADvUGUL;&*(7| zdwZZA*bJYF@tl7W$U<&?!~j@H=CmW?$F96VDYCHI&I2OygHw@2h3JJttFgyUC8}sR zcV}VoFsO;~ z{o+Egpo_TljAd;thR=S_euG1}6oDnCtA4u;-HSK|8s4ve&gsHTeyVP$dQHK{33!3V zV}BFi`AIagLGs9XxJgzK&Sz29`G5$42o*?#sJm&|84JOxsVUw_YKQg&);XA63Y z`UX6$v>PzjXemB+PyX@qX6pOjK z0T9K8>eAl?G^bB2K4_~C&ATUsahW^-FG|-(v;o8O?t7oSihGyy1#7*4J(YiQwT(ri zwuwa>SIharBO+lxA;mp=WB6UyDa1*FqoSn?=cx%}f8ct%b$0!Q;tKdp)QgrJxTcEd zCqvC>VT3{M-4=2TR3)B=H&($loC-s6w{{^4rE$Ov(1bx zMQunZW_Ky=Rb<9GC&sXvEX&;?SK$uT0>lrihf6!e`kZN^&1Rbvcf$o}`gb{U`kg|h zP`u?Um(Dxh=1NeDXKe0_>4DejGB(`1%=a87)3yb{7)hbt%G#F8qtx9e)Ly7VV${8M z#jicI|opx`)c3riwY~ z3qJZ$VaHh^+;68}%G<~XAp1o-5;CS~qmkFma_ZL_J+$;<{V3P7KR3e`fVE6e;VYLB zbRspdyYpwBjx|B1zT&%Jy`FI8*4_1x#R5_N=EUd^ynBVy^oGP>Wg0d1v0Wx4ARwg8u`*S)dw%Z^y$ zIwKY$I8sI<`SF&0g!ZpCFr02{IVjtjhClv6G7atqAy}sheGh1m`YT8m{;={uv|-rz z&WN`!7da-k@JVp~gT~JF$w5W^G<}%&G&MWA+}+lLhqS_l5}HJqW377p z#YW@SC$LY2g3%u?w=vi;XQ5cWmAdDo(Nq+?D{ex6$)KBaF+Sdc26Fx6&i(aUk@Y)7%Z$Ye!ihl*RuqP!eEYgMCR<`AefgRk|3 z{E*jZPqpZ`p!3p|s^(`q25Oyjy*t({71L?n?!-PbZd^Smw-!M}r3sq#gUfzk^2Fo~ zMKO0y(^F>F#_S#i5mol>_E){8s}ellHnvz6B(cL(_Wd2C<0NF|roKeTnCMLv61H{- z)uI<%buM!=FAVl_8{i+=Q`LCNu_!a`<{tzJX$6Q)3Sv%oHM}GrDKK9PK^-17`TzXz z6O_2$Sea@^HnOZ|D$X+^2R;kE(18zIy&rC@^rE5*^j}lL92eYU%-^*A#!EjfK#h=t zzND`Gi0%6n-oPC3wKh0TP3tXDiQIj>^)ghxu>kpL1}`BI$fEt7t7U)}F;`aV2B^HMe@Jkq7`VkT8x;vw>q4|&?ofqE`Cfni% zh{tO8nfS>6ED5-EydkA;wrJB=g_nO;DeT>lf2dtL$ht)CSu$H4!5rt7ZEwLyVCM1( z&AOoANqEdrH+GLUedzmLB1~up^h1b%S^J)11wU?G6%mVSZ~!hI$W zc{^^rEUJ_MN^sftD*k~sYC&ud?BSydrY7-*;D*6la3tRyx}4B~kEdxtA3$;$&cN(-pg;SG^O57O3`V`i+IfH+Z>8d za&5WofA`l-O@bQUeXEI=2+Yv*8J9%pKIEyqlGW6!L$i4ia|3#53RXA*%AfDTiZ^F) zrh?F$SH*h=Q8?FZJZUhSLh^hHHlxP?Zzu*2@`p@m&ah1|$ZiP0H?_gY9zyI6*3R zIfhu+abf4^EvwL%%b~RBcbVfi3P1M78ly_Q%wU}N5W;f|XxOasaUWJr;Bru6>9!Hi zCt4!dGdb&_xTg}V;&r3aGK(nN;M9Xi2=a_2a$FGuv3QS9@WWK^IPii(iXPB78_vAS z+qs&`()qsj`m!2Y_=vjA38W7v`Ro1f|8Nh20(!m1aTmwO<)6)(H-%H+WBwH7VXVa} z{A4`{AGvG#Wg#}_wVYi`^A#cQhKrU_dv%aNT}PUP-A205w3z|fv{XHcp7!OQol?F? zK3C*TdllE|wHtCmR-?728je&EfFvIq^U}vxPS~aZKU$78?1i63aQVh*NGMi%Z+;cN`v8u_FMxcaP3d(#c2F** zPVs}ICLAiEP%*I|6a68}p)q4a@s}ry;|uX;i!T%NAGYzAp5;Xl5-^tz$d8vm_N4m| z#j`tO`gHXoQT*IA^n9E|`nu6xy`N$l9fXatqDydQ0v93G`2uDMU z6!Iv^o>fS?>54HYWFGl*XC-T^5pB7*G7abU%fe$ojd6u zB~z{}J^|LaxVt2F;;h{?6CYky(D&hDLq%i-DeE`^qPRYH;(Dc8nYlgH({=!~4(k zBu~+7mmzHxr+In!3x@P!CQo(sY+CkU7^|ncRtnMes=2(VR04n-0ypHn^HRh$o#-L8 zI9Kzj$9-2Ui}R_p`otwsIa_Zy2aBX)4tVC{3w9-e;OkIxccy)+ zk2T5-brn>a=6-hD>s|&D<&QU?8grI(SPOF zKBbLjKcE(utdw6Ld+ipfHPn-9xsAHh1qI_XAy1zHV*o z8E;1<(pP%h)6+S5WbxZy)bx!yKR%8v0PfOw4YkKJfh-MK<|CJD%c+?)9X$=M;d63~ zcD?3qV<(fq&B7r}GW!Fsu>zey`G%IG=09c(0vG#OlR#7BO}?`{fo zu1L`u-!^tACfcPq@KcR*%9KyRx|M7b=r8tl@emNy_6z2#74eflz{7g<&R(2S((VTs zUZ`SmGmKH*aBmPA*=4hZz0Qsb(xDY(oTaa3{jtKCxq%daX7uPU3%ip1;~w_Nve~@R z&=Too$mMd>SOA~K-v=8j0(#0r!yHCY{}~k6s14JSLtkr5Kvv2d&KE*WSwz#0$(EiQ z-n$vmo9S3LDH|)FOIW1M<+hHpcrC_qW#9LfmaB-L{F7!OmW-s)f^XerNV(iPe>_RA z{QxXgM?u2ADeM9_x9~pIbyv$r94fyaF}*xzf}??uVhSZqJ~iY`*_iB)m^@Aj!g{sh znQFBr?D=_=?wQ4$xcB^U9Ju5&Nlf+lVyv-F$KpvM8BE8>iVA!Q8t3Bz0?OI~^U-mE zmGI4H4x510yrsjuQ-7lmUUB3^Zui{ohEeac2O}o6zxsh{-Lze!nf82UG6oPS0;6$2 z+!ht;nFfXiNv}_mN`qigJ2~5p)WU6ugN~m)phSMsjm?un3$87qX#8(oYRb(<4Iq$H zLn{vJ{)aH&HalsQW)Tf~)84Lk5Z7qJ$quWaf5VuN5Vb{;D_ut-^2TTqWsCon){(+J zD#oZ0l<888{}t`1WU=mYaeL0OJR_5BQj0V^H6qX;blJNI;e!qG`OdH96u?1Y*iS`k z?;V%&$w*_Yqs-ct&NLc@e&ulg*?mlhQi9zX@Yh1ChG*t1%0C0wCrkULeu)n$YkcC3 zv3dS8R|Eg$+T{v{O#n#i|JudjA7Z}9JBnm0-aw%Fp{j^XAZr~5i3e4tG&?z_ukBpG zv3peAjk%c9{DMdNv3;M#Ue^c^T(yr#nqE7rfyMgtUS)|~%iyEd7B+uH^b0cqk6hzX z6W?55uHL4Bg8wLf0$eCImDD@uq%r?q0U5I=v>#`EoNzcT3k6rai|7z1Ox1p z-n^A(mueKMFHaw`{bFp>sx49l$%7}&vh z5DqlJZs-q-GYdK`bG(MPt3dF7(s_O2`$!H}35!@hcUcabxDL|?A0VohN-k4m)36QQ z%!%B1=m#Xf7DMay--$lP121=!4lI5p(Wv!|)saZulvpUN(c12-aOE4a5B9g5;=IuZ zjuYhStr`^vmh>k<3N3TU$y64xa7m*?LLlCjIsIB5}z<69}2R%1Zf;}=*JO?(0oOVsJ#F(d8yKecl9L-Q*mx~<+J>g*`Bv~F% z)%AJ^F14cw9n&@9JfdxLhQWR+i~O;ti&A(iFWjqo+HIjywMFoWMNI+Foi!c3ZoedR zaegqZLa>6~+p7^-=$y!%VdhP2c5aAs^9r;I(@K1>x%n#pLIhY>T>l=}U z|2E`A@A&%%i2w2GNnE6p31FJlQTZt$M07v@uTNj!{|Rn3xR{XKuSfWQyz0mwWas}k zMAv_P{$GgyH;extb202|N!FQaCj}!Nks}7S$cCR5!kP_+YLkFWj+#1ewbjnBY+!}? zB?4dNPf@o5k*ZjBmg4#5{mgrPH)?X8AF!6mYPGv>zF-BW_+j{PMx(|(y@;C>8<$3_ouSgKc;s6|=Q0YlYYbG; zL1CyC2#*1DVfXYK?J>VhD)TYC3=y2k{9L)xD zok+I?jP$MZ;{)N?QkM~_)gz=Bip9B)$OJGryNgrpZa%e08;{tTYO9JZi~?=wQCS!V zsJ|^~7wRcHRmBMWCo6#@}t;+jpqD zc*RLtxL$|ykn<-$KX;TU_ygIhcWmeUXqnYX zz~D*)O%(nEkY6R~RQ4DAckrz&=I4z9rXrV52-_TCRJmkqxEGatNDF&`L9sn#>TGe; zi9ugw*!*y)MH*^+u?G81t=*}hKc)0wAwkrA>&UqNM@w$_a8i4Ve<4FA#=sg*c@ab8 z$H0uWs<M0IDjk})D0o-r{Ul2SV$ zDlIl;=(4_em6XZd&8$?oS}#cMXC}Mbf7$X}w&q7cw+)NntjmS?k-i5_x^?IM4j(7@ zE#k{s+e$}$h17m#{ec(^PaM$TldjJ}9>=fPHWiGQW>Qas;6KZpHMwS7kdJKT|J87n zW9uw69}-Oh4`YcbQ!_%rQ0mpE-SKz=~IHYV(m z(Iccwymm!Pp+{3QLSHyAE$9@Io%JVLKbVf2+F?cV8|Goq^i6Q@dR+WsP;5C_ZEVQ^ zqoZ5zB!6!LxjG^ieZ<8J&jRSWn_j#DGR&iiv)elW;C9XR2d%%gT%2{WyJ> zZ(H@UOz}1i`%nNU_sa!w|oUZHvD3j~tLvyuw743ZHR%TZG(x4|-vf z`g`h|6AAsxfv>r;JAwH5A;ZL(%$g-MO~&@AKAG~ z7p?p2!dNGwc2msGg5)b*wE5})3DNzORbQ~+%}}Hn0WSH-4ieg@6qm6AlADk;E4|Hd zuFO`9b)q3g(~*u%`2*FCL3}pfme8wW6IVo#PYUCG^Ovc7A%Ek^Ru$BUMQV#^bBc;B zb-EOiQllg>Bi6FW7{@QHQ;>#5#;;#uBk}eND8}_xVqcgLP7QY?j+P7`Y*~v_^j#o1 zsPG}^?_Pt{ieKl8S1GWu(~a7yx300QuoW2gYqMP?>+PHk`p3sO&<_}ceUk$#xg90h zcUq(}GFyl79wZcn#cGNzhJO1k-;a}zJ_JX{i@%zO3aTnw5y;`0$p)%x#)jzun| zo+4&bILKb=2lz*2z44>G(FuAfcs=0am>9u$z40_dI5L9`!6Z(+>)LS;x+V;x%-}1E zZnu5s$TiV9Mq^iWu?g!lByZm@I3RQp=c^M8(w1)|Nk^fQQDOp`7^AouRpXdNRqjE( zhP4rubO9t>I4?}c{&yJ7BP|_t7AO6Q!j7?-_jwy|pQ@z^FTMEm*32&1O5c!M^R-(! zGU&O@a)Ezk*O)b*#%P-eo(;3L+!#oZ-?=m?YqXhK%5 zk@4)Myrb6C2tSX)Mv*U1P)Yhp-2K1na0-PiFJ!h>yKtSjkhEscvg+p+ zz}q(9SP7%64o(^iq{^H<-tOv3qutpV>jT6N^V45rhLJ%?q093GwQ$p!w z6}E|J)poX}co!l!doXyEIOHO3i>BQnji`boJVSC^+E^vX)erDL*kKB4F<*9J*wSvS zKZ1M|1H(`VsxkWgGFqH}1-&REcr746FN918mAluTnTfBVOJw=SnIB1_vI?#`A;Id_zs_su2vFmVxgL{JRetYV1|cvV zTql$9*A&+Kh9KZtsCFlxp~r5oPuR!*9{arzCu;PUuP(F+i;oBbndPlM1@Ed64pVA8 z^#lHc?d;2RVk-$vvs#UOfAnru%QneW5kis2`z7mC zJBBml#%T5zxT_IZ4Q@*7-$Npegee^fOu)8fCP?pKjHzmKr5q};@BOSlj>ch%hn*T|u|Kqk;)A`}cbqbqFd%UE z)qguNUx}=KCY2I$#e&7Wgd0mH1mCWPm)@2-53;2{u0b~XUr;7eezC;OR>K@z#wt*x zM)7ZPhud@(w6jr2IbMGJNVMEg^r%;!0#biw=TTXuWDeJy^9Y6Pa#k@+qvJcI{iPoa3hVwO^E$6r6;wU{y*t%A2 z)}myBx$G6MJA;oVxB|!#=V|8_(CU|dXqGW5;jX`Le3PM5DC=2S#u)3Gl)*)aez0z^ z;%*MgN`lU+NhcP2PNWt5m;Yfh!D8p%`Q=OyVDc~UAjBr^{#_s0FNoQT6kk(IMdY$d z!1evwOUMq_mRl|EI3KMzINVCTwwl)RK?TpQ*Xz#H_2+Q;u7td@O=F&wQhvQT8+|*; zB?q@dW$I-=Wg}+&D+>ajh?d62RS?^GVmRC zK$jbM!EHl*4%&jT#LpCc--0%LC8AvL^3-+`%wK3(_zd3aR-anaAW!c5I zh^`D&d+IqC^*}S;oOEf-k>N--i-*)z0m5ZS@Wc45Qnb0BQc-85(|GPtBopS}Gp6u* z8A)o-sFTA1QU*FZJG8#)q`K&)jb=E(`PUq~xXD6Y^uD$UebMnv$F^h0vs6urO&F~7 zrh)kignOv?JP-ke4|r{i^0Er+$K3K%wdIW7a8p48CxtG?ma#lNHw>N2-Wb}9e#y?c zzb2|zzr$%LH|r-V)NaQgerR)ybHwNuKhY>~BFGx~LTj znsFJl#KnWV1SH%ystEn80pgRUVoObIC-Jt|o;ubB2{EqoGl60xH#?;m-h-h+wKABa zK^p{I?QmDD)0?ZQiiA8od1Cev@LV+HE^?FYD=}PSkX_YVh}4sTcxLfe*W=P{ycz3j zp1GrjNY>~GxgCko*xSUybnAMAt+XTTWA3e@JGj36G2DNO1Mpc>siCpr9$S+$g7Y|E zRFO!75%jd)rL0y*0+RzK@E>@cv4%fJ3_5LMe_J`H^d4X*@!jqw1ipTE^M&BrZBb%8 zs>xxR?QP%PlwMZmNyHUmUjgB^`+tisx{W8StVa&gN^`jm6CwKw7r>0#DPAZz?5)oaTQ>Gh14h5Uv zEV;9N;^4YmYNwrFB9#rzos@nkeG|5fB9^!^4i?yv6bzu#D(2&Vq=XI?HnW=(*T?qZ z#zn`uWTd%uGv-={GB9dafrajUCW(>PXNVk^jK>{e@x11XOf;fT43Xs}aq)r5SB4mV zj@Mw_wc?4$9U$~ZTJzYQ@Zd#2y}Sgk=_QTM+le|gDw_4=ULIKH=Vj^o=|Jnzdn0aM zf@}yZ`i5s8;C0M%f%>q&ga~{vy%iyd;&$vvSa91{UTcJ)mWM)=0XoETwOQ z2F2r@_GmvJ@#4T?pFfK`&<1uMwyCd%+G|H2yn_bU3Wj(qiMvQ&YcnvdMbM>aW1TBZ z{`F2Zkb;URPNWOnk!^E1`!+0WLR_G8`hpp&=9zMR%pf3)e(A>GNA0OMJV^$z@XC4( zE2$Pk1W7#VYUpErvXbAfJA@ABf@8u;#kkQW-jX&575R79Ljq!}YC+$$ehJKzmrwBc z$m(x}C6QmWWLm4g?-HvpZ?Kf}6r8~pbo1gKQXIDjGt4zbe0n1KlVQ-O z!^rJ1ycHq(3{A_K(8&^b>FVb!u?kK^R%h>ycpD-e5Mqq?B=aA^*Pd|+JSv?DDU;hI2Sa&;)Y|$;yBXf)tu_Kq!n02sQ;V@2GJiyS3 z-HJq3y9U!bCiSz@#lRK?kAU*xDRj*NO@i;KV!8-2im`}M(6ZK=>dgQyg};9=Mazl_ z%THtL9d3(vfS!a*il{9Kpz*^dxfiQI_lX_JNyhWg>Hz6C{dEoYTnNxzaLED-n$bzEW! zwaSD&$%88gQ8Ldeffr*<3L<6#Cl;LRu<*-L7pTfucde~#=mvW9DL3OvXlr*N2pHPP zJ`>Htl_+Qahsacf*_g1O&z@rT2XrV{PO9i=_QBvcJ7L@7L*R}n^#l;~qbo`sraEBD zFiPYkY}zDKTx|180u2YlJQoQ6ahpCl%4bYFe4XOC+|2TwuD1DCUFs=LL~JIZM0Xwb zCOi&^HwBD);e%Q73d=BL&y;7%S>iBJb{~>U4Ey7o= z2_3SNZ#^Lf6X;^m&nNyb_TI6((yr+OjcwcM*tTt>gO2T_NC+~X^1>zYh*?Dw6ut!F$U zG7zdT*I?AQ9m;3vDkSR+ce4o z!6_Q6{*fO3p5qwHxwwA$^Zi)z;0}RtC{GUpjrARV2k&b$jKm$uqDpMDZ7XP|lLau) z)7(;@6Rq@oe=~=+d+_BByuoGMzXxL#GOfw!cfLJoevatKuv;f6TQ(TAJ)82uJm=G_ zI$s?L^-$Fye7ecO_#M-hW>QS4sofPM{`qnG_a{iayNHGqv7Lqyh^%(2xtMGDjH~Mj zMPi$W0s@@hnGRO-zSAb`pZk%54-_jdx@F{zk|K0ZlMJlvHUctiK2#3!08JrFv(_Ec z<}nK6PCk+~dP{|==a%#J0^})V8Ec#mBVGuL1vI_XST)4B^ph+eAxNC2px(!Z-?C15 zG5-K>>w8p<&Z~T+y>%0zUQTtbym$wZ4WzvV4WS-5KLB?s`yuJ9a)H|i0%DzZ^3{$k zmTmL$D8M<3(kIswq+MM|z(Hh7f^SM%JK{fdk1iSjMy7Ao=8F48SKK6V()&nr}CkSUmz52#r}|KgOj zEcx93ausl2YOCx*knad(alm9tm zeARkzCLY&lQgmhDa^n&T%_!n3T@#oACm$Qi4!&j22?vD z?G0P0nRB|HpTq>WI36egWGmnL)8oq)#%<08$c<#mSsM$wo9DOkKxh+gOFS&2b*cCU zTbq@)&JtrnD<^MAkx0|yLm@M+gXY-+NX_Mcn@O&*grHUJ8fc@juhFPmJ8;kNTG2_4 zkE?<|vtjQOqK~r>Z(q;s5;Dtp-^t!E8JQ~L!)CTS<^O41Ae}Uif|b#E;C2wccLj-t z^CrS_awAkp%d&egF+bBBg}P1>RfpiS{kg#+XSbZh8yXF`qWsw$@L>gh#dFTjD&MRM zMQ((c6Ez%*4$-a8BX5j2PSgx`Y_csG0Fl?5lPT#eXbLb;Y5J}0c(5=tOUY?x)cxov zTvWSVbXRa7prIwG+AuEC1(es0+de4XZ=dV~|0i{>%hMOQv>5eBgmK#sfTCcT!*&#> z97QS+!S0V%8WGDZhU(QKEikibAAnj4#2Wu2`6eQHZJrAH-H?FAn}I5Ehv>4zO#Xav zsYEGo>IQ{$nf#vhI1}g53(W=P6@3eDJmflLzd>i64*-g(G5NEk782Hhao z({4Vo&}k862@e{~r|EG7WzKqE0AD%!Ev2JTR_nz%eL7hYbh|&IvTIS)1gd4TJG4WC zUS~-Yj`M=jxJ1}`Bi2ya8@SdTJ|1nm&;Vul?VCabvdBJOi11rY6OT>|qv3M8S8YZ2EA9B(k23d`s8PITwbU$QPQ(a4L zUb{dHexUAVg=#w?>b)DzZa{S*47Jj)?;5)jg0OnP^*8nR%%n3^wQ%BL6Vjw)eAkIt z>IJ+<2Qr!kZ2~c>ymgL2N{lWEbF8rn6}LN@eRD=a_7+QjWBlKeVNO)2gEkoQgOgv5 zRy9SpgqnG~VDm@HO^1P*y(9wEN!M|AKD>GgNXSZL^KY>UlAJi#cCv zY+sb2=su?zB#WRMaN2=p-&Ig@kKmCD2^*LG%3!#})GZ3YTRH2kZ7ph?)E_9#f@F&* z6or#@&@83`kF$H#m3;|ZKlm8LNl6a5ot-dmg@Y*tu@@028?)fPE6ZFR{C?9mD3bx7 z*B&1VqzB_c_$olUn+?d=K848I1L56So2Kv=c@zSR#MPZTT1VPS#!Ns(QT&T{#$35VnA9vV< z&GLsouO*4{BnbOx!40ggi`HW5%V!r2TmnbT8Ai&Qqg8ATTP?KF_k$=$h6zqf-w_|g1; zEfR)QaYqTi6()2}`}1(co_;ZES+{j2n@j6~n#$TTtAquRd<45|lQo^EnHJR$1`gMx0-nO0^> z%XNy?460FE6oo%e2$j_Pqtx;_T|s$fjv;jS#g$I{-$UMQ)f|cuy9sZQM7;v4+x%&( z9-4orR^rZ3jz@pa)dAd@ z(PXjs@`t$!$FF&>ebXyPqlE%r=YHJ(ZNudGPbtwn}}^y2Ri5+H2j{e;N6x0B$EZa=gDaZIt5&)8&k`WrezpieIr?hU`nw52mP7=GSmI|1|+ z-nk`V?vfwNBB>XvG$i{O#~#a#Mek4hY`(t{_H~1S)-_0P`qbV44{x-GmS4+Vc@ORA z0=pjske0!vqx>xz&vaUly($Knd>jEnrE`nmw*~et3!kck6Je>=A06(VIOe5K-f8*? zraP>>lwgc_P%M|aBDNUFWDepcAvlFqnQ0dBPf=@G%u9)Sz0B<@5Q5d%w(vP|{rZ;F znlpv6ik9!_^=A-u)wAOzOU7*r`{=QVPa%lX^Jc0e($sZy2W8^TobaSjOkDLQ_+Cnq z0SWd}ovDFlxaPgTMS#zdFP$XU(a#}HD_sDLg9r#rfbLf z?HZs}xz@%A-st|qUqjfaS{6~Fr{Cl+l7r7c@P4BN}|i(e|dzY zCgc&NHG9yRf1~1{Px=YD6_^r&@#U0l)4HT|WASdgs0(@@lEl|vE_rIK+I)HNt1kmH z`(=wvkcs0(BtOBb>uFhqgL}0GLDA}ZtsJY_BSzR-%qBDernv3#Di<)wzjH0`geQ5;+F1~9#VTmSlFF)yEf0^zHF2hlX$EeNzmzHgpPicS2td^3|;dw zJ{C^W%rHBTj6hcS=KR>x5Vk9i6nIC=o{r4eu{Q_YwT58>4NbzDz%@ZH4-v+H#);g? zCrCISAMAb$?^J5xEaVzpObN=75;qbsSYL0b6G(DMDHncRXuY^?I!8$& zhb+u`j)mh!lwd}*vXu3BBOTXfALFuSvchzA$H=Gac`dIni!>Xd`btC)f#-668i1{| z?<@DJS*$B1-a76fM09&Mr+Dx~0sbL|{oT{dSG?^og58I8-s4n`y5by5_>CK=aLOwX z%r+^5f7a zABgU~xv>&hkf6A$J_^+@-TR4t%OzQz3a&JtjB~s(aCARbptUsqS+Nyar#6FC{<{qE z-4x=mO~t&o&766e=L@RMZmVOH&gdQ(l;Y`H5Z!()U|1>ATK(5`K_2F)#A1ykR=_v5 zge>dGB@Yh`UvftW%D)3n&397@Uc|nLN(Xglv210XerzKTDV+l~qRz(I8Ta2!=k^K} z<1f0QOE|-jeFNHVVjZ1NSz?ciX*jL)@5^*H9J$m_hmqnH=hhpZ*HpAN+YBV+>U`yV zh*D_iP8+jKL?exGJh&VB-}-9-Gqm;R)@^g@)wLkgr#G!3|4^M( zv3OGsN*guCcIM?_bJBuwGMEoca zIF5GmvN&l94cy)JRWRjDG`Qu6lC5DKryPvArf{63Yww|{M$2;O;6jONacj=%(<)07 zJN<3{Pb1OImrZ)BCTW@NC=YR*D>2Mr`Kr@NdeZv3I;*e|PUOsHM6HvTHZjMR%wZdj zxv)hL!G)B}V}{xZ1$nPxRznb~)k)zziQB_z-FUO?*JQ;{go4qv(ZGX$lxSBi*Ic^- zGtmxmP&fKW@(-O$r`nsg9!PDUBX%{N{0x-N-m5B#TAW?d$O0ZTT*^b}d4%IGf^%IXH~CF;ojCAc_>Wy6?66P> zemB`24Z~7D(@rl~H$JXL={vzOgV1etD6$b<1@Q|?(ZNT}sdLjeFJ!6Te?}Ix+vWMM zd&9B5hsP%IEnhaNTQNWX?Nn7%n`M-k)rXwk*Y10F*yrFc(1U&w@t^1~%55T<&WcK~ zQjIq8_esaT|M?R)q^(-cm*1pxD{lN8?Dt1)Bi#~KS_vad>18LGlnh>yJwVn~MI*47Go~MW|@kLzv4Cz<>U^f~hYB&h*g2 z8p1Gzl|uu#WUQmo%J=zi5pjN;U1Nc`D(5VFdgztoL5f)0OH-NjH)7BSq26l-4`l!x zS1*w!sRIC7NOk9jw!08*GyX)9rrCg7A2D`KT%HIrK!V|G|bh)k6#n8P4RK*WSiUJLYOl&;Cce&HT-yYg-Ack+jg} zcEk*+;QMR#d2$zWq_O417nvXPLPD2Qc(Fb_d~+LrlmuMd(ie6&!H|#xm^yr@EP+|BDNi(arf`AJuw)!J+i0l_}g zU6E5s+(8o+c)sInjX}dg#wnBGwIn^7bk5 z&yOLM`>W^Pf-|9=EC0dk+V^rFkyj1|`!SsJs@F7mU^Fxf;`by|4w3uZ{|lSIuRe7(qXUasPw3GGn+$x~;BQzCyfkRM0bhs#H^3J)G? z)PLzUBOD!MCE5W)6Ka&PQ+_$RV5jQ@tf>bmYjQyhoOpZH|uX*X-`x?LEQ4N|s z5xW^2W-e;(X2PEXwJ6r&sHLx_6!58n+~!5R^CXk!S5E=I1XaWj(Xz`IR6S^J<*^2aRt)cj;@qZj8XdYujK+UdV}4ESnZOiYQBim`6X(v=IVfaM=TM+ zdyEvwoKswy1{#(g<=DfR2!cEvVVSIwg73G0_gtMklqv^>h{>Zu#o=>EEh>&B`bLVUthdSH~Fh5gqm#9>`HMptA(*3=g!r8aUaxQ40^u z2|?UzH*Qt=Fb>!*HFs&P#<5@DOyGXD{k(479rG?$c05a#aPnM!=@jjC!o>a_{5K_w zA+IVh*=pS)jX{vZolrvdZY~e%YGR+Bedj)oR?>bw2lr#ri3=4mqh4$`*`5C;BdF8t zsufsY745%?`6M5yM|~__kT)|eFnI9i5{VImm*+We+R~EU)%n^*_&?xVt_ZxHuT^fxxP z`=5Iu=>Gr#7e}7l4gfslUrTm&Jl_8^5~YF`!uX$iKcxQvufuI;VRvY3INq86cW3`I z3RXn&qyC@!cY^=6eE+Zae=%^)|KHC3XY`lgUHO0RpLufs1JeI52LB7(=>Hl0|AAw~ z`2Pck^#92d_x$DL?vb`0CY=--M{SRTDv5@1`g{>!cjsA;@;VjafOEKE6B5QxG*uo% z$GuwM@jlyp!GKN_J{LWlyP5XNfgm>@=PRArA3j`v6WbrgFsb1PBiGruMR8n*9 zNRBSiBbn!`oELyRlmMaLsGp{nMSyF5pv8p=;-fU$HAD9SUdQ7OIU^CQ2l`YAu&7s6B{w5UDy62N(dmDo8ZouC-1A24@M2k ziQ1qB<>UU$ZU3IEo!?w!$MRvsz#`Yif;Z#$UDB!-#L~HJ!BlNy$++Z#7wcZj({=8P zam)7sF4?4L85qN`}Q0$M`>9)a!KpO=uD5q{0 zY5-54fguh|E*>QbSEbopmCIin9b9$qDk!ez{LarTA*~?8DzdRn{|g_q+Em|i72gO; zPX17w{L@ttB4#Fe4K4Enel1V9+Y}{i9jd-H&q-_uy!1bkdyR>eTN&$3#Wa9{%B6D+ zDE*_apei{S9{r4!MJ01zx(4U$vkXxM)4rE7{Bp0!hSEDZ)rq7fC%@A2NC~~tb~w5t zxn7=nBBPM4*Tr@fV93$C71)?h;%kyV?+t{_7&cc`;Mg|1>nE~^fLUz^>oa6^jEG~~ z=lkSp^OgS8woy=0IB)!l$xG_uNe5SDi8_l5z{?|u=Ygk;1|XNz8@g>oS}Lf(&Cnjo zBPLBpMYinfP2dglS|=j2u}%~kgyQ8I5$x}uzu{CVj2fY2IH6~(U%oMf_ZKDg*fMID z+;LCif+1gJ%w1e3_#KQQl+AIk^I}bWIOD^Z1X;o4-|HyZQqIbNI;1)jw-%IrdFyRO zEA{uI@py!>zRl@URcMppDV(S1ji!FYm0^hqXVB122RNTJw8S;LwlhN^bKyo9vX;dR zGAb>8Uv`*glyPf=8m9p$O-HyZXPpVMd8yTljLA0ZA79k8()^?YWCqMYVvO~-kNx5e zQb%+fWKB!Xf<{IZ!_CS^xD2kUx0E$wj%5vdiw@ZKsf^PZ2!?CRWIYZ~>aCwas0Y4J zv}uNjC;%mI8sk>H{&iS4X+VvWRpU`7^{$R>V{uP0ptpn))Zbo{o5h{I2B>dbD$C&B zuZOLXj3^&CJh~a1_gci*oM`9~_lwpO{Qu z(@)L!R<2OT2E^D}PS%`qn>c4b{$&~TEJqWqWV89y4zKIJB$v`Wh6ZOp9DYkt&rOc! zBGzXDg$IlRPkI1qX)!`Ndvfpn7)j9v%${JL5gl?UEjwqN1UV0Zise46(>kj)`OH0} za@{mFI5JePLa?N9`QK5^Z2m?E(3h9!O_XP;kszvp`)!tDWn5BTgNG>U&twebk%75& z*By3*&AxMa&sNo7A407w@=I;fBT5A-htjxFv(WsSQcV{$5*4UaI)Gyj5y+7V3mn;S zt}#aGKPSYHDtB0XE{#WJDDPPTb9{D%N)c-;9@DGd(h{h$VfLij-nFF4=voVL6v%nC zaxx5I&*4|{7Kx|qA_#>$vTs(a!;ZTH2JPB=;kq_-6n9{u5L2{ZY@bHS8BOl`er*tK zQ+S`j(5DKnDc2B5t2UI*JaqXONmCrUmIe1y-B!Qb*fxeb8|dK3n9$Eg;jjumkp37B zVx0k);cep#A{+)IWsUi7wfJ^H1gx2D^*=nsF}4x;_7nCBtc+P4^qk7<@?Tv1)c;58!)8UXRMfFvEp zR^jVRJL9VtREa2^uwO>ntsXWqgDx@;)Dd}RmT)lbe)`xim;37#WeKRT!#wQz+c@fH zB~qpDb*sKWL$rg2dX!Q5Setw4mHcYGimvv8*Wra-OZt4gx7E=EYS$b2kw_IFLdJeM zH~o->WUmHjUIDw;S6xrQ&p6F-xOGENd>Zo+xik^e>0+|}Q5CCVAA(OvG{8Vnc*) zcv;HIM3Ws-SB*MW|L~xl`nZrbW3!^_SlsZQwCVP1wZHI8TH#*1$qhS&`Wr=+T) zt2!9-#PW}Nlzn;A%jU_-YhTT;rY7-*iW-|^5MLx<7c(uPHP5dO?77xL5$B-1C5=S_ z-zG&}`^t3_4n>1L7g$!DTFnn2L1Tl!SK!+@;q!7!%1 z)S7MK8e^*JI|!vv`}e#kp;uyT$YNr43wm^-x)OFKU`>Q{8m+C>-zIV78z(GfvRTbM zw!wmkS=o^?NSaV;m|TkJTdB>*uSI-NvnoSx*RgVmQbREuNJ1|dn9ZCefF+3Kg&$l` zbLKZVcadCrqQ?Oj?y_?+JGCY8f9Nft2JyoS&&+B*(g9^EmEPN{Q&BL3O65WZ5*Cxm z77CVh#f)l=VKBk(n&WcLxfwyAHmq>S^W0K=ST@f$gfFE%)Z-v6pify-vamsFeA|M7 zE}ucUC!mCdO@uQkq({+hbZ6tdEl80AuF1$_p&@m6Eee)rI9W>fUfG$|oFWD5fOQ0l zlw_)A)CrA|XqjGH_^L$QoJhk4H+0xh&qGNfy#vCqvT*zn@vHM;*U1wI*grEmrG3$> zLg(nmz|tP=m{z1+#nqm;!{Sad`WYK8+B^XyRElEjB!^yS0(PAU=G|`^Q4N|b+)oV6 zzm{axV4~jYH_9)-;Uh9+&yncA+SN8ILoIVp17F`|DhwJZbvBQQWn8~l>lH3$MUu^Ca#^ZZtYVSgy>1MG2z7azuqj|j2H^-@cR55T{9qKp_CmEa& zYcIjcZCtYADQbAHbqb@Dpd3p8RVNq+!{*;;aHPR+9hy(WzrKf>y? zDv`Zbc-S4G!;h5HjGy+NT?rjT9V`%z@x^vsiM%ZX%UQwohz=wGVM&4QVuTb`qGA$A z6DZ8b;pA8+Z%U01I>OaYv}x;470eauU99tTzUvrK!9od^=$^kZ;e@dGu$wC(#1kr7 zHrgL@AuM$b6_?7{1Ll4sLaW+3k7M;}StSI68#@S1p?_g9X{#y=F--<%9(34bk&Xl= z3j~jFKD-rps&05{GM94QD8V?Re9dge!bmN2BAF=iIh!H_@TX5T=S$o`{N{!N?YeB$ z)Ur3+H2JBJamAR&=ppNAayLnkUsy!I`$qEmny`~iOHkiBFXJGElO^?0s@`}1NS#Lt zW7(OL^>!V*DASX8w3X14GBi+VSkig?Y{!t$-^=B_-Iqg+e?T{)<)UpT4V7nP>S$a! zcU$&_X+v9I?(6_oKA$=4+8FZ;OUO)dK7!FE6C4MkV_!wAi)0gfKIUqB))gMT%QRva z@YF5qv$P$q8Kdf09KFzDTSqiS@UiO4g0n-sF13hp{xs2itS!&~P2e7OY0lbO=OaP= zlthdvAw=TV0lMw4{Ke$t_vlR~9;}ys$yF1N$XD)9I2p=)bQ-66Zht>TZ3s+WZ=T}f zRfn2lB?Tv_S(O!RJ!`}+!D!tjI4tI^R|xdod@h0rQQ^^+GU)1(s-)<_6;pC$a;J{= zLKL?$r6(AL#Y#+&3S!BBr>r~n)UOkLIM^Yj_=4}M9MfG)SD%(1fMOExM~s9|Dndhb zXV5+Wp7Dq*gg!t!gDkQ;^4&_<)#~(^|Li>2_P8q-yra0T77uslcr%{=^6Ir4FDvfK zv7YlFgo~GkpuM_25Vxx9tDY+XBay&cbqVM0YJM1GS3tKwvx1Z3tfT*r!x*ldCtgnIda zX0nXYisb0U#r~gJYzTeFRu$;K)R4IBLanQcjJBQuZ>(?nU{4evxKD)c;?kUz!_kt) zYs)%$ZU1y0>5;oCIZ!4MDK?j9tr@S(?!z|&t2CK!?G_K6#|zl_tt@_`ALo0bt;vl= zS6R!-XR_7Aq6PaM%>cu|%F+3^3*HTx#J{Pfk&U$b$fMwU0;bJcQ>&xj)AsLp&nfpy zF^><~)lwWv_=oLli|CHyOoV5sE;8p_FOg#+1u7%GEE^VoA>=3u9R)Wljl@4 zY>EGkpWCWlllE?Z#kBc6xF8Q^S8u`a!<+tf5Z_*V9T;D$g_PM{_ol7VhrrlFom%fyV@pn{t2z(Y9Bm@ zQ0V!e%G8iYT#My&ne)r2{hp5phf;0_$b0T#A>tsHhd#;h2a>-zPOJWehAZy`$kQAo z8xamdEOc)ILY0(_V)lOstuU2Z_BZ>9bM_S+J(hVzyo=*YW=mM0+}4QUkKFnbZ;S7H zPDksme0C~>>y+>LIidK+KmfDe>lNte50;O^6A5F~UIavDr=!j!Y|AJ*aM{6Y14$8Uls=)))`G3Z zMKDeAe-4e}R5Q~sfm*o5=jKqnJ1qZXacpz*0mjRl3JGp5LdHqfsPrdD6+e8+EoM}f0t$`v`Q zm0kGQvHe;u@rTiXQ!O7R9T#|exb?TXH<5;dzOg$G@ATCLMMgYn$;IYA20F;Sj?*dp z%04PSms2R}lIV;zTuPcCf`RLQrbETPhr;b%pAYFcho&KNnKvF;y4$v|L#ELcv=|w@ zwyWVaJZ5squ*i3OF)*xU1JtIyf?yDU22@Yqd3QebVZA!dsPHgL2ucOibNdyLD9S;+ zoTBgABfE^JVP(=H2%r7*Jhi;9m3w_Jw?S~o_fLlEh*M2JQY5aP&k=Hu5sW4f#gJT) zQsxL;3+tYb>bIZ1%kgEDLMv-fUi(aAO(p>u)KZFLL9C(|nQxX%p%FSjRSrNz1Jgi< zgU2IBmyvLGP<6^{=)p&0Pv=F_2;S8cU5*T+(O{&*dy}9Y`ia!|Xz(0T9PYHCbNaxx zJI!vr4SNp*W*fyeBY2Vu@e;3^YNgcy|4!h zu^6gF*3UrsqhcIh^&xMU39JIRWztzJ zA)_xxPnN+K45C7t0e)?vp3#5Dx!%9{2L&;pM^-11vfq8WhkbeC$#uNMtpQna-U2qn zTjaSS@1+O76B}_h8bT~%3Q?UdUzr2AdOc*!8ve~wL51C{D{V1-ZZ?$`Gs{@Zf5&LU zJ{h!uI@_ZZi!zwkUXLTyOw*JNA-`_MQiZ)SlkjybY5}!B?R}$tYrx~r$40y57v{#% zDE16gRz=#^0Ue_QLIFP=krXrz)G^>f)tncwZl7cZr5+Bw>|CMqP$n)k#FA@(`;xvp zFHFBCd#)vk^O>n7lkX^CDDJ*H70qQ5azCtK`h_2YP#*deM$*Wlosl^rjsQ`a*aBta zRaHeT(lMPZxF19~_-xEtF}S5u7;warbeoJ|@CcOgr?P_(q3AU9;xKsO8Jc-q>|2>v z0?-=t^s71}9tbB>J$BcyN-WCaX>Ila6h5J0#K<2a?!b~5K}}|0x-jypFb_L$-#hwb zWl@xLodP2(6X=k!Aq!}IB18wRX&$K`P;AMU^l(C!eqY&FeSs@;-p}GIlo3-K~8b*|=NHEtC{;%&cxJc9S z6g#iLGRg0op80&={_);iN9v$%+Rhfb!TZ^NClk3;O-!a>cXw`^WfOz~7ETZv%+H8T zdY{X@zZC``ZqudXUUt>FzWc_VBK2OLayP=G_}!4~!7w`6O)Dk5^+MGN9Sn;r90WxA z%Oda(H9-c)b?z+am)NcP^f?(S=s2LN^bl|*nSShfnR-|xA!O)sn7S5@^tLq5oOF9p zl-Lpz1p;r4V%6=QWRO1pkUrHqimSWtrI2)SneFs~OH7QzhFc_9I`Jz5;?M3W$6Xh7 z+|r*fVZ39P$(|`?NjLEjNaHY#A6N&-W7_gf2Y6rE44(fSNk}MDz^JM|)yxWg6 zx@?LovaXKXRnwfveH2}N}ZPO7QcwGL1050^rt{5?@=H0QQ^dl*lo2*}gyfoMEFAlCVYDG4C zBwY{MUbLx@PTSZO(-DY{KNre7w=Of< z*EI8`+}S6BRZ{a{@A8!x&vepIwq!EC*T4h{Ljp)M=j2~brsTgA)|3@qc)F7Uc_gf%eaq|s-SFASdFXn>@76bJB7xSok&!TH2&4=?_s!R zK6C8MaY*rQuB965Q?^Yo47n{mbPf%UL8|4LLm>?hQ+`z;Np zHxbHZC$w!pTke6P&7~5-I}{h?UC#$~1p_Tt${#7aL%+$vG8Bn9gGL|ZU(99^8xXN!WY!Y$7fqp^PEJ%)-Hro1uV(4x z`GmNhA{v1T9Ph2k{wsAg$8d%H>7W!Qc$L%Z*kinEBe{@GK23P0e=@6qrCp4Y55{Ma zA=LY+fe6SIi-U%Y{W8!hlq#6d^_5( zW*SI#+8wBxw^YrAiFAqGo_u%O0V7-!y~_42=T&k%*^u!JbBU_}1f*& zpWkl%;*tVJGj1eEax5ZM=b_qDHK+pJp75mOD~7W#?h2xXIoJoa*m1saAHqv}__yC8 z(zKDG0aM^zi1#^!f4aX}!jRi^fE3WR>094PciTw#;P*`b7laMcmK5_GFd*p~x8X(P zB~0WG6LsVbiIHD**F7M^lh)f`bxV=$8I|aEK@`)hTso~~2sv@Z`^H$p1d89HLEQ&; zN6dqEu1wH`@R0QFsoI-37u|U@#Jv{}pL7r23mKNR)ctC3meT`ZJfxiO8nN81X52ed zxVMO1+IRLm5=*)C+O+=S2A!}BbcO;DrJeCw7W)j*&P|qo45uTYRizzG!aF~n$`R(^ z3k^(x3DB_9Bs4cn&r?6!tCl(0q2=(0rVZLUG)yJfwNwR^stBe_nGHAmUCFQ8U*N9cW7&`@!~$((E0Z=x!ltlV@R5S z#tk!Y=kYouTFztLDMfVA~J zfzWM>@?jMwI|&oc)szV}+|jjXc^C_0dTo&}1;5b{j~9C@hpa<-P$=|@j9e$SRed!7 zHJIMBv`m$+OsZJu&Y`_77btQrEyc~vSiv}3=40@(u-z)gTVePCK zEfK#U#zNKotX|d$3-#f@((Dr9xs7?yZ^2ZEH5OFr{l|9vs7_QfM1$)J!Gk_cepupa zK1Wb+F8^Y~lTCVd`zc`-sr;TjUIt^hNHy_nIZyP#p56M?s@pmbH~hW>u!d{4bw3Zj z)M$Ao`Dro~u1tH*bXO!ext((K4cl^S2yS;Sws;>-R#Ze`IgrCu#470+Oi$Sm%*90I z<9yrvXN*S*QyEVz{T|$bWorckHbVs;biB1^b@}|=PO5;L@F&;XlFr+dPhk%~ ztj*b&(jIedQI9SRQepx~-An8BWP!^o`uvhY z17@=Y`gKdwe!s&b8MbLz&1~x!{Hz-y{8@z(c+{o0j0J`djqdKThh6L{F;lD7dxIt| zj%e;EGOyL<&?HD5^9K$B4A&kzmA|K-<2C%{v1n#~0@S})uw_Wi!&=z{LiG4-H%C6S zobpMBLcQ%jf)Lr*xgy0lBW}c4(``+d=p}TnbXaNjJ^JDxo1+y-7PS}x{J^*mvHlfD zw76vdItITNna+272keQDvr7z^32`-~$MVFO6fLn~>@b!wE1Si@0XVA9AzCgZq4i`5A8aE7ndgCO6X>iYhm9E8V3Kb(8G3g$y3u zJ|H>FD(Od2LK<-yb$A&+EIAFTSSs(epA>`5&uoAGNS4vw^e<3&!899D@T3(ewRdeG`~U~Tn24B0Kxjo|+iC=7 zFNp=wK*Q1$CH)u&2<|Mhqr#C& zMo58;v&q=fI_g#P*C{aH+Hz9ehh~IP+}xOU1&X9huh+lp!dCl&c1@Q@z#~hej!QX` z8cxG$3zE-(cL-C(&U)Fa&q&t>-WNBYjRsT4v4sZM`D08d!68`fYhLj}mR+Zzn_{O; zHOd|wsv807^rSMauc{V5@r+@XR9AJ#kxiMb|AABBN#~`8f!HcWM$z7>ow?S*{8=}3HmX8 zVKwQ#gMW|;O7~B#uY}PO=$bSQq_8H_+0Hto3D`S67)HOa6#7U+h@vJwoN*v(8n;6U z^i!DkjU;d=9<1XuYa(;`3*Bo8cLkHy*HX6;Gl>0i4Q;mgeOq0>^R7i;&Mah^*W)Rl z<1Sby(1o46qW9Ti1u{#L(KglaHvpovOtg-Q%cR`8v>2RFNxr89s{2YPDVwv`ajPwm zwR?iD4yDb8bBF$GRR&99jOD{d;*4jx5@3xL-crvSJU?0*7U`bkOlS+)@B;}t-+V4| zgGA9hdDg;FZZJlhVfTg$9uiI^Xc|IS_^U2GP8ZB>jIpj|H<2Rqv%%>DDvQiDb%Go4 zNXNN_TV#ngd&~+)KH8)QQdzttOGx)i@+axC48Vk63fBug@QfoXgi>e$YcO{lj$)*q z)Ow#oG6XG%%V#3D1yo2TuIb;)1=UP_kZ|I?B}KBjw({YU9SoKmvpt&Y4;}GxILP`- z%6Qdm^>n?%e@zI8z;qA6(%S)9-x+d%6)(5O!Rfbvgei;`C_NdIFkaBnS$8sS-yiAq}2eiZ|;mZ@=PGBY4R+Ta8`JxsYkRa&Z6A@8P3@E z%a}53?|QhL=yVRrNWnY=b$Rh;X_`$gEu~mS3oEwg$06jwjOA3S5A)H?9`6A?_CAlO zVUNG%9`5T%h0Up$M2cGY$WIjhau@D$O}2l9#cz!Gbn#_pkfT z{_H3bA;*qUEIFm5*4F7E(t7nQ&xD%g32r5fmJQY185ucP? zKi-g|ska$JMkixVE zLL!8E>%93GPr%gCP+u~PIimh3;X`^e>+Fu!f{!+r?Vv!caxw@-NS6A5q_@ZYC$7Q$ z*bnD%<<&q%;_lzA0ZQ%duEMyilDQHNn5~^~)&%Nd?bLOt2*_f@bG_st_6Ae4o}FrD zv)5GYTQI+N+Pag!F0${2q^X?S$ZF#x2J|M29Cm&}6Pb@J^e4E(Ca^8cl)pjmJlmhV zzQJ3=TYle;6fMSdYLZz(55gr85ug$WK9d)r=kp{sG6VI@Di66)*RuLgOkD2+rYmM; zr7~2^w+psOcZv`9=GBe5q-4x~kapzTZx>SuPFLrEL&mliFk}0TWLsSR3s9-6-j>`g z{YdsY!?1+Of_j7wcvj$+mP!*5^i`f1IQBzV6FYO`h4X{Pg)>owl~BRamMDHIB4+QL zXv$_WsJI(Yrczogc(|YO_8SxI#*MNdoS>pnya`?euML=Avzw$zsA6E=5RJuZp}qm< z^H?u!>_Iia;xb*kc@zZZyu?}>@nT1F(zNdkA7`v1OcmBxiHA{%I2!FeX2owTc4`&+ zS*DN1g)G_x%02T#Z#3zPF(dS2Z6OT6QZ?H2Z~%4-OAWwp*wt>YGCp{Hc!8y7p}Iu& zAMyMX;VX4Nu2(|+IxzVI+-P4&P&{E@@F;O zG9_*|82?qEq|d(ruA1o|7?PxRyeh5R$2P+|6;Wnkeqv|6x+O-F#a*hYXT&&mqfdc3 zDv`mImE_w4meA-qk{;7@VI>xfq2{Y)ew@#|q)c&l*iS8WxH;@{l7ED=BB?}RH_6$x z%Pn(M;zSkD*&u4ACK{FO-3#gnx=xT>o}h#H|dj zRZGo5e^EU5gmksu_Sthw4&^3M9IBA`P{E`%rA0>qY)Dd1{icJ z+D=M>?~u6%^1F6w8VqU+X%!(x8Msz%Bz;Kz&HWGJ8xs#AEPzP9uF~ zPHK5EgPe1hkf|3JqRY}LW!kz`^@2B!{;$0i3IFh2J9AD-XZFAfXoR=N(|-MI&_Gr^ zFj8+gTQU)cWLLH@MAXwC7-8DSV1auVR^>Ev z>YNk{`*FRXw%M(}V%uA6wZGIkl>5XgJMQ#B;Vf?$cjc*twz{};b|=H2Xpq_lv>`I; zydzjm&FR3sY3FB>oIuUS@d9(AQYDi_CH3Skc8PgT?;Ooc2|Po(1T!iL-@}o=R1{MY z`k;OL#3+9a`ilb5)pP+aKpBRavvVPS3$Zoy2gx1<@X+O$Pe>12m{v=M{x@!GW`B2L zVraWS)Axlz3?~F|fIwcrOw|MZ5zIiLehU+bad^H(aKBPb+8jjm;Ng0)72s>?%;rI^ zDAO*GOsN#o2n8tS%oAZq2$fbsSdjZ5ZmfKJuMjyz)R%TIo6E02Or|c8Qh+bF2g{&y z)5W8cGqILib-)4DJr9D@iiim*dl!C?p@8~_rCRn`_BE$oQYno7NH&4A5^k@;o^W03 zXOGO+U84#SQ+C@{* z@u{{tRA@3)`#o{zKIFG*wcTf+IdNZ!yB>(jCqTNw=Q|Ry zWd}E_NpfLMxkmqMQqw<_x9dKmh0O&^obp{)k(y`b?szc)29dgO&bq^ZjaTVhu|(}O zVu`SHa4G4L8*1x=TXVagLPgtPSHjEgyv(1c5q=--RC0^zW(k1k@yX(5iWF}fX&2(U zYBkg)X@Rhx|0IIK^|l7bklvkBAXm@Hi^k3)^h!O}soF(KCHfuF&UZ z@^Lfxk}&zG&XUX65>wX@#DOSha~sbstS4i|+15tc-|mPcMcm6QrYy!0EP$k93j{!V zdM3=jgp*Wk4(yyk_T>=hAbD2ei6oJTXOInUC#~s4A@o~BaQlLJ5*N{`UOB2FT~bY2 zP2euXBAHLB{_Ve0oXIQuzzjdz3`NR%keaw9-@uJwAesS0bYV~l7AwPFh8KLEM?%jn zet-JI1UW<7dbDXu<(k@@ZHGVmgV^3I+hW2e6Qu&a5XC-9AsgRjYoZhGT)<`-F*sC7 zAD<&}w>&(+@Xmv|v$(2c8iq{-9Kg0z1R7Xty7VBp*GDyJ2)&~Gu6@MVQ)M|h{(a@Y z)S^)Ta{Z&b7fSwtxiJ+wyn56kr?L+iRw55n1Vk8*)WozWy z3n7%k@)xSPZphQ0{x!4B+CQ;x3cG&?YLIup$Ck^D9ArY$KEW>y7a3sZl`?nY9BHc4 z*$)X$s4=SI{H&P1PG{0B`DC{sV7X6z`{1#53v-O(gQe)>YJ)x#NvN7M5wl&c%2X48 zJa-jMh1J7wdVP?HZKpA>?empB?%rpdZ$pUVUwTI{Y=@ySiuZx=ktbQFX;R?Ao? z>Ja(K2RjVPq%IW9v>W@fUv+%#o)jPIiBvA%SSU%?!xEk3Mjw`7cHvbbtuln~07|Vu z>%C7y+DlIUPG`Bk!{ixA7}H+Ph&oeWNrWz|0^f?p`arZ z&!3aBcEWNSw9p!^!6u`qe$-dL?<`jb#}3mBvIjU-^(^0vKHo1Y_DUkM)T^bC$r1T8 zKyzFe0AC65kIAm8oK#Y|0=M6=zh^AS)#pylo>|A~A~oVde>{M1N2G`=10idlE14}7 znsd5SEqLCF*1*Wm;_JnB(>AT(45cRoROq5&NKimAfYH*CYoc>78bqPH92S>K42@eV zVMj9IxZPJQwXw2L`&lM$oPRf-Fkv#*@bLJv?(|*oqokiN9WFGxk_Tx0g~?>i-OjSf z4uL{suaZW19=g5<0nUpk6CjP-ETL&ZpgbGU zF>2;5$iUbD$%nqBjW7Rk#LH`tq|osrh|M$nVX#xnVu?kA9W-Nu-E>HNKos0Dj8h!= zD>0$5~qL$Coc&x@(X4wT9u?^ z)Ec=!86EehKM~PqhMTG9Dp)V_LJHUwVi9d9quDC=5vtNw3V|Aue5XS_o-Zp=+g8}e zEiZd%1ry$9cJyP~qVf5BPrYkE>|9M-bge7DW?oScJz=h&AyzKYl0iJWQ-KICqC=sk zV+TPPrn`SH=51~SK2mx}{tLJo#HtOH8z?PuYI4)K8@)3jBw<*H%n1(VM_q~=wc0!S z{LE9#`2f|vHUjpK8&s0}dRI+}AB+O+dO;lW@>GRB;=Q!yn*lXM%W zifw0^S2nx{5xSX>Y3Mb=9WA$oi%zB?9jYLGTB(X~52k3x)lc&VE`4h=;dft%iz!P9 zyDS;dnsM5tbD7Kv`Sky=JOUXQOC8-W3rr}Sy@T(nlz<=oSOtSf#wY#l&!NTO*ZMft z@GcJkRRL(7d4uKhlgMQQdP9%GVh30qN9K(~Z_f6V4$4vixj_O&JgqhcJDHo(Ur+1}e}RZ}`+I=)CpBc-3(_SG z5v2osvoX;oA4+B2a;sWkvaZVG~hY#10@?t!c-ZwBmp2CL~%Oh}b-wvV0p zcP>H-F_y4A@*CX!;1PqGJgSQ~XXP3A%OpfxxZ4G|;bJ!+aU_TBzz;krDuJuAS1gP_ z9^R0%{_LjvDNR+Ka=crp|F5X8kUjKdtmY<*#P!j>b%t=w4s8-|}KS@S=PPQ1OsYNBUWO33GLxaY&{>aB=A@A+4^3)e_ z_7rcFy#3Z=cVDsq84#_31qU>$R2W12i^yYrl!7gd8wpW@JZ(J^Obm6Bh9*85cxx{) zQazhf%TgVH=vPp+_0#(X;Uh6I@289SfK%u>QhE65%_9Q&$SpMMyD;-R6l(Q?VZwMq z+uQ;_{@Kv024HHC5j=!yieuvomitp@WTFR~Dg^Zi+%k2jDu-_jH<4;@KZaD4_atSj)Jgtv zk;|7Pp$+bYE@SB(4vag(HRfH?VN3P&f(dhx#M&xW(EX(*%(94^)yNnYPPdy|76{w( zX(u@~&|JQo%^e$USxzh^EFfVlT9E^B zMXni1F~-`5}bcq3Dm4*5tIe6NH<4_d#{O34NFZ?FZt?ifa}ZTj?_bpy?CEg&ye3_LR9 z)mfpL=fFl@;0B5*0Z81S`~I?)m zAge?41fUa%n4rfkv6UG@X%Ae5W>M;K@br4W)~xxfpQ zzQU;*dGZD!~8`=I`J{wMGTRmxGR@}{l15?yJTOb2BHi^7u@!D>X}L8b;F!o z=UQHYU?CoZ{sYnBp6HNdAe1rFO5@kA9G)PGYU?}DwF@aTC8dQgn2iJj$V}XeC&m8s zY?R0sIVE(QXX*~}YcElYLVb2uQE9La!)5c#3`^s|?l6@y`-*K^VsA{yWJR1n!Oz|v zw($8@UI67j8$nZv<5q%T zfpk#8_tXS`*^sn95ZK)nV?3wNz&j>OO###xZ`j~a2g=Gi9-US{W5DpcXmbUEA&hk& zU+InH4{eHy02w!Wm#Ka0_5n-y&Wgj8vj7&4Vwyxa;usLTt(4WE4#9;o`aB4@UQVq# z(VgL8WRTD|CGqMA|78D61#JuXm5o@KbJRA47zDiNYZ} zGT6ecEc{=@rq9e(^p}t?dk)Y~qQ$PI5#5Q16fzKr7b~; z5vSM0JJ8l@5}jFI8xv!Z=ZWWFF0ENO;&>*b(sLDSaE z<7rzQYDgE&O8|M>%hK3qyMH_E{aBMQFReNym0|i3(fhoOr1$I&^PN#%@{E5n!DnqK zLKe(GBB7p2tpw}m=qF)Pzu@BHB!r?VXKJrh4)$)KSI)Us4)w0i4*VVy$;%#xH*-HO zm>O24kQ=qxPd{$mNb_Pb!_?L9M?Jev3-r*M#vPGhEAbSMy${JobMV`mV@1heM)8!~ z&JSs3Q{i5To<@17{2)qA*r8bhHQXYPeZuIqf!!rjMOTkjA+38xO4ba!26*AxLB#yI zei~mN6nTC^kzOaz0H3icCefj9Ilz995YXlLE58{m)Gm+=r9^mINJ&|d(~)e})(IPU z^`y;e%;9HJFk!PD6ZeZjvB1r9?Fgs#lVR>$4pT-v`=uO0Jb*dC9Nu9*9N}(RF~WWG zHvUvr?A{Eq%GnZ)82oR{zPnRG#dWJUns83&EVk{^*I~R@GpWsj>H>9(SFCxSO1_I7 zS*8+)QBT9FRHP)4igRB{g2{9Dhi7CcyegKd=U$6waRc@?k$qsWwvmU#=JSklL z!O4E(X?QZ`U6|y&Sa@PS?=|}1C<{gHIy`_w4Y{BxqnI&#*-7g`^7mpnaoQ>GFMgM0 zoaMBT;S16vgxkuk6N{NOj&6{s89S4jw|hO2W~`q zQ$^gUGdIfkBGuXSPddR`R*hc~Wv-UblkLmv8Q;@4zA&hl`k@bVWB;A|@iQ~V5`<+g z$Cvy+I;La|gRq}c=*P{vgn}gU^Vvv=g0wOg1pAa2Xsb9=LGjuwxrjYW#3R6v2an+k)#d4zqPSPobg&F9TtYotufbPmYf$5@M){ zWI&#w9%5el=M?P^Bs|DFVcU!7CH8);;9u<^`^g=r=c(wG&LZ0>&Y$aFr{zy*aY=)z zXD@nW8c5trfjqPbXb94}8Q%Ix^H%?;41s)A&)$E1D_3z&wwXXn^r+w@C*YevRm#WF zhDM=XRq7>F>g9K1W9RXkEPt+oxq~~Tgl+e?QRci0u|@I|i0;N^iI98DCSrCynmm<%SZTzE z;0q7i3*|shthMd%=9lNM)X|>)2mkp%JOAe8)wghdLnjKUnoN1JusBJw{axoR4FAB2s=MAI5inf)o=IUa8O%lbgw&4WRJQRG^#ObDG=#n- z92&@qP_pm+Llg4XKAY)5bIKAaLxc&T-x9GeuPOi`#7Q0ug;-c6i;4btNl;u~-o9=V zr5yFP<9OzZBd1_H$(vBZ?a99kuR&;2(#_%&d_rr_yT|mL!gPy5SRbj&$~xA(&+0EI zu`?cHEkBg2W?4~p_3L9{^RC7nn5ER0&VkNnM6ck{gJ-o#lvF7rX!Aqn>gw1;UtsJQ zP2cY2h*8^q*?sB9l+EC`^7(cf=vW~=LAfZs4V2&ka^}^HxVDG>>T15{EN`sa5A*CD zyPjWmHHb#ijd&z~f9zdExV&H5}IT}=8 z&4;G#0<0#}8SsP<{nFc0kfhN9j&L77D6_YBjg4yP7iJCfyTDZaO&35?5W@L5Wz4pp1gXFOY!EN zR0DO^B1aR34L`|1DnXe>H<`wi#w)wBUjWqJQwO3LUj=9&Rb1CU#MD+4tQnB z-12SjMGr)5UIXn^@t3#95aK=RTDmi>k}7Qqrhy8USMCUtZy6T6wT1~(U`clrt>&$v zk=TZg_n4@P0@TggZ&#=Cn^&4F%t&pd=eAjNWC=kf%(Mum+ui-Z2H6*eT8(*TC}iHV%uk4;}YqNmwN^Qdr_51CXdV!YNW| z7E{NeMvq?*$`P<#c%qBw>S-jufrtF2&o=C0)%gj9?LO?O4Cio0;~WVH44c0mk_vuH zPj}Lcg@D=*$j^7ErNPcJDwrXHVUL$*?i9_zJ$)oOSKF4V2+qV@nf`Udc?f2hmnH<; ztIpGq-&d5`dF3xv*$MRQ>?J!p)UFIKgmN6mnh7{G$~M7S#)Ry36vN$KeQda=xm4C5 z-a0~7^^f#^;WbRzc1>~PvVUH|X+!X(_M1d#81Yjxs|Td72*&c>Bj_BOZd*dP71T<2 z4ZL#(oS5IaaKx!ZR?7Ivl6}oU8?O8rKL_8SJXdI09Uu`@KGbWubw*)w%ZwN3>VN`q z<*gtyyj*`W1xZ~3WZ1C^e?bj%*(wG1ivAG`5E~8$@A^z2&oKfKd)1o^=8Kc4SPjAn zkPdsC&P-RTk5;Y^ANw~Uh>2<1KC6}>Ld3$(bEho!h*K~95WJrJz_1qA*VA#BW#aqJ z0(9N<7CdW3;Oaax(w;JS2ntKM$!uUEWY4&|a+m{(S`Poi$y`E>;C8^?i*)SS~W< zoc>AEE8KnwZVvbfua6 zux&_CVn`;vE_`j?VXOS0RGRF>cN7wer-1h(<%~n^RDJ7uU^{4}*|MDX@DmVrVN^(7 zMSnwo=~h5HvB_m9I(-bd-qBS`Wp=YmxZJqFe=~Bs3P{w1J)p&oY!c~ZyWI%ZJ8UwQ z6R&D7GKE>KXp~Z?k{Pw0P-=n=unPmyc{S;`b;AU-Cr+XfkET)GUU58F!wHrk64TgZ z4Km!^pBn4vk?hIK!8O&=um9>xi%EmX4_6n&HwOaH8-zk*_4IxOsTn-Anyu3c$reEO z6yxZyI&MYb{lXh>1)Kr!#ZFGBmxb#B2@twz?{}n!bGJbnDz1%HfkR%yxdWyR6?$oE zUZ|fN-l6peR`?>D@(7qUpp{j{5nNYI_bSmSI8&ILd@D5V2leZFvz*uIf zyeOz;PUaR7S4y4UE@-Y!dhis0>f@+9W44UJuf9!~Jtme=mSV-t`5uklqMI4E)<~xAzBGBl*Yt?X(-8 z?&~Rfh3I*7x+mM6=x=(A>KAKtJ=Znr!1vPo7n7&jG%;JSV-p5xh3IZa_>LMz_)-4) zbdIm~>>@fdAhETWO2GoJIL}&ZjM&=}3u`sC!uajP{Pd|Fk~#{vJ+;m-p(d6%xvlXZ zHlT1ddP{y?d-N165cqnc+B<7MjPR7-q<>f->AawA9W+%Q0G_kyEVn?+dC|D64&xzW zjV*WQifX$N4;UmFf^YD!#1%D+#PW4v+s~>ET<-@9IpZbKadp^QRR=1W!|N@PwRU`fmYs}>qh>lH*h!cv>zDzY%AyV8t(xezPzx``A+(L#M=Fr@Ol=E zn3DP(Gj@@GGTbm3@36a9GJf%OQS2pT6D6xI>-01H#X_E8IZ3_+pI~LGSN%ZQ}=o z*y{luL!kL^1Mj#c&WK|`VM6p^qj26eshvW+k`_LOfzP+7e55j&G?3yxm7t@bIMrmy z&m_-!&f=Nt2OJAeGQdKpY*{lHIi76qM=6?m3xbt_t!V0YzdZE?DTZi}CsmPw-wwl+ zg%dFYMlXn;4rJvnput>SkXY3y?JCiT#UA@>VKm^=;59H#$rA!?3xORC!#@rpgh5w% z!qwW8cVbdS$|mBb+Rfc6kGWAYJ`A-1@iM(M`d)g_b|w<+fzDDofhC$+>30ZL=RXR4 zsySB@f{1jQj(kWlq&bs4t9;zqw^y-1xMcqiU8jIcP>a*#WO<&CQO0+tM^(rQCXp=; z+#p_p;n)~3Gss6snL{(OQ#$>XgsXwkERX2^y^Q$4EjRG`=^&=Nbc0J4zNHEi4V%h2 zxZP;TR25Z-$DatkP{Qha$#NO6HDox9Vl44yB@JlQ{0uUr#J$kuNMSQ+p=#I8gP^F{ zB{b>9HMLUe2I+?`_LuHxCG4w%lG(Qt2v1A&SoQq3{Fjvr2#tn`OdBbZd0pcu)j{09 z>)YhYoSv}?ILzz>KKsYo_lO_ZUJ*>9v!eQ-<({B)s-kNs*2J^8b(Plom9ap;DZJg82u>DH9T za?}?3O723v6Hxl%ZdC}4>E+ja(VAztNqfPmnLAhNcaP-=OUGoYsDweG-ClYaukP%m zaYnI1N@`pKKos02qxSsoLRU}7eho67e4fG-`PMI$H_w=hHT6y!Wlv8tp z)YR(-Gzi9dyG);&F+h67@1>#is0gQVQ(y?_D6*2bw>+RNyaCpZc$RG_vTi%KcWy=B zVbun*4ag2l4-cX#B;`a zgveM;K>>f#0mG|o)yMeR@^9x?c1k_K$1fP4km$%mzl~f^SpXMSVX)RDoAEPEG0i3( zFO;f!EaSR_O6P(MERr~OCPQ%4O( zlvEJ>ShkVo(eGi-n9ywsu2dhZ?ZZ z>h8^sR2x~kC4xja%R8qqjcpi`4RKwsmKIj@F|N}{JSjyCDE&Jn$ZdAhRf(a3$b9n` z=-J;Xnhqv@6r40BbAr8|m{Hq~RqY-Jh}xeV7J5}rmA|f%%#Ru3KZ?MLprnnn>re7V%1ujp zBoJsjLk-@Ye{lksJ|&kd1|4jgBo?{u!l;FK<4(G-68X}d_+zNn7gZ+_Srv^ntwhV%(dirDv-+>fYL)Ao<8%4*mu%+DSV&<+2V?E;laR|P0Pgta6a?$9w zPc(hPh8Y+=3ej}W`b>D}c$oLv_GiBWq4Y=|t=UNrDv(9q)w&>0>>HFkzZ+4ysd&}HwAT-Yx<4rBBhkX`e>9DcT`=ia;OwYG&WWTCm>!kygqe01 zq@@~mNbn{MrPU9b>#Ms7hesQ^YOfbRZ!pcox{)?0Y%I2GDNL@P@}eOXWLi7 zh$eATh2$ME0{`*Z)a|@HA0=;$%C`GX3H;uho8Zr*cd;@;GVBc0{)%;*h47Z8QO3M& zImsXOaiuy*K=TB=iXqJ^k|H%ih*9SLCh=|sP8hZ;f9411t(7gNwXH(dQZ<+Z;7cB- zfSCHA;F#9OcN!_@Yh9~rXeB!C_`uFG4Isu!jyVfw?o-TZ%?COi5%LNYLRO!E-IlOp z0%KcD%={cZ%Wp7`wo>-R03mpQIvlb^PkVTN$qTqkPahFP7zTD-{^O?{gtjoTOxOXX zyOTG~7@5~vYp2)s_MilYI%W5aJvXF^v08G0wmTc5t!3y2vri5L8)v{hz8CSk5Xjd| z{wJCEt8Hd+ew9TIFw`Hc(we(Cxa0Gz@;nTN1X2{BB@u`8JpP`~=ipD>r!)xweAC*D1Cpf@RJjvA?ZZlf)cN6lz$FV&h ztJ`?xY__+-g1=(z`nc&`7tCLFQWc2>Ol^2pQbwq_cAG8E6J;VfN|ii~l0a7!?e_Ll z=&Bk|XNHfiZJisDk`|pzA_{K~gbT%q!@~aT}#rB?IU8cC59%v_-H4XtDSJILe@hjLAY|~#Q zy^6$3e;lF~;av!Q4-GD4^>lQ25P~cFfK{Ja@%r$F`>=fS=~r&%lujtBeTlBUt60FeM2O+hhzV$eiro(7Wm0HEUx7#<(5@-)YrffvLkrs2^PJ%5(*%Gv zgw@0n{)#IWQ28t5oIIMSEnt@KfE^75wOfyzN$B4$3a8mEWH$8B?8XS>^aeEb>`KMCq|K%xh_Oz_TTNd6 zNvn!MXf6v5mg%7QjwTsr9Fk{z9aDQDE4ZOlkxmUrrd%}*^1{a45 z%3`SORo!c4?aw`v1R2+f)tvN)i(!@9_j$eqWdNRNO#4Z31^)YE`co4V#lwiOJg8Ij zIML0~oK~IHQ#g@m<(f%1RRmvDO~&pm^u{$;h&Kw}+Fs%VcPXpBJ6LR7%A}gXyd?z! z36p3sShK|YqgOVmc^Zj$Yp747B1Hic%mP$@kG~1KLb~@K=+GIbkeRThP+oX&dhy`U z1X#IQqXVA`Q!PT+6S}8K8;_Ux_nF_yNu*0E@EqL!`l^CGE`iMbgar*$&hl!V#AtFN zbh{0X2os_#rcXNldW#mCitQu>xK{W6yKdaj;6WG++RWfm zY!mVuucXLFTak+hK=6I$wUkB)zaCHo!Az9=e;;UiJ-DHhl(&EJM4S#-Fpe-X{9`&$Cf`xU7;of zO|(2=%gwj-+)7P{EQv_ueq=vu8r&ECqgM~RDchdYIz&$tmaOhpgWx0=+c;OPbT%{9 z!b`aDv-8?sv&=o{lL*5Hg*{S9)66O;r&`e3=|LdY_6@*mb_!bieQ!2<-lbBRq84Vl zOV4fO>BTyf=px%_$HtmzT!|R_5nh*3K8M9F2!fJh6AbEHBf^X^hjd61^!=v!e(kmO0j{z4U!aa43<3x~el35#-uYX9Yu*Z& zR4&QB=C)D|EmQHh2eAYb5~u?`v;z$88=R6yP_d=*Y{!iWG~#6(XVEHlWfW=Z(;}E) zkxNc)un3EKkk}@>`9ui_XK@tD%yrU)e)WClMNC9qk0z3sCEh5J~qe90?Qn=9n z?3N;y0{tIzi~j=(pu@w&hG?Ltz!eDSF%)>j3%|Qy&vA~p4g8Ne{r>^?|LywF$g4jx zBy^A_oEifOF+A*hY@+{~{~r)c^gkx_$lOj1O5BGip@W6M`R}nO!T)34Oz=NoKIFel zLJmQxkg(vsi7Wk&s<>DGf6UYV5AgmUlLr3X;Q`Ta;@{#f|DhUAjri##jBb*h^+zthnO6z(Shldhd8qdD63k$LpPvVXulbe<|Lg;n|=n4%aKzTxcY| zH2TEqVt=MA!3zV)&Sk{8our=XfYkbXMrPQ(ZxB-5eTPc>eFvp^kkbNI)aa{#RCyvAmhA9t8>NYvlooBG5`~G`q)oe!qLdmG%a0RvejjhldiO#@ zvyq7LoCfAb{#FWUYbdq-611CuVYJ0+gvPyf)f#T@d)mmV^wM6^*_Tmg1zhWYwI%39 z0r)3h5BKeHpDUw-zSrtZU2bRj*?%3@b_a%LiJ9+nE= z!Qco4S{xo53fGINDFIW2i2~iL5z6aBuQ%C(A>j|WDFW8w_Vzs&g`J&#E^jJPs07On z{T0fcWEBWLBBrY))Gwj_BEYlduVR`Q9oi33Fg4i3MCPmloX}2){;9$PEi#xKeqk&} z9^Awo ztBatLK_^UTl$!kW0>4({`Yq!gt@m14YIBz5FE0Rv%GE2-oZ(-#$fV+^-Z)Jt)S%$sHEQC1fUR*{1S@o1p4RuY)IZ>9+7m^w|z$VA6d`Yw1cD~-nL zQw#*>qOMu+_=7;0pWe>UXy3Vy-VPZU>dRqcMDrgj?*04HhS26exwQrzR>+Pq|5;&A6Fx-_g{*wtxNO?R_E+fg0qW%FGGNaiXQoEa)OEv64wjA| z|NEu`Kb}gTiv(Z6kk=1ZFd>zhpU>~~zH}*F!7xmRbcva-*zYv)HSlIV)Bh&N9YBcq zrZUb+)-UUljXL|$4729fJVP)$g#r2!983#7pLn;Rm%*j#bYR(TCTsLC)7PZS(Ji zvorgTnd-n4(E%|7h{;y?*z*8A{Eq+vV21?C?ATrr|324JiSVdDdLsTpz6bN)HXlWN zDEk!4XXXtdZ4(T;pdqmi3p=%dG?S>|)vqZ`rR5mO&(xD3iDDM|>1|%y0ojn?n~1-j zKY=94vYS7R za6rM{0@0EzNFCQ0K`9_Z`K3+koITtayti>Z_pu0n;CD4LhT00xQ7>9hHnKS>qaZ1+ z5?j>B`jZwJG4EuS-Hu?eI0ow7=U^~vYz(E{Ze3S&HVRH}B;<~w1B+j9iJtCo->WpA zQLOMKO{l2ps2`%L+C~B%fVU6KhgZ5uSFI+Tg_#>O5w!DX=dqiJp+)0N(E{O3VEt}l zUy5%Zz-A^`7z@K-{4G3yNX_>t_zb@KHA{vh8&Wc) z(%BoXHSi$7CsE&V^BlKCha?+bn!QOvv<7g&$Ho3L&w|l$s0qf#%P35zNSNxX|bb1r+lK; z`|`2$97%DlBTzs`5x|t6i4M;gf?~}ai97XYHfof+t1iKQ%-wl~{OBl@2qaNbq?)qA z?hu(fDHX=8aFrz;w*m|p0i(*U4E#n4k1=2T{ky8f#JB-9;!0jm5uO7y?VM=!vxImg z<{&i-WRjs#`L$+>Hc2WZ6o#eERlgm59m3HSH6P~5&w~bMt@XR190baYfeA@4v~pRr z1lz9#^|*R865d`J;k{>C(8%**v;=Pbq`=|RBnWbabaE1>E^^SwB??%n97bv3Jy+@f z?f3sK?6GVsOf2OYHVM!Y^Ryh_`$KWP7}4A1W-Kn z1X;Vm)CX>?%g2JTr77R1(R)vVMXsCo*Cj0ID@%-;ubIzyxJMPWn=Ytg)einH@^c5^ z^8E(16aW2ho4rt~R3qJng=PxS6QnlM<}Gmz^Rk(4a_uwg>H-6hepFQM$`5k!?92&t zCvBuNb^RW`xfHL!-Vpb{;Fdpa;!n+%xjJf-w~ca3ExYe_hl!$cdYvA3z}tKBRD?Sn zUp3JU>wJ^`dx9_7_MS{!16uqNB@G?q*J4Lh&m-KD!hv_t^_P;aq z+KTa9FHSXyJkiVqOdlS>Hl>X4A{WsV`DU7CyCy3M#`hf;YTgHcBJ7rX5}f$T=7CAH zQ%d}ov5gy~#yn)f$CCRJuM`2fyTi0l46b`x>?A>A*T)dagp~b)Pf>t|47;`jh`VEd z-R^IF<mC^nj zuCla>c16C$PI|QAVZfhb_{s|ZdGiow{1mcLc4f1)x>wBWqF>>Qp@`LOC@ zp2XJ;>C?@*dlIW1^fs%c(!%Kk-$LwK6rKl2k`~RZq)xj8)Egx@MZj72AQl}@lLz-{~Jfl{DYfEIU(dywEx zAOsCJzxR7TTkHOD*Imz=lgT-gGkcPKo|){k&)#q?D{)s_di~qZgqllsZ=d)QDse4k z_iH)kah=4FUmdZ#XYSXpsZTB&CaI*t@9~Kq5_<+V&>?PDQ4NBRYQJWMH zom86T`_7kRgHW-uzCHIftY3#n5b0OdMLF?!aZo$M?hA6_UUEoTnDvkaw$xJQI9fXJ zmW@{t1OBjPBK>f9#uGtRKer}yT7B?cQc7t!;|m@Io7<~JO9j$aiihr88;8HD!p?Oo zZ9;fjRf&ul?s6qHX1Q#E53?5nm@dlm(U!Ov3B|XeUI~6#Y|rph?E{X%KgDLQcj8W7uYQ^}sz!7wGXiA|-$$5aBpR&a_FY@C&BM&R|KQGVqeVy3#e z&xvCGK-w)3@%b^-KF5lp+Jqe~ZIDk8@)=b=dMnBSt-) zefr;|kg`1F!{zg>_pw>pz|@xt8XVYRl|33f5Bm>QmX|IW?*Jgdm~se(SNy&_BVtlW zWq)#?@Tk&)G%~0oIjWp*(~J5-f_-Dg#um8Ll~VxJ?uhEu5DOzR@!y|dfq--N3``~| zi6hh4Msz%_E5kl&GNf~IriqnNMg6~Cir0sJ=p|UDwq6ZGRI?Q17HN2xf|WvwwW_p4 z>~M3d?Xx;HxSR8;cBRjIpI(y0+!SI`ZoSFB3+Xl_2{NTBw1omHqr%5xMiSPaZuhQ3 z$iL=hkkR(|Gma`z0dOZm6#1qng$i!@w+jjT_f-SDrl244+c3Lc2y@(hfjh)xPh-Dg zk7x8-pHC|Yk-1O%v(H+__}Dcg-tlZXBwqrjzQp5drfuO~fdHJ675Vx7Im?iwCmY@m ztXG_=w;B)(=|gRI$Y=fy%=i2|mc$r(+QN*!bQ;y$Z@ZW@#HlXKu%8A#XQl3Wz}CVU zUH(Q@BrL32LQFyfC5_IK9d#>**8f%T&?cXiR0qmWe&ZJe-7t2-X|5W5L8CGN_RHS>YD?Ab<{!NPOED;JFG{Gdm)G0AtDRON`Zl~*Is`3{NhLja?LvBe9g3# z@wZD*t|`Rl^@!5;gV$gE#=6ovo>LieFQMoMm_^MY(iQy5qw11wNW!Kk)RL=b;*Ljo z*G{BIXovSeVe$9Nclf>W!0K=I{@LfYsr+5m>v# z*|-*N^q~R>L4Fh@s7qeF9$gTq)xc_sIBYL5?p~zaw-;*X;b2$)f0~*WjKCX}uzF#Q zvRYuiuQZ5j+SI1aCD4swe7T-{ym-gcZ`0%)#Iz&d(VNZ3M|5eLlocMtrWJSoem>8< z4!rQ)nP4z8>yN z&h(8fhIe&vz?@c%c6C`2Nr}t)niZ2GgvncXNUo~>?F6SMVPM)}USe!&?@7(so*! z`FlNU3l&`s2gR{!LJj_a^Flb8iZ}t~E>b)wpww1jqDy~Wh|DxnC|R0^xi6OhYs&`4 z-Zl{;f~fVYq6D;oY$S%{Y8LuT&Z3SBo19 zW%Cwt|KQIV4!acOBlJ`=AcB?+WaqQ3P7)c@sR#!a+xOnsIcatTi75IV?DQIzt4)8- zYbUhM#y2SUtOEtdod*(p8rXRdlV4S*my!%vh%B>)~yHE*em%pVwX90r@x1nmw~YYPhm3^fT?Yn%4n;UV%?od`$kfe za6R4Kz1;4JH>IF9)}11=89iWeU4as3@w0HL&Xp`k`J@ocIk?9s6S3@{YVJEgX^C%T zTR3%o1A-~|a|`tMvxn4}A$$*gO}p~Oxqp~U@he;2<|9xsr70eC;$UBcyL2iO6urAn z(sAP`O1nCsKBn!UphKOqPIxx*nP!>DuQt)g7z1+vL75@Dy&5sFnQb}#V~KmW+gIzs zgnliKb~Arqv2NtdQ9~(g=B`nRM2!UF`d5W%`Ho#7IS+5H;Jn3Uz{_dh!q}2PtI_h( zbSw&|4Q$oAd=!B?Z$lVVfZFL+P&SR#tij!|1uiLqm5gqJZSoxa-_{5302xDFmrf9_ z52YSWF9L|?NxR=9mgJcVwSFNMQp>F+`lssVv2j$PiJhlLIh$156;oW4cE~HE><*3d zg19lxu>o2Z!wGN&F;jThU0DXks{S1Kcx-IRj2qtEA;@@h8An=%M&pYcX@VaM09VT2 z7a#e!7B2ZCwOxExhXMei&(q3Sce` zVaN+b>_oxh71+d90vSl+_<(Gs zark%-`cX7TT#9Nh1YwI2#I^>+uYBSn9(|xMq-)8N2+5Hk+MClU);9$|-ad-*9(-n8 z(rFjIs3`XaZ+z~#6dmICANW^y9~exA&qA+5?{gmnvH8^9{h@1>sI$s(bAkkmOSu1O z6wjTkHvBfoy!$)~nh^H6XDmqgpFZ;-Zs7B-v#1MZA1HB;6IxrTBrNC-~@N`24eSv`r5 z{PFD3#g41=us;2gq~cN#MjNaK2=)P;<@;R#B-a$q-q^n{l`yD1?qL<5P3-myIy$sa zfh&_RWrTxvSk)MxAK6&3A@lUEVw1Xca=tiT)BW_6{N6`^aORJkaXWUa34-jmTi0HLnDzG zBD0l`3}x}TC4YTXfgBmiOy#w<6S-q;G|3B;cY)HmJp@!R`_w$>WSz^e_Ff<6W*0_ii#=JsrA)G9rR z+=G?3rbW;Bi;-UgGeBUjUQn2d(w5F^e|08{l1qQ;^g&iWaV2Irb{H+2-g{aNy>bBG zkd4qq;sROPhqB@uXIwmx>GZ{om=?mlvW|kD#$!B9qiMmk78FPy6^tt`S?>6wCa_cW z@ASLm30CrpWP3Kw7X=9$&}-JElf;nU-1~uh*NR&+`-OiAuhOO^72d_;`92t!0_5Na zbHCvhMMrGujRRDVLddr{TXjDQ%LWV_ual?NRO{xwzdMkF1qHUY+})S2|3ttgDxAd% zwKMxKvIQ6lH0tFffPqA7;a_elDqOL`p4FowfjZI{wZ#z4Rr@Ka4<8hy4uW(T3NzX9 zmFyg{^Do|_%+@r|uTJQh^1$LogNuY0N|C-Li#3Vg zD!w-%;HrBWWW!S;4^SUvge#3Qs;Q1XHnOv}Ds@fszXi^`c?;APOP-)$knwnTDW-DQ zTOvaLVvgC%)=VW#NSn7zLh14y0@R%>>VX&5V^t}}{|3$y@A(3*Hkp?noLIbZ%^IsP zC`nH{E`|aA#KUUAV1ZZIeNgC#Wri@g9#RUJT#+Z)u&v%DdgvRs@O^@-5KXIch`0Z) zrTPJ7kHAC|GUZ`Bb*1iIxBTlCY@dCk$7=E8_T62d@C~6_uAjNM+4BABmXpJyiN>b2AILnO3N=#fEJDc31xP*htWBwsd6n&K4vgEKu}s>`f{-fCNp|c$5*aR^6oC+Gquq z59E@E=x|2j!UW4`rChpTCXv{Qoh*{NJrM$T9FaF4Zrc%xtWcbiSbni@1qlWC)LV(6gcio&AQj zf+gS*eUQX`+QLg=Kj4Wi1o` zOQuxxfwOqKv=yh>CCX`JESDdxPgTAhvkiN7DJ?|2c>PR%aRx&R*gGgB$6zU3`vo&i zOK1>-I*Yt{op9nw;<_-#>$xY&baXjy^|m_(&j6vU8?#|C?2Y|JD5C%lD+95>{sn~S>v(WaQ{ zZ_SzKBU4gr)TX_siOdk3lf~}&m4~{FvUXG5{Znc2X{`%fkaX9Qv&FwtMJ%c(hHt&6TID8w|R%<$MW}d}L zK6J&a`Sa)y26w)jenmcSNrF#Z??Ek)C+cDce|$2azVkNm(+Cdd`c|9aX3H%t%+M}C zebBpTuGoUCSgX)Nu@=XjWj@kA=@6{Hi)?da1+G0{25U(++#LSU+ZRc+9BGVjm#OX} zsVAWIFpGK4?Hr?U!Oi7uW)u?IKl|uKozcd#MS9RikZ|ioZTD3+ZwMtM+@0FnEQYU@ zN3KMo7J3l!uWGpIz>P?L9Moi?$kgp6WwE94)Axy%({G*iNHf|+hP19GsVdy}pkvlz?YVdyv7qqZpkFNlJC`6>GA#f`E<>vI9v@?HUQb7^%@_!I`MVDCo zA;~S;I+v=ylJtr;sJtVeDA@||{cepaX8jm6V-$AnPRQ}Aua=yMYBZx;B>*9`aSX>{b`5&HasgAy+4g$3qkyNd5n59TiQ-&xPU>!LR(q&&~c=5RXLu21%;&VOd#}`L1T#Is-K{vX-!7B50{Q! zp+HEWaeCfVLDY&WExT#{eG&M0JHu<0@-}X}2KP>f6U^j??(`MRY7>&P107m6-v!vR zJLAuS3b`c?`E_C)f{!6V=&R|bpAnKH{w9SEuzo6^_lCG8HQ{At->!r3EIt??EIS-8 z4Q!uneERkw8)IlZt``<)T4>FrWja1o+j;f<2XXZTSLVo6qcNFKEMQ*RC9T1t z=k^eaTaACC*s4)x5)w@e(}+>1LTMqr#8x3eg3l5A4Xa%IJyEeV66-lL=_LSd`+4UY<$aXH*rI+Ynq`fw;M|d*6vRS-03AM*OuQSi)e7} z;{3VfN^&o(w|WTtV3sk@Z{EnshD4_!&knm=SGaPwcM4tfGj_-Ot}h2#J^SMhaF-J0 z4s{A@sW$mi^66Ps(J3`U4Xc~1fA0MJnZTbZsElmM!%X4Nd~~oLvuT^5G@ss)!@|n!DCtogwZ?kP&Sa5aZ?tFh4Mw_OpB|!oTv;S=AW`1 z%7cJuiKo9WfrYAfbQaW_1V*ktBP$~*I~&`QuEGurRxYyesVtNN=88+^@An|N*ba8k(;Vxa z4TrhdH3i^pCrPCcsEnQv!T{eFN{NnN{P_@F(oyYRhPCc`38B z=K52LZCxpeg^FW~P!X3>;3ReGZC)yPKFHDI`5)C3-;boMyVNVW-{(%RK*hkI4^y## zn{v^Y(=_)3O!Il%P{E6aqY>rhwM@-0#a6qrGz3cwb^hhE8%=i|b7tBD|NLTUiI;xi zw{PVBReXfy!chfvB@i!d6X4!P(^FjH%f7!vT&Im6!PFxG@iy6Dn1vy43w_b2p)59P z(1JmyrW3rxwaz{dwh4;m&*LqTyqmV0ZUX{` z&lYUPX`}LfFnq+Dt={KiLp3zi^T|e9#yCpCHsC||_a-d`DZU4WIT1d>TYeAX5@met zquw0^vopoX__Bcq(4N~Jmkg#hME%xGKrL@{$LP67jDj9?8$DIHKYS=!`0oLFXq5+OjOqDlQ;p~E;2UxQNvLlj{@>q(YzR;T z)$V*FIF}%yyyno`Q#=wS1qMnAo2=t^yY;e=b$^wZ{*T}~#h}+$l}MVxccZsIXy-Fq z*I2f8Nzmee{FH0&AKl(SELdn$@bxzR?~w0ql2F2I`Qa52W2lD)jFdZEMB}71-?z{P z+|z6cF{9Qo z^u0k>#;rC!zfpj3(G#n~jFLVsjOUsfFdh4|2(w>KGL01|2Q8cyNqp*xw%3HnzF!h1 z&w`gfV=6=&(<*g)4mL;+MFFC<(!#1RSh!J zjI%(yhfanY^C`iU>1WI5hgD09SqC@1EWxWZ)E(scS9iiLdEb?~)XDYz(vg8N&ry_4 zkzW&227}zYn@S$scqvpgoClyPmNZ=kHfwk8_mJ!$$#>ZeZLGrl{+a`)T`EiFv&vo% z6}t{=4r|ra1NjAm^BSr3AMBtlJIja=rT*6Z{Kkw#Xbxb_BERuL5BCspdvq87=^nB; zWP60rq%>eYJwg!7R^5vX2gGn}i_4WXU**GSuAcoXA-QErrBXRG>b$odS(fPs7zccqjprh#& z!BqW-&wQ#5Ne5f_S)?4cYz0Lx4w>ip4F!Dn)Vqg0RwWoPGk3jFzCcEJ*rYgF!fG*> z%YLwrAJq}yqSUVc&B%NR%U$PlYw+~_gA#o4kIxltu%{wGS6B9zCuIC%9?8W>FVCaJ zCc5*(?&d!3q4>uWwITVh_&;nm(T}nX4?rm%`b_O_LkebC-<+Q8hkQ^eNKfnWiS#dc zAo+)ACSTj$sI1xVEYNQylqXOpszG8v1^9Q>4*tkWabW9u8|(aWop+-rY2ts<^+O1+ z50!Ms51sOmLu%i`KpXnwrF7QuRsZ9hJVyt`pNzGuHj}c;r=q-EMYAGKlao%tUZ-l2 zgfJ^XQCoAQ4|rJfx@v`F1;Ii>s+iso%zva1G|7Op#I2QpNQOHAA45KBy^PF5I8-zlwDh zJjzcZHrkJGdqy6gI{27pH^|YPo?C3rqst8vCbNVJIPSO+#aqL39l6s*GVI;yig`0%ZU3ZKtw?4b=iGx%3A2Hh@Z+^{WARhx>Uo@3i#X1#p2&wdC|IjNvn|E z)G2hwE`(xmxQzUFvyTTp|r2iYUGD*ybY%y)wZ4%I#!F9%IWZmM^4HsHa(s%*e!95F7~1$MZ|?7>S)yr&gMQC8 zSIq*ZucF&-f0`Z(Zc0(n0n%{HYFO}krF$)BTvKQV@wYHPYuqYI#tK#VFyZy0Ezv>H zR34;SznN|KtaTu}cC`P!4 z*KlR8^CqF{XpB)sy5I}h(xnPh2#ZX*2FaH3iBGFP<(UYgalGR1Y`cMiCbAE_3f*nf(^S3MJT5m^Ssc$|1=ICb7% zwVn9;ri3Pnw_S|pZ{O^Z<y|ONIgP_wV0oUfqR>u6Qplu@iFd8YNalo zJHjALW(h}1IhQ)oNnj7W@}ca zM_nFTb@=y)#ECcU>klW9+LULypaz_*XFvRKO}+K$M)XySmfmSnVuDZQj9hn%lPT|)2XFzc)*b^*Cv7q+23SQZSW6` zc@-%JYJ|T-j+GewNK$n8*9i2ARh*c^(wDuJ(1V_QlbXQxr>ANE^3>VBX0I-cObC0= zRN|#%V$C~})Qr{eDvnvSovNMP59KK)#ec3d~7q;um{{3|X6V8D`)wo!U z(U1XA3+cLnT1)p+jc|Vww%!JhZ**>}*lfD|{!CTg3)aOdx~<&|jl+j%r8E! zei04(NM=b6?wV-&!qFl8QFP(%`(GKlU*pGj%Lc&#oTxZZlUJF-v)~`mZ<7WQ*P$Mt zXzaE>_+b8|M?Up?x`SSrRK4p8rhdTzv4%JGcB;)pcu)LP_YEdOMXS3BVmKvBvK!>9 z@>>q+`DFO{d0yz>F+K4fpR*vTyp3IPg2v?lbViZAezbLXrDu(pZMTgL)MQ6I8gQ3tfBt?Znq%~o zKw}U9@6}Mw zY`LZZKCsrD%+H`9&)`*vnJd{pr`$U@X4!sQgmG)iv3glOFm?5C*Tb??rfWYsxF-{?*IG@8X*fMJ_DcF`ZORuXGeS+9xOVz_y?KP- zc-&F6o~qjnVEOFR*rD1$5t}8fA#rPHK_!({P`r&Im$kiU`&KPLe@yGLMAr&8y6WuN zDZ>$me(!`YSf5QrabpkMDmX3f4~!2?JMlCRgKNqDs8o?+gyeZ)Pi4RbM)W1S4OT zET#HBp`S>g4M|e_q7-0WK-xu+p^`ShJMkt5>d7+@KFR0aiRN)@^lB^TqynhGFHM=G z@2j}T7s4ue&xZ7$zdU`~I{s;N+*)UKmpRGiHz&)h;M;7;d&1ZRnnP6|gAhw=c+*wENe z{!32iKd?4bLQ~uhj_AuK8h<9uQbArpE;RAm@3~UwIP*e$x0~bh^)F{)EY}z0!7KZP zecp$7;-O!J--rdc%_$?tYf?Wp4c#%pYXmI0oioIU3?{Z)&@(CZpg)Sk)5S;Y#NQZ8 zYBr~RSwWdg5TXa;uSnWmlCNw^bXSJd#&uR51q*JRnuq5S(#2Dg(qSJYo$ek?Be+~S zD^r2fH)byadhQ~_y`G?9d{g(jNB8K?0dF0?%DuZa<+vnQ9284de4{&J`J;nK zUHgXgYCKK8B368GlM6CF(X7sAz9#&bEDd*RWZTfp+{~$n@TBs#RF@ARfYALptP4It zbDD(g)oLZIOmNFgq<};Uv(basW5>&K#GWBb3ZBwlr+N?SSZ#UW;+&k##r(q0dwc$% zG^6u7LF^fE4s^0u;Ke0quVnhFGQFh05i*$TA1mPxR#6U#^^f((7JCDUUEM0fV0H_R zz0g^2tP+!h5fjj9B!gN6O00PXWiP%9!-?U#vn6;iV!v3iz96iX!|o0q=&3-MZ~X5j z^4c+7ei(~}J57h3$94{tgp+fBiEoSRsr1 z4?=9>Y4?%vMCMbw-x8lkX2!8B`gLqrk&7?(4V5F(gYz&2QzX%2F2q{S(wgf#FEL78 zlM^c_B-8G)FgOhYf?y9}gzBlm3ax6A$79zQg5Lqie#cqR{;M^A4Nj)cUIX~A0(0eH zI>{v3^-!;YTo70b!Ujkal=O+!NG%Wk_HXQsIUr}c{u{@E50O`4{{u1_Z%?)j677Kw z{|h#jAzp~RZ!q+$X$TkEe6!MDQmAWs0K!^buH%muetgB_aRsZ~%m|hD!id?iTZ>68 z&D!cS&h@|mxuAv;2r=rW`V9OPi3Pm^R*(5fP#Ct`YafYfRmfl$hn@Ej<&D8P^W)rz z-PW4_P5iwol0@*+rP*HFbEDnFgF4_ums9cE?1Y)50jH_XMkFW+KraF#DQ$s16PUGfe7C z2`n~oOq$v$gJ%2iz4!CmhHG%5|9dk&vfUQ#C{ruSi3bH*i|#gv>?9QZ8Q^W^5w5LX z+1XHika~F2azQbc+I$W->Y8ol5g+mp4$fV<#&sIkVIK9VE)Gz3XLDB$2Gp(K+89V3+Qa^7|7%t(*yPX!Iu1!rm*DfS5~@N_aJZYd7p z*|5yX)u;9PrjZZ7w28V}3Xr`F=)IwU&s5M>{u_VLUtH1(VFnXUGIXzxaLolp zu*kmNH_W9MR6%ykka;hvgk@lHSV_x!ijfdU>@pneB*qFh1uj9Uyp7}@DoT*=iwlk_ z3Z^xqmzi)6&atsNO#?$HXEGIMqN%<8<$_kU#BJH;m&lrrO}|RF7N(1JvYPXzA@?+( zzt6vm-HtbNcQRr=#rOEQOA$#`0jTyjlcyHS_!WwGDQdm*PKv`Ugcn%~LdF=3{lt6{gu zk|@!+FrS)sqv0DN#0f^mUy(;3cA8sz8W#@J4D6!`N~p&f`G zZ_8R7S@n4Qwo|>uR6pCW#dBz>Q{x<@fA%S6I`F0oaM-!L2x1z($a*FO>PUGHO z+ok82x^?}$67${Sz&oS)LrJCV#`GsS0zur;t_(-_C1=AM6MZZo%@>IK4>VZhJ!o@| z-B9<1UWmq`@A?vBUdR4sxXOybo5Zpk5EiVsPa4NXB~s62UT>?zfVa9K_o+dHfh3t3J#z1GX&sGVe`z3zI_*xA|baHwG(7S{AK5!F$af`;s%9WS6uD zvxnRFqSFbTuE73J05{$5JOOGSOWJZ74p3e*w=r!ECH*BL5^8s!8I&NbEgq&>Hr|VI z9vH_RH;HYZ|O>llEt<;c+Hp_GbF^5ciO zaT@MK@*FW+Dc%gA=3b_K*K8#EcCqAk*h7CXPEAPb6;q~eAG7!VTH7%7RzV$31L`%t zbDiSb0pZE9Ce`pR?ep;Yks1fH+oD?Yc4&ViIs%E+ycP(>B=Uf%jS7&CP&gdS4})VUsn!u&j#c2@BC zj*Q6ylH)o`-}_|8^?3#jEwlp($=Vle%Ie87cRHrG#k=0u^_d&yAb2tnc~fB0fP%P$ z$(Dg_@#^=d9XwY@Q@aw!R+1*h@2-rVBs?pt7y5%Il!G;7#hw&BvjnEFd%!}T>B)YEGglUYjVgB7o z-wNI^v4B{UC^x+771`=J?(DZEHovnB!+$k;wwoBVM%g+~%!i3NHk==+pR7;b214wl zH=yaQW(D}{n%zNROfXk&OQs1-4zQqR*&S8qH&;fq8CI3bcI9{pa)(nNW-*$wRedYX z?pne?zCO6`>*Q9e3N&mS7WGQ>B9z8tFW=w%6Pw52+HsKtDO zRttza{9(GbCE`DcC|Ic&Z^WHPZ=uoL;<~lFoY*V8nH<@AUqe51!#7?yTj?-lXNrF& z!uf0CoEn}zOz*bdNw-a&h%SUzF)iW_9)f>yHDra6qek$2A_}UOD0tYo_m32dxN52r zjD^V)A18NJ*O7dmug?SZ13^>W?oS5LR{v6L@%{55s9IO?Z-i!l3Z~mvAXH+&60-ZE z5ck)GfUoP+(LJO>aKz_h?|AaJl*o2UD(|is|BjMQ_F~9p#ht=Tq40qTV^zAFv)qGS zCMo}g_s6luwV3QpDG41aw%l0srl`%oiRDf8(-c&l0{+jr5N)1y0q#+DumVQ0IHJ6| zP+!$c3@OcA-wX!FNYW57q58i--p^J=#puUqrQbxwM6MOE?j7@BdzHQMS&{dDZ-%m1 za<>|;4CcQs_8Od_Y@XAzo&IYP%&0*Q+MWH{Rkfcx5V1-9sk~U~eCzHUQfRCir0{PD zfGskLaKHT*)+w;(HM^UZtGcWdEy6pW2jSjQT=MTZ{hj|-Cv6dam}}I}PdtlpHCDg+ zX?59TQ2IWHe*o>DpY*r;mwAsyv_`a|S?C?wFn%CN#(n(`Emqc7U9mhw;V~Xb{=j!@ zmj~Jwt1*W7sJ|*+E&X5Ex@DOcZ0&R~KX!-S>E}?tK+%Syp{o+bpju4tP7F zuQ)@_!>vk}vQ7>QhoQOC;q92=Mwxz`5lgo#+ul8LuT>jR_XdX~2hfaHuPYDx4?Bp0 zG#<4-5iLv-m9PrDCXKH7>t~E2N1>CQ`|u@xRINMNX7)Y_c+m@7f!w4<$J~TIGfqK~ zRVdGuH_w$->-^h4y2=4R;#T>I+Ff(6=OF%s6z{JDi@G_Sb<5-ywyK+HnRNyLUEW=Q*3=3io&M16Y75& za{5)4ULAKdpRK&c{f_q(cZ5XS~5=SX)uO^-JJ*nSQk+GDph-}YCi@++mMlDCK6&!^_!-SB zO;m$ei+Er{UW?Sw9F~IUk&|US(0APU;Yp_8Lql#S)!4$q!b1Ao`Mp&%W7}^xay=^`Gvp(t1p+ib?`c%hTV)3ND26o+0y<-1g zP`TVN(*`dRKG34JvSUXYG)J4nPR5S35%Q%(sjrGjSg`pW~uD3!09#bhJL0@2RT*<}Cc6 zu&@I4#gK|WPIJaQ!S5aH2(|rub$g(1!U)XQV`w22iE?2d(X;$0Z?{Y6BrMX?Rah9a zS|q#obEeV0^p$=lptexfqJ1%mKapI-)2ElZi2u0{ByMEgxO%0#vF?Mg9SO6B95v-E zT69L#{M#N(GfX*~%?Q_>exRh^dd1pHp-kQ~j&w6d&GJ{T#Z^6(v0bK6&{yl`1tO6_2}(kRUGB7t8xiR)kX-zkZKm zdPk<%rfp15k;G&ghLI)KahS7-{93}WgZh)phF0wBJ|&l-iWaJM8h-XS<=1C>T*p{G zp(VXW+ER8;*Dn$R1~Dc2wuo4Cl=R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRHnRdv0Rk5$2(1U97)qf03PztPx4jNK z2(+>G=O=&LvomJ0Q}?#3Tx$ioDjv2fd|bBogJ#W>88cI!-*@u-Rm%7O&*qZ`JcnI> z{*AQcnc9C~|#GRh$&#ykayE2=}SM(lB=C0dI{X&nQnR4DoRpVPn z-`qOq6?OKi`;&$*GEW=t^e|1BFQ{@Q(TywcYL7{Pt+KMlHJy_3hzs#cV*D9SsWYxz zt?HR7(iq{e|Hcum+l!P#tK!y$sHJgP=?J9ou$rD)S3Dt!UoI%TbLPD7mpZ0T+|nl~ z5f(YGXVMZr_ukn}g;}%q`&^mqy|Vdn)h%zWu%+t9gV`T{c~YUZZFzB}Mc$3xFIUAA zk4-vKmJ*N_lXfBTM?|0uU&q>F88*|25??inRQcp0uQ`1+XnlHDpgHZd`wfMP)5z>+<*;#cjo59a$+e5-&A9*bcHzIj=YNJsylQgT z@o15Q$G0d2tLi0(Yx+wp9%p;DFA3mm;dd0w@l?-Zdv|U_hlx<9+d;0D9}ifZY7(4x zq$zf)XW8$!uM4-;?VR^@#d{B-gKvMTh`fCm>r$ePWWx4t9jFEl$ zF<0&>Z}NX&!ukKdf-xy2A%!C$A)(^<)?30Jh8&C=7k3Bm*}Q-K`o;6TYYI9RZ#MU6 MYUJzyrL+J40jgOHw*UYD diff --git a/cpld/db/RAM2E.fit 2.qmsg b/cpld/db/RAM2E.fit 2.qmsg deleted file mode 100755 index d56ab84..0000000 --- a/cpld/db/RAM2E.fit 2.qmsg +++ /dev/null @@ -1,39 +0,0 @@ -{ "Info" "IQCU_PARALLEL_AUTODETECT_ONE_PROCESSOR" "" "Only one processor detected - disabling parallel compilation" { } { } 0 20029 "Only one processor detected - disabling parallel compilation" 0 0 "Fitter" 0 -1 1590186805031 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1590186805156 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590186805922 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590186805922 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1590186806281 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1590186806422 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186806640 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186806640 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186806640 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186806640 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186806640 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1590186806640 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1590186806953 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590186807015 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590186807015 "|RAM2E|ARCLK"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1590186807031 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186807031 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186807031 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186807031 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1590186807031 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590186807047 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590186807062 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590186807078 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "Z:/Repos/RAM2E/cpld/RAM2E.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1590186807156 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590186807172 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1590186807187 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1590186807344 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1590186807344 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1590186807515 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1590186807578 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1590186807594 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1590186807609 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186807672 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1590186808625 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186809125 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1590186809156 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1590186810219 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186810234 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1590186810406 ""} -{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "4e+01 ns 2.7% " "4e+01 ns of routing delay (approximately 2.7% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1590186810875 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "20 " "Router estimated average interconnect usage is 20% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "20 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1590186810984 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1590186810984 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186811359 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.41 " "Total time spent on timing analysis during the Fitter is 0.41 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1590186811500 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186811531 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1590186811625 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file Z:/Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1590186812422 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "363 " "Peak virtual memory: 363 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1590186813875 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri May 22 18:33:32 2020 " "Processing ended: Fri May 22 18:33:32 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1590186813875 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:18 " "Elapsed time: 00:00:18" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1590186813875 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:10 " "Total CPU time (on all processors): 00:00:10" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1590186813875 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1590186813875 ""} diff --git a/cpld/db/RAM2E.fit 3.qmsg b/cpld/db/RAM2E.fit 3.qmsg deleted file mode 100644 index d817b50..0000000 --- a/cpld/db/RAM2E.fit 3.qmsg +++ /dev/null @@ -1,39 +0,0 @@ -{ "Info" "IQCU_PARALLEL_AUTODETECT_ONE_PROCESSOR" "" "Only one processor detected - disabling parallel compilation" { } { } 0 20029 "Only one processor detected - disabling parallel compilation" 0 0 "Fitter" 0 -1 1590186869219 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1590186869251 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590186870126 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590186870126 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1590186870391 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1590186870438 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186870673 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186870673 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186870673 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186870673 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590186870673 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1590186870673 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1590186870954 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590186871032 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590186871032 "|RAM2E|ARCLK"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1590186871048 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186871063 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186871063 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590186871063 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1590186871063 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590186871079 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590186871079 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590186871110 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "Z:/Repos/RAM2E/cpld/RAM2E.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1590186871126 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590186871141 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1590186871141 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1590186871235 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1590186871235 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1590186871329 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1590186871344 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1590186871344 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1590186871344 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186871376 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1590186872032 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:01 " "Fitter placement preparation operations ending: elapsed time is 00:00:01" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186872610 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1590186872673 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1590186874345 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186874391 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1590186874657 ""} -{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "4e+01 ns 2.7% " "4e+01 ns of routing delay (approximately 2.7% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1590186875282 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "20 " "Router estimated average interconnect usage is 20% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "20 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1590186875423 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1590186875423 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186875642 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.55 " "Total time spent on timing analysis during the Fitter is 0.55 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1590186875688 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590186875688 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1590186875829 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file Z:/Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1590186876126 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "363 " "Peak virtual memory: 363 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1590186877782 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri May 22 18:34:36 2020 " "Processing ended: Fri May 22 18:34:36 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1590186877782 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1590186877782 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:09 " "Total CPU time (on all processors): 00:00:09" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1590186877782 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1590186877782 ""} diff --git a/cpld/db/RAM2E.fit 4.qmsg b/cpld/db/RAM2E.fit 4.qmsg deleted file mode 100644 index 54b9062..0000000 --- a/cpld/db/RAM2E.fit 4.qmsg +++ /dev/null @@ -1,40 +0,0 @@ -{ "Info" "IQCU_PARALLEL_AUTODETECT_ONE_PROCESSOR" "" "Only one processor detected - disabling parallel compilation" { } { } 0 20029 "Only one processor detected - disabling parallel compilation" 0 0 "Fitter" 0 -1 1590959452243 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1590959452274 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590959452540 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1590959452540 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1590959452837 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1590959452868 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590959453087 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590959453087 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590959453087 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590959453087 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1590959453087 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1590959453087 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1590959453353 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590959453399 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1590959453399 "|RAM2E|ARCLK"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1590959453415 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590959453415 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590959453415 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1590959453415 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1590959453415 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590959453415 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1590959453431 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590959453446 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "//vmware-host/Shared Folders/Repos/RAM2E/cpld/RAM2E.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1590959453462 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1590959453462 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1590959453462 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1590959453540 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1590959453556 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1590959453634 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1590959453649 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1590959453649 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1590959453649 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590959453728 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1590959454024 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590959454290 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1590959454306 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1590959454837 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590959454837 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1590959454946 ""} -{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "4e+01 ns 2.8% " "4e+01 ns of routing delay (approximately 2.8% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1590959455321 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "18 " "Router estimated average interconnect usage is 18% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "18 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "//vmware-host/Shared Folders/Repos/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1590959455415 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1590959455415 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590959455665 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.28 " "Total time spent on timing analysis during the Fitter is 0.28 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1590959455696 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1590959455712 ""} -{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1590959455728 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1590959455743 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file /Repos/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1590959455946 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "366 " "Peak virtual memory: 366 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1590959457103 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun May 31 17:10:56 2020 " "Processing ended: Sun May 31 17:10:56 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1590959457103 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1590959457103 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1590959457103 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1590959457103 ""} diff --git a/cpld/db/RAM2E.fit.qmsg b/cpld/db/RAM2E.fit.qmsg index bd598f8..345d23c 100755 --- a/cpld/db/RAM2E.fit.qmsg +++ b/cpld/db/RAM2E.fit.qmsg @@ -1,38 +1,39 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1599607695785 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1599607695785 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1599607695835 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1599607695835 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1599607696066 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1599607696086 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C5 " "Device 5M80ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100I5 " "Device 5M80ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C5 " "Device 5M160ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100I5 " "Device 5M160ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M240ZT100I5 " "Device 5M240ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C5 " "Device 5M570ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100I5 " "Device 5M570ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1599607696246 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1599607696496 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1599607696506 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1599607696506 "|RAM2E|ARCLK"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1599607696516 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1599607696516 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1599607696516 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1599607696516 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1599607696516 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1599607696526 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1599607696526 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1599607696526 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1599607696536 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1599607696536 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1599607696546 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1599607696566 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1599607696566 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1599607696606 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1599607696606 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1599607696606 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1599607696606 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1599607696666 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1599607697106 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1599607697246 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1599607697256 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1599607697511 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1599607697511 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1599607697541 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "17 " "Router estimated average interconnect usage is 17% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "17 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 17% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 17% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 17% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1599607697709 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1599607697709 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1599607697869 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.13 " "Total time spent on timing analysis during the Fitter is 0.13 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1599607697879 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1599607697879 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1599607697909 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1599607697979 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4771 " "Peak virtual memory: 4771 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1599607698049 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 08 19:28:18 2020 " "Processing ended: Tue Sep 08 19:28:18 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1599607698049 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1599607698049 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1599607698049 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1599607698049 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1600299287061 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1600299287063 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600299287091 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600299287091 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1600299287121 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1600299287126 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C5 " "Device 5M80ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100I5 " "Device 5M80ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C5 " "Device 5M160ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100I5 " "Device 5M160ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M240ZT100I5 " "Device 5M240ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C5 " "Device 5M570ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100I5 " "Device 5M570ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1600299287188 ""} +{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1600299287248 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600299287250 "|RAM2E|DRCLK"} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600299287250 "|RAM2E|ARCLK"} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1600299287251 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600299287252 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600299287252 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600299287252 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1600299287252 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600299287254 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600299287254 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600299287256 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1600299287261 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600299287261 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1600299287262 ""} +{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1600299287275 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1600299287275 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1600299287290 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1600299287290 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1600299287290 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1600299287290 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299287310 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1600299287380 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299287510 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1600299287520 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1600299287742 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299287742 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1600299287762 ""} +{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "2e+01 ns 1.2% " "2e+01 ns of routing delay (approximately 1.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1600299287883 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1600299287903 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1600299287903 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299288022 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.13 " "Total time spent on timing analysis during the Fitter is 0.13 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1600299288022 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299288022 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1600299288047 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1600299288095 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4767 " "Peak virtual memory: 4767 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299288155 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:48 2020 " "Processing ended: Wed Sep 16 19:34:48 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299288155 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299288155 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299288155 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1600299288155 ""} diff --git a/cpld/db/RAM2E.hier_info b/cpld/db/RAM2E.hier_info index d35174f..1a11018 100755 --- a/cpld/db/RAM2E.hier_info +++ b/cpld/db/RAM2E.hier_info @@ -19,7 +19,16 @@ C14M => RWBank[5].CLK C14M => RWBank[6].CLK C14M => RWBank[7].CLK C14M => RWSel.CLK +C14M => Areg[0].CLK +C14M => Areg[1].CLK +C14M => Areg[2].CLK +C14M => Areg[3].CLK +C14M => Areg[4].CLK +C14M => Areg[5].CLK +C14M => Areg[6].CLK +C14M => Areg[7].CLK C14M => Ready.CLK +C14M => DOEEN.CLK C14M => DQMH~reg0.CLK C14M => DQML~reg0.CLK C14M => BA[0]~reg0.CLK @@ -112,49 +121,54 @@ nWE => comb.IN0 nWE => RWSel.IN1 nWE80 => nRWE.DATAB nWE80 => RDOE.IN0 +nEN80 => nCS.DATAB +nEN80 => nCS.DATAB nEN80 => comb.IN1 nEN80 => RDOE.IN1 +nEN80 => CKE.DATAB +nEN80 => CKE.DATAB +nEN80 => CKE.DATAB nC07X => RWSel.IN1 Ain[0] => RA.DATAB Ain[0] => RA.DATAB Ain[0] => RA.DATAB Ain[0] => RA.DATAB -Ain[0] => RA.DATAB -Ain[1] => RA.DATAB +Ain[0] => Areg.DATAB Ain[1] => RA.DATAB Ain[1] => RA.DATAB Ain[1] => RA.DATAB Ain[1] => RA.DATAB +Ain[1] => Areg.DATAB Ain[2] => RA.DATAB Ain[2] => RA.DATAB Ain[2] => RA.DATAB Ain[2] => RA.DATAB -Ain[2] => RA.DATAB -Ain[3] => RA.DATAB +Ain[2] => Areg.DATAB Ain[3] => RA.DATAB Ain[3] => RA.DATAB Ain[3] => RA.DATAB Ain[3] => RA.DATAB +Ain[3] => Areg.DATAB Ain[4] => RA.DATAB Ain[4] => RA.DATAB Ain[4] => RA.DATAB Ain[4] => RA.DATAB -Ain[4] => RA.DATAB -Ain[5] => RA.DATAB +Ain[4] => Areg.DATAB Ain[5] => RA.DATAB Ain[5] => RA.DATAB Ain[5] => RA.DATAB Ain[5] => RA.DATAB +Ain[5] => Areg.DATAB Ain[6] => RA.DATAB Ain[6] => RA.DATAB Ain[6] => RA.DATAB Ain[6] => RA.DATAB -Ain[6] => RA.DATAB -Ain[7] => RA.DATAB +Ain[6] => Areg.DATAB Ain[7] => RA.DATAB Ain[7] => RA.DATAB Ain[7] => RA.DATAB Ain[7] => RA.DATAB +Ain[7] => Areg.DATAB Din[0] => RWBank.IN1 Din[0] => RD[0].DATAIN Din[0] => RWMask.DATAB @@ -320,13 +334,13 @@ drshft => drshft.IN1 erase => erase.IN1 oscena => oscena.IN1 program => program.IN1 -busy <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.busy -drdout <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.drdout -osc <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.osc -rtpbusy <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.rtpbusy +busy <= UFM_altufm_none_e4r:UFM_altufm_none_e4r_component.busy +drdout <= UFM_altufm_none_e4r:UFM_altufm_none_e4r_component.drdout +osc <= UFM_altufm_none_e4r:UFM_altufm_none_e4r_component.osc +rtpbusy <= UFM_altufm_none_e4r:UFM_altufm_none_e4r_component.rtpbusy -|RAM2E|UFM:UFM_inst|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component +|RAM2E|UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component arclk => maxii_ufm_block1.ARCLK ardin => maxii_ufm_block1.ARDIN arshft => maxii_ufm_block1.ARSHFT diff --git a/cpld/db/RAM2E.hif b/cpld/db/RAM2E.hif index 8f4d92a1c0515fe4672962c800c50ef5b1a7b4c6..f1dab0e60e1bb6d19da982439e31bf9323fb34d4 100755 GIT binary patch delta 559 zcmV+~0?_@z1i%E49Dh5%pl_4~nhBCF0rqGa`_fv=k1h#FsPuoI6IfdnwC!Pg+LTy! zzIT^9-^cRjji{PUje`KYcJEp@Xa!ZR%K}rYPx=&JZ*-ZQC90iC*4)>)*J~|)q0Z`uh}F%<+0m@wb;zmV0z=X0W{2X@ocZRnZpupB z0aELIC-vWx4}Dprr@{r!$~!H2`OxkfKcdlc5pmxG(Q?6O!8}-W?LHLcC($w<`#iZB#X&fIWjQVPphqWqFb$*F=PN%BX3_LH z<2VYFMZ6p@lJ2iR%$+<6O({`yd#uMHggiThQFzvgIe(<<*u4gWN#3`h9?`zjav`hI zwDH>p3JIvAr(PH?C-bue>05&IPZQjvx#8#8jv7dp(t$gKp|U+8*MvB>o37UVB+h1O7%I?piebxD`v+pSI%W<7?9bxqkdBeaJ@D0W%` zz8CT^gO)gHLK~G*V7z!kbf{lPR}|B7u-amOeK=Ja8ud%yXCS@zl@8Rf-aL30Y}c zw1YZNzAYs1Sbryg3XwAC?$Ah#EILsAIA%i@OCqDl9`++iDH%~IA~ZCCI;)__L~_n+ z4#nL%-FVKYW6xhL`P^N&%ckAOqMXoQ1rvvdcjLhIX0I%l7OQOw%*36L8u}D07D^}j7ExDl3A1~$}GzkAY$tu zMri2Vn89^cR=-J;i=;Arj^BG`e$?)G#QN?4+UJ!@L`zD!{`nG DG?64m delta 196 zcmZo>y38~oxc&$O1PC%h=ujv>7|O3;{Pq9;|9UqzHnv&?Gp3|;?Y|cuU0Ia$cg2xC zA+^*0Jh^n|%AQF{wcpPO^oNUXSr||ZL zFq264_s`#pQ`w80KX3Z{>GWr-8xBU6sw-VP&p1q*zfakN`$SNp!a7FjNaibPte0lZ k43A;D#$~e0U^BxKMhzYn$puvtXDB3}`rN&VapE3#0K(}{VgLXD diff --git a/cpld/db/RAM2E.lpc.html b/cpld/db/RAM2E.lpc.html index dc80195..a8acc8f 100755 --- a/cpld/db/RAM2E.lpc.html +++ b/cpld/db/RAM2E.lpc.html @@ -16,7 +16,7 @@ Output only Bidir -UFM_inst|UFM_altufm_none_a7r_component +UFM_inst|UFM_altufm_none_e4r_component 9 0 0 diff --git a/cpld/db/RAM2E.lpc.rdb b/cpld/db/RAM2E.lpc.rdb index 6502319c8e248d2d82e12273d86584acb2476ec0..97e4bf11a5acd4175a8122ef91ffd01cc9f2cb94 100755 GIT binary patch delta 278 zcmV+x0qOqy1N;M!cz}flSX*<}=H#kfO~v1(96h^9h$j zPI7g`WodY{0T!sYY9T#&7i}EB&ui)o>6vCF*S|7rFHpgj*ncSg0{n=|!eyG3>V6(9 zdzxsz3I4U@mFu;3)q=e1(pJ+_zw*_BO#A3FACVD1rd7O}RxI(P&w=czmtIhdtRTAjsO4v delta 278 zcmV+x0qOqy1N;M!cz;U+K@9CGC>H!YdYYS85kb6KC<=;RdR{_ztOMIjnav&w{&v@w zZf)ZSR@=aA-oBT4Nv3I}dai@wG-i0lBLH@K{G zvcnB7Yr~BVut2+27wI=g2;%tCSDpcmmAx2i!vaH4Z}I`3(I6FIN?;bogt*9y)z& cvTSN*y;!ow(+jX>R>S@bjl;j-JClI{dwu$c{{R30 diff --git a/cpld/db/RAM2E.lpc.txt b/cpld/db/RAM2E.lpc.txt index 686f237..9ebe4ce 100755 --- a/cpld/db/RAM2E.lpc.txt +++ b/cpld/db/RAM2E.lpc.txt @@ -3,6 +3,6 @@ +----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ ; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; +----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; UFM_inst|UFM_altufm_none_a7r_component ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; UFM_inst|UFM_altufm_none_e4r_component ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; UFM_inst ; 9 ; 2 ; 0 ; 2 ; 4 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; +----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/cpld/db/RAM2E.map 2.cdb b/cpld/db/RAM2E.map 2.cdb deleted file mode 100755 index 2133241ccbfcf16604882a9aa3654307d28fe3eb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13039 zcmeHu_fu0r+cv#O6Hq{U6_pOsq$#}#3QC7a@4bdVP(eVc(mNsoA`p6qgd$B!Kzd6+ zAanvGv{3T$Jnww}!S~}kXXe~{c6RUGbI*NUd+p3FJplnh6&2y_+yC}ay|pHee*UiB zUfdE=qT-?w+^T`D9uC}+Qj*-_GGY>vVv^z#QryOlenGDGj@++o?cKR0xQ%tWO&#sH zH5^<6ZhJl|Cc1S)K%gW3Uqe7e`o9(}`M)-Va4(;7O5|8 z4L(&tfzK?NqQ(6@U$-QKp3-|tFH6WB7pVRH%|f2{HzhX6ya)IGC!!1O$F8CmY{HGOsve!{a?Z?bG0xC6M{yH)|ApGhx)W@lz*nns=K zg^a^VrD;^A|9G^UR9Zozha0SZZ7_%g&n7CBL(Ljj7mA(hcNb4RO7nO7EN0mn>V77K z%dQl!+S?qIPm8fjA`vxBg-xeHFOsuq=+sEnLbE(QhjKsI7$yX_UcT01_s!&s*!am; z6?s{H;%G5P=D7bl?r!=DPY8udmME<-GwJ4}FQ>eypVee2C&T2NPeNZFZfY($V5^E(9Zlx}oQ-B!^{JP6Kd$K0#_qs53@cvgJUQ>N8 z=C5(3n~Hwc>urO9*DY~YQ=9yL8tY#M?@tbBtbgB@PqJce74@@LIrzV!{x5+4mlpqD zaJ3m&k9t>i<>Kk-S&_ll)Pk1?Dy(g4G6w!C7r_g&fgjOE+|~1`$yD~4CFH8OKui~f zKY|)Tj0D{K(5y7GIi3J-SjLQ~kpfl1-UW2Ve6F;zYT2N0HjqJd$|gO3&$A(~gl49S zLN^A&wKzF#AG)vi&6ER~RkEHZ$ZMti}bP{Z9`W&st(faf9X+aZtUtn4snHzMl~4Xc(hv0?_A%z0n;)G zJ120hUEEibAASjbuqwEW}&yylZLaliXa-LA~4@dx>`Z9L_A? zoEYK>LAw_SnC${97@a z_5iW%kzmvAvk*M5!a^Hu8hp))2spu(W(GJl7EU@wM5s8n+0a@1o!K2}K`!1exb)q0 zb|D2SdWrC`ZU$>V0?t90Q_C~O$voVV9=m^TsO#x~xOX8y|B>Vb80|C*j-3Pqa8l z+BEmmvK`rUq7(bA{;AF{gP+4BDK3I!F_ZjDS*vZtr0gw;-+UWONEZKL;a z7Bc=eGmfGsf@o~XW@5*0`o*UTVn?B&d%fRLgix`W(cidB}SVG5p|;d$5klM9SB$`Hvb7jRRIgzh>qh^&<`%<*35Z?#8bt=iwvGTpa+_HOo}%Z@w7a6RLpAWa?6m; zS#gCkRgJBonNbyU$`xnR>R-CI?zqRa7f3N2*KqSMjE~zov470SehocJ(BArU4@17| zZcjtM6knS{|H7Y*>9G#c#@!57`h~`#G#~crzlXj%+2Y^D&~elt14d2}Dy!$!5vG(S!^Bs`X8Kn*O6Tj*&LjkbFN zeobmaK#@&nPh_9>c$f+X+;1&Pox(?vd{MCu5;^^>!KEw9;KIgDi0-7$`vO#Lo(^w{ zzKD)8o<2vZI}qR49?&c{$A|RJ40j71?{_3z7f={R)3`9NC;5GsNMev=k!zxqzv(_U9Z7$s~sA)EIzKK5iOIb}Uinn;-4R$cqI%_mYx8(1V9Yv!$I znBD9LP5+t<>Mb$Ka!f~vpQcfoqex9AWlG~Q(Gh{S1NeEjB+9iT?sVG14f*vy@w@i9 zPC{^$_ekczFat}dr=0e;WagdLRVM>K&7L;d0NQb|f^!l{s5dwNR+MU%wgviLPP4C~ z=L#1-B>acpR#8(^?Wy{?QtPV6rss;g`iNr@eO!7xMGjA|I9XZKQrAIezK-9Xyt5wY z{!H^J*$4~oxRE2^uJ3efS6hu#-SvnfFP!euef`|xz8Qw%>*SoOrH!s!Z+vGLk+&DX zFFe)sm%EN%qGL!iTsG$6Yi^oE-yCpbD*?ltDId?kgHv6|%p2{L#nz~|+ZL$8b+Rvl zwo>Zxe1yD}4h7FKUW=J-k(rt;nyUMhGuzAosywT!e9-Z>2Wv0)!wo(Lp!4rn`d9Eg z9H>n?9knv^jXxu68c6fy&x+vljS^&8(p+wDYXojoj;_rawvUN=b~CPvc#4rq(YQM3k{PqF?@z~yIIMZm8vbp`iRRN#K-w(Ei6p_XjS$! z(Um)$0C$q~Fp3_0U(MO1)O^HmrqfYrn!)HIE+$@WMlNDDzgh4csk}O^$TLt&Bg0Uv zeSTnBRGcf!F$hm94-mn?D>?<)Q#}OOm6wcO33kWy?DTerF?`bG*Nj{nyU?#;qLojC zbV1Ru+8JO^Z4%mS>ozVZ%F7IrVFRqfN0P|uk5N?14Hx}@QQ`9X1E7`+HeXs_=H8UGQ zX?*V?o#fn`Lj)ym-Vsu^u3pF-yA+R6tv)|GV{K=;{QccnAnAKKfHI4$qEe!T<#wOalCOYviE|8GKA>N+q@}75;DAJ zVUNsI(u?m*{zC(Ao*34)Th8=tU5#Ax?^V%h>m;x*c*h}nOpe@?@x{=+OQhoY^q<6WSUV-2garFh4{Jd1mH7hmFQmnCy5B{uqJq1A9E ze9A+*JP|M1f6U5TnMS;Jt?EG3x%vhD<9F5S4=AflaPO%Xj#YC#t{!No1ue&u5+ewv z!kmR-pKAd8=mwi<6b_~?_#-Pg|Eq~O<{>kRyaNIcs>tW_3dZN6(LdKiRKC_F|9p_o zHGJA~+lL+?NYKs2L!&02zgg(Pe_?J(FBTboXWX_n#EJ z<9v5{?785MkPzjk4N{^D=}~&RUZ|auC75LwqBhDn)%#cHFSRt0n`W*u)CQ;>rpj|9 z^jVg#r^l9_zA;%2Xj(K`sd!Gke*ZP^B41s@dSzWk{PkSzz@|I+3SQ1K|`CZR2mY7 zTTN|nR?3CNki6fo78E5LQYA7-64{s;BB-9G^YErICmM7#7H_NCy|x**-7O+c zM9AGZn7mo&crWzx77K>MR1GZj6@ol8FBkU-DBn?g@p+Sp@_txTO!Q1_9unezQ?&iu@k(-pt*h;f1w-VHY>aChV+`7_Ont@6eHI~A)&uwq~JH0`0wlf^ZLb%t36 zBdB!pYnyuvnpvxg^o61BWs>Ub@`wD36o;CL=3LB7g}&c!1x0{7yt71HD@`-BQfuzW z9vLD<)UkURxW2L2@H)ElL(TctdChs^inD9cyP5>Q#pDH#KsqC zlrW#0*dnp3#xJXgEEwTy`bZ&_`0TbUv)3|S(<-7x6Qv2Z&v;N0sQI#GU7%4Ks`SaU z7dmR=ke!z^(S)2`L*3PCS0jsENzzEtakh*fM?IsLgh-F*xSQ(91vT*Vi!+vwcIVG#!)&`9p44kzZoG+S#`fHw*OPVDp*e?zDn;nylv0P=MBo5+j&v@kAYgPn+MIr+q zTx;9Sb`M^UF)6ka*!Nq$T+EV8BpK+wOBvvHnBx0FHKYgesTYF9M(=*HCI+ZHokhy7 z)_k_~dt~Q>#?=*d+V3ywyWaBM>4X=Z6SwUI+sVpkNQEn#G2~djo8lA6sP zk;!Ju!s*+Bs8Q&teY9#+72WhLY*wEybUkK>?e=xvmK^FN!%5TCOK^nR0Xp5;ku~!yqVoqxpd*we6M6@u<-mY^pX z(g$>k%}*0cW!|LL#M553;6eEU+`Z{WdzYBIZXNSbHoK6-h{_B;fyl`~PkGZUOR+h) zFY-QW@fYmdhJgkCyW1a3%P0l#3Ve(oWhfD8!3IaTptu8%L=&m2?8WHS1_MKgfALK~Ry z>>u~VesF5By{;+{ODS;kn%nRY?EFt3tIADce#6gIN#BQZLR*W^kE`XrkdY< zy>brXu(3%Z<<>brN5T}nI$v$krGB2)4u8iNXe*LLwL5YQL!=vW*^l#CLOv9Hc$%_s zafR#ePp{z8TXOJm{1D@kDMppNRO3VX103qLNx$uZ=`vuL{=`6hMfGYFWC_TC0}U5M0O&PD~1^2gP39pXxbhd!X?fNx7U;Zz3gBsW?Xu0 zF`7Lq^Jz!z+MnHJ-}a~vX*5&Rs!u-pJ;ZK3y1m*x4!d*^F!O8sB3>Cox;`YjC?`+q z@CknBc)K_h9~VB=F6^2oU1@@yDu`Z&SY0QJ1#6WQRJ7vIB#o%nmYMEgn_Xjx9m4Eii6dIYKWiDI9#V!uMs7$hIlVe zdin2VTlwc@IJ8OparmhCLXyrU;$$#X)H=n9@Ilo7;-$y_&4G5<)!4wpSLr1?o_wLx--*VM@^kuKJz9UPYC-02a^C^*`dBzZR1+N1pbxFV{qSuH z{@H&r;&#gZ!cEq>B6#0*ZeO>X7aumsLuDUj#dDkcgHzq3;hH>1^pzCl_hS{Ws+XaV zE%PmF`iUi;lLNmxJaC<#QHcw2b{7;UX0C&7JE5)CUtroJ zA0EvR9Y4%ZOlT}=dw*3sIXQn2v1d%qHwk}`!ukAv+?CJ;8mlYd@bmR0hhQFG< zmo!lTJrpKIzq$FnqK;%?uEY0lchd3lRgiLd9m(qD*-1R&jj9d*dctMs!y}?bjoeXA zX5Se|+qX@HXF!@qWo39^p_d`Xg(p>(xXFm+Y;x+-Hs7ifJVPX{=>AE*inAh(cMSjK z3+=#nHW#2nimP>ns2P??aFQqU%jnT-eH)`{Vd%a7pS<;VeKWVlhQ#=@6Akf>z!Orv z0T-wbJ}RJi8}_;&**Ui4GVw1)E75Sa9dF>5a-qY6o<(eXsN^UEM zsB4RmxK9`v8fhS`VEx+RIRYdQBWo7DzAQLYFKg|F%YO`9)EZQHGNb@{4olKOXDGW%uz8h16;V0HGJydnV}IZ$q={w%)eI_ zJfTxEaYQ2&I#e;8>Q59Wwk}j~Z*Ssl+dL>6N^ANjV%@ml&%)90!ez4#Y_X)x30*(6 zITzNZdu@`R^WV}MTXMyE(tldmwAx({mQ_q8Irsm+nkJp= zf`?c7j<1!&>jFsB%w=F5{M)lSLddiEha>eyg%M29sPHlyia9|NUh93mTcH6)|&@*8@ zP*d5_?G#DdTk+LB)h2DvF&5Z_N3AJ-jMtm8$GaoyQB|%gEO(aD&o4XHIfVA``nc)6 zPXCm%jyJPDg9?_z?PTkXx~)m95efn^R=|JHVR(go{P2|bC}Q7Vo@RM=@4R7MQFTsM z04U9VcKOvFPVXis4xQTTgyHPtCmHj8f#V~uife)Dqc#X8K4x>I^!19yWe!i?eskM; z#31lJuA^v&M**>cQ+FDrEtudrGob|3t7Vod;LV4-iRD6yV8mp{WGn!;wNvgBV0?zG z3n5?%=KW<;P3to+eU^qFd;y$HqT+c# zRVo#FKw#7MS+*%7Xg};i@PLMj)qMFMj3WBIAESAb!lb9r zPRG-PDb7tsv;WRioUd;xQ9>ww`@L|ty4fq+ubmw_LAke?feEjJZiQi`hor8)UyaCF zhRuv=q4h!~W;LBW!@l-`g`^ew|0zFWyLmZ$H)5u6S^!oCPvxhAsfcfzPok;!@t{?G zn^6RothW0Xrm>zsuJvgAMBten<`*h;?vhBPnxp0n=!*Z`W2ZlA^YZP&b!J&xKeAS* zfZn*bn~DW5!c=4KTIab;yp1HMFTFm!V+PLuigR+cT~6<>YOX+<=T?Oa=Eg`cjSO&= z;Ffg?{@Gi)LN&v#+A=sS?wYN^56a#bO@-KsLJ9%q5rx3^8sS@i`@CXvAGmxt@cj;2 zRmdd%Yp(pA9FDDENJb35)l1Hsp2jq5<{6(QWJtD;lOc`sWtHf~heXd7Sa;w9j7E(# z5IzYGsl7C4ymT6%z&svO2wK5qhJ9lWHFX-8*uiA-`#(a29DH0p74`94I)pXrIpLV> z(lY@}w1~>7^Hf6_t6Z9GXTM5F!lKd-Hi=-r9oUT6#om#HFn(sQGdu-jN_>1s2=$i> zD7Z)oSj#4bKd31wEu41^97Sm9%rG{vmpFb^1VK)ZZh>TjvEMW2hhyt-e;W^&!JZ1! zG^cf57=?th_&(+ z_*4$K@T(U#=H-<1HSL&>w2GWY_)U7oCj6)h&b^o}gTI3K%$TI!#sYFN?X5SXH&r&e z>vmj|c}Xu*Xzfsaa}>&9ntJAEizwaz6QACgEO-Y^tOyAXeIbN^-u$xb-~G149o)T{Ih}d%GZzV1^~vzLWQ0t%G>DGL zK$5k0dc9M%uEo^;Ow3Kr!55+OWT1Eu3ImkZLynWqs7-`y_sFFtG>>`1$ z*mlN{gKms^{{wILn2WMh(}uN)x)07T)q*<26MFL>a?)M>@%RQwAfJu9diE!oLrfQE zX=NbIS(-U-RKG?te^|}87jhKF&gx*`NPYpoZ90GSboDB{GY2g8GH6b2)&~lo@;*|k zV>gZS--RDaD|Fe$tUgUVZGSSOzML#r0@o-gt} zS;uhoq2>{`V_tPX-GjuN=8pP*IFABYkOLIK7Cq@#pTfGruDvV@(tR3tU#xe&lDjN{ zq$rjzUxlS$;(F|~Xq@4-6GhSX$Tj{L=VP^-f$$Hodx@J}gO*N9TW2b|87dJ|do`Cs zf>baM*fZ#hXt95ta)u)j!KElMK)Owg-T`CEUJh(7%wZ&u;0#X;nt?Z0Dpi{IYF zkW==5=)X7Rn_~9x%Yk7slo;$Qw({r-)qpRI>O-(5hC_ux%B=1Kh9%7Ye=L7&62@H> zSSe$}^wtoE9h<13gB-wzwY*k}7+9D&%RvI-$xy{|5`i8y8)QaomTM(Z|5#VfVOe6o zS>kax(&jS9UtpKmVy~@pjC@<#B!;%_Bg z9AveH{K`XwTr`9>8oWMH=%$FhYHYk^q>aZ4$SK_Q2iz65>s)s~LjecdeGkr~i(OSC z!>(nMtvLZr*QD%+bT8R=v&rSpwxN(@*rCN$FLH)USB4>nH4KVo(aCT%ka-*lA^_@KC( zc_a=j0e8<|zhFZ$&|WJGnddd{g*Nn4t@SrnT?FcIUfYq^1}&2Yjf+u%-OfbZItB&V z_8R3NCwiPP?YQ~Zy*x?sSkE_l$eot?3j*qrQZ9LR1rN@qpMX7BMppzBPK~v9R=R-(}GZ3Ze8tGC5^BA^#Raubw z?Aty#l(MX8raEf?A$elie$xk#bGCr~8d?+>QD~g&fCMS^VxE9a2lsxC?jmxrl6lA4 zx92b2+&o~@C7W6+PcuYLhqpVr=IYLg#DX(uKn-)9KUl@qVH~%N0oa+vna|K3_is8x zZkyIe2G2svH@;25P+EOxMtiBvfZXQve$yD4b?Gsh8E+ab=qBP1FMBv|66ht9R%1(A z_`bLD)h{vxPn za~D-IoL0_9?cQoZd9`*5v5j2Aw3F2`oa;2GcCE4-ci*UH^&F} z7rVnD!wxaN_0~edP;t(=7oKotH!wQMzBBr=*&*f%LTI{s_VncPj)-CYTHK~AiY@6b zt$A7spuAOy%h${E1(i=s$qm!$N+c-^_WEB<5O^&QXCVSBT%W=6B|+_@z$3ZY!L-|F zcWo1yGcd$+7VP0d`w_ao+dLc(Y!R z=s&~D1@xzab*#{kv1PB~N9ca5-zZ&+;0gZ_DBTSQ8B$QoifIMA`~H>-(;D^`O^)GU zEkjxJ`3&-D<>F_tk&KWvPa9K=&{##%D;rSwm!up(_b0KE%l5+&|AGN+MB-CAWA=Ly z_?79MGkFUeZbd)6pr5VsASkSF`U)MFa>94wjwdu~7wbA#2DEGnfZVTt6{6&Oir7k` zdTso=H-3470T`hgW{O1}q2Wnr(2YlJ`%eH0ejYz+=nj{Licy36Z&4`JU%ALa1KBTght3W_|t)3!@(A@Q`_c4~$KGSZQ6FGdY zyW{cvo8Eox%T_M}KyNKPGlz@)2N(e9+p8M7)SbB%PpDNqFEA*g?jd})ANSpN?Iveb zkU4>E&2~1k(f87=uJ^!ydao-9o~}6Qe?Lh3?Bop1Jb%PE02ocgq;SZHLFR2Q(&m0= zfA3VT8tl;NkzioyEr7RM_4E?z%B`SyAfi2nO@*%e)JRA=cID}V|btT<8y z+lD3SwPWEl#TBAF+4Rwe#qKHWh^zb9qf*i7+3|~!x--UOdz-N_q6qW^My{lnW=x# z62p8fid&XBX|L@3O@8C7IJ?())CH?)mf_rVRe`G8?XeSKgJ`zl81gq*On1bp$TVLNnd%U+*%r12wp8Fk5&7>l)_jN-1x z3*687OZAgsDX!l1byon0i@H@!PFzjyv>3^31(Uw+A5>F0Z?E5ZyFCD++j2Q}!*Pg= z1jSU0#@whL+iVxOPu;4V7SJRm}#gOK6_^kEi{V-HTtYfB;4ZcGRod(iTQZVG9`xH zuUpFcb{1K1nxWJM&v<-oZc-+WG&nY+cc~@a2hT-7fX9arM!gwzXMAxlVo|2C%JZ+- zBwggadugmiPd-_w+NSC^89N70F6-DEM2;h{(VQJ z#?rl_=lS^R2NN>aN%k|P++kMs85n)0jM{(r?p`Uu3iQf{URExt&g1jNMc%FipcLEM zRX4})FslHr8U>$G2h14uF+fMsnRiF6UHf2 zrC|>Rf`$9?^WvIP19i(R=HDm z{|M{6X`da+?64}aA^x~UjIwODX6!p$#j5F0`Ge|@(g4q8GlA=mk^V*JI!T+!qIVpl zM$f6pe{*;TP>z#2_h~8-tdg%#7C-|Hm%rUDeq7Gph diff --git a/cpld/db/RAM2E.map 2.hdb b/cpld/db/RAM2E.map 2.hdb deleted file mode 100755 index 4f3ae701bac532fd204bf321a32bda0126b01a8e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17046 zcmZ5{18^lx^l!MalZ|teY;4=MZDV8G8*glTW82B*#uab1-wlS9)jy&4L0hf=3|{oOJZyh$G~r zg1D0E%23l|Q-8Df%9~^>f|%$cs^+<7xPrEWCOnYJxh($TUQN?YQ`5suJ%AM>@nzAW zqw>rz@432li>ITbqc=B)*W+p<=!b|WOYH7d(9+s`f+qp60w#qZXkVI(DCB)H;tPis zJ4TDAeK%YpV8_VjA%q9i4m?OM+r>KE&=@PYvF?xfg*pb3bGZXi$892+ z;;U!nSdB=hDzc(k1{Tq(7wep9VCSs)&!z2t3rA}-T}0pC^_PT*(!#*`>$sx+__f`C zS8>ZqGSlSb`v{F;lR3ocK-7D1;ATTfnW>YdSiphZ+MUeX`+HrZqP?S; z&N0(W7(35Glg6vH+!;^Jb;6&^w)-cnsmkoRvHBJPb9NG$3fO}>VSG8rG0nf1bjd7D z*;=Un_@epou%#)iYv_j&U5nQbtu&Z>(KmJui$BsA)e9Vi4~c7K{c+21)zmR{v)zxn zD_(^;#AVx*vmr#!R}g2z-VSF!Okd)#;$~gqi=jRuOkVq(Od`$C1FP9sFSs0i1YGwM3XHCtz8hL{C{(4tPH^vnkByF90i@gR2 z+Ea2wO`_+O!xZ#3L-UKKYi4JUC2sA&Q&;7x#|72c)LHLl2G$m4JY7tXEq&tctR9a% z{=Y?Ur#-LE?r`$W{Ga*$--=HWjD4&`JxX6%S-mI|caOh`!jqqCkFDQq*d#U%W4aCc z_ON@GmA%NzH|M+D4vU3ah3-~@&Co3K3X9w;vSX5kt35%(LX|{Ce`YVx_s@)tSpY21FEwEwOT8%;1Y^*$QgamCwfqj zjo`=y35>j$)c&e&AOp*MwuNN1pr?oN7UGu3nhTZbtSK zj^AnTz}?)hu=(@7j<||B9em6v{gW_2iwDY6MXc1*shuBav5zZ)91em~*12*+l&eIg z(iU`<_j~UTo-Onx?pG^8r&)*Mv$}hKAGI9~;_PB9J%H*fs&)zP6SECZ7&<7~kG|o`+=5 zJ=-ns_O(Nk+0=8ss8apvyZC#Hb>`LFKIWSpEDpzymJ4qOE6GF&0DP7AKhn-5tbSj2 zJNjmQICymS;P}OjYM%y3;NHFA`3dOfr9ZdR3Ff%XB~$0A%rE3lEHAUDhj-U}zW?sa z-4F=7l)G}fWZirleUzy%(|9+X$|HE(mbpUPe@qxv99GR-n}4~5VK4!;3ou_QT6VKF zbKbYd)p0H}67sN+4^NweB+m6f1hd&E)d~h`bdu7N_^#1m)nmuWh zF^;GY>nE?474^6V!o4R}uX1xa^XCs+A}}7xFT?FT06m?HjpEfdQ!wv=AaVhlW zd1lx*roCdb*d_isNml)=)O>sV_?&|FxSWCpjUBH}0H=HXH|YS_mT5^nwSb=o9`wCu z<%Svl{%4grXM~^oxr@t$Z(ff(rOafF>awwrLM3=`GAJpOgWB1{vvS_7i zW)e4Yua>(n#ghJL{gLb}KRlmClcom_1p#@^UkK}|KbCaNSfZdz$5^wergC}@d5B-oa+yhF{j7)cD)X2l5R$--S^u!6<`KBoIG(*%^4dIqb5NJ` zSzNc=A#5;ZO|Evh{DhW`wT=SO^LltmR}FoA@^*WYrp*-KD=%5fV9*?%vj102fUWkH zfC_OBYfr$$y*8&xURcqHVCEOSHQ?W?PcEj56SfXd}1W0^c;KTe2g=bmEFgj3NCjBnFvH};ci2(J`MYxMd~t4TgG_SAw{2ItN2&2 z%L|wEk+{wGFeaZIuNcTW3V%FqZmySfO-a}7WVBqziS{s%xD<~Od;Srx;ysqq{Sr3Y z^GQ+$sx3V&2STIWG?0Xd5H6dovPg2TnV%+Oq8XN%>*QK({I$>B-%Bl_OF`!d?XG&B z2qJgNO0?02jv`(~lqu^?MCD)St+#(qEU?q1g&UjWP{TBV8<@kY-vw_@B<)U-*HvC* zTe55@^(j`7?!r#LD5v;=a7I|6P9p`6q}jyqT}N9|nwBP0QqZ+Fr%H`{>_H>hI3|_; z)TUew)nS{`CzE%&fp3N^N8F}s7hcHdn;Uxw8RXp+Iit=n&++R@` z?=C{bTW@mrD`!aOoO?-=NlGlkx$BA6)VY*JC#Y1~g8sK_&8F`Q_Z4pV8iq3_-Qk1I z(29sUS2)FtI-Oo76W@t+8@-Su^!xS5siyPY2tr-!W7XGN^w0};rAot)bTz`M@=*vW zvRh{@q0P)aIi_0hTjJ<02z3N>EJ6}v6{yhxI$SFF2D9CDy-Sr3ir2SOp^x(;ghi?8wwJ2-Sf{>I*ST-O^40YLq7L*+64&YNvl@hW>^4rLqycR$k?wq1n63W=>MmC zPRCJXIf?rjc@bXKzpqY~!*&M@#>k2Qd6lMgmWrk33x*2f~;G z7s5V?fV{1Ky_Y&1nksBGDfq!HSsz1B?L2E^S?i=Pz%uo$ke@V`CQ#dWY zRGV&ahDzce!H$o24BrQ6 zE;5JLU1DjcRc2h{7>I3m&LH^ik@L__BbjAS%`?=LQ`|3+woc0|M}e<|HCgYU%mP(0svpMk*?R*zT!WqX z>0dDl&p(@y&@8$j!nJGqj4f#E)-C3b;R%*Vu(+yNX&kjA)pqLa%leFS;$3i`!XP^H zCBmBvB*NJX|KY#FUL?`Ep77Nz;h|;^J+y9q0iQvf&dMwG8|hy1Uy=Cj1`4j7v>IR8 zSwVC)yq@?;#YBI!WJ&>h1mDNTjU$&8(r?>i#H7oJGoh@7$Po|(j14L+o%0y9nkU55 z-`a2`4UtIW^!zP9dqu(6P}~Jt@7UbzmGXGyvp9EGH0uJe&3fJutZ1GJ7Y=;LySDX4 zB0qD^?tW76Y;22#gR-nzd-q!xP2ybe`ioB0SB>etNF|C}w}Hs}mk~;~&zbB~m;TjR z%$myk=L~qgW(Y*i*n)w?a??Gw4{Lwy_Rnv6*u`0ePqHOk++xJlwhz{-yFEXNOn3p> zLS~*O<3NoC<3^iYBjpa9sm$&H{tsDE`25B0fgi`U{G2C|d~P1$XR%19msyC+zwl}1 z&dk@B?BMQVbmkX1;=*^eA$;3y@5Amn;%ZUqBd1Q0Jrw5fzyG2-_KRmb?s{zQM+MjU z!K*7fShImQXVZoq<&iAn%@4DEa5J{kKm1%GLQ{rkwYyebIYc>)kRYW$M+A{}9vOMd z?&((i0udEu9yWV3mJsRomSfJM{?DZh>v^m4vIGTTei=!HpdLe=T;-NHlGu$w6}ael zTwF0>qepW>fJD0r>SRUgssP(;YWUut8Om@EX;)wke>zeLCLN`ia-r)mG%$n|t0)4~ zPJ^Mfpb-m<_Jm z5UD>3|1JigGtz{em_wem>WSXi3Pm#Z0>Q~4Yf3~JKP6Y;=!E_|!cbZ=I(s(l7N2YhONLzo^Q#

  • LwrI*9qJQp60(`(>mdXiBBD|_YRjK^eI_8c_#~Iu~wooLab|BK8JEK&Rn>w z2;&zGH1pt-e4=USK~Y0R8+ zwR30XgT+zUxIO*!K}B>~Javz=ryFv`!WOa8Z`431Jy_+ku4`<>T@h<^LFViy=(zKz zn?QYnaC6z~DNcVoJOhg+KRz6T%32GlT3!qJ`0J)iJ~>63WzAW;s}~!-m}s>{i*^ZE zf#WHQMV~x$R%(@*5$=cqKYNJc4qR!z!NE{uF4)TUEq!`1P^5uW;1WN z1;e`37M}y``g^8Ty-INB1i-0M2CRrow%^uG>?AnaRn}c=oViXUQrX?~g%1>gc=EOdQ zVh&X*^BAt&Y9( z{S`(%Xq0$vdv+%^H5gHtw8j9#00sF-(lwinGK9MsfxE9DD}q|8W^>5u%gG}8$w~e; z+Y;eZjN%(X-y2G4J>m7k8^J)w#AO#oW(Gi)*oPpx?y#T;3@8NN1OuIc5UIn~a`r}a z00oDlaSMg($;pP*JZ|;bXhJ|VPHUx}a`KqbWkU2?B? zRn}J9@beJ4^AowFToJlo7cZ}7b+7a63#IPX$d2R<@|xCSEKD7_w+qr74<9~d?fjZ# zd>tDL>e|m@%%IvbX5gx|$aV{{ocKi?ivGS7z4L9BcNgE3*YJkIwL^d#`!di?+CJui zBYBWL9)6F8?2oR7XpfV=1Sfio|4r#8%G7XnNXh(yqSM(dD)BD%sTA3@KO~D=C$&o$6p#`v08Yz%;y8!2sCqq_`5BqpltLJ|O%-$hcVhvg zl#+Pmd%#b%{)y5yk@UJeTs$(-V{-}3?*1UjAHVdka+aN&HD;4VD3&?N%eYf4 z1BR2b+asZjjv6N~+;+7v{uuJ5z(2qy;5OqW8udE>PKiIq*p5>&e`|GZ9pE5Vo=!gN z0DTa%wJd4cMkjDMaT2%|lSj33+9B}Dq--S=M8(5cFDKlXl7pgvl4>FrEEStA=0+L6 zou)HZtK!5g{PJlj0AOR`w)YGNPmn}NQ=O>wTwoEwuOBz<^p4z#ooOdhk^uCFckI3S_|{7T$lXl| z!ZyzjBF8k6mU_#O8^&)>;v)bAf)DxLFZ#W>z}|kE@NG9fxF!Hi&a@<7Af$t?1wN912# z{o+Z+uu0OH3l{yL9akUMBs(_y*v?6$lX4Di$OP-9U@Bd-eYRM6S%G&g>_0D%)UyXC zJi@AwoyE9T_X?5IYN#>)(9NG44SyE8^(#T5w~V(7azFd;LN(;^w;T@Bhf*@fp7~vW z$S*c|u?fSYbGmgU7#Ha?nsGh6Oq|A=A(xa1T3?DSJ)8b)Adww{DaQ5RbWc{hwE=D& zn5jG-yEUU!^F8^FRMQEYh^Z{|4C_ft+cW0J&WE@jc-diL4L0ex4t`+Qa`92%^=)zgZJB=)yJ0lNl?W*nz-Y$O~PQp@dP*N#+QNI zC=JOHE42K55=Xy64TyO$VB(OEz!0kWU`PQK0%*Vy&>aUVgdEceY@|r22dRs5C zs#B`H)lYtCh@=}?eQbn(dWRDL)4|a1gf)jv;t#oJ7x9I6`yHs)~ z@yu(^`#&+4!0N8;-5rq#gg>eY7B6tfW*#6%7&)=XfB9WZ!QU6V0U!U_Mx zeP3iI3{TSx{oiSNxc!<%_INS36v%vQuGY` zqQDQXLPGv+mGWUI&kx-$V#`%o<860&y(cCuSLb9~{j?Jh|3Ni?B>#F9sF&Sgw#Le$ zBVaJoVRodMW<9?4T}?sL=1+F9`PkX~Vrs&!n|_!|hOJ*>YCKDJyN8=c&31`*r_?|$O#@W}L0!5PC3J5<7;aK(sU*0FHrIs@%^kQ<+r`uV9*jr+rZ z5MdGMiz&qfqJS51RdYHkte%ZTl0sM>S-%1 z%S2|_!&--zSAS$FLN-72Ja^_(CY8O$uN(;lq=ugNuIR{c6f?hDTJNOaCMKK@IA1}M zsr1c?d#ZvQ*;@yU4G5<%?9KAWcm3}uTF&(>;uWuPsRuv`vgR~P!hHHC1b=4xpByK$ zay$nI_@!@2>>P0z-gjPj`VqgOr$|?;ia!H5UiN7Cj~PB!?DU$)s8iP#?iVo%xfL^L zISVI{Mk&B}hBWD|^k zZKsRCU@$DR&S7Uka%rj#xyIx+MYh9(BN~H=>2+3pe;s!N4_t?i!?2M66uZ7326+;} zQ!2trxpoIXOHn@_%ExQX-6*HcHEARf8aR@U8$5Wr8hC(yv1|7&$+z#1v`e_l*R-LB z?H3a*i<#QEkUc#rL(k3f836suNuIQn(|wSwa|$mZwPJ}$Su;}+U=J?8Z=r>8%5 za8lY7cVyo9ZjyCYE!*vE{?TKQgVg@hZroouZ!&Cq@5vl4VMjVNpILK>bIj9;-}7Eo z!(!`?t1T9YF&A`n_<(+yiS5^Q`uctv+VbM&d`)tWzozZ3Pq&|va_mrQV%X{0usBkg zHa$0H8+GmltK{_sG#60fqMVih4Y!OeS3)7F2ybNp4>whDGjz=#eF1iibl%F|2uC2y{<{#X&zy2-kF^#3&+v_&7yDetS^D)(O z%os0o4NcH8$;Dak8FXyaO=^@da^IF{ePglCcuO!!Ip<}V_1xo*f2q*bmbW=>dH%PB z^$6>^1}dB_w;yWCfj{!K*5RM#gezEYmhPZt=4|ktcJ;&4BEgfm=O6|G3 zKXuirXmv*W=-j*3snPiO!F7x?9-2}V>)_4Xn`6gz$e%Xyq}8=_RC?p{p0e7(%? zjjLFD1@aHAKem%9f4uH~j$a3uA3BV$<{W;B>wgSeZo7ig6a%=vTtXieKk@>;B|Iwj zFq*&SQ7`1JwR&p2XPrH-a`L_}1T+?XMb_li`Wk-V`*wfQTmg1JU&H_2ri|6n5ExPi zbQ~^IUY`pJe7YV_ck6E*_jcz9Zhm&Rf+(v2wM+l(4LYIQA3N?^tw*58;XU*`ujT{0 zVNGOF|4-v%favaf##&kchqRaB*ZpEZ$NNIh+xvc_;G*HiCoAO_{3?YzsQ7LB$U4si z<@42k2Pb`hFI@u1yto+K~R4?DaulR;gYNhi~}$)K(Q=7mqr6eVGLhblv6 z;D#bgoXv~5Lgkq$dj5niImTIn`;qf>a1%C$CF?h@&O=Dp zPOTMC%4%sX60b>+8S_(i-tF<@*QpksfsDzpT$4ZH;FUe8eJw!ryU1RB_9^9XASOv( znpI(x`N2{`C+WSQF%uc7x0+1hznOwZaGwbA6KpQBBR+4O3!4^~NCIG?O@e2dH(cA=^eP2e3<%=qK+Ph6XFmAophRPSmDKx;629B;6*I5Dt@B z0jRYyaS*Z9IQ<%okgoCU7Rs7T^iyqqj`{2-bW{JA4^)#W-P%{MdzCnd z+Dfs}3MJ^tHTsFz3P!tWJJ3Bs9K>!FuG0h(;@v3xfN!$YZt4`~{ad&Rx2F945Oj`9E4~UO}`eCPhW49_pN%m&=0=pTG!5%W$lC^`pI_2-+DF{ z>hk#erPYkT#cVF*8sYb9xTddqt7+&<$?um?GoR~)IZ$|?Up{~045Pel>#Q8U*>#I| zA~!8-H^~ZtXrtY6t*~{PDuuI}tQ^3RzUVki#|QJf*9yDQo0hej#)SubvnGzQD@Qp= zMpoCv4q(G+WJnV`J;%pEnn);Pot_FPd}@)(zc?Wqe@WhmJ|aRe&YQu#MEGeGFH0QA zyr$E$9ANdQu-NErXva85$rdX5_66a`Wt}rVM?2g;Wwj16Ft%_kXkEUk6u6WG^~dYZrVWF z-s0C8Gs3P`gX?dHeeTdsB>L;CGV%+I)@Ehc4&swtrKR2_B0Izx>YcVoY*>EhYE$9p z*YLN}fbHmj`F<`Erh$0!^bg4M96FHzS3xx8KsCvb_{Wu+TS@zQhh4&a*t;g>Et077S z2Y23875XA0i%*K#O4sSEIq#x1&1ek@9B3d~MoTpA1RhumYMVNQ^wuIZYx^V3us?3) zrjYZ!yjh)@M^{bG`x^c?YgOZt<-jU|-Y$*$w|5UkT(mDpk}p*sZS46v#jjbG3+;#a zNKaOKQC9aZ^~dpyYbL5c6)N*{;mIX)I=QYR)a0U8H4{oG7!gUtiJ0h}TH#kJY{ECi zq9);2qh_#^DY*J+1BgGF{~GFUzLuDX8e#>Ups^DYy2LbuCe)aO_qM#1Utrjk8_3BG zBL~<$69B6vEERPDPn1Ok)49XWE(KS@kt2&0_~cNZrOsi$@;gOEW-Q2{UKz>ccw>)S zqTxj-aVSaGkfrIwn()<(i&Y7I6vi*PQ{UFjr_0B;%&d#_4>02=06wbg9A}Akx?gU+ z%yl&%X?<)pdQ>5YJnH;{gZ25H_9I-rqBEGW?ID?G^`)B9lNZe1zmuV@BscN z)S+^-P=eq(ll^_>1`=k}_5t$DmH-LB_9j$>@_&iiCFCeXPlA5krCPqf8Tpf;@otC7;eRgv^^`ZF&9LjI_ z2+snPVGmhAZl~N4le}O{p8TV@St+6kIVyfh2u%fqMbd15rm~JzKs&!|v>HrTuzb^w zdCEWB(DY0&K4(w_LxuJqd|>@;Xj=aHf%UqSR=n_?BaWo-7)0iI!) zKokF_xpWgE$^BB&lhRycVTbaXzSZQd0FTfqzt1$rmek?1Yc@&Y*@jPP-7)d_lc?U6 z-CTQU=@CDUcp6?4=hcIBvZlAn!LiD;$3p334EszE(_Cq^Gp^+)#^TnW5?SRO;j(=6 z^}k98A~F|L&AFG-0p=Sei!2<3v*>0T(Vt*(w(7cR40UQ)Fc8&0ty8}al6l7TdWF6? z>M$48f2Lh=>6cv1m9_li5mM!V(ooqg?$a=VacS%h?Uvn=FEG1=W}<01vvYz-Q{UKh ze)9bcv5~f{nKwkIjCQ1^hPZPr_{hVNP^UlP8hXgf>an3tbx;o$p@o+`pbNf3z1j%R>}a7LMdmMEA>IMsiEF1&{y{#E z`?psc|C#(tSW)Q3`l1DIe(>a}UwD`n>Pot;sb_Q0sTFhib8n~Ig+I!cU7?zdv*xwm z{n65DiYys){xJULG=an4%=}b3W-@9kX4l}vtJog^--YKb@3A<+$!kjF3HwezN5*>v z^~-_1WzvS%ORfyOg52RT%&o+$%6Q=KU>9g3TgQp{xzjhS6ZG z&M<%bw^CTBSB6p;eZwx5RFOSxri6DY;zLX+^yWfJDq;f$-*w^XDusFa+l;J3!_rHYU&! z@7zG3;yDNj+Q~V|aMA{>-!OU2#O9T@H}UwdMqK+ah=lTmgz}Aq5?sZgKr=rK>@d5N zsrnTfIl?JY>o~Ajrtr~!rkOa)8)hNNy+$ zN#U4K4K(p-u)jqIm_l3v-|4TX4b);dY@N!OWzJlM5b0~3{}D|dV(XYfO-L0b>!NJ@ zcNzO<(H;%GSmTT3Xtc2E?Hke_XsFU|vrWnp zwGykeFK~f(5P*QVJY5f9-IMq;>M-pDKgYtT9f}Wch7OQT!D0*|K!N=vEk*W~YM$|u z(AM`Kfe?cK7F}@Q+M6f{bAXGgEudm^Mr4gwLvOIP9dlTG@BD_1>Zw6fXX|No>-gL! zw7s)OVH=7UYMB@SM=hX_k9$dUaY=MF$rLkz8`Opkp}FvZsJ=!XNUYL9)!pG@4SDM`r@pt8B>`)bk*i+%jmQ6i>QQE=c@2)zhqP4CWE#@lCq76qi z1kJKqvk`)BHlGcOnfNycnkTX?%ox6f0hIPX?!mt2PI=v+gRLr%SLVusQ#~T%0Rl*B^c%nZ6Z`eH6`nkKccfKYkHrVRKzEm0QY|+2Ksq}m{H2VZ0 z0(;T30FdkRk3`QjtKh#j!u1dQkvlMOmIzRB6J=K5v@n9RFe0)L2%=(nauludFw3j~ zJ2z=Qe~i?2Mk|OVPXQI{>IXkGG%T>80O{kYW4&S(%Fw*ik;%L_c`C zXdv9F=rk;l5HEt44%LIp@rCwWdKxNkb7?c_Wzr%p(Go-=`9dQ3Mj{FRH*%z%+?Nfk zDi$Q;Lx)NUnXvtNN@S}ez|~N-G~ZfQyR^y^c=K^hf4=lPr`Ik;!+uy0>^JM> zOG`EWm?O%IEFXj>TaV1r98c}OSSLY_APbZ$rqJQ103Pg;y^!vCk&rJxbN@dqVXpHxOeh{`O zeg0-dXKUCsZ*gI{lzsf=HyfoR))OBV5af%u4W2{b?D=<9XI>09n(Y}PJxuV`z(7X) zELClm0@H_1%1=~&yhAeWH`^|=6$Vk}6A~T33QvCtUzK%E!h*GUeDhwd?v*0fzb8sL zs|(4BDsdDt@bOx2E0l#_|K*=f3J4w$2_7g27DLlJvQ>n`*+^IWU`FTnm)tUZbDwwv zWp#kU>;zBVX~^KaI+gB=tk@I9dziep@nFP?b*3Z}vCAT(Iqg@v+-#A?<7g1GgdR^i5K#pV_h`YQLE+!`Vu1UeT{ zUK0U#enMlk?~uKTyzlXOZzLX&BC0ys9#qesXuT?fO@9Q#A;~d_B&PP)B>(vi5J|v| zC=pEY2B-_!v+7XsH7ez#JAzwCNqW@g!3De@Gp(0*fhYF>@}dZy+EeL|+XVvbuD z?xc0uR~mGumjD~>x_qQlHXNBR^uE6`e@;$9`!Lxuq(};J5+F!FUE4;KznK-VloYM< z6L=?QYCM0?2UzPFRVyo1;~0CI*Rzl>KZK?Bn9!4k(m@xNO-TrU7?8i~h$bX9rCZH_ zGmqi^7j4Ji<5@rAjfH$aB72<}9SW2KglXU7Q?{I^?ntMeNDVGH4+x-76$6}1xC|%^ zAz)!R4Nxxhw?gvD7s6m{e^1?q{J20TKQlNA|NTnB_$)dEzI(~@U3fEu|7zJ+1A0#b zW^V~*qf+Qx&8@D;mKcV-V!ZOGIUW&T7 zWMVz9=VgtBw?@^lf)gL1dWCxh)`*Pe*SL4_51jbF!X|2Gl<-Nq5YbILyn5-F=pSks zs&fj0n(@K-#MLJXLStEX4B_ZSG9HjBT6X&Idmw_JVo~jaLX)*Y)OE{DM;;9H64Jel zONp82w;|HG(kIkyP*~|ERI4Zvq~zbs{yEG)0Ot_EoiYsYW{eV?x-5QoU6DH(2kWf~ z;9LZ7#vt}}tZ%6Ga2-u|0Ub8_%X32RooBT|83|wqwl6wN9YZeof~N<&GiASvGw#V6 z0PTc4d5(KuNxrVaeOFQ1H&I@r0C(y_V;@hpb>nD~o@kQZXu5$no=-BhiJG94t}T@I zDHN|(z#WMYfsdv{>ANH9*&FeKWUvw^RDl5AuQx5!vwizyDg9eG&arekMG3&IYtrS8 zsOBh$Pt^d3WdJ-6+S%_75_tcaAlO8RkLTKJ7f?NS?2q}5>=1eVKx(f9`@95_#QOUY zJ02rsBvWUPF>evo^9uozljEDsi<{--hiQEtxaJn(*SYxnM)V6bYF*n!caY&f+xH%C zACGQZk8T@|eo4W0s>OE7!*=S$zWt{m;Ea2m20(}I2$-OormO2N8KOH%77xHQibm+q3^Z%)IGwjXr0>M|Z4Lf3H~L zy@va$jUM+ER8opUuVjQA#f8w+KKEyKl;F$12%+C&Wn}AURhOzvQYa-zzF&D@1%LV% z@f&W8b|jnT#SFW{VyX)(Q@Z`%-0uTJ|NYp_bNv?)9T!7-dag~qa-+?~sZ>I5_o@j@ zG!&cr>Vyq&8}LG638pf${d-9JK=Te?=wzDQFFFlpP%=Tvw z7~D?^wnz=-U3Muvo6m724GyjgMEB|(YmUfUiHqLmoi-f2Zn%8cmotQElc)dUU@?-w zYT_f_t2ocPVK(CKH^pQz^5eokO>#v7%hr5lkuGpWpQb9?)((P>I@l~_<>SW$s!hcc zw(3D*6^S?x-yk1iwOY2GN7*bQcc5L^H!|$iYwZDX$V5^Dqcce!m^#8t$dw!>m>oHr zu*;E~>bBUWrKgtH`*oe;)+w7)tw2utHC`wCtnF;y%|t^(5TFwNPetK_e&7AGazW6G z5}i{guK=*ek4W~MAei%`v2&-LgoW6!vO0GTp+w$>Q$yNWPO!`6cwsjpW9SA$63<#- zW65=E=9~>XmuHe3Fn{8v`X0(ZuLZ4GkIpmc7Orq_C!XUUmOvpt-=05zilK(*q-bJZDU&<1Ri9~Y|Tjou&1+e&#;Ty!J( zLD!m-UskwHx&LOaA0N-Y$w;=i##tMA{lN^F!?iNC{foZX_>?`g_FWe5vpT!@1FAmKbkjkJ zZ_e)hai>~^M@#aod$h3r%kNtgp5uiBT_vRTn%IY-M6T@lnY&*9>~)Id~)c7Z()S@6az6sKHu8^ z#}vpz@fPlFqN?c!sNg9`=lJ-I`1R+e;mG`*IdiMZ_)Dhc+JGU6RR`lxv#1FPqx)l! zjUtd`+_visuE{|YRHSV1;dk@(?=X$cBZ zV`F2ds=GyMhIa8UCK_mX3h3aN`21g}WH=bYBw;VG6k3_Y%*x8>tolrQO!Hs6aMD(Y36cPhwp;wf0hw?KQN!=W_OBf& z>>pw}@=G=rLmvE#;~iaEt7{GBA04Ue^5*Bdx!MtS?-Nt|Y;j8+&VOa}3@xm@?dY{U zh8+}$dOJGrTrR7MPW}H%JqMo_dC6fv1JxQQf7_j=E;qKh+;c5&r8J&(NX)VL4BprC zdY?bW7BBeCQtfy_F+>{1{B)dvKO%xuP+xqlg|ZU4fLAP56NJ!`cY6FTqn& zuCT$WE-QbAY*mO7v%42a3Y@$?dY1z*2YuV20UTNKZP!sv(cS$qwwMz`%Kgcl=l`Nh zaqEiHT|cfO|5qDsoinK)j(8dy*BfBv`3PoFWCa$zlixRfqM_HyCh8%4P#Wfy$Bu2r zOeh2CIk2eGQGVofMX7=Q*gPJ9451=Z&>>B02!EnYJ9hFh95|k>t`bGC^hmHBeaMzg z{tf+eZF1l40jtEZ&+OsTD>ZNHe8&aqY*iXB5bDb8!u$->$odYr3quqDBb7- z`=upjru3Bkn0r@W@Jvfl{W+J|n64ytQ0RfJ*wPS<;G+qm9|+K~7+c;%AYQP`-XM+> znnoHsvwO_(dzoaw6A$&fdP(JR5Vqw=n5j7HhqsU7A+&8UQx~D6zAPtX<`H5Fma+v)jLt&zq%ekPGJtHPnVL2_-ePRr=OhVH}jQrf^lg=ZlCeRG|EW!+C_rE&ZGYQu{R0F3!0B| z1*CmTMjP5?51gO|hHKhn5!+KES$*$dJcGSy12pc(h@s@7*}l}XQ%;%WHAQ3^Cxn=} zxWP=u-Io13F;v*IYH!z{h)FHD9Dl{k9K3Z+)a4DyRc_Hk&8AUnwJSSe;LU(Ca7jS$ zf;$N3c%MX=r&#jJg*U@`y0R){#sCy1QIm+0DV+BH)KdqirgRHoc1DIPaEc|acVHa{ zZ|~d+WIu@bVbS%N{w_?vF zxa=;SJhMv0+CfFOkg|jn5jg^zGviNF@oW{(om=SyQ)mP_Z~ z3obig4OOV5GL}l{N_tavfcIc(GucKqS-e@+R;ITQvZjDJ<~bL@Q-n=DJsF0`vdl z7X@2{E}=7-uPX~n6$dsP8LdWbp_&^S0rjIMr|N(${xngUutG2Qib`6e@o;E)hMcB! z_kRW00Vn?EF&uI2);9PdcRpPRJR@O*mlTNAm(NyC{_rt?QjYLS6cu_jjB#Q)y{pAf zv#<{>9R3ZFN{Uy`?E1Ta2%;pP>PCz}9M9=hc=Uzqn91s#izwftc+ou&xg{9P`ov!C z5>}+W14Tou(!lyQl{~c-80mk$gSfitXeob*o-1C(%+Xj~aS9s>h@28vDe zU0d3|3&xi|R2@fcV3|SOqU(3hU5WIPz_gt-GzKb5*mS3xH{Qk`+1_!UlIG(F z7jHtRqG}vi)lsb^R}?G?lQDZLs^?=Cr?J$dFwuNBP4%@NZiuRAWrm%S3~tCeU3OGY zug7BGt)w4QU43C$?yro+m9b*y*0tb{#AoiY2D%peno4)W`#r(VlON@wi~JqDkBW8g zT4eIHu;dw?wYcwd%0wCQu9?2C5TPU6yGf1DPUPx7yF)Vw5rpsr-nIAH<|15uy5Hq0 zOSw#+|NY=Jl_c;?e z$zS<&_mTHVGuX&!i;Fa}Y}O024@ETsTRNeY2f31rYWj;Uiev`L O$gi9za{mJW0RR8cY}0K3 diff --git a/cpld/db/RAM2E.map 2.rdb b/cpld/db/RAM2E.map 2.rdb deleted file mode 100755 index 129a8df1e7d2ef1fb16ff2fd696bd0ebb2fb8e9e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1240 zcmV;}1Sk6u000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G{iQe8#fe{(xO48MG>TrMUlnb)U^TG)<{O$WEIu0 z3kOl4TFz@#P$SNmDTf^J;dHu5{-D3p{#JKIlemY&(Ibt$?WPeh;3awP>+oJu=jZ3= zZ}4^6U$@&yAeOB9$?!@Wx7b)8yH_xK4XsyE`vtUaVrit9tgW!LLDO2fQy%20FH2_X zb^V2W4MJnH*IkD4G5_@@v~xOGAm^aJw+w~O%B$L>qa{z8cB-#XyE{J&t} zE-K~|WO|<$Owt4GM8+>ly!$75WM5ac5yh#a&HXJ(Z{JS02mN$NBk8zdw66_1j5(oI z@>F|6-g46U1>4_PnxmNtMNVsJdn9!sGRnQmg0`iEsX1wMoFS`o_na9{VX1`&Q8-JZ z@nk|3Gfn{*Z6r%0y+(tnnzVP7ca#gsE#*d+*uiQ}a6q{>ywIUrl1-s9>E}V7^D{6( zmC=P^Wf_(2oCoK<1@B`VEsloM zVN35ya}A6rBj2p5q{!Gza>CenU@MzThI*7d7w#0)0#=HcYY#avNA=?Haa|(uCNZmF zu=1#oSQN=Rwejd8=ax#Qe9kiG4Y-`ui#)=i!%DN1v|I#kQ3uQ;5+i;M+zacBn0cp- z-65Jt&fw7S92L@XoUEm|Osxqt_ok`2Id@D*yOVS~pQ9U2v_o^mAh~SVU0tfbg?0&V zOhi>et@eJ$1>3o?+&dG-d02W2^GCo0{fCERnn|7g9QC_c3SaxX{5=BozIC|)@YH7+ zYAg4Ww&^h#8MB%OeM-N(vv=#xa0q$Ok4C@3@S{ijcuPk|G#qTtgri5?SX5Gk1Y|ng z>F=cUy%!*VFdR_-)^0l3ZCZaip_Hcg;Aln%v0kHMc!rMAM8On2U}bx&glFn_G#(s8 z)R!1ebhRz{8JBdRoN)E!=&L{&=;|;& zz}mNI-2ZI3|L&oMe+w;x!OXwTt#ch~DeZ!nO-lQH`kJ=>0RRC1|9Ao7W?*FCU|?W~ zGPFzvQViTc9OU8@ALJU~9~1%-VPFDcW`+wu(k>=YlMzTu0x?KYaHy}ZV^Cy#h@+E_ zYrMacm#Z^G3oB3o8^azT*_)g28>B@Ds>R(g)ZH~0s+N(R!2l?3wsF^fAjKeos>a1J z#4+C0$JN)>4`C`Rg8)!f?2d=8KqkT*0&zfaNRX$WJBk*NksJ&>K(gj!NjZ>$I|QNy zYCIz+!x^Al7@MUpNR1#6gZzi=FGd!I13+OuN5{z^|G<>^xVk&~z`Vl+R&w;)Ge@8b zutUM7xJHD)R4_4|0LmEqxJ4reikrWWi)#=}4L8FMpqfcHkAj>Hj}S=cG6Dbq0RR8e CK|FW> diff --git a/cpld/db/RAM2E.map 3.cdb b/cpld/db/RAM2E.map 3.cdb deleted file mode 100644 index cd8271c454bc38bafaeb36b2ba2671c0ed731eb2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16765 zcmeIahf`Bu)HN(c5b2?5QCPg5KAXPv`X+r4In@BIAcL`1DAWD(mi?q;tliow` z5FkK+gz)C~Jnww}!#DFLGdYuc&OP_sefC~^tvxrPzIX3l87Us_(+&52g==-KzPQ;s zJF$w02?+~{u)cD)b+BX=6%%C@mH>)~0!4*I#8`E#zIfPLSh2n}v#@6sVbxJ*)w42Z zeQjy`6?Y~lF#&Fxd-o$@WcqSEHME;kNX_ZX^n+icPhP*XSoaW#QjYpWWyGQx%t0T<^MEIq4g7eD z^(PyTsd%7++a2uKXQyS-c-puta}VM6$0r-KT(}QMoK-Zel$Rfi)l}kMPNHc`43`a+ zh3lW0-^?7e4{8=SzFP#=E&p`Xer^P~oV9gsyg3}O)IfvM{KuYR1sPoZo z@jdySAO%FXzO&;&jh+TUrL!>CO6yR;#{W8nd5pDy9++(`?;5s-?#9=@S_fK_$$Os8;FWtGaL zh|||$ws1l0d|&T@BJ`iyIX-&#@URCvu{Wws9Dq zJg%&amgLTpwi2>X_SbqS=#gs^Wl%}HY>|qPT`E6 z$^ShvXJzulNmr>Lb7|$*-mjvAEP*N?_8mMS+FG~CeOp0;Uwen0E(4KDuL3T$%Osy! zUHsbXW8}~s!T$sz_|}uXXDsAvA0;*P zfvVt4pZP4_TIO1UWdfqn7s;o!PR*}OBr_`QrXOXyR-cL025lQ8woZ?)Xs4OpM;A8X zZITUYXUxCsse-8oE@H*M_3c2m0+Q(A8YWyx*WRrZBE;3noiEK+FMIDvuRTS}U6>Ic z-V_3TItr3(Y5tZl2)y=wkNNfd;s_DGEY2igtURk>K=dc2pVA0ZFYZ|6S)3lPLBby$iA-tDA?bZ^2vrVc6?|l85N%R$y?O6 zK%_pZM%jC$!}u&}RiNKy^!RR=z0VPGS=$)xIzvIxG#HJ1ki7E4(z}!7+h3*bwTNlFgvZpG z(;h9itqDev!Yt}aPiU=d2)SlBsIQcTDo1>l_isIASi&A8@2S5ZV?Zb7_l5Cm%hh1= ze3@5QV`uSX@s2j4oNOLW2k!gX`YdBkD=9%3b5h3PsAmAR=zAO@c7wdawq7TKP$8Wp3>NugO+r zROEouEj8XKTgT-T`FB~iamPfWV^EL}o79L*n);7b0lpVXnOgR&zg~4sWfoY)KG!(w zqR-sMS=i^ljk)9qvhFm}Y_cAJ*yfCUwVP zRh9^Dw05_qnCg$d>~S9Mg}7n;R1ux|24-i7qyr0e3%-+8;Devp4}2E1tl&uk{7@uy z)sknW>x?tlX^NZ2~%0bjdAZ^!l;>de1?Agl6p5alWr1SxNIuFx-Pjh#A zio76>JUKCV-QBC$9f9*mD!i{V)UG3HniaIvo}^;M1)p!EwUlIii(Pow0!Py$mm=HM zA$6+8d|8q)5k}8%Oyqh?#OO~$6fO8K7U;izUn2`wM%%^vPFEr&cV33s?D{Sde|A@^ zJbpddUU|>_L_|#(f805rnOuZSUmkKoZ5ANTA_rbJP5i`RRN^Dy4bE|kp+HSjo5T{P zUsP-KfEwbE`R){$n&tSVDFNkFevoA2{%&lwCWRL*DM@x@k$`}C%>-NUetP7%lgs5_ ztv;7bO6o|t@!R5)>oJ|OhZk)x2|1@XH0^I_*~|&wJU06Wd4VU$RFBt7(v3B9bGQ@T>I-Kfw2$QJN9n+cfkd@otl(Ckf zZRu26^_a-Z%Eph1>#~vpx}y2Y8}2~o^O?Us;Hf`^8g!l|&!f^Wy64EB<1K%cO7=b;GM1cdW*5;3zlr+Mtq_J<7lU-omQwK)5| zkPn^D>zFU}fh)~}!b$n~g?|8^Fu>3b{(t8F);nvL=*T%=U4nq}nFm_-)K#ef{7vPp zaMI7o09G4O_=D`%0X7`&^0$?{_3srMFyX&pukqtGt{!ap903@bXt=+A&N?k?^%!mo z_5V}iSNEF0F`_ADOncw^Iuj}Q&;em}$z1r$%!h)`-}qz%Uo*CK#cM0*BuQ1oO=aZ-O715FYDLS(`By01oOH2y z94vc%pd5C=+0IZ3pz!>y>WR{BBmS!(CWRLbYez3e3*+3fkxZbB>Ww&$+OIG}T!u6U z8)!y9aN#4emXC>ixqaV+mXnVO>N@{MMahLm(fm5M&0*$SiDIa+#Jhi<4No=Vs&fC8 zD>|)=&$TOUYUR1~_gBifOLMyS=)aM0FE!a#stfO3$2@zJC-LzC#9kRmT#KE&^b6Mu z**>5att+!FhK%;-N;{*+Wg9gTgysGbwM^Hl{=A{FC-o&|8KS%a&np`@ce|L{9r}f^ zq$>r9?l{6Tr7>wL_>|sdmhq-1scu7@Ycu1B_{!{qi0CwP^ySxueK(XhhyEDT(&DJLqB8T9Yu#e=H>(Kru8xGH(8^@|`iW@bK8<{aEdMe%&2caUB4bMxB|2 z!O-2ca3;bYL=!+0pwsTsh(??z-D9^GrD0)iVx}3jk$RFT!a%(?)nUBCIblxY`czCg zgm#p_^@ZOtf)T*F<_g?}61uHij-++v;H>2F3XHq6ocknt&GJ9$M%n>GqMp{;_@0U$ zD#>cW9fE7F={J>a31{w8I(}Jer7MXn2`4pNR1)JZmE}Fv3G8NTACe`Iq3$iwfBrMr zH=dY#myy#SeUvmgWhR?vX!h^{NvNE7qnU z&63!0RZtG`1cqp7(Y)`etaqk0VQbmhd z?A@<1)&D^eC}Cd*6$#ICDUMoT#Q!kug5FWd-6alN!nro-<~uQGRR=&5odH2YB{3Nx zolEf2&x-Gke>n)L-4l(DCmq-W<+yf|TWlJqq8M1$jYgQSY(=fReWX(!$iV5oMuz9g z8?;N8sJz-`NG5wtKoyeFwQeQCR!WiixCAM+@TXy3mczztx@WYe)g*3_M@`=rtbYt> zuQoHaeDcm8-O^H-^rAhFj4Z5u`Pg8N#AmAWk{2G=XE#K*pD37O)Cze;5 zzfEO~@3~ewvR+$yrF={ynY$S`^_7gV;4zig@4`jBpbtv5t~P{2i9D}Eg;c*9^ zTSYz~Ul}2AmMW`=q2-a*Gr`wPKC-~==~!!_uz_;-q1ppu9exH@yx5Mioo|sK8mY03 ztI_L*3yBP*n+BMploi;`WY4(6j`yoH>*o4;o6$QL(Pst1$5ajMFDl}5X3?{*24Y%H zXuMsG1*`W442J2y0G7RXZe4{7SKc9Di>JcW-6(rp94X@S6u#XZF%TGW_GvIQlCy5y zv*Uehi<1CYvv1tFM_%Y=wI^J`|6dfrNm~G;9!_SP5n|Zu$P4)b%*()ulC|`q^fp-6m7-j8f$V;ZU-=A=78oN z1KYX#sj|keM7?hkqob7ezze4JH2ilqNUMuk=0-XvtJ{(dJR0HA)%bDnhur^7oQoeb zis~B6G|+zR9s5zi^D4mx!9Q>b_L%vH@da~Xh1hTZtTmh8D@>uGOK`C3@u8YaP`qMr zhwCpn=`#uo0nYH6o2?}$pd`NC)=mEq$@XJwDdd zIH__XQy9=N4$oBx(cw*|7 zFRCOTV`Q3#^2{$HE1#$g;bbeh(;AR?zx&V4;69aA&%QuJAgQRG-?4L@93EFQGajz^ zFccHXOjdr28yiCF&QfV+Ml)=S9?gE?ezxAk>7E_g{MS9g-e-nc3QEJCXR8L;-ipvm zv!}sHBl)~#uF!JnBj1Jn7ByV{uAL>6t5*;en8_-qd<+rl^H94W%=2mU7lI>IU6{xc z0^f#gguV{he{k_)xZ{eZ>z`KZh&-zT$8=B5kZWwHL;(U_oJ4i7T(2Ot*c+4^fEQj>-pFLtQEXb)+)z{&8lRYOzN^$|qw1D&3XNO*|x-gTB*ficI zRGzl5IqYSFpEfGti+Bkf==?98(WFZ-&G*OR=tsl%P>FZ`aLF5uO^lyKKo;$Gy1tD} z?Kb<`Ie#I?htiFrrDN3xZuvf~uDjL*_8tqeX*tTT;A7+p-ZbeoXCMtND(w=jPIc#> zSRnza#3v?mNRz2OHvoyf-GX1RFW&jdF#XGKRF~46K$^ngu$^r%uwAvL1nLg;lc z13#2hX{O8ihx}vxr_?j8`Y-+yv`|gL?Vex3H@S(D0@!h*q((e8eTUl-;hwO_Ix&H` zF#z3c|7i@tg@uZu08VQByp>BeMH$Zaw-k9CP3BStyk7 zsY1qrL%j)$rw*XeME_e8kxfEcFEZv+jPowQTS_2v=G>c^WI8;S@RRZ&N5^VSKS|OxFA3u% zO_ZdrC>!noYe%qfUdT#jV3fV6$ifyKjrh(kX9w+nRJ1o;z#Ct{W>KjY#wg^xH-i1h zYfVlTp~4q1MmcfoA{-|1;ZVkRf2v1ghPO-j53uO3eSH6!WnL!HtdO_P4)Of%)EH{lCwqKF4k#hDa!5eG|WB;ue&8Bk=eOlyr^?z`ks-p zkY#*w)LW0F%S5W_?V`{NZ1`pJtgRyAOGCpRlf#nkqI-kTu>b0I*k5M%XA`pnbbjJ8 zHj0`$UDnU#x{)`GL}#ROvw6s34fn^AX$Kh+9^BIUZu-{@+{j9Yw98K&ML&~=yK1Zg zzVZn$EP|G1dt@>d~>!B7Uysh@`3Djea3S+oZP7G&w z&&2!d3ZWlv@BBXtqbM7P;-+5% z*G>(uKS<_R6I|YUfL@=~A1=(d1_~)|19+eqzg~|3MZdwcg@-#bwg%JXACA4+Q8E%| z`(l}I!jwv;9+VvH)bt;o|2qmEGfqzN*pK`Dcq`u7z6G)Lec%I1TGuFR+NJP}{a|64l#8A8@+OJ{@X#D+K)3K^Dpthx5Zz_V2%dQ^7H;pE@4VuQ?5kDLD{r2S-6F@f{>_B zvw75OB0V2Jy(FNVDxH84o_9jI>rQCN$RvZ>VL8}`z9^|U<7-4G3m$ppN|>UZV$ors zKPd6}tH&N?sd}0{<{)23@Mn7m2K+~y56ov1d7FzHn$f?L((mLv5;5gcZiX+bi^4}z z`XA@AGX8kQmIs-MqSagQ3)l5%5ct+4F+svR3{1q+7@Pd;NgG3+q;_Q;sY`H1p3Z&X z`#S63_e^H}NK2c}T-Ic3Z;&o&2GC5csmQQzpvZNKp@*HF@{C3BeE#oBv)<%8->>TW z#BOEOn@L9Xdn#O4vHgVCX#z*uV9uknye}&*sg*i{k4MT&qPll@iJVZq+#y!4Dg4jjx3{S5oghb7E%wA>J zmRs!bX6Ju)U@3h%bZTs=#8py}v&$ww_1*hVJm7#W#}O>)>vtD_*dpS!DSDJ74v%Nr>RMd@}culwCcGzZ*YW%Z^_24@YIitiQwY1NtRK6W)u zD=wevlyDW*-a*&0y7>-Z-kmJVQIm2p(ru1yqFY0T$A_Kn$}dM4KlIFNHQ&iM+bL{Y zO$e1epYT3UEq^mD04C2G78@54?0-{P>#X;AwR+u;TWkVhxJ%fxox@1P@F)MLv9V-> z)yweD9_X)iXz%LD09`@5Q-U<1Q=-W`aC)dE7Xfct}XUim2$j7gL`w#v*rQ~mf6@UOl$ zjLYPu-Cpj)`5DRAmizxYe<3Is5}uy9ZoOqvjyIRmDR2@lX0DOFX}sB`&Xvr%PkppQ z>iw1O3>aFAnFk_Y4%8ejLoqh>Z?J^BIhNPhE~1apBTV(#fs+Vsjb=l>bmOSXbMah> zqv}Ud@sNQZMM?a&R!GiY%YA+}p9{-BxmXt$H(AubSx+jV$2YoMaVzq%G%x(oZ^yCw zR@~GNZ_l6d%Usrc9c``QoS&`SUxF=v&vDijyO;YW9C|mS+Zu$|?z1YRs6JpAn^?DR zSo`?kv70gUNk#$_O;i){&}j45Yd`)M1^18cp2@%&<3~sP$=(tPDp%JaSu|=SMIpaj zzy7||(Y*NQYP^{h)>0oswtnPi>BPUOT^*02e{Zduc_e#wM`JA5Z|Sfvnev?GD-ZS2 zjUy^k1du*oS8mjgiM4ENlWA_83YGMYzX49hcr?xYx4`VwZ-lp{tM90~%bz#jyD%7# zm723{s&#IqCp-&jataqgT(@*)mCsVP<#y&O*I@%@^`hk&`Pc{{g*!}ZHd#=LdhIw;lA#PU` zpkTM>;pE>S34zJP#ieB3_|n#SSnm)wFTi=z7W;$q1!qWfW3p!Ze8tRnV)C!QkuMN- zG~L1VZlZ_XHbhM(FL>_w+;)011|2BTky#{Z3H-0{qx8yG-+|uO+^TceTqgp>5_h~A zkAxHo4m|I)Me|5yJZ;#@&x+k0?ikoUgmjN0h|?&T*K}aW)Qy1NT&Y;EP@}raYZ?X6 zM^Q?Wgu|cIn*fp`Sh;b~!;wQwPN1_PU#n4DBq6i9(r5g?Az2fL?&ftV_2VcI{|&5K zP`Dqc)=W{dz0@-n=^;<6-!1sU`gy+*yuYMW=#X91b5uD%a#8E{3*LxBn^LXC0clM< zB&Uoy%}jvYX0}Hl$@@!nXw@~%DbL;U;aJ}7o#nuA4#bHq?Yb>ucvsF40TVw>YmAAt zWK4zW&CJOvdDuNP$Q6w5KfYa-K1vFe<&4Q63QLYv&j7e$#{`P*Gn%k%7B(+H5q7g@ zalUEFgN(KQ`~a(4RgL>!VZ%w2T7+~l?up;JqFJnq}@#lZ}klFn3&ogD1)~S+yzwp z@dgTBNSLsBtmvhC4!sJP86XRuyM|Zo^QR-WESmyQ^5JV6Rv`XkQH{q_`!2j~OvzAFCqKid@6!#;oatIW8#LPP$$ilo~G zNnCAa(O%^ojV49cMd=MWWx`NtujS6o)$)BUEWt#WdxaRU(IVGM>R^%{tZ)`2x7bEmclLI$O<2!p zs)i=Zjp z3kPh5q9>wyU0bh_RS2Fvt7+C!sspTJR{e1ca`5RQ3OaGiTC~M=2_w|2fQdNA*unb6pN%EQWoB^)S z*MBlT<-b5(nVRTVW#?h!-oJ1|?g&o`-Z~w7F0(g`U_7c~N;UgMVi4nAiLA>p78aOK!ei zp>EFjwtL>yBs6-5h5Jw?WF;9!Npg0W_UU0n%a|>CI8fG^v5yxE=9JzXY#_SjZOtJ$ z0#f?uVE3Ee=TZuFff#@-8m_6rcTAi4=f_VsTWnskH2JAr`~Ey{RmqUERojzOzVY&~ zKt|#wrtRJK*wr2gq2I`(-0@$PqJ#9W8QUB)Mqs2qJ^_kt^+_j2ES2Gdwum=&`WEUL z+dD{oyi=h5>MV|Jc{fK5`8pNOV|&C>7gw4CH9PfOVp|Az9cW$2FW=M ztN0;Mo|a-ukdqhzL(SGh#xu@5;p0wCI%lKFptHTVOB+Coqi+gM>e+iW{yDG+jTJ@W zO;tPzez>XhCa)CcPrN(ef&cIR#%7nSx^#88n}G3$~(%~ z^Jpm<_Av2A@+}u|8c?zZbhY!*+pk!Ll3%0upRZRKYe!(@e7;@TyNYfi-r0ABqidy4ctHVISU}zpnlJXP8nBPYmPOyt&izjS4^h;tWe(DF2xWN227pTE)umf zSV&35lE#~fTQ2-!*Ei9+QQwGK#|S#Nc=g5@+D3I~UjA)38P@-6S$z;{w6s@^P+17S z67#Qz_Q<_}{t#G49CwT+`{$4!Nrw6l!cSK6i!?78-AX3E%HM9|wa#6snOQ&2>4wkR zqo)E_EMB3Jp*?BIu=J9tBgruTX!(p31`%GSmcYM=K!2{j%PQ>0Pq&MX!YM?5QBxwH zO8yJ`5;`tYy}B96UDE9hSfShjf9CJgDj^9I3qM)#;^jhn{+0dM!TXj>KK~^X!|bSU zCPhW=xnt_F^cYr(M(CZ(341L_%ny-wV3kdJ5j zA~zP^Ubx8&IMngIFSLaK=Q5LVa*k~>iI2lD6q^KRvjKIPiL*8t0hiYrMK#5 zelI^=xvNdUiSI+?nJ;<0O%eb($$)M;-EvCCKAduF1RWwbA`)#8u!3_Ip#P3-1}^e$ z>pg8CeJ`2(Vz& z`;;>l+El&SusoN7vCFCelQ&6EW^>V0Mq)h+QxdN7i0zBvxCI+=5K!Rms%-77u4Spx%8iKZUK?{*&<}iuz#>6 z$#k5{ch~rplBJxbpxI`45RWQ(nW|F}Agg=UHxB=~iO0&nDwF&9+l9MZ#*dn_QS*Jb zh;ctAZ=aQBujS|g0(LaN`4-7Y)rv+jTytV4@jW~xOJK>F*ys&Q^^E;STKRy++W3H+ zL5}R<#@}P^+WFhBcCDM5o#s_NZhYYOtHdU(4_V(?2^Okeb~9Sh%HeE`mJ`HW$z8vM z%}Z&|qkgPLe1ktKw^BK~os$sSir5qL8>Do(a&@TG*|5do`D54i)`sc6^?P8j5Si$= zln)S$=D78Sg#cKvqkVts1G_i59ZShE9c0$X>`mP9|I z2;l>GjfMDrtt<-gMJcb~w&?JwOhDev?D1mzTl3qJeIE)lNZ&6?74T403jF|Ry~j(- zxWNlR>tD~3uum!6Rw@oom1)>~y%B~=|2xYtXpbNdh-F5(WN!2!!-k^JsQdD7j`eTN zZiZ7nfNNyi^eV+3ql`WoAWHFt9;3REX`ON}2>Mzv?7l9$n{5eokA1UKqtP2-R?F)Z z!7blUV!`FDIWxUCJWQ7(HBj1PPP~-$FmGQ9ie&`d&wI_XtqHAn5y`fi^dHXoCTa_5lGuFs$-`M~82EZliMj ziOu#79+fi_^S6SxFZ(pB56G)epLL9W2+%dsh0}TL1ZwoiH6k9OR>_v|Isf`bnj-K8+no z^?mnQ#$QSzc0qlVf&88s{Wj1A%1$`s(()iZyE#OsSE3OAVq|AJwCIix@KOw6+!P*< zk4y0AYqab2Ry_dix%5nbmuA-9Lr@_BlWrFsD3LU1NHg@rh3ERBx9ahE@ES0Hg=(Fz z2QaHP!-Ja4M&fwD@;&oH=w0^;mIiuUqlr>i}ct zGX!O*820_8>sVLQZq!o@GZ&hSK8ahD?~1^>JI_VdLXr&qM9clc@K;~{)kb;`lf8{` zHd^uwP7sVu1@C?G1Dm2cM3^pvI8zW$HuDdg4WFFl8Kb75wDr10kU}-B=ia=nvVhN6 z*<{bE9KVxpQANdCrf@Au)++!z0lu$ zX(XDDVl&fs6V2ASRmeGIT31Mn`6lAM%c=Dg#sv)X)sI&U&=?3wL2|@+lQ0EqoW6o3 z5I6>WpNIh%0>BsfW<>B ztrib2dh~|?=E?f~R>c-o%?lUDt55vXD#MhAzv1GNrS+3U67I%f^vBFc0sNfKLc17n ze99Th-46b0E*B1@B5v96fUyD#^giqP+Be&oW^MpMM!#>HiONaO??^eI@VYJyb>OVY z%)^ei=2GXN*H3!4IuyYBZL#jYE1zyw+tI|s&H=pM5yZ>UF(jW#rZ&1}dvHl>ON6N} zd$Oo$8|u+K+H<~+V5yF&6Z2*H9?gFPp}qF)4212FG{BPRCu97JD=NnZ)o-`{3eB1# z*$e0)+SK9Fef0{kZQg${`+Gm&M~Bodyy*Uj<+iGx0AI)*g5|7}H)zrX7t5#8|Nd`LoI78b4<|M5wr_@HBj?Z= zrq+Y-nrUnvUhA3bK+SzWa1pGuOt24L76K^3E`yIE?0uEn^D(!-7IJu&aik0;0qD@f z&C{y%BJJ*CFCQ{sKa41YzxO^m?HKEAs`X!z+-@OuZ*wU%5Qb=9$M~y|_W5UDCkz5* z9+HN`HbPrIKr(YLxuv{|u$A5n)ja%1(7VLZOl&{1bE%$D-ogHTv+SfZ6#iO2@EiT< z#e+IQEc4T@vMD%1jaz!5JVhjX&jhpO56FNQ_Ab_SraR&&CYZ_33QQuj)dwML1(JW! zY3%!RJJuss{eRQGvGoaxY|rWz(I^abbLdh@ap09D?9%bj9>W5 z;?ieRmR|R5SXd1Tp@Yo^95#Utb)=fL+yq0j9>qzRm}81s+fuNpHyd~gU=t$|=jww` zeC4U0zx2NB`}37I-rciy<%FfOH)u?kt6nR>gTkCI54v#JAdFeIo%wj!Cb8A`d62*e zeh30>^%-;uJ2!aNTKMF{MA1ua$1*j7|KaDR|9uSk-g5}#8QK9fzXP<_DUja++H0B) zo9sp2bYPygO2oaFa@cg{eLBXQId6sjz|>B9z!@HMLHuG*@>>5kM4~b}WAnBT8G73n z7&9LsJHtjXec2hPaY{z#uWNobrQ0_oPq};F`ue9f%L@RXfr<^gbC9Mn3f4AJJv)o_aRf1a#EhjU{m^W;S#^YucO|eD|G2*Romyw zC=@O*aTNIW%Dp;jf!s~uK~SV$a9U~W z25mUsi(rIMZ5H%0y81Yqk*BP0z3V(;TcS_^KkUOmVc(~@wRdJ9dXC&{v4{^#VxRyH z`QxQ7(lZt|0}Syu$6)^=@AaPCO2+vH><>)2ob!F;AD=JW>Z&79_<-TZ z|FR~~QgyIbD7=aXC{kYUbH*?9aa1ncf($-o2_47X3G?U-WUV5lR(?+r9gr= z-%Ji~h*?0e=3?TAQRqWjY!df2=TR3FU|Zwc1|Cq+@#`7w7lroiOHo zN#ww#f6HcR2rk&D3nbkkPN*X8`||HwRuS@Q+g1eFws%L?GObksNzm`tw&*GhCq)1s zI(*&K)2#ByodBE7y=1Vyl|j&GF%^(oNmp3ZC<=0b_mSS(pP9?Tf1H|~y?1qSU$qKo zhnvtIGo79x@t82!X5@z6clzv4|Ew!mPEg04u5hp8d&hNlJL#6UrzL}=sJAC)#dwgl zFj$c5^*yc5VxZGYa6Rc|{u%G}*2Jn8 z@B|dr{PxS`w1@I|PUllVz4kY_4rk28WKD3(2PP&?vcEPq*UMvT zgH)b{{=5KlC02*d{9dhnDS#~#mwGdw5<-Avtp4V^x{Sk$76oiBbOf5b5)$eStq%!l zc@*b&W3U#`OdR3AG#l`GF#r#z;fQmW*ZJ~2Wd>eV7~A6*Nr3Vqj>t>(YnH)e6;cs) zz7Fj@n*#+mh=WYF%+t)y1W#D5V?QW+!whg5J;n?6VtLv8JsJF!rtpX4d#TA;9E9oi zTNqEBX$-a%K5+Y6pX*Mv9;QF{;wq^cYE3nqap)iPgaVD_?-!AOe`(BWa3U)13~3U+ zDv>pOCWf=(#T@}G$HkNf$0bjh60CtOk`INf;68O5hzgvH%hsxBH|EM5IOsY(oSHGP zkUB&+*5{B~BlZdp3D9zD9uq9`=4X|NsLptkFPKJkDc?4RqzJ9`RXWGryu8B@`jh9X z01@xva(IM=<24jtB3yCQGgH8_;4FNv#U3hq&Ol+1oC^L3Tn9b!i(89-EyP&>U^y@F znrE+_Rrfu2VmC0E{(SITq;-yXOwl#azvfu7_jj4=s>UGbj8q~xx7+12;9!1?eC`n> zrRY{^jltH{+iob}F6?jlh-Sh(z1|#2I1N&9dOCXn%h%QB7AlP^Am1Fmuoz?1X=xYp zFi@LsFyPWcWqlto2jC!37JjF_<2=}Q8+PH%&ZTWvY+Ng6$oPWypi37*0@$^Z6?Ja7 z`Ol5}+ScCt3@Bi|)zyKRR{ceE?K83b6z^kDlf1ml(oo;|df&4NnTn$#1@NJF+SCW_ z$xG2S5RFTbw}8ZTVxp%)eAjadzd^XhCIJjujfPJ7xBoapZgp10L0fRu(-!#VdBGu$ z_{(-~yE72~bAQ|zkm%A!h{jbKVt&AQvGs|txjwI)Fj#3#5^d~GGHeD=N}DS=4e(6x zSJ7B;6|08sM3j=Ju6$qc)SE|%56Ji{Uy1;>y?aUz7Y#3&1-$QGu%lB=+_DDh5M~O_ z#SjD+I(6gjBKFXtty_w_fCrcLKBn^5epGO_Yw-sk4SODefD$Wt1?ENppBl45T0l%q zz{8GbF(Og7y6>-_9;SpcH#rmbVbTt0M0GB=j35aY>H`b%7Rw&|W*xti5+|C&ge6oC zyKbG=z?A+9s_4h)*ZkGL4TQz9d8G5>M0z+mIXx>P~QO8D)dB4Y6Vr4syP5 zAWp^qocn$I+v~$E#-%OFC#;C1IX^BN`C6@g+9`H8#4M4Is-nQf-0?_apMOsf9-zw% zu2FaUQp)n(`%7kSG6dh@6fN zPdhO>;ox3I)Ik5Q?(^a%^X!^3mx%ML82{3w%x#>`A~@LT4wlq zEdclz^}9rk_CZ_7r)M0$XVQMBxa)3np=QF59|0k`mlZN-j^@iWH>09{y<=Y>P*Lg- zCObS!mf-_FB{lQ>+N6Wj***dcoOGSfgX*+Kn%d10Z4dYk-0?GjR~;Dm&g7K)h5kTu zgSofOa;(VS2In+jjH=v9qYz#R^<9uNYSZq)n?rW$D>|tIUE5_lzZSY|K0f_;u{M6~ zG0+4}N~wmTNIFmhI-hPqW)yM0z0c4#+=aJC_aM89**OtuSpj!f_hBWOmCxIw^ywe=;F}cV4fGe*%#2y$Vv&fkZ+*Aqp*ArC(KV=LuAh5ly{Lj(oqo(Ff@I3^*k7G`I;ghm9YYrr zVrA2iM1)w4Nm4L2AmD?BC--bQtA?=PXkU-@N5?G{aptfZ)f2DQ^L_74z)!NE!qXAx zONO9UXFhFS^Y+xyO>wNmLl1xKZ{e^&>y?oR!o%0OD`xcK))Kua8+)&$K0vbeXRYBU z5l@;LnNJ*tTt3C%N-}-=BML?Oo5ad#&o# z*sD?1z0kqHz^V|z|Do%@N9-SKn7g=IJ35fEeP?E6W+N2?SlgMAvVUhMW#wXFV`pJ! zW&2L5YVP80ZE8-cWNd0n%0{XxOR8yZLi)qZ+U;LX+P(kGfq}gU{ttm6qWv$IO8hT- zf?xQ-XOa9!2GZ>K1?~iTB9+wIq%ovNz&@E-Bt}oj?@dsm&&K>V3y@|HBt#&N*ds2e ze6BmY+6HVcei1WAEqgnAlJ2#geR?dbV=X}{DGPvMCw}y7mwRt6F6!$qeoxo?IQzb- z{Vgf+G)kTs}c8&)5vKy zIXp-q(E$YpRV|`gte}2^@e)6WVFKe?&%op+MOTBL_`!JTs&x4J4%qnG++F6Mh>jf$ z_`A%#Z7$8GHAKx#((pXK)p=R5$Kh$C$`WT0j+*=wA?vWp&rpyl9EIwIuoxBAu+(Q5 z!Qq%kN(+xVJ1U&;1V}{TYfJ?vx#>)Ms}PvZBrX!6_IfRzXcsapMPq!#2SbH!_uc)u z?c5d#_x5s|$%pHKxzv`$J@wgeOVa7# znt~2b0*X$hN;&3KCYQcct+Dt{f^}d1%f0eDl|LhGUSn`S=N$9~X{f2ylFtiec8cbn8n!m zLDdQV!D}Vl|JROTVgmAEyIWv|TEN02W<+D~`z-gocLYe?z0s=O57k1rwr0g1FLN6g zkXT>jJNn(C_B64Ez9p81Phx72eVUXf`##q`t7@J_c@kX^1Jk_3o{mn+WLL)DaIoOn zC?azy%3W2`grA8cqQX<1WAEF@{cXhw^VSd?3)Xq!xOr684OI#|<@FF({c7#5L3 zjye3lCNeJLWlp)tm(njwVe*@prm(bAUXDe^FzX*sR`*3H?1q@kQaQtI?{VLYjMq$l z07z%;Dl~kEMsTc>{dhd{K;fMCi4-K!<#t*gLqqoG)46K`Wlu0KS?ZT)A8w%@1wXs` zU5@swY+!HI-7gpf)!Y3z)^X@^SIQe>0FR5e61gL-T87$U9;UOF=gw?wUb7T+Cl!g< z>r^<=2bn|U+mX7&R$h6Bfhn*$|KG=ZB5bwn)L$Vm!;&GCzYhmTWnP1ti?75_axHdU zz247y(!T2J_bBbiyNFAx3>STAZG7F0lTHKz8SI!%UE0N;(`}sf>o_ALwVf-~kHaYHkrhSGap5mZbpyp$u0VB2lk#^wOADqi;|O734=!B_cYauK(t3@<*- z6ImZyA*N5Dv&#zfqF_@uq(1sGGV9<v4dG>%^INd*{lXUK@dp8~yj6UTe$%4SRs38Ic}uHfoDH z1^@HK--T-3^GS_B=|5S|jaBlexhZAALS^kIMJdPEBWEuMul8~`s`X;hJ}FeA5up!e z*tuHqCF?RB+LC!slVDlC5!%HhF?uXrDwoV%lli~N#x+< zBU}SVJ1@cW_{0*6{^Q9AY}rFy0cup4?n6^^pg*!)V)-o%12PeA&EmWF=b`;CY@K_L z^S5L|hh@hOU`4z3eXd^|@&*}i&&uPt<)MK3aB4GGT7UJYs~?CxHv=7)#QpPkBvCbp z;*bB;<9p_+V5tGa+J~>eVVQVLO;1Pu$-a{*Chg|ym&Dqr)=YXgd+n{54dz`$nbr#6>#{(J-sEjt=W9@J5v4rgK9ic z6HxHWE=h>8+44}lqq7<5tuoT97nPZBBkZ|bY#1w&C?k^vao+}D-5Zj@v z{%SEoRW*s!-7@6i^5V6^i2K6Hv87ZGuQ({n_yxYyk`^@h8cMnLg9OZE2(661NZ_{z zQfvjp;q*ce*;m+0<5yU16@jz*S3C~?R)2|qC{0Dffg1H;`dvZ4LSWXO(x>qUavYEr zG39N-FxgkS=jKr_T^FK>E;i3EY3F4&m%B3MIIHp*ru>!cRgYd#)I5@6ts5FjH%F41 z>WB9QkoHS9Hj4*z4}OsUT%A59HipGy0eaZ-ZvqXVtD2L5WXrhex}Vr@;ph~7ljJI# zfB(wMeJd~8JNL-adeSlnJze?HdS;QheOWLg(y5x<>SAfT(Eq+;#M;&gBSx3s%A_m1 zq(L3LUSwDxw7z$>$-xjhS2)v8ew^?8SDgrf%fjndYLR5WgYQ-{qjV}`-{}N|%Emli z?~@44w<}%_?_@^HybunOa`Gjo{90!voE~W+8q*9IWEBEcb;X{q>pPQxp%~8N)1VTd zlHug>Mn1^!NG_sA{V`R~frM%$G!Th9s5Yz2B+aV@VVqzN+uVEx)9?U+l?v=}>vf zdhIo(7I*86;y#Ct zS=7hhEmlpFk2|->C!~*yjU`Egsho8HA-exyro$$%CAo=Djj1Hfa{^{WioEkPjMY;O z=7wVnqjb|7-1x{aLC*k1;?;|xPBVcn+!im{u&!#LJraDOLGa~ z5-QM;HOs5BuE@+7dXs;Cj^U<{@bhl(Nsp$tG8!~0{FOALC4SyGlkqL7u|41GL414Y zR8qZQLPY%AlGWiI*=r)N3%X$VdgMIlQgGVVEGH44@Y3Fqxygc+^pQdyij_VgEeNe< zxMq{5%ibqZ9-HNt1%A`5B8TTO!<+50@`GSt7=VfRW0qYt48fCFS(8*4hOH(X>zj;@E zOQqsZk_8%aoOruVe~SINDb`HbBEnL(hw%u4PT!3dVKsq!EXy~oI-7zi7^jo?o7#dZ^{o0r^Y_Wjpox!28gMn9P!yL3*{W z@lrl;XNSOS9NURb)tUTkHvub3O%ko1CB6vP`2gE2jSoemS>x`)Eu%LZ>sh}ygGU9{ za{HKkaeD-43>BI1T&)YOy4lCcL(Sfs#UlBzz;qipi&HT+$jVTZ6WulIYY~6M*m~Ky z+L3a5Pi_wDzXzcQuz%{<%Lk)=qY5|WduFIWwuS%tZrwn}_Scq%*Wv!C?-Wl7rdZo86h)DM zv-TDG*$u+19l8nNgg`ywj9n|Xw{6{FhrdN3dzsi&Q)7U<=11}mMsMSLavUxOlU=09 z69)~6=YM3QA+d}UdE-$w)_jsBivEeBr7bax6uDz%Gh@Ae93csl_Lr)xj<}xEbhOIGomQ~qNT&$pdL0w(d#HAx^wj=5N^%~5Mlc#oer6-wJi@yZ}A z%yaD4gva>s7K6ad^5FR9VzSe%^^%W++*>t=PS+FJw&&=?#M$oa0rfDCUs`ddZa-m;fbPi#lgPQZ85P<3 znlUjAx~3qm`?{``UWT_h>T%V8{Z4z1;dHxR|4e&ByxQ$i?44G0GmhaHV#rtmUKm1d z2P7Vc1*bdn!WT=Ab)sLfw&J40oCSINI?6N7leAVq{g29xi}o4;ozk?QFgO z60@IIYU<-}UVj%abMZXItqN}i1nX<&wk3w&GfzB$m_*l(1^b|%Zk;_5vCrJI{=jpO ziN;|s=K;uPKzAmf;9yVs0&nXz{O_Fskz64aK}$_$me4f!oZh7$0oTrH*XDA#vo{nu|&R z`nQa&RzI*B<^^?82_Dy{m`BuHY((O4=nBwT-R(Vh4#6XixW6N-5H^*_IP4Hi=pR#^ zWRQq1kYjZ@3+q6ntIK6TnC;kcwPyo^P_ylmc5LqijQxjG2`q#TwNd z764ZEkW1WJ&a1f$CYEWIdhOP!&quv-CN>QDrKxsAJzd*BU(_pJ%ymWEIq-S5fYI3yCM{qhNwKufS0kIOc^DWYnz-2f-It2BM-Dne+jMLj*wf6h{#K(^CjMPNScpJm45v3q_;<(Xq5q&;h&C;P8Vn z5SO+qtf_%wtcJW7eE*RVxJ60TYT-4E9Eeebg_MO-V{3010T!wdw%qn4i48rmmmc$P zj~p(e0esZ>Ye1bo75I1c6i~UzTl5|L`msXaH0W3!>yRucOsXxs>Pi9oZFfe&@wq|R zP!jYuwJhv8kQ%)>Fuv$bm{ol^hG?&D-%+L8yG3RcbeXebPp2v32=6wuI)x#TS0}SI zb(BHbwdzw`2fdI8RUvZCIR~>&d}okm2R=)zt_?1wuGXuZd~g`_{LQ(EGDFykoKJwr z?4*>>BFMDv%f*ae$@&_!QY>+uiL>m5+w9FY`7%iAa@aMO#>n@+${_oyz9OzfYtHc2 zAPF9RN3x<2LiV9Li_EoaYTc=;ZRB^Uk}sG+W>HkW?(IM(ZG3eXRoT|fSuMv-&4`e& z7S|I+S0$zE>d`@#a@ZsN=6N7N5#YRmX{QVQ4&Ku-#1~>KlPp){k~j|zdp+M-clioe z?i=BsISgmrA?OI&LIezD&41*NTRVeT3~^3cwjEBz-_`p_Qve!k>G-)o?kGJz~S1C{uBPN~RK$KF2*U4S@6dCBS-e%_p)yVLCK&|sHd+*7bu&YmC`{4O!M^3Qa|uVcw|$u< zs{i%Y(JWz{E=%MSwm4Spb}^ySleSP}@mN@#xj z`*{jW_^t(WC5G1zR63sMG?$#YWf<2V2$^cO~~FLTaKTT|1G>PR6o*}V%-a$&cYZS1LUQ> zo*Qc}umv@JE`%`6?;RF{lePmf(%)q-&ZYcrke8LIi#FggsuZh#@Ehr?=uikEnmZ$# ziFtp+XkeH${EF$uSPMDJ!0SAuq2(_Cxa)&_xPb!rxP7F*=sbiOo6S>sduhbh%0 zOX*D=s?!vlX6HQ}0v^B9tjE5RNJkp;_||_Ga=q&_E97cR*R)_` zspX~z=IvB)T`ar*QJQ*fj!ssX)6{8Kb)l?bS1JW9?NN@Ay>If@?EVbgM6iPoRm+|{ zB^|x+xUPMJz9wwO=`?(Vu;s`|5vF|?ZLS zYs$cCZndo*VO8VZGYuDq$OQsOl8WrPqWQ-YkBd2`SK^B2dT@~T8kJ%J1PMi{D+r9} zB|p8^>B+jLQeK;p3~N;bSrw*-IB0_x#Hh+Xfs`4kIb?WaSVqvA+NG46p;;pH5SB~n z*=}?Y*w~x4_Jh=?VMr2x5klUon0pbvojX+Aw35oaGQkHPZS8L6dQY_MP;G$y{XPiI zBAUec+xDU;>Q1p0VBslf@;2^a2cBY!mHEYXaO?Ngg^T~jpCAMSYtSUk4C@5@ zfB}S8*s#ZwAfDFzO#;?`LsWE%_%xPE;ZL+z;k5i#Pr$rZ~{(DmGZE7?!c zbJhx$R)SA#9$}5&gU_zHlNS6j9$meUmKzWR@WMIKi+7=k0~mcH6t@ieL?1}n$4yim zaK@Nxp`bqEz4_}!4T2n~-2NG^DB}Blk89v7>P05}G=VKy1xU1K zN#0F-JC6>8zcm@qq_~puh1@e3FqGT~dch7?{@5yX7QnP#Qw3zf?0JAy?s4X4!`&Rt z+;b(lejodg8kiRUKn*`F`Dgt#TsZuNaDYVQ17ZLe_YxBRg>pL??--QBLjFZdh$!M} zj|aY6n9`*uq?*$I3-oc#lrwTiq=bSr+Hh%LyW86QDHJ;_w!DsR82b|ERO@&|a{n{2 zMC>n|6$x})d#uEV66KWG2OgoVh!6H%O|u&&&9G~{KSHeGz7bIz#J;pqoVYyF_?IH# z1?F%7D@o^g!VwCgeH#+2vkM`#(C|uK=u+c0;wN%^4>l~Q&!x0yd_evS;jnZ2K$Cn3 zvwi}mE`;syQeb%One3fU+;USWD#$OWbv*u)9i=&!X&jjMXf#9G{_OsnI@UDlD=R*g zacfp3?DYx|Nh$7)p}?|UD8!rnD>spA`4;k7l~*M$cR$6Q`tcW%c=?o9rPaf_{9~m4 z&wcR`$Gz`|3^`~jfT0M}qhj4=i|b3LPs}Ypx+A>Ly0Ui|h8Tag{Tt%KxOOV1&xBsy zO8S`Y()UM!yVOP2xr`c_Lzpvc1YQO+{&C{S=qrB**&kQKZlrzk z=@egB+-t=w?Z#IhsI8ed^g8_-#D(9-b_bQrwvFZnrVgxvHY86xarf@g?twA#WJgo; z-UHV^1R`Gi!k5YYg-zaM9e4&%xP`v)q*@%m+8q=1cH-S~qvr)->dESAZ`>UTDB?r!QC9ciz88aYWzPkSw=^(utF&Fk;8@-i}=m3N_ zd^^*hspY^P?=FbqfW~SM`vkkCcNJJwIsc3t3Oa!!+&JLH@ijQmW^q{gfJbqt_XWG{ zaLS5$kaDgi@(=rPbarAJtn-JOL)sn+yb#4ChBURlt}xQn|N7*=iFn5|S-Rg0pO*5a zi7)=;q1){#RkP4wZWTLf@S!}L^9<)$Q`^y2zBM}V2A~UVG#U{EZ zCntd-0@3@o(%XSS?VCZD_hMmFlYDzTngU>_Bzrt4*3I7v4#IdwglGQ^R1|<%(uTvV z^cR8|jPnQBDQ2`l;;5xl{B+Qveo*W59>qEG7yJ|n_&Z=AJAvK6oJn#N5^;uhoBdMT zq!|u28P*|`vr}?4&~&W$(AVWs_aUnvt4*LBk{7kYB2bpOrfLz)#moHBwasFRo7apX zr~>bi7H)pGnnV;F{%I?T77JV<+tVnRHYU*Z5$r|hm?Yzu4ugV!bN{dQVjyf}aMF7( zz3bLXs^_cfqe*63m<|o~{gtrc=A(--Lq2lE=+@vl_k%m&;lW*@YJFzC+uvC8I`k?w zCpPCQK^c=X_oQVAM8g0Km<66?* zzVyhvG1G0V>~Y;*mgD*=Nze!cbzsmc%39)+vd>4hn~)6Po1L4Bzc zB#0s4Zo038m|pL&txDfLo={(rQ6i9_aqq8KANDNb&Wl>3aNK=(s=gX@{BGao(~{fb zXg}NSP<;)XE6=NPZRvMsYl_djHZ1EZ!-&f@ zIwjSR&+TJ}uNOrncg<-Tzu81Od_T=!0Ut{13$M<9%%_&94cqeD7e- J%=XK$R;r zTY6`TKR&yPg~T)6I_y|(BkLWJPPXvldjv=o<^C(Fl2c@rtKj@YZ+PDR*^-g^a1=^? z3s~Xxa9I5B5Lq*&Ft=3ooM{~A74WzL>`Z^!=Id$poLq|o{SwMV>^XfhhnE@q4R0-< z4)80CEjiD>Dp93Ft5daD{o`w={;oLfhgiz~&nBmV-#t0!;;qH8E5I^0k}SNct-Kwj zU0};8^<2qAf1&d?!(rnTf$dQ_pKivj7>Ihn(i-3=wfuV;Vf=IUv9qu~2Vk!^Twx2e zkMee=EEc8K0$r9QH!qxiumJSSp1iUR&)k-7kHkv0vVliAaXVqUdsqWwEE&TFGkf2* z8$Sx(d@B^wKJ$iPECZIbtREvZa+Qd!CKKMhVi#;P&u+O@a}2^bmdlncCl4)bEak4c zPtV&wwTCOtSpY8I4^KIL00jT4uam^ufOmY@&|#0SF~D;3gXR?`5gvbqpC9Wg`@DP2 z?@UC4>}d-fgX}4s8n5kaYyJyI-p%GSea>mZQQYCN`|;1_?|NGw`1}>QKEVJkM~^Ot zs+5ZT$%PcYw)Q&|Y6E?uqhB7Ziy)_3bLKTGX1AqR??20}ZMClMe2cbYIc=TZ1{wNZ zVa-$R?#uqK_P_9(KSnDUK6;nBS)NnVm-MFvpVhWXmL}!eJ9CL2{FIh=*^=FD3?AR) z?-vwVRoq!I)r99e&tB#4&yI5T>974P*ZjS&3#vP946?J1Hb>}7{%q0z{ON7LS6q*> z%Ny0x!En9+_#$aSl?;Gyz@G<9D_NY``dlkTOH^KD(J8L8YiWC2Dt@9gTzuc0W-d*d z?_6FQQGbqT@Ajd+;YmFGe%W%H=r~f+<9E5eH@zi%LDOF2Te$FbvYlmk6?EWAcv`oh zoM9UI5%0_SHDmpC=SUg}YG|1g&VM`nta_TZd(FSQzkTH{{uGURSkW`Y?>IPh{>ef~ zr$}A;s(C*K7y~d<32?I0XWx%q9~~Xu4ttunwZAGY=XVO_9eRPb4a+HBZ$BBXf!K#N z46FJF!mg4a8nbP^=Uk;%-mi?Yx8qMk_VG7hxeCzWvtIj*<@wO$oe0nWss~cl|7!am zlzuXGSN4>z(`*S}R}mVveYB6=Cf{4?eoh=%t_xf81BtKh8Xm9nnT6Ls{YsaGxBLy5 ze*YW9b0B9BQcMrXQn;r|UGr;Mb1JvZ@6hu1gJJ1c$eFONzXM5CS;h9o#CHDM@l(jy zam(D;)A8H(H>+G5Q2w3n$Li(R!KLcumw2}DGKk_Jw~ZOt{D#{2PiHxvTLl!mYx8>p zNqtgG@;~-aOEd38hxa09C&6lAQ*sOitrMdo3D{KdA|(sz5$W z%e$6THZ%nOz3;(^&Oi9!0EsM@a{_M9On8^D!dZnu-(lU!UamO!#}STl#=oP17%I8% zzuNbD%cIfU^GTn6=;LE`u;>`dJ1SlLrQUmf!yFO2(Y)e79zj3zia`5WN!}AXhn@a) z5lx1fpj;LnX|C{ZZJ&4S2v;#pm3Yxs4VAYpnL37g6sIL1Ynqf$aQdO(0bgulDS`$x z8;nH3Ny)%aUjRez&XA>}DsCW4(i;K#4DD#1RdpL4KvgwJmX&Y7R+%_{Kz28A93DiFXil_>MIzT_x0-w}|sY zGzy~F3t7Ez5Y=)v1~FXB@oz7DsxPP7J{*R_~$4^uT?_j+FYN`c}4)p|~}W-{a8WF?sA zH<6zWz1G9qNH!yMI+GIkWF5u@x2BF#Mbcp_6Hg5AYh6Of{=Xc>Za`QJb<#o;G>qD&> z@-*7#b#3Kef?cwQJ*mumZshAjtmX1GrUpIXhds&8;PCpLjGu@P^EZ+Nxo3%YKsOSH zxkFkZ60K>9@UQ0kp*9ZlHs%H_ozHYb>7Iu_`XN2J_#VK0rXQsA9v%x%|l4C6_JU`C`P1`sZMO zlN)I7;8Sl*HDQ5LhO9&_yFZAi23YhHI`qV3hP#-5P0|gfT+zOe2;i{~MDXQel9r2w z?v(6&V;6(JUB*`mqK#0)Y}Nsp@@Mq}g??jKAkZ}leg2e1zhzt|xz~ppV~$K(!@;H} zmqk{$f%*8FGcgU*b*Q7dgIGd|>NBa+Y=io^Pc@;Vk{A`G>Pt_FRSfd+__(^i6}qBJ>8pbfESRAP?f^{%nKSo5Bp5{8Ax1H~l_?jzhQk zHYror(hf-feXC+~G3U@1Y%8@Q$}~{vvzz5s)?cPCOT?|$c7xfF7~4YJo^AL@sz#fM zm}_Q}iZ0vK6^CiTcVtHcOtrNSF8FOf5EPvn6`nYP1m{jiP>ZP5T&Q7feZ(Dl1W5l2 zW8vx1?8eEoX`MV&^|sTbpNgs)q3`GKRb~A68kdPcz6&e1Tk=%S+-#iNI?Q&#_7ETA z`Q1Sr(YVcc)qSV>;BxVhK^UoN>xK!%o%iUPZOObHb;ydmC#j`FDi`+F` zBJ9D8U1hgCdI6eyMq9c83nM+&$-ekrRp6fzl8C*4HY2igEWFs5|47&6`yx8?kDM|3 z{Ys2XPdh^``lyK274+)M{GisQvkR~D{?AHWl(u^)D$U^lxN)G5k0 z(TNebGehYW_pAYXTuc=i)+vbrlpmE6Zn_H#vd4TV*(v;PjL0qH`Kqb?qjMS^rF5j3 z!YC!p_KU?U&AaFRwj5Z3?%QXgs4W_hWywNVnlP|(id(oIGQ0eQ+Pw9%C}!iMeBo8~pb@PcljB4*?Dw!_B|7W;EZWoX>R*)uA`S>k8!69}#7hz& zoiYDsbRTt5qI41}E7M2VDwEBi_j2W7SPBV`^ictclI6M8Yu+NPj^Fwu{DBg1BJ%xI>NPG zhR*1o*w)%PC$Jj5>O~-B?Q8Kl#hRW5EJ8+y|3{xNyIOoZjh|;O=L{+ih?!CeF1G9{ zS8dTrx=9Hx*Z)(t+QW_;&}aI-=yjFo&-?q3Ii9nV!gJhy7V^+(Aa+oqL!Zz%LzDV5e*FGO+E!WrQBoHTeKbR1s{v(i)%zp2FbU0>PD7oVONo2 zfT3h9+fEMm|5DNTVnoXAd!LQWo>VK4IkEDF@o zj#WhcN9D1C|5R9kLaW?=M>6I!u2M4tm9P1 zdwi80o@M?1vHlHWL^wy-Vnoejq5EVp?Nts0*M>su9Bi{(Y+Q|Yt2! zbH~Y+;qeifItTObxyYS&Nxb#>5hPbuMvjq{rS3 zXEM^Pp*M}6BSN*sA~Y-r6|a~gH>n5Btva6^l?M_l_^i)GtOp0I2T804Y9eP04<-v} zd2<7ulr^u=#NmL5m5aaw*+hHe5qFWZsxF?&18h;M)X-!-9JRjOVU1U0Gx%px^Z>#b z?finFvQp_pDF}2W7e3O94>?U_+?q5ENPy>p7S*FCX-bNw&p(h8jPH~jwFN6HZB(C1 zHl}*9FdJccfzVOsO{sgivBvJJ$Ifj;ChdlYI&QR6{&zJionSKLKo^Vg&XNFw+Cd^%R?=O?+uq1rJX*f(8?0`78h5m-J%o8QskEH;dio|G(Drkl}K|_ zKMD%*!tu4*=`uYv=e`zqOd&pk+!F$#69R(L0NT0q1Rme%mil*X?{_dSs5^%Dq2VwM z)aDq}SmZ}+OHsrwD63ED+8Q7^(vW5tK`F6S0X_+@L!P%a1&2^Mq2cghjM_fI6!L_> z0tH9+w@r~})){ro--}Bx0Zr}Mg!n`mTKpV*btawLdpL=O#`Z58UZuTttY!j8>0k#u z?z6Ye*cH@HEX!Q1T#Xr!j;kw?>V;cuP4t!CoTQJq!JHx-88k0xH3_||KcREB)poM} zK(GY#)~)TI9i_L-DfVM=v7G>(NVsve*B2^rIkD6192VODnC~Dyes5*YLM`jH@^i-f zRkI4)e*K3O!mtNbo1I9lo~hEz2k%#0J#6_s2B}ySx$ls^@!R_l45DjBavL6=KT!<# zFol+<_pzw&m%dkth4BNs$k{QPFRPG6o9*f|f~wu57`$PYW2u{^7M%ezA|O-~9K*oX z0AJ&QPh??Cd@aw>^Tlo)Hss(uGk^sa4>(1>HRnOl8aVshzHE1*LVHLh2H+-^$Qcx2Xigw1&5Hoz%97WoMoHD(!UZa54eNQUHP zf_GQMTEv9JONPYDhQv#U#LJ7sONGSCiR9&i=c0r6&(~inR&Dg~HR>6Ww2?>3XkpJ~ zJOJ~}7FH%+>luH$8`d;T&QGi>>S;u-O4lK%UMkq!ebDMC!dnT$doON6^AfpX&>azQ z?~hmyn2bl(`{TbbLpxcJUPbWkL`6Kw2)+^G>2U5f*Bo3UF|;G1eJr|#bo9&m!@_YR zIO%fnaGmuSehE4?wikBUo3(umGqZ6;eSu+B3w|=}2!id@3?2FWMRDue6s7VB*eSN9 z6SK(ry@H5jtAG^LKh;o64a3cX!A-)0mHpr;CqS+;pU}Ho^UzDmE!dVzH?g|gi1v)i z;1!@5VOJ@H7C!U#B8r{{D`iBCALyk8b+n6~CM97M8`(>UEH6*uZAN*OCcROY&?*HU z*3+KzV0E)<4-$+ZF+-vCvcq%H!@Fx`J@6FaLHBA2CP4+O*zcJWf)Ae$pmqFuh-t3E zCo<$+5pHy|a>}#!wbGs(SjFLbf-;TTZc;>H;1xuAEo40~A4>X2#`VlYGcZPbt?-8C zVy{6WXGSErJ7qm6XFWJ$J;-1^SYthC2v~@VoeoL%6+(Iy!*kKcyZiCq1SV8@03+g= znRGeZ1`@e5L^*$x#Jk%LxH-JC&*Z8U1P=2lUkz=8M}NOOh`rQ3bMgq56F|{yd>2=j zI{p<>(t0TU=^1dQ|LRF<^>Px~TrA!nM1C@)2!_P9`15ZG)Hok!<-w@&h$>kAAf{yv z;n_0_d@c@$WuT~=x1l6IMYt6#`A+H&Q7T6S2Cs&|#&?n*-H7oty)3@%amRQd(;$kV zLQKDYTMA;z9)7#OJ_2ax=+~A4@mLz^PS~W6M0aO4!Riy=Jn^d>l;3iexi}~@)O{^- z0g`8=WWu52-i+mqC~`p}os3pum>rH@IsrDn6&<=*IY4bDd0 znU^PBGNXOUum?w2sKW`?FuxoLHxPg3(M9BiG};lKk-(r1ilrhNRY87d)%5ACvf|AJ zjmItJAqKTwVDyUu!}rU)(@~M@7ujaNR2qVICDdg%l{*VMfh8H%3#I}+MKk4_s_aik>u=>tiAV7b0j1>)>N8GC@I6aP#gr`6 z-yTIOT{Qk%o|BD7T>InMM8rT5J*`DXq{JzJBR6}$d zCYa%R_wQm*{~%qz%7dXuodV)iZFor2>$AWQ?H2-Yc;rM9Jb7#QtfNSWJ7X7Vmj^;m+OKyl{#PKVv{U^Wwp!fM=e538ZtdKOXLco z6R6bC)cNoG7;46m#Rq{RYIJp^4E^!Pp=6q&WF$`_a~o-KkSfY#<1JrD%8BRFLg=Bs z>`1R1q`qMF7FI?kuR7OHVidYFN2Ty|5I6brs6X_4-=Ss$;Ydx)X~ z01+s@W8D7u^Zt025HjvyGD8&cZV2hFZDK@s!M#?}J!jDYE>eh`E{@UzPGhPXWbrNh z&<}gOJ2DXgA5D#tk5t+PY~@m5jF|qnkT)l+GYaL>xHo0%tH`)lm%nK-z zb{m7&Rcwh)`fyJsG~Z|*_FxjOyOvg4&FDA75#aCHV_?z8l~KesJb5(-+}F~37;@hi z{sc{6Z_>^N_0eRKFE}oYgIzSr9&BFF=k{+9i__!eO+V?Ll;}WQV89^Yn&g8Lsf|i& zs!DXgUUWb)C_EP$T^NqV+~9o788NNW?Nd<948a)H1$_DzR}*z`mRq3C=5%Cj7P~MA8rwQ?M3ueUKK73i zGow*FI+CzuFE+m$lUB>+1_aWd_1ZA2m9?1p^=1}N8Ssecm?BH-jdplmb4qCP2Ljrg>+4MYp~h{>Qu27F` zsPDlN^xp=+UvRTfd7*b|YtYy|x9=C^gksq)D&0qRjstsy{>P{kruNUu)(JfK;CDKbPN309)Avym! zb7E6xro&e$rcj#DpOGD01%%;WDnYbbz>#*0e5QWagNnz#RnrxoqY5~m!RWmVrUJ9n z;lGdwbzEk;xVX5|X)LX9RX+X|8xpn7?~VJ&eBt0|mMGG2KphJ_5x4UH|0Oy4gVcrd)H(r8p{fS`dTFCF3H$-Q;myEk$O5-_PQg zm3-81pMM`}FsJ7!n;|FkXZGCvR_aCG6uUDjIi6#gDDh}LGSR;%nfm96-i*wf*>6c9 zLFm)v-PX6Qu8y?rRM>Oou#;q8b;dMmXX&^baX$O3BC4m+&=(H^nl)9470!I9oFoyM0}$MfAfN%`k>R?jsk;7cg+bT}y2ZRFsVmTv7> zF#hk6KYiWG`B0aJc3=OZVR8_S?CfELot|5HpI`K3!`L+{Vvu|L?xwY;p_Kdu=D#c1 zv>=!}J^x)AUhU6O$sxTGESP(#J3*sw^EA<3pmdp!`EO$~UN1#;^tk2>{KU2<%|w^z zZ}O%~>q|l0Zk9#Q|3U}NENgmb{HlJFD`#9BRe-SmuyEB;h9vaSeTU!Z>*zIdQ zGa$#g;R2yO&!bV661;^kXI8$1pw~#9E*u$c$>tg(-g<%sP8%OR3GI2(hM6arD=+4M z%YV}U7RL5Uxz|3h*R42{5NveDV6Wu=cX{U(yeLjG!!+=)eYlXpidphbsqm^uvDu6n z;^#|M>&3SCAkE2l*SXk>usz6zDV9gkyUF&RM4CGQTZ_v1OJBp~ZVg&6aisI5lGaGc z`+IK_jd41F%fKD%a((;LDw+FU=;iBQboSSmCwP&jtsV9ctenGD6@x=iC3Kw%tqK~Q z-_6RuKawzh%{~tnnc1Dkj~f8e8powf64A^dD(M(-(O2zWC>3!3jm(8#50X z_(~qbn&0*-x+rpOj|qQ1t4jXCEpjy}lJh2CwEJ$W+kW_1d9{J(I!TnSzRWq7vdS*0|<0x+a_V z{Q*Ub878h)wGgq~kXBxvT-Yci)GyVAj&^Bk6GI^Wc&I&7~ z#exR>D8o+X@V2vvlG#uAtBGRk$e_3#alV9B>Yhtwbgy$ApH=)JyS_@=_b1n-syPWGm% z`+oxT0}K2l=FxlM#*w!$A|0L7QAhloWq8VPF`Xon|9R^)vRDKz zZm(^ueT;gU43_Pfoq)wm=%lWnT1UX~)ZiOaHxs(k2|A3Sf4B8Nj9_%LrCEc;qLfg5 zPwhu=^QmB4nxlKz&K=bq!l^jyLi168<&KNxE(BazrZV=6Hz(~JKqE3XyzfyX7>Cy& zv4q|qT&-z>x+TZDu{7H)tb0LR2AZ zTT=Gj(;c04J0}xC@C*=d(2wG_;umorkq1fVDN2PXC2`@N8&%Y?->`oEOeIyqV4tB7 zXrh2nS6Vk9Cn6_wLi_bu)vE`9pZ>hUx+@>P58Y+`%gBE@LR49VYVW|q7rPMgK!jLD2$_3y+!$6`u2mjkppC>N?OcKm)sW}G2%{24dd;9K zNeGx00ge-kEMf_p_F0HXGUD3F3f=~CyJS^|Dwn4_iq2-X-1rK%M71)UJeD)A-P(pY zMJ5d$KX)s=_pm&w{aW>}Rd`5gjq>|#1GrRsm zg%-tesT&bNIDxlU!O<74<4acOd`9^m<%{k?$n`$W)F<|8moOsz9T*y7m3p?fsrad_ zz{vRX1;f=iObT#Ei!3@{5R|G=(pV$pi@a87wwYzqX`(*FfrJ3{}Tb8~I|% zARNR7d**cW#(yy+k7m#*4a`2YXwznh22q349Mwt(brGXW8MCLnY5~5I)RuXa1)A?> zsC?F8LR2Ixvh4G8aJ$v%qM~|w9R`D7r2T~I>WfPAe?u}{_{w)~T?=;XYi3V0(3RHL zRJzyQ>k4K}f9(D)`gaH}3ew$MVa2Sl0KP|d7VkThhO=0_mPjuGWf`J)4r##@cihI2o6_RE-q4Y*{v7m i9EwT+rgTCpC0r=RH2ujQ#ijS!70RR73Uk|PT diff --git a/cpld/db/RAM2E.map 3.rdb b/cpld/db/RAM2E.map 3.rdb deleted file mode 100644 index 2d0f67bea4f676c988b25787e7b57b3b51d5b656..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1242 zcmV<01SR_s000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G{d&U6gLo_2`Et-grd9-h(lc4cm~5=Dbc1G%awj~IOno8Knjo&3LG z;2TuTWkhPGPBo2T?dn zYNH8V~D7;PjgBZF3hsfM(7wRcnq$t@K|SJ=U7K{$X4ZFs3ewTGQY4{>4FWUg4vF-I43A0w`f;qmRh0cA&m5WH|358RtI1QojUu(myl?_=x zf6Q}7iPNn&AhNQp>V0?Q4S;7p z&rw^2m$XAiM$m*clx39Ox;^^xb~dDJm<^-Rs~EoXXdiFs?p+#XJ9FXa2W~7XDMA7= z9qkTxQ~K5mke`h*8h*8xW_xYx&!&{p>>mBd=X4nBH7bT@=pmXYn4$-)>TZ?rOg$V= zvcrh_9K*4$cO*aMk`9#zmu1%Geiq3Pyrjm9w6D9oA4W?MF^_J-7(bNH5jUvk)6Q+C~mfK*M1 zpbD@!w#UDNjHyzoDGiQV@_FCyKk+-~P0q>P?B3lw_nEmf&&*~+bL-Zv67t)GPfx;Inb7LnczD{o zx^Rd|h=_`aaVUG)J6UsxONeubN&&^hf#RZK5*&Iq9^Up=HXOPZR*oEE9C{iY1~!%) z&#mo05YFT!xkI?jty>%5|7mWK-~Hb(}Fs@}PeGP?ArZdi4RXwvwB#SY#4$s6d235Eqz!g5w*P z!272kwKiZk`^Eufo_KwfePKZVVX87HPYgPTD1t+Yr%JFwbQ-B>pKUM z*qqn^mEV$4hlbOVtj69Mcpi#91Oa7A(e>g>jjJW-?;`xX2A@q|dvu?zen)>llmRxB zq8pQT8dppI@7Vw0@_#n*|M&F}+4!=ig$iC#U0vN;yEt8S&DM4Uv$rofdP_fF`=%2S z-I<+Q;^xL0|LLV=aaYf1k8buKx)gx&{-n0Kpn1yA8S6^X5|pZ%K@l=m!?^UX7%S?r zre@P7)?2&di^Dr3O~<^wr?8cosBZ!v{EPEuH|+K_o)rz3Y3Yv{Gx0G<9oxzF#K!q` zm3J%RTMuQ^oeEO~*#;f2GKwq4TpqBNowl@uTR<*qxNIayu*QYv(#Ca3=$(5^gbmD- z666>6z(rGISGL7zv+3xvy5y<4xP8IIS8OKC1(5mGGCRrZ%%uYbaYy^OOMxGJ$js)? z9S1SJ-p5168M*Rdbq{&bvu<{zYC=~@hoC$e3}33(6ik=?12yw^uMGP6-gJ?C1d2wTBQ zXALIxJ(_&~_)qdb#*#u;g!FFy%KLNiM)N=7&stPlZ;t1Ws`IuVRh#{YJ)x-FK-kG~ zYw?|xhSUkU4v81DsE~Snfk6RBw$JAy`K5%LGYdOT(#5MiUyrm-U$~N1daLfon>FCZ?~of%v4bL;kx$xFKBo>P_@X zMFwr^2s|p`~7bqJK3cNS;}Tj$1A^e5xW(X88Tfo!0B)eJqbJ;l*(Q2fUJd- zR-4uu|C?F+vrLG(fNBJ>2Iu#coCg;6HL9wS5#s4&V&xVOEa;xh>%_8xB82}8YFqq9 zIGsvL#^2iZ4B=B6fRkt~*)eXrIIIN4MAgy(U59@%+SEqt{Csvk$&Xl2k3i%@zZ5?` zpVp$I`!!;B&r8Ws-+p_|bT187r!f4dW$Ot8XwHEaq+9sX4#f2Jo$a2RIM)ro=CNuql#9yEEFt3lH_oJu6z;Pu-q48Uy1dW% zwx=?~qxY#D9BN3pKDr2~zIT-~s%@JFZ*c>Sh9mEPUP`j|>mZG7Q0>YIpSYb!PxE!s zyZNdm(KJGoT|BGjtL8m0VbqysHH~=<(OvYV4J-cKxr&bBVm2>Iwpd7wTP!fnf*jXb;dtrMYre; zb*!lPc4jHJi-qdbfB&+@xNM>C$K-4wdgOJ_g6ZgvK6UstE{m&%(A?v55^(nTGYxX$ zvaH0rseY_dFIVs?Oj09meD&tvKHX3Lpz-G93Tx8g@84Cr?5po_J$X7fXm8wOSjD3l z*lw@=;PwQaSbRh|KPk^ZaP{k$Tl00EAoZIf)0?e(L|EcZ>@>|pF1-KsAXacLs065|eCa)p zFx--x0qsZwrM- z#_|JS#KD2z^R6(Ju5eRE9zr)vM12&a^t*@%xlrbJ|1D^KE~J89TGW47a7lBO|Ah!! zrfO^q9VZm^92E8Xdi6ZaW@GWuErTf;Fb}`Ct1i3OU_dE{@T7Vh5th>ndp{*iI@MyQ zK|#)O50FgEed|hpZfrFC_Ra=1m}@Y;C%3ndRm&qfr0CrU-^h-q%fw3Vhb~6$Pqr<) zZz@JgRs-$L$ggKz)Lk4HxCiO8Sxvn#F_o{VeCfzZkrC-aLY7s3xq`McB1T=@P8+mw zD(8WFX^~0?x#KO_ysRqFC%-zylmE-1K$;BIx$oXxCw^Oe0GfOMgt63J7z02|!3b-$^ z;)?QIT|7e7>UJ9>J)P!r>ykoJ#g`uq^o><@_po<#=RJ}w0aVQ25yD5V{WhL?sUyt; z>qcpG8qpDiq5md;dMTqPwF<|4hXz<$yh_a^FI-1RL@8p zSF2mihyR1F1egi9KA1qY^UN~d-fK_>+}o;)nnm9x8H`|Bt&|yGkKbgG5-f@M);LUU zA!YA&TCpVkx)#%U_X|DK05wG{3RVk#yK6dMS1?(DlnxBYP6Z5m7t}gDAZUoF)G})wdtC83(W;qZg_zw2`lX)%`$=pI+i`E0$KpJ zpFo_)p3S%KuVIt#nS(b1qlxAN(p7pZ?9#G=pQEy1&LaT3hCWHx$0Hlel%Ep(Z@>7y z^KW7@eAQHVUvM$Mfu3@&sGG8bhLTA~$Dq)xFF6GiDd(4R*q|XR|mG0a#`kgH&2Xcd>X{IifFtAv9DG#$44ylgdMMiUsM`Nm$Gv0Rz^nUG!3<)XQZFbdG!sk zvh1WsEJQw}VrH%_5Gm*?Wg55E>%HLBe(PwbwLxvcrN& zuAkJz<6DPYJzq>XA@VhhQHOs)6Wdh?%zP`QVp`uI^Xe)1OJ9TqWfW2)Nb}-|DN7pb z^>#XA!L)D|xZZEKdI`k9Yu*<`C#*I+^5VV}qy^ItyIvj;4;QvKA|CnEb4v18L%W{204jq<#grQ7fAKcV!VEO03N<=9DfO(Ca$G-bRD;@uSKKo$ z%3BkUye9MlvsQ|i{L|IX{OInFeSJSX-^zeIOyv9I_}Q%BK^g&<4KcP9ZTserA(G8e zKmTJ}u>zjRt71u5_3+EhrrnyMpkgTkWYN)Vd9Hb}x5~X2_;Rlv3Eia?xDp($9xd7U zqZ;=(QXOQLAQ!6poAhMaSX*y0$~HzxH8f_yC|4#PEaxJ(bWN3#q^4T_3;bU&366h7 zeCkJJsXYy^2LJ60a;ht6$X_Lx;#hm6EL#h-*GHYo|IQTzR7K~6%urL#} z@j8Rp+@Q{2D)9@)?TB{HPhvV*cBW(H%WT6ax3Dhe^M(~IW_Z&B5U9d^Eez5C(o(&n z%leEJK(n6i50Vu6$kP1aw#5@Gx=-4g3IEyp4?5mOJZQ)25r0@uuH@6w?A6JC^ZZgS zZZ$K!t0Nqrq-bZPq0-rVn5h(LpEFbV_sbw2R|XKP9Lu2J*Q(Xp)jfMo8Gy0()O zN2d}mkf{LhMi)=SS^WzdMc_XQwuu!1YUkLGr%OiW)QmTaapPmp>K3|fGKonq&9*ax zKd7hmqTJGiq=;UAPJRw6ccssteDP7TrcF;Ls|F8ar|E5|qEo#^$!Vr`XT^o=9pDjz ztTVGnpWVT}&1nBiS={Jh zMb$(2bM}1kaw4y%WN5HVEWP`GnaF9>0tK~+1-Nr$xNqpV{zZ%SI;Miz(pZ{izIi79pm~p9-(4AL=B!ZJ zG`8mD`UDwgA_pnC=)SWt5h4B5C;PJW*uIwLH+!WjWX!H#R$N>5q?oVI4R{5zv$nhdAc;y3^aS7(J zs7WmUtN78T^LJmGxTIS`DxN+SB|qp< zRp8M-Hz1n#-wRku@J-59RO(o<{D{(j?PfX1X(5xN&kp{HMOpRwsV)pcQs-gAF(m?E^jptoDrh&W> zx3(kGdPnOQO5UJs-hW}?AM%^;Wpkb0;Qgc1G)}A9tZ5JpA#WZV-z$11#5D(c8f5eG zv-0=Pn~nd?*HBy zu5}MqH#BTF=J|iwhPn9}j9*xZC^-ajH%m2Wn8M}hmx`5dQ@@m+X4mm3dF00jnbLxQ zKo;=*r=N*w)Ep07*nKl=js>OKfbj=<%vJ;P&;5HRay8-)*AP zfe~_G6656<8JYH=Rciu%b65@&?`YLQa&q1Ds34M~HQoctA4aUJo%5_qK4o3HMNhaC zDY4*|_wXkH37wnrp5#$N=Ca81Ba(w_P-0l)Kj3$g?`ugagK8^fx%3kN@~&{Z_YwDh z{d8p97K$IeCz4>a3fN^w!Mv7T8`4(yTBhp={SK84nOU~OPGp`2z8wT|IgN53>L+oN z(KLSdrJa+>xmx_r3!CI%aWYP&p?N0!v4@={ZR62NZ#5--gu3D^JcKThiKSVf>YL^* z=(CVkZP#j5-Q!wyU9Zou-l-&Lj}z1JIg zitdw(srU^3I$4JH4#=a?6`WQx*A^`U333yHwr49;M&X4rD|E)>qRv$6r@EoDwqXe6 zmkVzbt=9x+zR}p$@ZBVv@vnkEUE+a@QYmqPa@mO0ldJE>#wx^RY;Tk&KR{J=Ejl|+ z^>pp9s6CKt>wB?bBSJ#Sve1DlQ!vb8{=Kv<6ux3}GROH%8K zqpZKy`Y$yiT!1HS{4`6!Ya6}Q$m^x_;`w~mLSyHt@66Md(Q zqhp}_#XYgJlvU^&ci~p8>x!jTfAG@FemDbmnkee{3Q*!nd*NT_%rIQ*{{AN_&@(QB z*l)4soX6>HVmVXCuU6AAT|2vU*y;0*7tcG;q_I^Ax;FzdrzMqR$>mIJrQA8plywTU zQeO>1gcrvJBQ<#*1mkRA|HG=n{F9&a7}{sI5m<+bhH~c591QCvuZMeuSlckEW2T{< zT=ke*hkfdZ`cGQK3N$xMEUe)T%p~h#_V)|TjxNgZoNQKFATopxegxLkexS4SHDq$> z*_D~08;@A)`{gUUiv=~JUO#s?L5>w-9MNV@I`AV4CziK;ADWmUW+Vo>Vqj8^n}DHk!}} z>#!l?npAG(_zXu0lgFs+Ay4ddeM7!-rfGB@5<^Tx2v- z9Z+DP;oBK_7!&wUljS8+lQvSYXG-Hru0gQJ!L^hxI`+|A^?}&K_*Jgm|GXdM5AD@wf0*_H?3d z$%uNn%?~YF3NSS-U$g}66o!}9wb+`#`Zkn;p1)Hk36{A{C;g|(F4aVR^s7|@vCi*% zUS3R&m1xrPZ_adM*Dm$VdD3`;ysuxFsHopvB3XanSKgC3|D5qTohXb>Ezt|J(1pD5 zWHd=w>yMD3EHyjIAI4RU6^_%Xe$6Al4ql^=lBY@1t>})hQ;W@N!)VGl*v1w#+ry|L zOITF4M~F$^|F3K{Q~uk{@UjQqi=9Y zC&)|?HnKgmuRChoRo&k)AuN?5E2@L`Q+#dZ2p&Uc;CZ_zphBbg70St|0s~1M%xx4mSi?K= zde+Gd$V0^Azg7)yJOMv9T)ZPPEhcPc{^Z6BH0}38&NgGGHEQV(>?FKIT|`}H_;_Z? zh@Ae8kULgXp(K62zU;bORME!j{ZjHlK|W>qxu=`xa;kOXfCQZnv$jmkD^+TIeIwTPh`+LbMRcBQP=?dUO}-i1{nHa3 z7>?CWtgcjNcr@u}meM*JJ3`V}lruhK{E2O}ln?UkyP20$D;hd?u-U2oV%=sgZnp;- zKiH-p*!m-Sw*vcsQ^$C`0&6|;MnZ~uB4+JOq*9Hqs4RPvOL5|hUwHyxhb!CJTsk1| zCSkW3?28uPV>UyJC@)nF1jc9i1AhG7LDGvWOEmQg*Xc=4eveLY%*ae~43YgJ0lh1i zZe;)W-RmZs@vi*}v-Dr36CG0S;ySDNVh&G|{!90RMFkpiK2`?w$QphzcyM$uPI1S& z+!o@z^zBKj?B4aw?ytM)sp?JDQ|cRIxlQ8lBwAQx(+hH~^b?pZwqBd1e;SCwW(^|Y z)5`n;Qa1~tqtArz?rk^LnB29tv&BV}dMoO9&y5s6f2Ei}DsH%pzgjU%SKNkfY3jM3 z+!n_a>?MiHhT*T`Sk$2Reqik|rKhlv&p~9o!?{&wV8QhLdC5<&!2N|Ug~Sv5*f!fEkTTzET((*I zDL!GAbaEAMWBxSQ&01V%=g&fg;|AS@&Gk3P`nyHAfu)HtRq65X;t^bQYG*lC^6z!H zk&(ORw3>EY$XSq9wzKJcklYlf`nz|4vD)UmIC+D+Z#1b>oXrM)sO7T@Yr%X*+2vHg zrEF21>_3=>KNqsbIPg2$r(aO-IG%uQ?j4zO#t$lAhbE*bX5Cd_-vj!kw1g)DhEn>o zZ(St|p%4?_=348JAICA-Dc-ZwFHU`rpXNyIRaP%Ik8_IO$e847zmUiTD$KzK!)?8{ z8+m>B=3G;Slh4rGp|?_7aHgf~=`)4Al`N#P4`1m7-rj;yd(32w)zDQN&ji{lG~8Ju zNXW6|@C^K;qN1{~9HRv9JW0e}xew&@Q5>2NP?YR~r%xg(m~EYwJ|cfMwe2o64s2vz z*}oFHYT6BSeI_dxVEa+rj-`KixJhVa$@f?s=>?;RIpC>&Z z7ecp$PNdg(ZzSHNZaTc+v(js8C{FxU`8U@Lfww3T17ytBl$!Qk##p!3$~D!`gh&S@ zK;^(@jt^WVIdleJ1i|$W1nyf-XPAAwD7I#2+I?y^SGPn?bgpD3-I<2VJrnmyp;Fq` z_xscA*iB^c46G)#^iyFnCq3(?H}{q1iM_pI@x0o#qUSvx4@HM9*8eG* zse$>vfD|H~~Av@oV^e=19;`Iu}5WYb?kW*L~!sd;AM?>zU9tJIpZNwwVd zHj3}1lgBpy+3sjnTNjz_!`RFhLPWl$52dp2&0GWtp_?jexFurpLcGle#nbuuHJJ#O z$G(Qjx=OFU$regK$Bw+>kwD=Rm|N7yuQlni6Px;wPIs_A11y;&{o1cM1*`AU&bGA4 z6~MkH@Ixy;6ec9Sw#RZ0-=y=_ykCtDy?kqcKQ!AjW;ZSh%{J!qP$A`ODx16tz0_wo zVpfKWlQ(20Y(P1Iv~Q-~8lsPyZ-O};+Hpl6U?{Poc&^;s0#pMg!IuDup>f}f>o?vS zhHH1X>(%lpet8cc-A}(67hUWABLI1CpZUUkZO2PU4R*UfUzX5+x4Gac1{r!;oXkvI zfo-#zG{>i=-HkJw~R05Z%?U1sGNa~ESyCo# z^BH(YUGlsG__5TnS_k=X{ZE^SMs>|@`GN}A9zHhWIz&ohTRfBzmrv1&uzvp$S zlPgN*!4B}Yzj2IQ8AGBKu=aK>#{_9fg-c;CJE2Q2fkMIM=Z7Z3u36F}4M!;^?RD-K z&fr52cps}7aH<5bc%5k^N`;Vsc~`sdn3>6AyT*K@_Es=IMMV&DgH}|gRZnHgiyD(} zK7O!R+|i<#u8ow=KSEDpwrX@nYB4G$@(-(kgY*Ud`oZH3leli6AT9O%t0QFQwAND9 zr9QRUq)iSC|9&GsIq#x-vygL0Y4QTxV7|3>f%N-_5B}-~ksSk-N+ZChEz15zlJ^C> z8WASBC32YNz~R%YmJf*OEwd7s{A7Eb-yseuGhc91Ds6yYl7!+7O}_FYFkh=+HwuI= z_zdXfmB;XD3oP_>w$a4t@(9d)6#(qFX`8z|ntG4&>A2E&y1afqia-2jfP1+YN-3ecq2BMD2VjyEp=zA9s9XWiC3woxO(`Rv^1B6_jN?v0L(c z0ol9rN||eilXGg|tO6J&s|to5_nX7*%gq1J4umum-&cUG#?=469saKn&sSG%Is~0n zH&oDi$efQk#Zqm}!ZXwAI}jY_O(Ntsn?wyYYZJDnQw6_6WUk}xzmc3Wa!TcAD(k4F74xe;r`@UJ*DNqcnHK?;Csw8T zMv(IvgK2G04JufNvCK?bb9lISGGnLF(!9O)^|noAjl9)aK}cKK7ucm_*`uH=F$5re ztCx}2QPDy%b96xdYjY0Nn0}$lH)kl-@TS$cvfkGGJ7u62`}LB^e5;b{AWALNd;C$d zPuOvyj-GpbTTIO~NrRYQ{>Z7yHTx4kYOTIif9uMb-b)2z(A4`kheAy|UlWg}chL1f z>cYm#dP|{Cmg>x(&$7Md&Ry6o%D;={v>g}bx2s$h_XT&#LO*Y!TzZpQoaee#e_oOIn4NAen_pvGaG&!vrX*XnY%c_Jcm zW%=%)0^Mmk+dS^1Y;GGe7SsUhYblDGHO_N@Wt&z!HER4`)2aj!1>7j?89`RqV&f%Y zSjnE=)#|-(l%_Flr_CZg1CRgqUgfQ&w;l>g)`%P%ZLQu_jJUJEs>pU3v32E5H@}8@UQ+a4gk4{{42iXNxvdxMSzTAQw!>xx=}sTzdixd<#Ysxk z>KC$?oFbB&!}<3e{qWgdAUQ-0e3v)NCsAu)M9dFem92qk>AZjxqs%$jFeiDt<2yO@ zX^X+lX-l3qD1`dbySd=_6+0~Nlq-Tm2JMfe{1o3W2c9W7B{LmFwJ6ImX=ow)WjMy# zE~(IWj;7%?Y{}DhnJ}tz&C)tYFRi7>j=*OoXI?KSQ24tDMS6mjL%smAKLffL^hH8q ztqh*{m;$KI54roRaS$v2_|#UNa5-64d=Y`MTe~)0P(h8;WAOdKiqq zeCOER$Se?0JJy^1;cXX|y2fZJeyGeBF@5uwyJujqa$Vrmd?58lvSf1hUAgdGxfe`J z$t$pgV^2tSD){ePjX*3x<4}An9D8MfaqmLIq|fk2 z3DlNXhl#&2S#*9@0sX7EEFh(al|O)PZYZ8SoGA;k#OfU%iA0%=gzv6iOG^UOkVu?%nF;LZci(lCpK}L#IQwXhzZ2uF^L4 z&Wg)lH@R<$TCtA6ev@&y4!I&+2R!O3HEea-^7zbRRXWUZmIkI+8ykIYaX~>aZZ+XbaMtP0Kb2c}O^9juT77$2xFTF>zSn--~v+7Wc0hj_DjAsG@6Q~F2C;?R%;dbg8 zga35^R?UV@dsbHgxlR%k_%r~JUW8v8#jsmf&pbdq4sGqaycG@zV~x_=Auq20t$}Z+ z7lLYV=5KI*wyApt^KIUXK1cr8(d<7YOW0-hM^444rG`&9;IvdgPaL4)x&|*L`nmP` zotHv*d7G732zS|9*8sT<;LuXOx74ILJ`!vaA8|s$1kq;&4rZAg`LBlJG8(2e)@pF~ z6no+VK*NWtZYv)Du3_!e$#VLLG%%JoWm+g6L%$o-tuU=WC0Fz@x?ABeFw8A}@V7hg zru;UWY=f({b2pN|=ijxjg;CvE5r?a!d~T{Erl7h4Oct(!-CYd{aR1%yYq5k3R>8@I z!md8biw;_)W9Ci>>wqMPtqEdC3H+Y3P6RF%QeCg`R^$d?RoG<&Vsc6H& zoM#m|>l@I1>Lcv!_F=zftajTEKApd6CbZ;>FVz_m3`-=_z2p_lAP&3hemk zRWUsLl_4CG=&#Ms{;NRKoGiRq@xky}^lYyJ_0D&G+XJ77T?x}{`NY@l01!7{*eY|A z#SCuctw9;DziEtOsnKA?o1LSDw#1u#x?|kdm)0#TQ4{rkrv<>!<6K+Ge6Uq8lv8KU z3vvaraQt%NlYxGl%s4)PwOAi1Y>vJ{2lEr-FVOvA4%E&C&|_9`XU^3ch9I9WRa2c5 z7MMZ}SD7)eWBubeQ`aDMRH~2~v(@s9Y3ggth9P1UDj!~-jkdJPmOrK~h=CATg@QmI zXInSAeLyCuyP24(z1uej)%QuNEo!Tqx`bNihDr%Q)#5Z5>wLx0GZ5sa$SAS~w2Ivj zSy#(8Z!qA*$ghMgZS+bt-GtC-47LJaGQfZMD!E2678bG>Qz9JmE;b1fY*TuOvS_d7 zQ>>Z6N@##u6Dq3Tg!Kblu`l`@&r;*c>9ma_@4AhtUli=y85gW7YN;_xWZF23rZ%v@ ziR<$Ebd6|5A2xaWMiR*MfH5bN20)gLzUH6PqyWe z5+aGc3aPQ!nfegLz}k8YmazpLtms(}Ry;VF?6|CuS_Rf3gR`6U4n8<10a_pmCVMjO z?++t|G_qm8N(n)h0e9@I&1Sfe%$UF$9k8E!Z8#6V&Df-PQ#qH-v-lsG8jK!;+SFVp zc{Wf{ZRH_6uWZ;=cduTR6GBAl#C2DQ`FZ{BED^YD3NkgN{|_V89&dQF|Ls(zMuB_T z@*4hnPba}8nQ;e_8NVz@xQ;nb*5FOp@!vMI?IwgBE|^;`;;H2_Ts zrM2~5cDHheu7XD{Bi2&0Lhg2=+?CCX6}1iUt69y4h#7fGqtBD4y@;1kZ3H?_dP-1a z)a#^KbR_aT0O^KK?TNlVxnJ|@CN5@0e+PrhOJ;MP9snb^AeU<}KqjiUXMVCH!+F>0 z+MFrS#++1Sy|;~|1xV^)Rj*cbHPS6nDIjJ#E{or78eYb1{T;YKxm$pLxTEmM>(&mi zay^h@CcIf8^fq*a(Q>B=y)k~Wv|bzO$26UO!!W$m7JnY#Qob`+9>20HJ5=+)+Y&Sv zxV5UYchYj)I|0Cv9)DS-N*O)3qe&VXWM{uSh`Ag6G|A4Q9W*pAS-BPzeQA zLGBa0!|wy10waS8{9GC!^D(s>tO|cdpz~y%&5KK8`{ROFUuHK>y-?}S|9Ma~jNmdb zZ~F2oZ@20kozPbND*ZaGtyuDt7$PrsntFX?v&Q{lrv*1cv)?yvWl&_k_unmZL!g)` z1o15yo*X~fRP*WGf+|K4$-j#tG0lecSqcAp?+m6&?l#C1v>2hTV)0^ik9_e!DcG(<&7)e9lyD%(mPTN1%sW( z)N1+iz5hN3gubda%Z_Lp(O}1u$!`0TL6RoZ0>q_0K$Vq>NM9q)Ir5Xf_9=={6-N5RcZ zapwSpprbl+xa6jEZn%RPRZ$NOyflO_SbSteD?F<_qh^`EhquIbk*hQfWm;Y}#iPYi z%nO_a%$G6uoiXamrHt!3!TX$k4Oe*X^FJ473`(}eio4f~=$^|O?|IxI$w+pB_SEn$ z9GpKr)vmSVaB{6T>WDKDYe7*5iW;c;Xkn}-uRRt?n&lUOUFb2_zII%BnI}na>BNo1 zYE2UEPl&vaHjJss9Qgz`*lh&4$Hdw;bK=siEUX0(QyjeBpo-znGXI3d?h+h%c`T^j zw0AQu7EMxm24;(K{)Zmm27B z!`(1KU04MFL0NOw1?O~PGs)R|+mCNVE66TeVv98PTK%m{Xz}$&r=^JRZ$TEi`CMWX093*3y=>lBMyVwZaC5jHv(ZT zb8YD3)051=;z6DH_%)#Wfy8WTRIoxwd+jvOrGi?$ME5`)ZN1e5ytSO6prT$J?4;O5 ze&u*{;oHi)+KKM|xBTb#fMo{?!niMVcoa=c1-}_OcXwP1-OxXtEuy<#G6LB~*??8KW00vA-;2a0H~JIE1tR{s)fcl-jDs+rePpLQQTK41H{ECKKBp|MImZF_Y# zU`(~Ti6vdgoV=#9xG^9K*M@{04a{c&DlR>8oC1>n~Fon(?cgpR{ZfV|LyPI*B-YUgRiVL+611>D~@fiXJR;J%88 z#t>auBHMaaZL3_hc}IY5?KLCz4k8gcqGSoejuR>u;!-83u*Gs6=c)z_um2SuC|^Q&7G3gr@;uB8-syZ%e*Fo|DN%9 zxC#bZoyECu6}&KWF|ZnXj;!q^CeXmyw>Pk?K4yn(o>5T{b&ESYF`hYTUQ_imn zkiFz^?SIRB&YM5Fc7quYB-H`1qqk;l)V*^@fV)lrDjAelWdid6Yd$va&e5nN$olmK zL77o_-Gc_|a3PP!Vpq%GqZbP&$~ivI*>=!zMtreA z8FjE_CWM2VhG(!nOu&N}Gkwcb=ak5C7}Tb62KQeu!`u{LrEO8I9T&}G{5lT5%xVn9X_XC^S)mB_jOMtJ=gnulHvh2)n@eCGACSk zM*~P+V!qcF-1CEt4E)IV&!|l$!zF%<9;c@fdIf2TGn z8%P)D6^JgG0{^?ZS0HT*m=;>6kt!PpZJHT;+Tkbj(JCi!yEdnD!gNoM81YOP>_MuR zw@1(+8+Q64*o*79=fcWy*5?QMku*Qv7v4Ab@xKDG&u5rUa`X7QH2`aYG#DIcb+$%* zO2Pp>E91{4r_)XG><{0_)kjIRQvA*2|5Rast$f8jQm-5|m#VG9{PVKgfHTnv+# za&`p@`F?m~>0kGtXFWot{ziC#yk_rbedNlhPsZ*ljC{$HgmKjsXkj#dPbG5JCl0Of zc4nZOa)q6Ku3h(n;B{n@aK0>W16zAct=ju{llhtH(v_jkCS2AN2b#XRp z?{NoevD$)_H%x`ygfeQ`4iV#IMLFPBf&V-L?Msd^hQ^ss8Kq|~n6WlmHD89#wXN(X z@6JJo-SfC04Vd8OA8s=U`?NAE8&Eaqf=If*C!R8@u_zV zABZx6XYH4$jqw?;6T|+dCQF$bm!7oaL)x8q=>6%+ym8l=UWGjs)7JRz+cTaWhAJ*v zK=Y~j?SPAfjSUOi z0@MwH@X-0qjqmM{w?mF}N)Hk9)iqPK6@b$Lj=RtEUi{R^Nim$^N#2_na;cQFAF_Wi zm&xw`z_T!jL;Ws|d=v>M$3*b*EOPr*)UuN51<6msLmvWfqA@Mj(uJg;gPC+39D{4M zmAa=Qdiz%0Bwpg|Q?h{H05WT+9(nLC2zNKV^@Er>YM3U;fU$bj=WrrQr5B{}Bx|D3 zFMa%sKJF;W*i^5>Q_BQ8SX>?L|2m3SxJo9|^c&@xXq92nj^GdbA+D^OiVwe$w-bCP zz|VxZ1%?{f?$6(mxF5CaicnKzDw9E{$d~*Ot_FTiip$GOb|2F>3kOY>NG?8yIv9B- z=-;i9o}B9^-ru29d^wr%?Oh4yr*^DEv_6A6{qr0OhsBf*>Q6M^*f78wU*%ul0N6La z`KcMedm`PVIh6ixm^Krz+LLWdJ!k9X#7CcAFI>%@>EU(eN;#*AlV}wh1eK@Nb(T8@U!SC!J4_Xdx`JiO^ zMf4&#KZ~kU5E8y4-dt3$$a?;PAb)5pK`uds|pgK@+z zoOHr@AErSw`Kh||HA^QOW(jRfDn{~>O9U}M|1o$SWO#BoxU&OZ&WvNbh5CsC1zsz#rTVfSlGqF`ZqB%3o|hzI|CCl12ZEN z3$cocqpO9n39+J~u@x~Bv5GXYhKUie*l!Ex@0uxB|7i{c1X}RF0HT2Mzqls;zvvEn z?gN`iB$nbwxecn1-v$?mR8nL4hvn~0PxgdtVggs+#7|JAJz3@eNoH6nlVW{ZS8HZ8p|$x%RhvKAocV#p033;4(!!B1ZU&Fo^;fz+uPd8%ilOyTHhDV+R9Hm zue=^k7iSk2Kh9R$-L5v@>_uUo`k9t^*7>hdem?qZKIJCv+2e=qA;)*)-dr`_w&2iG za(v93Bja07`py^#v|u^%ULsR|B00>0rltoa!d^6kI4Wz`8~qtIc5(dJPt{Aa1-AK` zu1(y(j+*d5q=Xw9WwIGX&HN^0s*4SejYcx7rO1uf(k?AlnKNewt?}2oC90~g!uSoJ zZUH4bpyd*!Wa{D~8ilJq75v9pd)iYO&v+)k(FVE4V{(Lxtl&%>##iy4Q5>c_^uT3L zAV6^ZaTF0t!Or^cdadzoBukTZ_Fr2IJH8~K_j;_dtp;^-N%Y#R))@Wb`fTOzRccpR zz%%Wi?&CDhSqon75y59jbGMzD*27j*h=vCtyu$u-GTS5MapVve&vo@eyGHv>0VEB~~c8*Q&9l(@gv2kzrRdx}1Jz z0=p5Thr@GaG=57;$yDnKOeNpo4<6<}^=X zevqAr8_$v)V4T3-P?2HJQhNThyBnX&m)T{uPSV%{&xAgcVIzMGgZ_;`fcHV9eR1)0 zkyV7zq89C*_V#1u(z=PFbJjlh3-dHr$(oEX2Air*%z^9`x3Xjf@LM9OcG3dU( z?Z$gSYOL_rNqtvCjLZ11y$JpUhgz`%xJoKapQdb5-Ea!epO`*kjz;YRfeG*4gZWQ_ z5ob$Lt}5b2JoKy)QSM@_yEr3vH&MsGHiuxU>6VHP$1!krWawVWuZGyWFWY*4&CAy# z@GzLH)aq(L=$NLB*P9gLM}$zmh#P&|hj{|?|Fuu9d+BNQGqGlP=CdwO%XS_dx*Qsa z%wwnI{G7n(x3{2`;bz-n?s--S#M|!w-6&!o=5(N4nqHR~!fjrEY&+na(75+9XMBcG z6m=?uQ#&ZXrm10vqu?AK9rchx?#%IR9U+zqIm3UgtNX8Y-W!_x84mN{a>%TFGxvi3 zPr;tbYdiFKbp?7`W`Bj`&);?KPO3M4egJ#7^;&FHCDwV!z|$-r18@zc%RH;2gduup z@#erLbj`t7c5%XqWseje!O~cSa&dKNJ58>B02RCq2*LEM8Q?_eXeS~ifgciF&Mj2H z-XRqy^~^MfX)JOk-!PkMLXAxi~9)YWsx(fq;jDw~^B(L{I1)LQi!A2yV zpuGtFVU)vX@%`GRD*pR7hcDa~tie`=7L8PahgSa)oy7|YzXwg)m$UcQpfs?gt9C3p zrdk_BwfC@d|9DWMHeEp0yDhvsBEB;5S@+uY%X)gcAiQjkg|`69xwy_zj!<{*UZ(#e zWRr!&^hqgY z`_=jJzYUaNV5bHZB*UELJ(svM5nC|{Pd2Ab*ZbZq{C7uThwo#5Ye%3LTF(P~3Asqk z;=IN-oRN<}^%T-H8hJG?57Dy5CIVdxAc#hVx2nQ9nT`eF0zI^QNk`4}eRkK(B>GLvk@D zcIn-0s~Ox)af-q9V@``pF6E}E67ev;{7V?nJ;C8QFc_BkRcI7u`Bkbc@bvnzxKF5B zjbY=|CAK$BM-oYxB9(_R_kS#V_>rD_b#^DCPzQr`Ri?ouf9 z(vmIW`kky>ahjdxWTQlWqB7ydxH0`Fk%wh4It8H0e1)~>hM|yVwjB4Q`$`yJ_Lerm zu*#>qEU!~Ct|E4p)K(%wR%&0#EhBGYlr5%To%S>B=#2T}{Y&*@V0sx};Opj}q`;RI zmuE~PgQmxwUbAF=RNnL*#=*mt2&o>#B%P3e7x-Qv$|XQMOVYMR5a%WW9&$Wvr!gUtf{|}SsKRBl8eS)Yxm4I8k2fL9k z68?)Xz{*lYOR1k^6d$m^#5Z)ftbSjW;_&Zne!u*GRQ@!4$B6Tzf=_)7Hc03=UV36m zED)~*P@RLD+yWhiXzl6H_D{UNjQB6+w_@lHOBPrmQzAZ-(~GV7hp*63BG1zZUtA2< z&+;S-wdc{@nO-XJF3co#f-+AZm7tGWNZ*dJ^h@-spMf%}u_$)~5{(t$w!$d)DtalZ z-XHo4;DXpHx5_#p;TA7J3H#4}Nu*3^!GEZiI4fP!!MKJ{XCITt{_EnP*G|unzobI3 z4peK@E^c`~i(^MCM@g@wUr}OhzelOR^(^$2O}Vqxb-uccagpt-C^>Ywjgg0T+U^OLW@DjCyXuuLk+oPGFB6!E)+m|<7g5~wQjtAA$f zKr;4h$&Ip9V6%;~MZx0N8}Ev?t+*2`P;f*dIEpKNigD?wKqHDyOeGR07(i=pj@SRP zZJbm+St**)@Hs+I6b}nD3MOZ&M)){Fs@~6}LJ|72%k; ze~4XXj{VF&DW@!e!!6f}$JExSHsRuaEoqk3X99*#zgc+*)xY_@w8 zr@H8FP$?iRB^hgdu#FoPsaNA#mzxkb6Pvwir)6mXEDZ|V8&i`{8`#+CiJbANXE z#zvN|sSlm|yBGO=9RAH}&o_5^B(*8l-gVCye`ewgcl+$@eMMYrvK{W`{u7WWcDbG* zn~}e7PPNMI@p3qM_QHTx++@9G&(uWBe05b*@rM#Bfd}Om^B=5&%A&#MOWgf2smpXNWY zh@O$5>%}n&H0Scu`b|CT{$X;Myq>;`F=DD%kb(&(HVwWFvFv&h>mxTbQ56P`r*~E2 zQL@`*iDS_!J~WFjN`>wyAF>#{0*^wvBRGJX z*PyMtoPPp#gBPqU(KwgJP*j=cs=Gv=liAuL_+XaTG~rN|0y4y@iW1d$x-*(3_RkKy!C|q& zs;OvIr$)YBk>P zf2VM;8bqkrvJHa&P#!D5*#`qVgjsc@ja-iL)FO77HDh~PldQJeZxl?m%U4p+YZt7P z3{hor)Vjl~JjI;Z6h+NCNxAW;@(c7)2@uhDu9QP;W;X>{%C&8!8XP z?6VVMr{u?FjA9%Nw;Q=D6U+F2A$0hnE)Iv#Qm;W8iGbL!8zn{@9j6J!iI>o@mDlJLV_3dUN zd#*n#u3Zj#OG6a!5&Pj2lU5O69Iy1DNpObIlKK!|YTF66taf#kRppe+Z-3+FgAD5j z_@zknrxYKj-m|JE&>NPz+%gL0%u+0&=qaAr9lHc!jKhANEg!JHrvxCGMmIw{kN#T zb@si6d6wvq=n)9z1N&4uLA{P+`R4AgfOW8JV-7-oCMd!@Jz3+~KF+_5ds;GeY2%f= zma{oTRxkBYZ*KLxFE`AUx9+g$J2uvz{i|I(U()QJ^sW@N-p23|%Q~R$S?sW3)nHlm z|6pJ1Vl?PZg6~-rkDt}GxyQC|Ofc?Q9Et(ez+=nwUZwh6-Ea-dEpN=rEoaOB#_xx% zAot_K{ELW(8zm#@;1{SkBzUnzq#Nal04$Vjm@3pfQA)3~gb8D(63&Fa9>Sp)tFjO` z?sQJdRpe|F4}{)kmhQ&E#;91D8zt6%UK+Z2Fc#~)_3d5WD4{;4{te9sxkCYJtKr(( zy35+zx+AJC?efdtGLBC_DZW_|k8f+Ap9l=G?%Vta?OJB#BZCPmOdStOkmFu1bTO%R zn`~@cPI-2Ptw1jh+kttn_G(nr!Bgw3mh1F&$JKod9?&DQF4=H$%Fy}@1YO6I{nRNM z^Is!|uPgWD;5-y=@@H;)qD7I$qf(&azwJ%$s(d@z6xAV7cP3VOmN=Z{)$IM$YJk;* zc-`iA#nN_6g-=j>^C=MK9$%VY+p;O@CIX77)m_f;J|}iM4$&uE~&b`+e~aYZo>3hzSC5RP5u0Ch39-L}U|8doPx z15C}%y}n9tvZ-oC3$=+KL4S;2D^Ej`VK^wZ{ID}NxjJR8MB`)uu{T(*Xm}XaKDQbb z^5NtR>?h)tONs-#lQVfVQ%oyrYBkP3w>a6}5_ev40`lf!WS3MeM{khBREO$8Tpv8a zj;|gdu9wVAK-EF3Q`Lv+>tp09^kbhUhKe0L5EUScy_Vv){ddY^+*<%eAdeAUO;bDn zH2+lvsyS&kdPIO5g=#yAn%A-irj3ccxQ7^}4Zym8T8yogxv)m}a zmDjqb+R#S4jLF^I|G?OUlMrgVxhrL7WgVam9y{r&dmq`jHtyw$(};U=!=t|l%%tmB zzO(j#wWd06>hbJ}s&;QmfI>Rkc`<6S;mcvvp>^=XxUZIORH^{u@Snk(0T~Qg5$IxM z-+6)H)ka__1;1}Z+=_Nktp!>IXWZa-IW=#+&!@D#LcWa7=yP_ zvu>}{>DeSP2)fALwxQM#w1aKXsLxVUb1PolE)&9dD1U%Vb>4)}w(4~Dwv%Xr6!juE zgKJ4Oy=t6g4_XG>WK}IEpM77%nBA$(%B5XY%4;pHUcma{OujKnb$U~ceNQ_DmWl>e)Trw>0r^r-?&<*{t*+SnSa$njx$Ri>>`clGvx=K5rC zglBd|<*W?f;(C?4|9lzSQ29V9C@3eKStu(!?p(~=dWm}Q3SGJTNqc6XQ?5G1yTSCNKzTCw+=&!t& zWc!zTKjz%SVL8?c{X?4Jlbkw6HLsBzNKzy;B$1E|b(2&Ig|$xZT%irTDlTS||5LH} zxtTS*yPcIc*d`vH4)GciTS?sGMv;!ca;#p|Tqgi^Tb0-<-g2!QCt|E|Mz3RS>tld; zs3HVLm4?JPsTmsc$=(k1wH*ogi-q`FJjG2eYf9Ji3?r$ISJ$xeqAz7i(@K8&5Q-A@ z7B@9=Wus}*@9y$P>FV^_JIA#v>}8C0(OEXFszrRea0*l#?Y@ifCooSR(BIh4qp-G& z$*J%=+9sI@U3L7_*csQC( zU(T?=EHRT_ySeiSs?u+oL13XW+k#VWA~KKc`Lb}|&wmRpKsx4k_l)|^AZC>s zY0@DrJ5iR{OVCR#$uVqw^XCJ_`{eUXwhie{-#h(4WV88-fhhm@IjBa3!jdVCWTSQbg0z62I=loWcEI;kAI-?eLQBZtKCdfYq%s$ugLcch__2 zi$G3Qtncx5$Vl)r+D~up^!wc|;JwT|12+4O_Y-)m51owJ22(ql@gp`)Emhi{Ti3Wg zl@HO=gvhuOrSIo(@E@mitDtEj@c0Af_255mZ&lV*Us7>;OwKAfus5F_o6o0P(0FlZ z@UuiM0!07p)IMDZyE9{$EBWrUFBajoHsk-I+}T$B<5P23_B!{48*3tr8-6!ZRm%#4 zn_7;`hT5`+?hpQhr{Yw3XEuYRnYOXB5 zvRkQmbQPK39o7!+T-`v1ap2Ti9$K<^RRpsltV7N%$eC68j)+(e(2MUomIo$F9K9z( ze5ch8bjME%UTI64w00H0IkLfN>VO&S=pg?)Ax7$y(ko%8^2db;eUP3shBGxMF@8wK zg2q^YA_`zP{(Edx7fE9Ujt;d1C&#GP=p!zTmAp%g0%hMA9%E4D4^&8eC%$Qw|9h1% z6Wtz70AuV{KvFVZ5-CY4#9k;fLx~J(GT65lTx8G(uh>uqccg?}F^E-%Y6X&%Z1{@9 zca5&DFBSM)b#HlX{kL(B5|2n?(|V~lI9tYh{OlYVcHw6cs)c3!USe%}vM;U$qIo}6 zdiD7S3)cZ8K6FSOrl@*|eI_$9uO^%h&4%Q+?wfc%$k?HF#FLo6tG)SmHAuRUhCkwc zkz?!aGedcoZT2L|fL;Rl)k5i>KEs1OyuH`dGP)bjgYh0ju~H-R?5CVa!=s#>w^L9b z3@A>NagY4qFU@3|vCnJa7EcN&Dz-2WT{DHZN2WgG6SCH z!_&yR2pt%9@lXXYZsfvq0-x`~bAq4Y!@W=dVJ*lvli}^CTY>I4yI7>+H(qf=y(H3J zk+H)8&vGD9?k?t(pzlXB{i6qORCgQU(<1M`29C%+{lZVm-AKDYn1)YSP`}7_%}9!o zyOCcok6-X_<9cc#SeHW)i8}`Co@B&bk!#M^t{U7)+c98~phg+QUoGK>A6VBe-H$!N zcdbyqsF4=CMxxgLN=6fZ30+Hif$WkcV2i&?;-3kw#qer)?6(ik3wiOzw%cFp@0f#J zB3F2$W*&TDAw8yq1-Ws$FB4DdaR6@}@tdZMdyZN>Tk@7K&I0||_Wgc4&v0a#Y9ej6 zNR7Q=jCtbS7H|gJt@W{**x(L7SH`ykXSAB}>}wQI+}P%tw{!J%9<^s;ArSMu{4D1N zI+sT}5iIoowHOQXDeO^ai5X9cU~QG~yWj_5B)QcLUl041L0<}+9rgRo^rDnI;nL!+ zoweVoP6f6EeW!jh<)WpWbfHQ5XgCU#>OE6q)Eo&^k!jD6wkG>Xr80>_NX z4_gjNQ`^Yx^;3IVJE=YdNl1MQQ$GKO_{EDg`>h<#PkR8-q!ORBo$-v<5F|;*&oUvN zoVbNK+6QRP=Cwk8y<)!sdz&V!+ADLGsbL@Oe)zceGB-WE9XHvqZ%Q=#SgoD(uN}P3 zxwi68@aNv){`$}>n%w_Uzoi=xWW@^{>uF<|AyODrDZe6#`5jI6qx4P|pR$|>txD5= zAY8RN_nuU83T-H;Kkdt2EQMh}j3C)6RAdv}+Ft*8hD>#M_a|*Hp|u)8uT&rheWvJ2 zL>#*Z|0X{ywBOG`8}i#P2xty)`GFe}^jB;A9FcSm6@)FJ^vBWsF53p|j`1>*F2Z#6JtBw>j}VipTpN z42Cf5O}IziKx2mmr<+ln3PKz39PEulEO=@J@F6p}KI-MS*sveT=n2 z@_k|6ud|4|F6PwS3|YWS~y(x}(o^*mr~8s1K}{dj6dA4tZb;cO(6D8VFx7 z1%JajYM0vIihTASpnC%w_zK4+>WSVAb?4jVL3NtHEDJYL3C_qW7kbmcKkx5Y*$OOx zKVcv-C2(ISda>IPWcEadDclGR71$_LfR4ix*q8YuwmXO<=N{dvbr0I#jcH+9oTyKZ zB8f*jLMOZ{JFqTDVo_Ubfqec(a!cty_40*2P=U7=Q%5jTUp*HVo_I}IxWw5I95H~% znGN-+fl{wfkK7G^LlyfY{*xN%)Ge+#X!^0{WPRdyHeQMZ=|?wbtjH_-KzP26L%d&P z#NRHg2VwLLi&@Dp(%* zLwTcfD3`k?6}V&*#tS&(`z2@w19bIOK6Ky6 zWVFytTGiz_cv?JdSNU6ac28pHlXzTZ^&N&%Ct2p{FDg6mO9gQ(Rki&F1AUkYaB#>7 z#fq5q5J&D8(oT*iMt;((nCeI@u~(U>Ql*?sB_}l`CGKEI_}ETU0hc|hYOq_B)#8L5 zUNR0$!XPfSZqxp33Hg#BqbEQxg~#@QYl)sJb^b}(mKEOC4FJ3Rv@%qb8AOH_^y`~B z772!Yr#pFd3ZW(_`=h?&6@)`N(lF&6%Gf`L@G;s87W&y?klKrO{o;@z86-Kxk!`~a zWhl$@0_fl*CWrBXBKp~I!l=Ac|AnX`hySmO<(VokCd^h1;C(qtQGk5`^#<7cSC{gL z;|(z%GB525SsEY9@Z943YxMkUtsK*&z7Y7eq9&JCoBw!62MTNeT&Ixg)QbU3=1EF6 z!PAiG+j!-VM~>?v^02oP1_f zWVi13+udYp^)OwYBIn_-<-~|-H@o`LyRC$&X{J4-LHujAgCG#5V6bB=;e3ZLUT>;s|VA$}a_TZ7vck>;X@1qAe`YPo{A3u5ZK~}q7 zyxQ+`opEeV9mz^gD)^xV4d6Q*Geh)g8+Ui2Z;pKTE7;_nnBy$olMSbyX1{Gz!T2!o zr7!34;BQyv(ncBN{hK?~HLX9!Hb!eDuyIt%XD5ThpxbSf)rQ1@m3maqvZg){&kSXr zHr-9v9Zh7J!7RY8eW+`5n}YXG=5J>8$6@~b@^qa~piZFDL=vp!d&%Atax1?`hI9M* z*iBshG6AbHJgEjE9NiAn%4N11+6`U3eF<2A1XR zXf5Hsg|9yQI73re#WWp+!kVYSN*&4r54N-V)z`zCR5OE2xKXyO{g8F-W?h%kciu`v z$Ey}Se1)3D?nfoneECrqxhl@x??7$J=R>hmy1mItzf!p&O#~t9jcW8a)wE&6NN<9% zs;Kl~y!MXFrI)P4)_)VJp1e)C<99Fg17;Q`HWg>1%NX7d9pyFICN{c5cZ)%;mO2aV z)=Y@Zy{C3=m_X&?JAs|{{0EN_1{3aacayGPNwj-DdW(i9K14%TmV?ycDFD{E&fHO! zE{j*Ohcwe1#t)$w#Mq}pO^e3}(;P)Y^QnY4_t*uijNO}MDursC)3b%M`d7yo;A*nf zD=^Cq@8XAvPgk^ty8d6?0$v{?#q~>*4)O8&1IEV5UA{`KXWhxW^e2`sFYJC&U-*d~ z2bYEYRo~f_#)CmUzmMK3Iq1B|<| zebzVuyXk2LPkrvn8l)Yrvu-$-(>6bqiv(1IzRV%#)%tpIADb(Q$b|5eteD#ddhigJ z<RklTygx7EQA$MmO2wANjIr6o6HC_)KlpW+Yp>^sDl`{wG8jQ7^Jun*BE4Bs)* zHM^6oFzpi?4S(facf2=cVvh;rs4u$)_H+Z|S?U_a}kn zkMG%OF!_Y%Xq>im>LACkf56HBpj0+jL9e7rp6__)S+($ZM>Xy0sC!X7o2W)M_t5@o zJ~);1b@@7Y>aM%xI5?lW&6!jDf?efU{=}FAWPP3VefI$1AAa@gQ1r9h8sj>hembyz zyCCvC?fy{lJ>B{yrJr(L72T0*C2fA2+MHd=FJ*l~~et*=i8 zX*pJ3{3~aG4PSlwf z-WT|_Rn)sf{&tHzC|tm&e60(=seJfhnCBRMr?Z$>}V{f+)wzn%;)t(;?wVp==2L8U2g&hH2_bD>4#7FpX{{U_9vmf(eAA9Brd&HmF+?^>F^r{zGg8||Z04Zj^PpkAGfB^1>qrgYcuqMa` zq~Sxqph+S}dyyWW-aMBe*C-JkIG_YRa9-%GR73|7I7|S9o8dp1x!$>a<_>GZZ#W~+ zMgW@O16Ol5j%TvLfJgYiB*C}8B0NwHIXn#oLha*u1du=md|*MbOE1B?_%EU@0u?1hG`z>YAyg)Ih^N1*dQPFNIuhs z55yAc_s*C&O3N7KASqo1itob=<4Thzw!4>)zafnWo&L(6r$AOQLiYiZZwnZkP+)$p zRaC%i29J^wqKfhkVvMc^_CZglHm@xQUsIRTOIBXVA>YS^d$QFMt;(CKkL=87wCZtw52~}KR%lG7 zk#TYnlF~}h9Y)mnwLE-Hv{dgyr?HkP8ApCAn;zK=ULVT0DqPy;JtkIxKL{2Z-vb_=D+4zlB)uRM9f+zxupK zGnMSQK-(Q@@WEh6ANCEW1IdGRZN5feV`911Mz{Ky6MZPoe&aa=-#B6>{IKvhNUKAA zEB4@#PB}F7H8$5ygKF~be_L%Wx40B%;joP}okT&y^7WTKKfUt`#1XCf#TEE!no-I6 z4nzN*9rQ2CW<|nbK8xu*;XXd5C6%MRiJ+R}gSpIR>fT4PhW;a_R#j^}DLAv}_duT- z&Z(iWtcGaz&vRpfxgt$(I`-0NO&UHeRCv_)l5I32DaI-#tdn=?3LygK{KNeIdoB~9 z^<09Trj(4!g*@?kedmCiJfd_Rn1)UtS0O(HE<0<3TRz*)mQb)D}6g03eB_0vs z_{;B=E;=~(b2ZWi`ir`A$p}G)*{EzKPa3Ui3?_d*e@LC14PoTxfD~NBKn;a(Yf-2` z-^JX$gDjzU85X~)ntqNEtq-IA6LNAkFOi$n z4iCN-SKaKR+4yL2;30yCBkL@pAY%{s79FIa+qDZ}Tgdf?P&hs?H zW30L&@lp?!wxI8cIP{M%Hz!%J8u+8QGUguXP?(b+@r%eLP9~Jv9FvQ<#_EFmCa(`V z871I2f){ZAo9!~JO~V`e{vE`gGW%!U+cbgt+J?F>%VIlcT8-xCer-ncS!X{s`E;nCL+Wv%Y`#0Pll{{v-!MGV8`GFOsIsV zUX(dpY+=i8lENiCVas+BXR}va>x)seSNa^oBermR$bofg6Vq-x4uYKXy3Fk)9C7P;45gHGz)|S?2)fD^9 z@4uAX<*RCCd(Nt-KM3^H&b8Go?CHu?ckAY=$mkm?()Ciby|jujPpf`wxRJMW)4Y^d zDqA(Dc&ZH<7lEzOx*W$!mp)pOr{XfiZ0MJXG;81r+oU)*s?~K>DjPqk&Mm5pmh+`l zTvY|1mhD$(O9vH{q*Kx~KGsQRYif^{%g}llSrl>qD&MazN`bP`U{fvPc1_V{_#t>A zOO

    u4Z}msH~@KP(}lqvZQbrUM1aGT&|4g>Yg>o!0&Lv?Qj{n=xF$kjX6B~aDwbY zh=h>VuyiV(izWbuQk9r=3NNzD(o+;Wi$NQ_KQs=qgB;48>dfn4Gd=_C+L?90B72$a zas2ogD17Zpcn%^5s>6;9m}t5^D2IL^5SWE9TN89T4f5l%_m*4f485r%BFoD*$VMYp z>2fhHF>}^=P6lK1E-QQ6JpH8Z8Mr%0`TDnWG0YH;=;H0Ff#`L1Y-8lIH#LY%#Bn!z z9PW(H1}hD915=#Q1JOi)GF8-RMe&;jsGOUW7QEPjj`A*6MqiaG08h(>(*!laM~=Y7 zhQLLSz(o*$3&)4W20`WKqM5z)ZiGD0CU5f~s7yXP#rPYG_+Uw&Q2n+qH?h1=S|3NX zZ|fNI1@Sj*w*)B&7cN;z?oe%Mc)qbe3>DW86xUA-tI&lhPf}|(#SDoUwK-KQY!(lq+M_j#Whq~S~M1_ zhdPJ(cSIog@Vg_&EB054GF!*jr@eWb5kh8(5henz9b+b(&d?9=4WJI$;g7Fzjw@ikr~|ViePN1PPO#5ZEHIX z(EfMha)#@ot2K<`E!!S?+N*8u-O(O;>13IAqjaMP}Vi3wX%Y<3HU2f{&Yn~h>%H=3~M{uG9|C;>)!TI9-NqfGDnyY_QUnhX?Auhv{j2_Xw_uVhh+wR`oq*3?w#T>w7P*g% zn*4UqUVxNF3uVQAv%h@-NV5sJG1=$a!xpGS`I@#)sK%&(_PFN6M5o|)*t)?!2|?ad zR^Fj&!1e4D6i0moOMO-_J}E1`0t_cXl|V;#L9G65W2z0%Lm`PtjCV}?BbS$+*l%B_ zc^Md}?fDinnuW~^uM1S{gX)vl)xHW63ulB%sP^D%f!=L@`%blow*li4Jr+aq97GXY z1QA;}5q@aN*2WxU+EyHtO$u@^XIp{zcX~rT0IbL-G)k9Ym(%#r1C|~)`PjxYp1(tJ zR)_O)6Z5*>4q9A4MhruFV;M7-cQc?%!ong`6D>nG)88e5Md$3e) z6R82cY(FRf(N20ZmKj{{`KvEJCzQl3l%zR?#Kt_}ha#V>W;v86Wla|jmxP_70gJVH zX_1@##6!@2GcjuE$<0ia?N6rirc8=JTV6;fr=4hx<=gA^&H1d_sS3e|}^Y`%8@bZ-yfT{xiw!S9%j!LIVo2 zOcy6{w}PX=?FsNLkJ*g-ZiXYBh9iW6W1Z9R(mmRrxiy**=Nfcifzs0YhX4ea!?AaQ z!edT42+nnM-wUzWt!rg#@d~gP4kUoniYO*!c;>sva z$<%xm|J_DA`ppd-T)kGp&Y?GPphb^kn@;;bKXV@)1qJ9ii<)G9jGjK};^SkB9&}c% zOyON4QMho=04m31NG)606UztWF#dw#4L{^{q6U*(Y(o!|A|~?X`_$H;LG4|1Mtt=*$(S4+ zP$vgSgf321)<3%q9T$TzR<11r#B*D1C3D=3{lwfrz1V#>DAad(#IVlpWEn)#53YZ6 zgfciv_Z>#TYH8#C+jGQLrA51r1*9LS&B}IVEq$fOvg4D**>Kpmin5} zH52YiOno#aZr|kKdjXyf3fF)}tp+7!J?UNwtF`pDagb7v0YHn+F3u?5zUC%!xF>7+ zzR4gD&>U^_I&S_@zvV|B_;=gwPMq3EbSp?_C2$^~sm+fT`d%K8OgAY2FZnX~0iW$y z!M(K`po#IC4{fA&yv2u7o?8vtm+~~z0}RMJPd21}wae8kAve<+m;k=7W#`kqyMe$W zCSKvl+QQK+4_sl+W04P99$5RtMhDtN|piz9!hI1KkDHu zeUW4XI#ZdtA1!L7U-fD+9pH?7p=cOkH;B@5!BptTksWk0lkJssoX8=>aY?cVni{<}u>Z`AZk}p-Z~duPoTpk9+}|CXZhe_JKrz zMqcm6P+%-wc3O}K);VcoTSQ}&N1$G4AVp|^)_>b+U`Ob^EOPk?*ZkL;^aJm>50 zEnX0L7j?LMx6pI*rhoy;=aT_H6htw^5j&Gj@v*orc1tZ2vp>Ke>Df>55qx_rW5+Kj zoCpe401ON>t<(Q{k8!`rfU6lA)eQpmd!Pxxl72FTKU<*O)D!O>2@U884KM_T1E5g5 z!ANJ?x{+vJyS7nZ4^Q2mz~UTBfXppHsoDXa14 z)AK!aZ(|HPj24XXt-Ho%fwJ;9sjMt|`u@(N<_1^3yrNFFkHDOv;vlEu{s2VLi1VM&<^L%d3eG>0QjQiGtaIYDQoV0z?zMwE4t(Nu&H095- zw-+NO@VF4jHv269p zoUE&1-QMI2nx>*7QxLn!1He~ zmyCA)$O3QaY~k;5S+HH{ZKi7nuJQ1s{<<&+0J{n+%S#$d%1i3&D;p~-`8?Q1s;_;P z%L-HqbRS)}UsT%u1w8-L+(mNs#&|>e645@QabFXFhRc34>OW<>c%{~20MvPSW&QNJ z-SB#v;s;}z$G*I|QBS|(gvom2PCWbwD{Q?r!|k+9B{DX^x_N)g>z|7| zV!dHb8cxa?;}<|=-U8J(s$GszXdXi&yj)l1`cg08O{l~rvKbpx6HuX?xS;v6DL

    #6snMJP!OK@zZ+64vcxGDG5g2*^aq@hp!p{**_tqua zH3^NAxuzRNczO0gAriX|6RMrzw=ws#WSrOwGneKtao|&~D>Sx^=&~?+jq=dXz>T=F zR+Jy>r>s+JHSLPh6aeg8|$~*@VQ5{lXQL^9+z1myH4WLq#f8(?jsEFaTXHP z!m`V7zahTCEMfffv17cyBL3CajqGfVJv6t>Z*ko%s#|!}N%%ete|%oA*)@$MD4?+i zVB@}FU{U=n7!iOvA*c8Y6o|Pt_80v`+mEV|(>^T->cukc%xO6D zUY%efZJX#6F~m94&yT0PHDPi)8Gr7pzt}<64JM3a`h|gcd(*}5{x!rrZtZ>G+h7NH zE6~#4^SS;42Lbu|atAHcu(C#1L+>I())_1B$Y~^2ha1Ecl_ugfH8XQ7IiH|osh5N` zM2AC{g@eE&5rQR=WTXHSMz}$htzi}VDy0&IrJzEI03{M&eJ555LRK}}MeZl_>|${; zYo~7@U*DhF@S1j;=BxIa+NdZ+^9e!8@LhYwKxF+viV^oBwQ=i0YxNq@kY2Go5q;(P zFU`fHHowv2uC0;2JVSc9k(Y;Ptz~jzk2P$m&9P5f7hq)WX+y8+Hf*O(*xlCtonWgd zIPvY1dY8WRR?k{b5{F5u^_QIOG>DPZvHeLS9oJMR?whKm zCUpli@c{MV;(#K=&F^OYOoNy}9dMNo^D~}rSQKX#dDAd6X`%+LTFj*%{^m(M9Y$a5 zvQ(3BR>JnpWN-q=b47-}2O3^IfY~VOz#=#(@G`m;*DcM^T@bm|Fz>VGyey_esRM7g zT1q$7JkEW^a-zO=`OiT>N-0z~Y2`}+J!?fNeg7D6nQxVpB8PQ7$M<464J*Y!!e6`j zUKZzcLWz-*FQIE~2L!gNv)Um%00>=hKq!COh&ig$=x0i ztu693JLk;@#a^Oq*tPL98Y0Vd$WvHml?udD^y~GuS-XAJ`0rb%_tPjN(rrZWhIbAw zR)&ZvM7P&8)~%pX#*1Y;W+&h5|gE?A`&=`qPSZAEySS z5ys&&fXpexhgWNxAZ`hDXcoFJstEA7AG70)L=V~sHvHI{<7cr?T13sT$iZcEbqB?d z@yHXSIikMVW^Klj6e<6%!Rs+Gg1+w_ym|vTsb;<@G*+*0{>#i>dM*#c}()1C*mLxDkDV&(JNm#S5-;MN!?(KoI^rroFho=-NbT0Zm^By z2vLPBZAsbp&vZ1_os3Kjg4SQWK|hMyieJK$Mb?_mTa?E{DUl0z->9a6?S}JnWtT(= zoqdK}po;=RU1?p9n23zf3GJscs+R(QTR%Qw?Uj!{fb23w=JqfM%pc(g)zd}qH(+Tv z^*|K5l(J+8l?Xg4I0yaMS~D(5Gif(UE-EMWAh#1GNRQJPy+C<>CS;H|-ZQ8s21sQ_ zgn(+2AHtpED@09=Q0*P~@Y^p$JQ^WZ5<AaXTBMx*PH-k1(iC z(rO01T0%fs1UN}tq)IWH?pcUPFyh*Y3cd#Nx@1&`GMA@2N|M!Vx$!l0iPCAfc??Hf zyR{X5$em9Q0?$Yo;UfiN_2ILX6F+sdM1Kdcnl1<4HO$`TvO7%6ULW5R2@fc z+m}tJ6ruy)nC0)(7Jk_wmyAHD3vmZKDu6y@`*E>Jl_c;^!lJEa?*O8N?8Ej;_ zN#E0#dVW+zg6a#+#YO5_HtU6%hoT&TEuGNHL!3!QHT}gF#bpM{$j_Wea{mJW0RR7| CdK4c3 diff --git a/cpld/db/RAM2E.map 4.rdb b/cpld/db/RAM2E.map 4.rdb deleted file mode 100644 index 2cf1acfd0ba3a52dd4f26c9765ed179d95057328..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1242 zcmV<01SR_s000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G{d&U6gLo_Ne~eYiV&|ultW!0yVC6L^b7(mrwpsz z2*jWXvk7oQo*s9v!ELwvSZFTEDgP-){!(sHfX(N2&x6Naxg;aCT(-X|SAA7w&&kQj z$$NaA_Sfxp5{MsZ^UrMmU}=tKDik@brR|Z_g~%xPDht|{5~k*)(Q$^X&fQC9IEAGa9z@|R zjmDD+Rm?aAV6>4ejr1A~rfSmORo+o9B)60sU1A5TIpF}xwc&*h-I8nwl}SGj@|+)o z395`P3@gj9q~VJwy=(%E#x_@HB+Oc!3Fi2m<~s8wS1uxsK9VC^)s6pQ3WuK3d4Cgytm+ejMO4E zoDN!gSDI^Jcp3R-RV78nW|9-e<^;B~xn!tE$xGpmKrLXUh_Ut%19Ma_l8@^Wfj5C! z4TF_Og~Xyr)~SuDi=103nesWyoHyWdRxjcRNr#nYDQUR~+@cPchbKn74%`dtjF@?+ zjol`iNX~Ge;W;X#VfcZ0Eg8nZDW12~wy@>iQ-%0y-Zr-9nf6$Lczr*meNBek7_wLbfur(8oe&xoZk|HD^ z)8Tf1JEgl`fc(L5K>b@g>0qa6{po~Kn%<|!d`A1RUZY}oh904bf+>2)%Jxzmu1%Geiq3Pyrjm9w6D9oA4W?MF^_J-7(bNH5jUvk)6Q+C~mfK*M1 zpbD@!w#UDNjHyzoDGi96>8{b;(j!I>sli~3 zu>rsN{`?ic>-uijwexJx**W)l&V9dLuls&B$~$-NRFL4|Ufpm{Ib5q_t z4tO882);jh_CAKHWKT7u&Ko22ZhwERW760N_jr||R{0PKFSWg_@Z_*> zu-JJfWK?k^0;&Ad2okR(MOJ;HtCaQ=TpEbueB{ATV{dFXrEW?G6xl4;v@kfXSS9hw zV4OU3s4O^WeLl1j9+4Nq$}sk$wLUZ0v0|VNUI#V79MwVB{Gg-MlG+tce+FK@um>~{ zedLm)W>le%5h3TLrI{GqYTs^7+#2yem}p9jZp}(I`sn(0m}YA-Ix%_x*1p}Ec%V{w zFwypZ=l<`y{GU(!{~iw<`y6xX5On|M=4LqL0@8KmhP}Dm+!Ws_<8E*$ip!1efPfSGYS-JSCmX#0v<^DpxV#QGaZ^__fxv3|LpxVLj-}Pp)S`OY5}& zd#TNa@zl&#gA62)v?^}ip%CdM_3vO=r963F>`|j+FFo#En{q8vv`hWk2LtHq)+z-m zN-HaR)1#43^_4oLwBej7Zx{A0iTV0D4bvaYZH;9azME+%u!*8mKDg8mS$TbvLKfTk zG~2A+@kw|Uz#wX)w?RK+(7ypAW@%73VVx;O^A5w7A0;u2tR&}3ZMrU zsl(JNOp8k_$GqR~arS%f3XCp}HWIC`>)RjCT5eMl$eB-M|IuyI;lfAi^n z|8@o>teko|a(}wj=8-58=Kb`v=iz2~GDog!g~@A%2HASZkCb2rC!^?jYjNBsG~pz@ zXKjIe)AhEBGjw!K`;(`&oq;)mIrEuSQww|?k_~nbpu!Ld0-(?ru}DBpQXN1Z7BY^g zX*@s67MG$oP`ZO0){Op{0liIpv;0l?n!xHlt>tWVRT98qqLfx!q-sHH`X0@T&ct&} zY}h&M~P0j?P;!jn{Mp_^*;dd|;8^rjqDADtp@uw6n`@>J% zq}a_}hms?QZRrvtLsuzC6$qvTejt@6Sy>vtdY9(DHJUrA4lWK4Jg^8N`bkGZd9t9M zdbHJb$_7V>ak6~yHBQ`l$0@X%$eMF<$-y>;S8g$!2yWauvXJPcpiF(4{nse*uIEpy@V)Os;+jCQh3^Gf9#A@pKY3b4 zRIOa?I~)1gw{V^khfM?%M#+R@iIRNeYs z)jS0I}PQGTlF5_Om7}x)0@yoNZ>a`m;Oms4%*jOQIW$Y*OYjFSi1Lj z4{s(VS*9FZ?;lGC`?83R=gS=pTM|#?-!+P#UZ2cw3b<(zEt?V$D*2lMWbY!Xh6n&X z7%6jIe&BX;KMH5bq6o1btGD>uMo7%F&b>&zq<3KnZ(`MWjx6jaGoRJEzJuU8N>MJ1J=X_c z6b=~WAN4;aw;mJQ|F;#ryOiBL>pJ)U&HcIXNPB;FMAMk&TE}037sc>33z<25Sbi3% zC#&#nN?&G$DveWK$Jj&BA4#|yLTWq5Ok`0L9$UAnY3YX6nVRtAQrK=(r zy(7Jw=tBN=lVAz(RC%?p(05_>cCKDcW-uzUxA;K>%iX>9sG(S*-{-o~vqgV=>gq>7 z72M8Qw2S)@%ZLg!{wd9_C?~ZM5Q6B2u9Syocd|=xE676^fS+~J2r z$-K)+awa4%Ue?2wQZ_U9@f#O&69wkmXHv+bYEuM0Z|T=X?8(wV%@b~&wb+Dw*%PQ^Tno%qe+5$tu7@)wP0 zzOc?VVfE-(S4TRSsy%SYf6{Mk^@iIL#V^u$WhG;U76CAb^akgSx5veyqj^|qB z+N-;p%*6bqc8VxRDc}p6hs#{0IuPdQaU^D^q0h(yXZq6?}ua7ue-^Tx-ilHv_ zLqy|<&-7kg1?M0uG2saRoM{T8GNvwN zcjK&9U$3n4{_Mi#*G>6(z=k_YuRlw&4znj|=^_rzT69SQznx$fr=^QyH%s0g&bOVG z=A@4k*L*3hdK}F4Gk!g4Du8C9a+tEvjc(0x%O4^NAJ%BB<4iL4%09+)%zck6BRd;0 zFrbumdru%r6RL#++<0^m;5!w~#po&rZ|V7}!$6{iA9tU?(0JxEvwE@TVnX{9b5W5i z->5m#3v>wU?w8Nfu#S0WlM_QdTn)4=Y_BOEYueNF(2m<)2hDpOjoEk$mBMcB#)vry zIf${p?KwBfJemK)61a8{8~_9Y%VGw!YO+-I;MVq!0KFdeXl2VbzJyIbTB32_*FZpk#dH`?r!gkm<4}^M=ZAL&NYxht( z%M}WtT%Gq;=RWcM!Kf5T@3MD97fFxgOw0QXl-wqz9D>(*4ucQHB;w-r}8 ziD!`J+0$Pz0%}Z{&ATZ&O9w`!TaWiK!hG#<;OkGEW<_EfoEsj#EF0D!Tl2i5DGny$ zKx)bGuv^lz=Wj#a2F*Db?TZ4a4$WheWBL=jiD4;XYp%K$&rdm0%D6f*DB)*&FHg?|V=>j$nzWZJDz3cZlEM7Q)k^@>No6v(D_xsdG@6+r1@8f{8_~9;Kio zL-)_(MZlH%iGZgT4s}B6=-VFwIDQij7M5Zed-dWO^WD;J5=x3-ZOJBNAF=xC<}QVv#{EGZ&Ax5E{E0D*-k2x6>>#2xr`?!f+%jwG@*+>z3h4)FOv9r-Q+mWmSm7*iU~xBE zx-WJ_l_@Q&_!jDO{C8$eN+TCSuvoK@#$ugL#EZC%M&ig6NB*#Gnyi}#O_OuX4 z`2=(R000&E>O`U5qbTmIxX;%Mr#Y|`DI!o&*4@wil%8OzQD%UVQ($QhCM7`P?&0D? zQ&$lumBUmS_Twr&uyE;F!W%7Uay6Tss0_Oa-S(U=afTf6d({+EktcXMfM8!wL?rX$ z_d{LDcb;XHmh=^;(1D$JOBtCr&z?c(2-f@+C>{bSr_~d`Y-1Prs~3#;P21H&KK)4j zTN3z2m0HaYae<&JdGoE85bnG)jp;J?_x0fk$B4%O4n`KGeZ9)`MyqE0H3 zJ<}3zdg}VRoI*LWp17&>(ND(4NU}Ko6{Tms`$gi}%F^%`ief}Fb3w@1&W-+SfDKq7 z-R8@ae;&!V(qUHLp!%iUAEOdkJyZ=DeSZXd+Xq6F-2b4_Cs{mDbiA}pCN;_7@+YfF ze8;;@3h*(YFI$*`^7dzWzjd&PVk?kF`K!bRKk z?)&7qm-N7T*qT;4FRS?tn;t}I=3mgpJ)&mK2Zag8Sxhulsiae>{Pf71Ow)5czm~`N zBvgmAuM>AYVI81T#0iweZ{O|{69Yj|e}c>Ff7WcY-@zkKxW{3`OqElz0T%r1qCT)N z6Z~Q?I_$zqQ%^+-D4YZMYLcKqfJ@t?5NF=p9q5aPJPLh6qzx)Fg4bU)qHQ(SOxEhX zgIYN9@F`thJ#BFiNRFI3)(q)mgFx8B@#X!F0Y_Nv6O0M%(aqw;S#f`fklRrV9#sJM z3IP8x=Riz&N5|b;WBA zfWlPO0Rdtok|xhH^B{uXf}(8oX=6B7!ZD*~n_b-x>Lw4{Tqia?4E9}DU>yP9Q?@57 z61)copNgDb6#z3g_6k<%!@|H!nL((DIt@ehr*QA(d9ij-b%;0~!34mpU za&}w7X3Nz>nDj|i5~c>83ZuYx+Y>(HDBf!Bot~|_9+8OvLr=kwdipD?u~W~ZcRweY zmZjM~{DDhTE=NSTle;o=wrjcy@Os99tL75dX-`D&0(;0B2+ zvz=j{l*~L);I$bKYJc*)9+lFLJ<)V~pMO8Hbel4oGx^6%Kw-r=os|Ql4GbXtQ#O%l zKC6hFCXgTF`3^}?s0aq~5Bji)l7%b3DeZQd$d`2p^JUom?EeqsR_B@GnG^RnC#_@@ z<>+R-+V|P|6q_6`iT3Phq4;S&L0j8$Y@&RG}vaL^`u$0Oty#`TE zZIzuebm_cmta<};Uht4h>%>Vo64GdHAbJ!nm3j7xHn77W4!8%ecBV84&rQ6_BOI+ zb3VqF^a_Ggd+&q}W*PDm2ZvtmpKMxZ2zbMT9{z_dqrz-93OG;F^PH3vSH(F^-h;2l zTVAT)vuuhO9^&#t*}Fxz!)I;DZFDQl#8~Jf+Izg}@km^vNKL%|Yp;na@^{IkWFVkM zkmaj)jVtrBplAMHSp)!&Y3j*S+HFs@-QI8Bk8FaI5b+_l;t7iP9D`w_7?8C8k;-8<`6H;o`$f~vJWex`C0bhpEBvgl! zr(B>j{n@@s%1AU`S`#VekVV@FZ<#3Fb&B|kD?bb>XRtl-XB0E56<{~(HDPi1W1aXJ zH|J;BCx2v}*gmguWIQ=?B_THOMkcNkFP2u4SyVic!W$>pmyUc-nS|OKzX=_Q`Ri=j z52p>G2@p>=6aI9V{zLfT>6qJyz{7o2yW`%+mOg@->Y?^&?L}wD!V(@=T{N3tjZffQ zRUfva;=|S&u3;bg=|iOT~%jD|^5j~~Zf{}IFc>oCE(A*QZ) zpBObw0E<~y*qLY)hFUtj61|gktA`?4*76N7BMA^2rf5%*q%txY%OKrfo`Uif!NbdYJRqPHVo4?YJ!hka*ncsX0AyTT?D+N?y95t=oE6 zhgLZiTmD63c;;SyBfK9UF_D&~YcsUWIkar?Cg~5iDFRx z=9qH(+WXDgw?q^n?&Op0zg2y6k|hIMbo&cXI)-|uNoIIF-VK=7hl!{r@fp;R=!{mD z@rlZqv?0ao$a9H3btc|LPmhxpqO4f`k73t7(SLvU^)6B6Bu=nT=~H{dF$0x_&pu&*lLUzxA`wqh}jfb(7xK z%5USbB?}onKBO4?{lKI7^!9$5zfv_R5t}TdBUPTvwIV>53C!=MqMH<{1G@O2p&w-mJmcY4_OW;6U zeXKL94(OCq2fTud!^FW(2E{-_5)Ew0Zq*HuzXLQ5ujvmKf$9d2_Fn7Q-Q2vNB4NZd z1ISUk^af?_0sVO7TxCA$PLum$#qm|my-AYO-pM}EO4V@F{BE6F9I@LHT{1D{P<`L2yX*t@%X?3jJ0=Eq0vA9N>) zuB*tr85?UGRIJd4CeJ}U5pNfkL>?mQ=YJzhoJGh)XOepKJLhyq@NY_k7_<&n_TB$V zpdkGoA`eiVBH9v$<=Rf~^*KY;kuJV3C{?;&+3FxNxzmewU_NJ01C0mRFCQDA zp17)r>t}L3r%gY=3_O>SK6Yn$wKE7~CvRzbc$o|w%dN6qw!K3L*jsjBb_zOW7jh&| zQ@@TeUu|m$)>uMC{ammt#`f#>ekj?tJzlsvXDXe$q;@Q4hNt3R_+%fJU{_6Dhsv0q z#iO{*_$jSnKV^2RZW_(MN%L^YNOS6wQ(eU1b^Pufrn^!vm$O#M`CBKx15Zfo7rJhP zTy1XvUiyBX%0%b}7X1>;1|p<+a9c)q&wqp*YgO4{eFx@I3x&ZSvB4ej5zV=$f?79V zP0^ek(s5I??#5tzrwJ#fMzU~sWYf-}S_>IAPU|lHM!dtMa=Qkm?77--rgg)u%)Mzf z1e!ZpI4~~j^pp|ah9_i3c6v%NAW&AggU7k@H(<+0r%fGTjd3V#12%S?)kUW&!+&kc zzp_ebz^1f(-sLs?fflUXX99Y}Hglkk9=*BEU32PB5>+`LaTs8t911>vyikFti`D-4iow-U|>?{fOPIBJW zcwlp_Q-a+=-$z*i7^>l4kiDuj&XTVz>{Ipw_HWa-k6t<7s!BJ%nH)dFrd-ujxgRrU zq~>ZNhHPXK)?`R0Z#B0r)Hb}UoQA;i-|*cAnE^$p=vuf8JKW}1cZu^kI`LUki3(Xu z4jj^5vYkzFs1@r0WvVeoT!n_u4O)_BluYfdN|9D(AQ`>W_C7 z96USTq3tKjpfaDKwA+J!5uuAc6Afk-(3Fv*g*IRS_Kn~X5R%NqsSFLYHze}a3RvMe zXOf^GG<|sOM0}aNCMDO2{tpOV2F~f8W>Jit$uVqch;whEF%VvO$-J&9ji;fF#dq4e z(fwIJK9~yUq}sW(zk^LKP>H11kA6sP2{kfxiw(vi*kJewP03}fw9Ixs^|9pA#d9;> zN-a@$G!>mlcH3*li=1~VjBp-Sjavs((cTv-+6W1xTdp^o-5{A(FUj&74)M5YIO zgLP`BhFfFBt)Mouw~xnFPj!$R-j7F7Y~=J^&wFvE{I;l?9q=Xe9|5b*P67*t59v&Y zORbQ@5=OP&infzSn!XABzNigZ>jyTT`q>DSS0Ym0q3Hoa^FZqyahzv7spZ@4aw}2K zNI2*v?Ya7W7@rlxW4_Zp4qj9J1%3Yqrd9?Yy?NgpanDGNv3A}L@7(GW;ctxE$L?nYsN293;(g0z66@TAs7 zhxDb{naIDmt(qmDfo;FNz7xTubWcJk_g~~t5(e^QH4JXvF)?8#6^uVHmLJ?|PkQpO*0-pA(E~ah zJpt`ZCU1wCOTmfW(wC&d-&m`5`bO(4nNH0m zODYZ90pGz6a@o(w1;D>wo9Ib2ZNJ^xsS4XNv42r@ZBZR~*c`D%FQW&A^<7JZGI4nQ zJ{17&s&ZH9Gd97@%bGcJWS-}iuWOh}=JI8&HeGB?P#&*IA*Rr;&Ad?qU=6kYYvLY8 zz7^X(qL{i9Lc z{D!)fVYJg9-0+xm<~imGJ!QC211?=lij#Mq1uDF+UXpxVnp3$?Nw^EJe(xRBH-{DR(+h@ z8AN?->g(JmWpADXJACY1h5=Sj;uelS`L=u2xVa;w9Y>pWgTzhtlcl$rvt@1?l!^ikab8t+7X#P==JWqsl1P9Hd&QzjwalE1D)3G0i+}Y zw|_;bt~L2>n=wIwEAz2RBhuJ=PT#eB69_L-@#uP=M_?XwC{>@g^V0+xm}<8dRL=xS zwpCI^ET%s*1#6hq`NiXA0{-=K(!KA)MGyW7Z^XZFfhsdX*w;00SU{5XZXn6B@+Z*f zh9wUfD<_C* z)69u7Rc`fRe1EU=3q~KR4Dr!%{0-Kgj~$z!sS}A-)A-YE*){ zz0(?@DTpeWbr#19! zz^71hV}|AsY~`H<(j_G&4BY_qsAVg{JW*Wj>iFuXQAnm*(MoG@)xV}df1AT zeVh|w7#|BnLkKf#L9otcqERpIbxWm=8o0{!>fJN{!64PYt6JCX(dMw?b92^ZIzOC# zi>-lQBs`rVIad8M+;F=qg;3+1%=CQFtj)-2(oEZcX-YTQX5bntgD^5~7abG&tc_!& z;ZH8ta*+57AWr*U=&bk8%mAL3m|0z%bgY3lb!A8*2p7{$1e#KfCEaS_E}B>u;F{(Q z*uD$u_HE10CW&??8fZ=1_)%vc;1lUTRYDthn=4=ha4;@JDxJgef_5;bqvc$fp`AjiJ%fto#`3v!*0+E!33-asFFSszx zcD_|?D?tM4J#iw_TA4$4ETef7AS;T9UP?nO9NRx^(hSsZb3Up)kW&L}MR%sz z*7?W(a7rV#Z~Rz-Wl#MJH_ZLj7N96kDlIeg9_=TC>{O6sO-k$$>X@0-qhE(#7oCwk zt8*YcPt=&4!$d8U{myJAGvUhWu*sis)J#y&g!BD<sQGsw7ywnO5lU`!pic`J|YWibjnLM zqDi#9!RU0$3N2~TFY96_cs*bPy@1IWz)K%HlkYqN$<2&__%R1Z&}pMd z{|WT?Vip%wS^kvMa^HCT|HD-m+C$^0dC~YIkCq2eK@J|N;=u= z{?&O%D9q6Qpx2BJ8q81$nWrrCZh4cQv>h?5+D~FXGhTmf$4qu3k8nK1PF<=k8WhBtfNtuW(WTMy4MkGGsLPrMvjY)JJej_`_jJME?q`GzMk4xK8~B+HMJO56_oz8QzLeL4}LLHWZmK(tE| zqe;A%?(n9;m&sf$s79dFqSL|Z?4@AiHZy|Is0911leNCX;f_~|z~qBg%=S{VLH08! z)5ro(;mk1|PHjQ{Dp-%0&e(Xw$avrUbVnTa~?&54AX7_#D0 zz4&duJ@M;y=WKO!cXKx}IHEP~-i2|QBeTz-@|x+xAvf4;TiNM#*m;d7*lH^CN9Q7P z^83G4_a4gpwqe9&q7zlo7KpCTbS@{4jtV4%0=w6YnwkD-DBl*D&c`zM54Uc<5Sj%- zSjn?X9=QG5Vz*)nIPtgGYyG~KbpSxb%~-oh?E)@3)SiGBs^Ml@3!hx4Xug;8-9MIp zif^iUmWCNAXLHIN|KXzAKet?z=HJ@i?q8Y z1neM3Q~*?1;#-Av78gIG>VPM#Dcxi0D&D-qYq7wo0i<~;6DB{O&_nskQ%a@7v`N4E zNY89Kdqnpj)WPOkdTLx;r`@mh9a+c)(-V<4CqI+;%*2TI;6lWlXW|*9gGhqo371b( z4&>98=FN@dBJu{$$B-DM?y`w+PflA2hQ8lTJpUa(X_mf5gAJX>x9dyKUS# z{(=mAyO;-4epR^l6GS_(u-)%%9neK`YTm3(-xWPr2`S!VAEfeHdbcGJMMP<{v^0zM{p9_Ic5N}Tr*?1;w zzXMWR^1PS078gW7-cFBlab=snm7-fXA$HYBbaz@Gzu98;menj*CP=;n06g0pp8#nG zY)EukthT=Lkb>P@e%deyz0spDt?;dE#AloNs&CJp=Q~AbzEj)P&!Q+`|M;w|!W)L30(R zDM&Sz4%+RiaoLC0A>Mfv;G!)qPQW(nog zT|MM^5NIRbqs+OlTOBX0wG;bxuL%=8ixt8IF!)FPO*v8xcq09+?SrfIucHmwzy~(| zdf7iZZImCsye?%1&=3MBIc%~oRfo+OtS6(jP{WtQeySIeFLwxUSSGrAkETBbl!@+KVXJziUYw+T50#p|Wm0#lWGcfzE!at9E^w8gfOt;egv!#O_obJQ z=Ecr>*UHDn>o?0L-C%9-6)iHbAD!@L)JthQR6eAgI?i83P3AXY1aO5jx9TVuFRd2d zmIvMBE=sdO>^0cGCyiJG*-03RZsKK>!Nl4R&iEY2iJJe(pg<;%R_6h?2TE&J{12B< zRo&n=f(|Z|WBRMp;KV=C>YKC204>p(1?A|`nHCy^_kO`qiL>sU^D4pA$lnBb{P=1{81>N@DLy4u;EDS z{L*Ld+QMuIAQpht6ZJdBX=wG$buUPDY+@~WVD|zuuy4DpCBPH}AIN=N{gU35-?cVA zV}!2wj02aR*o#_zH20lr5A*Xn5et`p+>)FQwwcP@w1Q8W@*GY3y&B$>YFfQ3T}+Ev z_jy59?X=Yr=odbBe2#Y#WpT$AU z-CWn3;zNlydbuK+zAa=o2{RRo8P({JHIxc0;Hj^NBLl`WKm{N+6eyGQha~Vf7qskQ z23KIy$J?7$nOp7VG|=CEkbF^^w~s=6nlJyYGLq@}iXT3IL!6LIh5B$C^U%f*GT|M# z*LJT{*pm3V77EXBc#@WA(9M6lVpe+d`dNvH71ILmJY{3$jAUeh#@&VATp+TGqJgai zzCbOk17Bc`Q?3|#)Xmd1(I+{mt77MmqS}ps z{Q4;(1f9^7IWTUUj2;&$D@#v9+#UrA{_M;!ESEAwS9=`T)KKJyG?GVIQa4=vuKDoH!WM2?dZ-bVfX z( zf_B+rzMvHKd5)$(;m6c~N!{DDY+#}>W=yPd7Cpj%LI{TS-Y|tBf0$`Ob+JZ^#-Ze& zwGOoAk5&xR+mo5*ojmr%gcel5k7{Au_8xaL0his#8#?~n;>z->r1s?(sS!Du3@?17 zO*8`zd5lI=Cz{Qm<%M3RHm2NUT@WX_gv>vq9wd%xUJnLa-}rw5in@dKYj388RhLkn zW?iUZEmM!EMtXWwjQqv)2=$s<=yer5vEo>#5hE0*Z%zR2uYh@sJEBg&IQdOlJX@y zvjH?l-X_wUoz0f3@4iEhu`;v6Pv(|bFu8>a;BpJ z3{QGjiAk<2%Js{zNKwx)K_6yWz&hIpLuP1zPut&nF@7T{U@dpW;GY3I zc6a%WGgc3)Ni))vcbVL+DqrkY#g84e3iiJCxZ&@efGh82w+S|UX*)izOJbk z`iE_Qda0TSe1>|kR2owLjXFV6%8vD^Y{KP2UVC0G3~qx`f-SagMTEl@#*CU>YHUqW zK7xim;K7LyBlC0Leku@|(ce>JWAHh%59!8(p=kG52~Hyi#wF$aR<+@Y=M9F}#XbQa zr&sPAa3B78reY;Ai&oC@`}Lv0+=TDbB}d^OaN5$3OlDa<;*e4UK`UJ(nOC6uNCQ&r z3KU4oBhgkSTl+V1JZ*?3kC`r2?ovS7zAuW$L0TR#2e&S$jCGfLaHeY6^=GDGX|+#t z(9-qS@&f;mMZNmG<6972!TgWL@%A__ZC{!`n{eUU0U;*;kJZ}qvk=+xsPVLU-s6Op zXG5VKN>>^tmZrE8@!DRvMm*Dl$=^m!1U}WH!q-DPwzp}#M-jghJ$*FAoEBp*!IB`h z0=amePJkeE^Q#WqhF1?tnQe4+cpM3 zmt7LUz|x~gM}I|2u>L9TSK5N+Fs(4jm;N@#=hYk>;AHON~?nf!vU&!{x`O`P=XTd(5U?*X*uNEvHCWzW zh<)TcY=5d2S8`86U*C56)ATPd>~BaXk5)%Y>r(qzooLQu%VXY*gHZT$-qMia@O#6i zjx|mv@*;D=#z%(2KX@iQ8G(sgwz9ikMaKKw2H8p|_=xtXc(7ez5;`|ajBiM-+P$-K ztjnq&t!{0(g8cc2dbu!{eQk1?gPB1Ub!3M+uq?TD+e?3Z_Cjx6zg0t*qw_INbHF4r zZu?K`na?I5hqrk9s4s-$1$8}=u8c}5_-CF3}|B&;-Aif&cXY;i}5kexU%zH<>@_i1|LLJN0Y^jb*7DV9`D9SGw?39 zv`Ai=PaRvX+3FN`&1tYF8DzrF=;$>_AWXj(PlC6 z8FM_qn1B2TG=j`&O&_U*vELdO$}0{qw8&MXsS?VP4m#I%%pf4<$z=F`*_&|3UnKQ< zgSI*#ivIiRmbIIpU=s}j!7nIk0}E|$iI*9cJKjEY_h%I3 zq0Y#qIJ?lP`l~5LvR!=xbkkcf5GkFXqu73$=ws={UcEN#q^+%FZ7LD(=lq0qR`@PQ zn+ICkxeBxOvjcBFSJxwfQ`K*XHDCY;&7LbQyCoMs(y5bD3~eyqval>*oSav-fw8ti zCgU##y$aN;BrqXrjqNU+sg*>K?Bt;)T_(4&HX?MSglgLtG7FRO3$^(P#?Te@%Ye=A!xK$GdgJmQ778W)|SSi+`-hQX>11+EWm{$FaieG-MpZDV^V^1j(yjL2BR&DiE zyu?bxV&vO~^b>?shf)}YO<9!LqsOwaub&?|=@H0kM|iP@btYOUmq_PJ1+VmH7a3>k zogNe^-}wLi{UIK!sK)uJPLQ{>f~K$&Y}mH1pU~j>P_gLWI77~+dOqnFtV2##%foRH7H90635jou$6yc8^Sl}8@{w`2S5Q>^ z(+(y3YuQJ`QSH>j!UBQO$Dbs6{^Zqakz={*zd8Q$@__Bif0LAoX1~qq-f1nF__gry zx-&blx%|4X(o>2>A9cigV;N33xCdo(gH!${rR^FJn_N3SC$^iPNQ2zzkwDMqg zLf;}eogu*m<|x-#gBC1rZ`9iOMBKBhN`Q9r0(wmmY2N>IVb~kMCK$+m!SLM}hsM0C z^=74rBS=~SILK0qE)zg0;lw_Uy+7Jp&m^0(VTlWw=+@I=skCADEimTy2Zm3R)7F!) z?0dc^8ze(jcH62jy$BY8QP_eepGM*mK`nWHsAi%$5R@$>>YrR~Evoe2=o7YwdxY z6j9ERtY0#VH$}n?9yf~ug-TgJ%A{_2mVn;-aLlh0GwiwEqPqkD(-ymr_JNr!pjVHZI`({BQEO+P*dH=F!5}qZ%t;6;MnWyxDB|G zEGv!nXh;ZF@+1v+M%f%~aU=Q1dLBV2M^eEo^i_-#`MuDbh7-vcxx!*sk`=uQY*)8m z-cA6BGoGKUoQUqMq%qR(=RCUt;e-($@=h428-E4pq-v5!asA?BBn7~@fCQYsvs`f` z;dHvJ8_jK)(^%Kj7`v5qw7HLzs_*^AJwz2Zp-cjI`!MCRT>s}|P>iHu@o7uNZPR8$PspE0VB%YyxA%RJ9}i#3|a6I=A~3 zjH*V8scklknYo`8aIZ2L=P0B)8)Si^C+#{@a;IiVVDamxBY(&`3{_-xd zyNjV#=C+AF%s=MQRP0p+R8_*V1fzQH`SU?>V2XA-)tKw)sOmG-*}l?1af_>-OOk({ G`u_j~b8>Y6 diff --git a/cpld/db/RAM2E.map.cdb b/cpld/db/RAM2E.map.cdb index da8356e1e4de6429019fb4569210561d0160c44e..e7a4d77ca1420b0bf2abdde938a14dba8223fc77 100755 GIT binary patch literal 17790 zcmeFYhdbNf`#-LwR78m-!^HdT9%7@>A+Z;GhasJ(Y0wYN~SMy%K) zA_yV;^7_92ir;m8p1H1^TzQ^zp69;LJsyucCsYIk1XU03;NQLRFGYN7Xz%6i=HAwimC2MMQ-~UxDhbvIojH@eXzE5W)or4(_k~Qw_#JU zbM(QFRAnK=PeVZP_rKZj*TZ}NYk?~NYXj~aoezx=4%I z!rX6WDFO|lxmN49;-YSXH1Ws>|J{%DdJ@J zcny48(=iKg>TvcwYjY@&lb9<YY7Q8C?0s0dX5m%g9fmjQWMPmF9nGPp0J3~h9>(x?SAD*5Vcu03^ZCNJ``jE!u} zzxpnF8vWnB|I^F=*~I_P{b4d-S<%<%>9rRC0FXV2n5{#ypM%=K;NKVefXj2I3wKXU zT;|$6zd2-CFpbZBLOhP9e}>*|vd)fe zP4F%B%1dde2yFK}`-#9Ko>x zUG4Mb@0ZQ1@wbd>G;5kaZT?O4H`lBg`(P^V{)~)1`VgKWnh_1F>C0ujX>LXZenil1 zsNBx8N|esI(#^{|QAa+0180M`#C7XCy8ACX5WB z*E8mH76EFIoDezU&Z6!&)~gaRcWk=#&O_HhByz`n!U6Di^d$<2W~W+8+9@h`Q@s_S zyD`h#v`-R^v>xA`Ak=?Y!~haZ&H9jv6CV38Wtg^r`-3SdB<(Ssf!5!b-S5i<_Y9}L z56P+AyFsMoW?Bl^$l*Rf`1*_!=f1@{%2QGyi~3N~KVH3?6i?TC8xFPOeT72GI(sVXeNutag-bQKluaNp;SG3qF=w&h1BBm+m~r6#7*5O*cRWjly%-@(Hzwen+-^x9;fR$EyqG!nF&z`=as5Kj&JYex6G9fTV!Tx zgTKFF(pjjaig~&{(yfx#-jwkau36>N>D@l9RLmtKMbabgtm!h6=6xFCR5;AA=CgI@ zs~BFDjfz!NW?)Qvp<|=`<0pQt*(;|E!avtHhFUe55k?sYFU=q4=a{Gwkh?9LXtDR1 zseR6WX`=NdE~bAoOK(c>x8HoHiH^AV)Lel7uwka*dz~8{(EXR+nqZW7Uh>$mjO<6{ zGZGq`JpWh^Ql4l@$zi{{lc`Ab4CemXYUWvNtb5T1LBHqlwBHR^WWGi^^n;b37rnKe zz89k0Emd-MeH~3dNw~SbHFnJI^qQZD4YD9f3RoyiASFw{ih{A-)5onWM~^qRIrzsb7z6`fCg89&l8l@;h zYM+m4+-rIkesucB(ynGVsjNt?M;=>6`1X3=1pDsC`mLPbgc4DO(l$oL-cB9YuHVb( zBNj*?5Rm0?$yln)GODY;o?(_*U)>hpQ|u*KksIZd?73UQuxJ#hti=$MYCviIJc*i& z!u_jj%Ubk9qo4gXrzr(gR@|cAL!35&EQ}C)>?_P5#pf44S+3BrR1dWsu>+iR@D(H# z8~!P|4Of;iR0=CRyxthnmptK9qXPQKKq5)>0}ln&h+DF%vHnp-6$(Ay@0oS4K8+^^ zj{k3?SWul-LM*74_Ni1>o03;lP1@1V|5L046}_6k$YPC`y<>0Fh_zCW;Kl(N)f@8n zR(UaMf-yqn-x1DEF~+<}6RY{BdHCH0e|>^=WO$gE{!vUfcxk%GG6q0Y0BlZYzx!D$ z^4=TiU`IoV6Kv-GN#4+ zw~SfMUeqM_Amtq&xbjC&4euFL>|xJ0rx2O-Hk}p*jjp$&eYo#`QfRsoLn zRbRRHm*{kMn_txnYT_edJjVSP2I@_?bEBsp&{%UuOm$^L?$}xLZr5MkXd)}(0uoZ5 zIQA~G_o4=s@exHcI?a%-x8FpV2OgHqbBZd4PuT5u*f?(+BDJKKu;o2FDEhr|i3j}50d!uP(%PCQE2+fpI2shK;c z`?_()eYZl;KK2FmmU^!OiODv$9ew*fRkX_WoIL>928@6AG)Tc~o#3okmRG7trPt4C zK^g6ZZW^o*kCkV*r(Oo((|yLq^%0W)+j)b`ds=6V3iJwb(>?wx&pmkFh%x(=j`Z-t zL%<|B`2-BM9{EOLY+t5AG~*de;Kab0{h-Um?FV9MjDb$zDN9eZ3T&ZJR2!h^jK<5IVxAmlFZ)4-7lXDG-eL2^_3fMe0?Y+SKsC4%R*({{34)0Et^k3 z57cw1K`Tth`h*dBD@fe`(rxO!4ZDhHO>KSI81zvbg=Hd9Q*3r`48diaP0v5?Zxp_Q zCQd}#>x6t_@Zqh|>k75*Q>_j#Ub$cP-p$etdvNoj6p#WVP1ZtSK!i2lmk+nE9;LIb z9WPLvfF|-pu6@VUli|6Lu<%G*Vz&vKdO%o)lB2OV^}^JuhU}VM+dWe4fc9vH|ID@8 zKr8z^jGC#`-8;8|jU42K&Pesp-C?8#>FBMdS(&x&-v$~7a5Z{@Nt}%ss4tKP zTs@|aPvTqJFXs2aUSly4r{!6bd~g1s-+tcs6G5INmb=DA`L$WHkE?R}VRFM3*XJj5 zER<^3^8|?^%?SmiYF)mb@x zlh7v53P@r24@ z$TWAHH&-kTw#ceWP8SZ&?uu@;t%Za2zs0DOLr_TRtDf+*S1Jx}l#W67kRsGSx4vgz z$PTQgmt)1jL{zk{F{+lDQpMZO>UmBZE5mHh%>v*rWT_H>q9M6vVzn_WK|N0N?==gZ zOGawPUYt!&^lPPvf@NiNpD6djS4oD0*Qv^FD{-$EF#M|0m?6{ zz&0tBIUmCfT?lkZh6o6T`9-Lts{f?8{6_3aTK=Sa?U3sl;QIHdV{y`@&5%Xp@Ls}T zrVPj3{?&B!@%?t$+x?%)UpG3pA2+6r;vf|(KR#JPE?#(seUH@qm1e5k=n%*Bn6;B- zj4rRNB`xYPqA_izPqOEFgp{1Lmjs+(=W1A;A)!?xujU^c=$0~(NFhN3q_BUV8zaHC z(MvP7bkh_8=z6@IK*CRUVU1GAa`YlKRc0$nq+sT|6BP-d`ZDU@nKs8$h2(hF+^C`) zWPEL^)eGh1woo-uR!B2<6(IEg*BwVbMRWMq^j#cLxrB)s-|Hy59!0#Z(vdJKqrZ3m zyHt|rB!$Pf)Te+#m)F&ehhk(Flo4a@l+N$(F85BZpd();VyrF=By`;}32a%cxP6uW zeoy=J>~HklVl_pkm=mk4n$M$Qk>rcJD*xH9s6pyzfI#lT^*)_;xB4XSZowp49b5H1 za`pb1$9?G6seMwvWfZaWct(#*S94a%D=WVj0f*yjz%ae5=m;MRBBi@(Lze=}5eS*T z*XqfJX)nWwB5FuT=_t0e!_7^zpS%h0VlRAp{M)(o@guS`*yS(ccUCfM%YiyhP9-(Y ztWW9&D}Sz}s2j^Qh%|$q0{tOx|Fn!jNm8wjr)e$9cm)ODsT<#4eD`kblvevQ1!0i9 z%B5%cpSR!qzkg>8>iY?EZnH&-fjp``{2vNh)mE<};0iNGQeAZVK7`L>f1|S0rVx*0 zr-~TdP=DZ@Q>jh=f&^lys2a<)hbiw&uiDal0oI{FlTA;nvaa>jKL(`dlx&buQA`~} z_#`Qn8*5(r9;SDHDFhb7DM#FBCV}qr*6`YJYgA1tSaWqWzG0kCmU>!$(v3g%cTC>| z>&E6sG_k-ti|WxJLdHYsB_^O6{P@=(lcBUZeEnxX(Wh_D7X@t^uD{mV7+RWYfL9P} zH2hDpB(HIwNe(-TR^h1rJv{j&ta+{5CKf5=C%=CLc znm6QY1zrM0LH=Rq!P9md7w@h9~jb+}`h&LrA{c=;S(uVAh~GrkcJ_0EylG#KGRAg|L92Hx0DKjJ9{@{$TJ$CZ15^`E4WeQ|DoJ1OGhEqKt z&3qyGzV}{giq(McW>}Ur=nu9__md=nSbz-k?}26So-RBc=)vghLt;4ZK5Xpcr)73z zmEwvXqn44Wvk>E|HS+H8xLoMDL-m@P?ZHP%owQdz$S>&GStg(sJ-dDEs^(r6Z#bue ztzF{%9-Zo5raw%ihPo15F2av`AvKs^MfO_}wN1^n>LS-ppi! zyEByz$+hW|@w(R?L#JojLukuq=DSRck{?>$y>Mx)k75;c{fj^2+2{%z7R9ms~ zd@-aLPJxbo%83=@IxY)aRE4t{RjdD@8Dt?nY^7A5zVPmDaxgw1tkQ6`U4UEJHG0CY ztl=IeK7rA(uqJS!>*@!Nox1br;u80_k~UNiX5%6~9G!ec@xn=}R%S*O51? zfQ^seyZ6^1+xvRudvnLscKVIvI2rw6!Yxg_m!$sZCCr?&5%)QJHKxaltyxf0gHSww zN%)#QF!2Oi@ZiO4e2b`BSQACAQ1^DuewwH{J)LTU&jb*HDTN|91G8deUNvV3) zyN~|<2^>#8etGX6P$4~%ca-zF%WJ(YCFhRsS$M3!LBRh&Emu_M#rfStrebtWPjsJp z$`3NCnh%dVG;+j_?>~L-R;~O2LKj;!6T{C;K25P4UU6@?FE(4A)DN)BuXL9(!j@<# zc89YtVMBI%(c8`@BHT6qbDW-2X6&N(06wWyDlR-wk0o98;f%J`oNn@L)PXkk>WFEc z%I3z(vdOuPyIII0pU|-hm=mOBvaiu{9AtiZ8J|6nR$e?I0jK2o_EoB6b|S|H{ne^m z#tHAzV}LD{-sg)FTZ+9=S^FwO_iO8A1uKD{U%ME~%xb00$Ez)=YFG%fs@;j5SX2*d z#7DYfk48Ot*mCI%pogLB*~^h+k7Bm#Mp8#vNY}d3FZCPWYzY~+R*5CktOCo^7aWEi z>79VuFYJ?;B&ku4j`ef7l0OUUQi(D5hG+wNX6`3|6^6B=Bg+M3it>NcxQn&2{p@!i zFI|g|{4cJTXoBwZ92o?g`mr?hO|UUAv9jjYrRQoBHK@FN=7qZRyK5kkq7H=;Au@iZ zOvLkms)FaPe9Jw#Zc#mltB9Yr{r9IE*t<{~e{0LU3*OpA$C^2Q>o7)hJ5X{Ob|f#z znZC0S@eps*6+PjiVGR|bb6WhgZ-Ly}#NMMWmvD@_il#16(*KGM(-Rf;NRr zWh;eqJ6hIItV=n^?USi7oqb~CBD-93q4gLfxM84+9ZsP8`&IJ(%gm-yv&jf}KF;dgmP=E0KY>Hm9!aQK)pw;NxSV=Fc zP7pg7>j?;pbgr32SYTm zMWw1+&1^6EgQ(}rk_592^MF-P3-KNW@GL}t9?anWKZH}e@aKuD(mTZs5*)~i=))s+ zf>AncMHAkgXF{H3>3e^kd@RJH9k;VDo;wj{eE;$a{{Wojm>1BYmR60cUv0Q*H8q(x z3v|DHRi7`nQM`O@{~SpzECBWYJkEG-8XXZ}>P>Cf@jhTtWNgOHPZGSnfA>f8LbiwQ zMKuA}DK5_|mmp~6!#p^!i&~rQ?AB`Q%QqDH^B{o`u*do0wK4|a(%kvtl$^@_&_p0R zMKvs=M5@^OKa0UvhK$As5BLehC6$xy-asJzFF*Uf(?mP@qS6`drUMrDqhh^9l^YY> znczV8Kr&7niHM3(Ja2ze7xsmYNh_Se70F+g7Lw+WG2N%^GCnRQYM2^*Z^-|e2?_n^lv{o`Ii7bJm+DCQlDsQg#T2`1|O3`N{N*X1|15EpmjAPx?P zK|oL7qd3J#ndJOZMP+slqF--_kfQ>Xc^ufJ=&>iTgmC3g{jFa=#ku}s_yu`$GE3>o z>@+uD+)r>MG>hqS?NxaG;b(o9$WPZLW3HFeXdw4DU%Il8 zy5?DDWlAnkzp^trW*2_^N|Lx{mgbeeGpIG}IUXAabvvogFYy{E#Y>ESPn+;VXFQ5| zm&<5C8s7nbC#->wuVuUj_*}HKu6{Aw!YIbJCWonh{Y1IjP@I`AvxKV>`Peb#Q3&zq zBjN%ztB<6l<&js%StRygcZe|8r#=DP6 z0nXk?tE>nXVlt8lZ|)q9*FxK*uFTsWHzy>LPKNPJkIo%P#K7jS93*NQ`;dp(*>Cnj zbvnAw~q?g^@nZm7>_K-hV@r|}Ryd?Ug>zc^kZ*fj5u&NwFaJfO5XUsv+Q zv^;i`{CiV(j{7m>j=oly|0a`TLru@yR$m`{JtLV|o1sOQnr?o>sNB8Oy$-~socMz4 z`IF%1T#={`X_TEG|4oR7yc5dbM&cEWdvr_`0gH&N$(wrekTgiPeubu_vGU;ayvIev z+Z_UGvZFR2SCyRB+UMAPXYJ1gPv8Tf)i;3^F)5VcdP0r_q#gW>;pD3NXMi>CSEGA+ zk_*4(Ut&qm^xhv~A~VSD?B{wtA>CTZ6A2@-DoXc6bFAt8C2ay<+oSrvtIYj+UwM-> zl@e15WU_zfCLZm57`i|I8fLVNn|ahfLjRz_TU&Y?wHXXN-e(%7m_Xfore0%MvXZg2 z;qyq!!fWGXeGO`1aea8agrO_f`x*AU{kOngmQ!Ht%$an0&;nVx#Re{GHi(C2Ii2r) zRnmIaGa$_nqM%s$-QQv_yXad^sxPuR*Njd?`zZhoBIif4IWgp!406u2>gRTHwzZ=e z)xY1Bw70}aMr0goM;*vBFF{?RQ2v;2e?IVkg(he5#K_# z6#jAm|C{7%y(_!Iy-2Y;%oW_<|E>7K6?#~z35(RyS=KPP?zG$*;L^LLD3`Of^=9lV zx7#xkrwer-7loy3;ljo~o?WNg$$c6ZJqtRDYah$60AYnEYLJ(N?)j4Hx>Wcjl$ekc{)VXw#C(V{37m>YtVbSX3iPh!{~qG>>Z7cNx#?=`PrOvMqkGJhkl}cvuYmuo0M?2Z<$)V22ZOEicOzw zM(MdB4Ejz^Zq6nV_qa@G5t7{N*Y2VxLyno_ar_lE7H4ZaPSI}$GnQK7;xDH~zINaI z(=wkNj87^2{`2E6Qic~r)*s5knM>o=B_P4$F57OaHUYnWiN8`)l`~n^jyFA;(o63h zIt9xd2&=e~A`X8(`J1F%O^JVwT{zg~15&zL2dP{8En~Xw*S0&6=#Ayb2^Ts!@-Pt= z+Ay;H&-@l_`IwgT+$^a#Fwh7u_Fla~Ip=_5fIeB$;{!XpJxc`x)&s1A;+FLZEUkSo z5>zv1e!1T$WDjW_MVoQvhwCcwl12nHHlr$XkNdUn_1(Iv2n~WibQWnE zarOv5)X_K3DbJ}4=g4t*_>|kOo_Ok{@~2d4o2AjGH#388x3(p{zbBUofi=Vt*g6Bg zT6qmN`ou}mF&XF7<=gsr!p=#UTRwI41;SBN7TXmWGvr) z)UWO0?q2?t?RoF`xg@}@1@rJ%lfRu#_kQipk-{gR1eVE+%U_M7!r!CceFY7IHI24< z9PGoVZ;8!=1Kz0q+88m0)@&<<_9VAqq+XbX5VA@)*l$vYD;qi^~4YTKVm=AG0(rasKh zu#Bt_I!F(|%$}BUYw)EQSg@ihxXclsIQUZZ%FkhUKvJQNrUSJs ztQ@mcEmZ8GDvP9!V%^HhwlfEddmAUkG~tI0?rHfFwj^frF=c1B;%1?j6Ko29HTb#JY;Pj`{ z-fhmDZ66^;?R>4osmj3x`MJ9cVywOeJLVg8)ObfC@@fSweE4ngxc}3wcwmKUOncRi z)H<5RTyEyUH|Ek=^t%r|t`r6Kziqxqy`LX1eLStp$r;yuUY@Uw6wy?m>{Dx}D|C31 zOQ1pCi(e4z>A^yRuNbDbX+P@a>28>Z@RXCa8F(O2brWL7|N2f)N03Rkf)NPlz0 zg(!|l_K!P_zX(3B{9xCqG%D=tT5!*6sQg!y%&(w!xL)Ux?jM1WGnb)m}~w4>~ITwv0zp68VA(_e7Q z#k1rK%S&P|uh6o}7Eg^+px7?Jl|CoH>5}p6xi#%w&P5sw5|uBvll*EyLGLGL#SiFi zefpalMZx8g5#4JTKQ~>@k~+>Xi~EM#HvLVf79Gy_jVfJ}T-5y&kDycViMo&w$LOz##KJ*HkzI~Jbf^kfZJSHe#U&1{xLBklKyg;O@@OG+V*b%HIHhF9?Mq?CWVV-WhE-%T z!#q()w(@%j`%$$!q*7cy3DkqO8S*U2g*hBIDzcD!Wdp!C)<=UT?2{dgmrCpgMmns0 zhzp5-)8V68Dx$yO8?4VCz7;B@3zhusk5lemmmp>@;4dnf(lGDk=d&@`Y0FBsWQ+vA zeVcFM>1TK4?GVM0X1?Cjj`F56Zt$s3?x0ZQFnXG{k)BPp4$D)XP)16>k~O0G)TmSv?q!`1lF8P9u^>w^ z!)ZvB<7B-?TS6$~)fEp!t@CjxRFch~zThkEkB|yOK59SYughgnta#JxV@O4cvdWBs zCnS-kxq!I}!r2(|RYZTXV>XgMM>uKRsr@*)3VxY6TRfZ>YJUt~R9D;dEAM1=c@3TP z`=Y6oNFxP@OnO?^)ShfN^nODI=BsD05a?TGR#Ik|0|JXa>|<)Z_)DlAUiM&1X0pU=(BBk+eIKPIW85o{r{`imNlA0HOAY^-i=2*;crH)Q(x3=E|Y zG#&UaXktF^9~sRy{oR^p0>uk6*IS#kaewHH9Brk0OaF?Qeq=pcdxkvCC^WMnBv4!* zdJZXcP%|cck2N8Gv^+k?sCY}>(ry&%js$!5DDD%dcJA>CF)s-mhfGCn4naXbQDT7g&gM;{5*Lh5?G@veZ^!gq3j>Ul-{wg?nfr+Z-IrFhwMLml?F7b zD7YCp{&;qE^?IM)Z(*yyfMtIy16m7}kifxTI^e4F&}ShVd1o7X-_Xpgp&g32Sdmj? z!b-Cc~vKWQIOPAL$XY#~EY zcj?-M6@iWFL0iU5{r3w(0CYUIj7({_Z~I9P%J*CAH*Yb| zEWlb)-%!+W<>DW}i6AJP9e`y|JNG7>1IhG!;RZ-9`pWZj)})AroNaXtXgp#T1!1wW zob#T_e6m4MzSlBw*KbteJrT^ZAAe)9_MIz_?atQl)vp4G%v9sHDhsTJYRkvoEGyt# zj%x=d_5DT`(7fU*6)5T4a$*Yj92D}yTOh>82{k@EK;k9?2);XDrxWP6S`ftvFr`kF%w1mM!NEW&sk*f?){1WSw{KEagz$l5*pZ;Y(POK#ykH5Q)p z#39Ym0tXT__pAAu0e~c0pkc1Zq$7hxIKBnr-UXfykbWU!d3Jz9@zK*(l+inz%J_yiAwqKb-dsO~=M^_vZC&C8kbm_mj+Ut`7z|3IoKCKLd!bklT$Fa(>HI{9zBqR zSii20B*1wA8KrP_=6PBllWrYlcVYw-i{<(>T@hoo6 z>tho1I?7H~b(6&4FHbLV*C&ti>L;wwQgB5*ykn#1Jn2uejD_6K8(@hGCgLRSKi93o zK!C8Ok!62YAzZ=lJaj}vME)&H0P5f(c>(&=<{I@>lAG0p4k<8~8L{Gx?s76I76 zJncUI2p*Q9mr^@PKI^lJb0ColPjjCwjv=Jsg;~h03^B$4o`QLiL51>ZyZ_>t&(AVN z_o=IMhkl-7RajO~qvlD*bORyhM?X5DS#=oM?%EdXeR-J0;@p*D?LdRl8Ev3>!ERO^ z>eWAIbSE!`iRWH}(d~t8N*ghl*IjP{`EE@jMJ8wr31XD1*c%cH#cq57)+!OxFAmo^ zAfMNxx&Mx>cb2ZS*bA?8*CD$D&QIQPFYXsvYO}a{o`9H^46qR6OIE@akc@fd13+nH z>)I|RJglKp7Er0(b*za>XGw(7UT3`UAKQtEmjuj3Ty(>WLM;0CF=~ZS|9kSs2O$o% z8!QZ=fD#1cEfAmcYxmuo1F(3Ffgt|LK;g%suL^=ro>QDJgoHWN9Lj6HS6KmpODk5i z`Q+syu2u?{4~`N4ulHgFtl-)9y4ZQY2oz?~kkKiNGw#SMyKaq#_>&!8Ie2_3#m@=T zD?lybn=e(tO*X;;YVUC|fCl$m>*PP+~ZJ{3+O zA2r(w1p#X){N`IvJ@j_RCY=sjy?5pifqpV(uU13p3S@C`N`wjXiN|a~MnzK(HV(mE zLn3+bBCk3QcmCZ#$M6DT{_vSD5Ox+4Wyd~+H+D%wW5oQDIGu331hxrbzLNED0PC~( zqqKCzvvB$1{J)7Q_YdJW3%Lstb6eJqe~c(mwCka(E@i<}76UhZ-OripXR2j6~tT4min>Im9k#x(jjF5y~{8@GiW85kI;fZ~2}%Kc_Xuu&fWv=^DfkY~y|0-_Q$K%o z73MK#kFZ9LMRiE6>SERX?j0F2FylJkJOP%%)(xCO?*n-GD!AU8m<$N+{nS?(I9qet zc3gCS*#^J%yx;t6QSxMcSNTNIZaqD7$gQzw52D1w3TWwd zkr;Lv*WwnKRC_vb^I+5X#%7-l%LM@5+U@pzA3O#Dk>q~!QZqdw$c9pMewa3j*Y}yv zw={YQ8?u3u#c^DXdD2z6Wry20*wA)GX=JCX48X7P>g>8RL35W^OSYxY7#UbgaU!@m z6%I0W7fv=S)0ID43iSK~kcn6{IRP$3L_n3I+S2M#+n4C82xgRF;gs>Uqp&S?#m?MD z5>9-*RTg@3dSx|nYo<^g^Q%U(YL>#q+(lGYy47vo8WR}enRj)s!|gu>aKy=>gf-l8 zcQfZZyjOX-wM(@-p*Ao}nY>HVkW^hsY;W{_#-ZFFGm5&zYmi4X{OypQgfH`Lvq!V# z_S$L=a(LOyz5r-Rzol&d#p&Z7#?c3eD_}Cdl`Tg9sdB zdxXpOqTI%2pgze2VXZZ<1+FcitCiXs6ePm1X{qj7e&+fMtvKLO$O*VvTi#{%;|KPs z4vIfDPc)k?(1=3Ty21W7-lPD9_(q(IHcPX|A@-=F*iZKPrF~E5m5@ge-$v-X#>s^( zPVq{q2lu0NWil0mY-hoe8QqiP)}A?QMS#xS7?}M|G(!eCiV$Z5HM~j*& zsJ#sNE5&&~LLa*7BU{0%zNMzWhd)x()qPM3Hu?iV-@r<}oB;4(fbh^dX0Q`<`Eerj z9$-f`RerV&C>Byy5;LZ=cbRGWO!AJW_L+80D#<6ariRkbsNr0ax-3+)fAapnAXe*F zyMXH!)#j{=;H$TI$^)g@+8FL2kp^lm;SB`ja53{Pjs#>mDSYM8aSvbtRU_>ITkX@s zYKk;{brBdAm{5qxEx-5KCtZ6W_R1Ozz~T~o7K>c20$GHmpjhoS5aYpFKvzou5LiH# zco7d?3M9Pwvw0-k#u~K3e938u$`{$6+d$6#O=v1OoC9pco?m#!S3o+mG~hHv1!oJ*nxKw)bv>af*a>#{D z^9Fa)tAluQyRiQP$Cy|T7RU9VtQw%38EpgUI14aJ;du3SQxa^TjWb?I6lwBk%rLbu$~z^L+JXDJ|%Urc*W@h81D z)Lnf`lM|;4wyLR;5CjDR!yuKKWZMb9t{(OvH-UV%1ECrgcKwPP@=Y+SKSBEfN3@CY zr+C7qBZb-M+_E$i9STvf#f$rKMHGB zG#NRU^-1vM0{HfwDn7V1}HY^N7lc*zuK-DO=i0ZoU>ZN)zkQOEarLI;R4V1RvexK)zPcb@sLp{ zPn>9RLAk)fI^y3*$RTICD=QB->;UpnGA`62@7lM*9R_#Tpu=vSr^sH(7JKmy+qm+tFZ z_l$^1HcSntL!2rwUhgSG2Z#V6z(2;Y%Pxr$uV>iv0D$rz&y@$yn_$Iz-|$)9?{~oi z=3u=*zhkIUwP8Wq*-G=t9l7nUkozYx(w%H%`-JE$eW+AOJwzYbXgHt2(Lm@3`zz|Nbn|6Bwgx(YrOOaz>J>^!lgpl}E@`8V)zZp@=>U!kCY zme>+dC~EB9Ni-6C2@XS_5@KGhSxiI__Bbfqn4%YTV=-{_0}vrtYu+{pp?>()(wcB+ z3f8X@p|LTM1fj-;=8~>qt`R;^~XAd_==7^aq-5(DUaC{X>7yiL9?yZp%1(BC7!4JQV-85}!B84Q8tH z*b@2kD}GsNJlWHbw}V)Coo50ID7@TD(gv&aMJS%~FU67U&=07$at?e2!i=E7JVSes z{b*=dC{)xK2EQuLhausPVL}$nvY$_0hffqig`=C z$Lp80*CA%O1*z_aEF@~pNSat09AbY3)1e^6Tik+--FXQ%N`9SHX7Q#WreS;VHDK1w z-U%KqZ}Z&Qq6ctIHQ+i%G&_Yvb%%WLW6niQP2r(?;aP5K4DcYP4pSPc`ti$I|A4CX z^SSF;UTs%eNyL^%J7}hjf!Qm-qMvc}x{ryK{+ub zEs&IbbBZZ>%%zJ5Dj1YXLcDw&VM&Yw2M}aJ49`Qcr?v(A&pe-?%on>~>y&TLWO3-HnQJ}DxPqE55hbBrPwVEs`_sVfT*&RRRm)-#)>4xsDU7O z9BBd84Z@KjK6P2RGn?};--?Ug$j|M}YtYUmN(pSzKSW4Q`sEy~wivKHJ%)#{z}6_} z$8TsPLQ>7j&adQ0Mk`LQ49|j!T{xH}h8{d2_;Z8!H*C(-FT`ennc#$6twI z&*UV6zbv$A%Zaao_v1!ORV20LgC1p=)zrCrs%=t417!g_ET!r46%dtfydf42( zEZu{4h`MU^XggEoW|m@Q9Zm;2Rfo>Sh?j>XE%dMpybqByTe^{|h$Dt*HHNUH)FR|I;iE5GLqn-zxcAE>w4Z5nnbZPkbFl}Kq` ziWRzJ-=RqUA8py7v<}TliGc*iB;kyY43hWz2;~L>>OItm@*I$*(>LU62f+RY%x3q* zN=3K~#Q=IY>wa#W@Zh(!frlu9AXlhlUjyoHXf!&2{fLEUX)gRJi*SLybPzQUtO1jv zkcc{1d*To(^2TD6bifu>UvVmJfz849akxLwE;cYC_a)0H^6y5}8MCJZuRA2*pE*|6 z0=s#=>9b}GMI5ZQJ!}Tcq_YT*Vl)X6RkXs|6j*$U2An+T`}y$hI64bfkq_8H-W_l7 zznvHtEmk*fFkgv&^c?E$>-T?)Z{)w#q(BUjV-W|=G6Jbt^@IQ!i9mfW z*v6&tKPNe*6@CD|Cg2bZ8aYLKuqM0!$((i=wtD+gG?gZH0kB_Vunn9P_wni*y!w3t zeOux=^p@?gcX_AH~6^&G^$v=NAy ztO@>o!*X=Pu%uG>l<6(M^aQecFU@yKmJmLL+;HNRLq7K42kez3I?4pyER#J7x;2pO z(AF4Il9$WvK|eN=55k%=Vk;7S4^-rjnp#PYt}IA!^}x2bk_~em+HlM4k&HI&pUCv5 zr$L(bAX_FFOCpZvn!9u*M**5=xh%XB<;GQGIqOsza{!2pX+X`t)f|~>JM(T5;DYtH z`7b}S?yPnJ4?^kjp?eK;v2ygxQFB)f>cGDtJbJqd26nAu{0eeXOQ-fdA0_$ zl*K)w-jcCL3v0{wUt%IVf|9q_V$+UY{7OA`Fb!-Z%WpxzTOO0%M-7~K$ZnKG6CGf6 z#l~`Ubt<&9uXxMPj6D<_EfAO+KHG_bhDm(X04?k}n0Z|M4STnijP99mI{^o%K%)z_ zhV*>JAFHhlT8|fL4H0?^G||lcNe1t$LV0NLgUa`jG&Fi$$ui7Kn~2H8+b^;*gQusq zD_4<&k7`!fuP23Jn8O@OzkoS%!2%Itr4y{ZT*wFk^Hm~@iq51cT?QMOh2dv?TFW9# zk!S}v(U-q8XMItm4=u08WH`SGP+yxsW|{7Tp5YEUyBAB_T<1&c0z&nMxLf}A8pS?s zEXEp~5ydDXHdxue@jT)c9@0Q`J$PpSPZ5E0Lih@)I}~@N@W@+A(o^3dQK)N0qKI{F?E24!yIR68 z&M0ZALGvo$fj2d>%2Hc?HZML&EfRQjy*bqVl)(dj)>>p=GqCc$o*u^{#T(SN^4jRc z>wMv?))Qf#B>fg@qzSL{{+o35CypD2J*yu0fIH&D1st91zTO?ciR{kztca&pC($QC3I(w$=y=t>R$J<{HpH8yO5dv zSbR-?k!Ar$bIJ`G*3_PZkL~ zwV_CUI$4W3hMfPxgIi&6tX!yv77UZVljVEhnt`N70QL_kNWB%d&}640z&~Gx3-A># z81hFvc~Sb)9gN1Nwpy#41*7_yvj)g?4|XFm>vjE2&9~rSs!E@?5p1yv zyZfA5dwKdwxQ6yfShN*cjuTq{Cs$g4hbOGbmB?qgLh!Ry_zC@_ujY^v6q25k=4bF# zi-H7pAaRDmqd^MM*%XzNb;_GMId&HUe;dR3_&QES?fr7xPL{(KxHvV@&Ir zbi>dzy?_K@`|AG$;=F1yHdIO}X0`Vxu@X`Ta?4Xl!Lt#h+Ho$!PK$_L;xGM`pkUti zPJZcHUwUhsyp~R=!u%8`0FE`m>I;8(Wv+`WuWHY=qe6R2ZV8=^{#V0B-dsDUsz95O z+3QI+E+MujU|%9M)jm1C+*Xftfj#>ZC}~!M!He+_LCEwIC>v_c$`o86(?ouv=i$LS z9=JKlS{L=i6&Hw=#h==XmzjdimJFU=*fK+&HORez5~g3mN$c^kCBDT>RkCI!gv;H? z-Y!Lk`D6!5{JJ&4X**}PK-I74RB6241Jyo{=-<30At1QD4Y(7j|L!x54kgI-yQ%Y3 z=#>MNf=(G#x$bZ7#}Y#$TQg&B?N_NUOJgSBrxOv`nzTd;&tg|~z_gKplrn(YQkLQi zZ%TgI00ZS%w)CHUIqI+EU#@oBZH)yZa|gb8Ivic)l zA2@LW6*Tg2!7IYOuL+Fz4?P)Sc_ zn(5w?pm_JOl?3hmmmNPIXn$7YGsAxSaw_)6z~?gP;awwJK4qt85eLntr{EULz+)YFB4| z5KohI(|v-|(ruM!b7I%@F#VVm2P+pHhCSK){{nshf&XLRleN;T9ehhoKv@Hx#Wz0! z4>42ZZTT5x41md0R^#7B&aR*TC_l32-mOOjjG)l$a0;3$8-w%c+8W;E;}6=WFc)Tw z^F4FJ(QVq^80UJ76MVgPf0yq-8L~+Wd6f^o8*c H00960tKbTM literal 16723 zcmeJEhgVb2_dO1S_!0pH0i{Uq2tugRi}a3)(tDBKYk&X(3P=a(O;non-a$H%(816n zHIzW;AtaDre1D#Q;#upvvXYrKH#2kR%-Lt}Gr6H8ARwqFBgB9D;$KSm($K-j*V)s9 zRajI|NKlwn$=}({o>fFtgjMJTKv)DIA|x!zYT)1#;B4o>`pU-6l~tJ4K#SGL!Io9k z-q{b|bDoe0KMVmuiTwWx0K`sO@QyfFXfE#qwMfwl*R{lJ&ol~Lyt~vVk9!I2>PN8=!k+%w?@W!LEgOqZ* z|9IZ-p>XdG{U`Q+cK`h8SyVGTwq^xgeAju~{3*P;+XY%9U1nrxXxQ>xK)|A4zsO+L zE5k6e#bxMshD)2$*tV(QtPjs&o7j9-`3rN<`OM6PTZpr!jN_20<3rOuS3B4Ry8J3T z3*&O}E@ZsbsQR$9n#tmky~n`7tG-n7_u3XqQ8r@OjXW`nPs+0^qzkBo zrsbtcNQG*w)_U>rs}1XpFzfbmFL@?7*GLAV(Y0A?Z-)8Y_K&U4Y5ZC>**#WY%*Ev3 zT+j@2BOi-a*#Eos|LpSreB%G#@gVlP_3dG0Mp0E&mD{jStL~iKFbsvS8-)G*<`8&z zRo{krZ9+;6ouOOs|C+1uQiYXw^DQr!IZLTCRGCpe{-~FH=)5m%+DSF_bCW;)T@%fxxR0*EVmCbp zO!2Wc@!|XC;TEP#1|dC2Ys&*i`^1ZYDC6+v!+?haJzLE!Azjel(+uCAScR_*91lCK zs+*ShWnPq?To5>4z-^yaLsS14=^gP4tErge3_}C-c}){>J8oB^BCy#i^ym++2`Wo1 zo1h6hnd_a9+-C0wNVemnqbX;)HT|cxg|gbeM$f0C4Zkh_7JIM>-%c0pk^){W{qQ#` zfbtmgR#>WtpFL05G1J%{Coo4FXs z)1YAx{zCQO!>gR%e)K1ix+m|n225%a<4a+ykE>t2*_qP|w2c2#%I|J2_T&@UKzwJo zz;u(Z+AROm*8R!7hTbCmMat?Kr$7AS zwNJGpmytL7^gJM+qLMOf!wW+N79uMxo3BD%hc*%UUJxRdu*sN}3upbXeh=-lB<@^{M04r$>Ju%QnW0N>&caMvTy5TeK%hMYSTwWzTf|XK zy7N^KQA8EE!9(0LXWZcJ-$~iVMc)jOo$pDrF`q{odS0tQE>gfZI;QdWBbLz`|CMv$ zlvLPD9=(or3Vyx!^mB9?hgL(^j=8ubZCL(a=q!+wf0*)6c+f>C{iy58&EfAUuu8B9 zW{4x0c&D7#=pFrIZu1VcgFpF*{L<_r+X`l74Z5ME#^7Ax!EG>q6M0I+G=_8HcZq00 zsgerYH*;x&2anlFDTV4lYRYl3^iQDC>*7(oy^w?hlQ?dNofx6M({1$5l;oZAhuv+V zE{~e_JL{U7$=t6+M)}OsrgeS`eKn{cej8dYCUB;&@b#24fysoN`h)7)^#{TyQ>xVU z+vq1gGtJ1jp zwZKG`(W{)O(=u{@B*DR>brK?YoaANO&i&Y+vm82K0WRCUT`5X!M^A1_^>zcHN0f@Q zi&c~7?CcvI4=MZ`x~kS~(mOtdZ^$d&opL3mJcjCJ9v%*!%(PVwN5(4dC2@|4PB=lh z)bqVUsi}4%=G*PTJuRDu?lL`(QnPtg9Q?{uI)(v%bRY=`Yo?(5)_)56p zTvFky^s*d*eDENVwZ7u=$z@AwlYyEFTfaXs2ghfE_`8)niT69y#gcYAp+ScgiR}p- z%qW@75lXdBQp-j-rAH?*V4~QdfPsPBm<1Yo!&c3>*t*v~qL!8;qo<$$TLD>ih1iaPgyql7MslyrCOWo zNv=CjsH-=JdLkWvP?&6dt@FJo*0>`iTqUAOqpm}$1Lw;~x%)zjD6mLTj*{{H>*7lx zlgP$$f-HNnE8m4>h>74kr|i60gvkwi4yARo&Go*wO6Zg`GwYpl9$QMvlbtO>%D(gf zt>uc9Rrp3+xt&M_{@U)hxVGb%L=SrymV2tsL?zpCK~(YXt0>X;DJKULl>E-0e{!Q| z#yKN%>@>bUctq$ot&+db{a%k*N_duTxgfj#GC)pH{dlG}gOEKUnEg57()#r18|-|l zT^r~3_Rx;hZE&7+!^&1{kgIJ>jdudgXUg{%V&EA)VN+uEJLP?}85}j?QNtFoSI>Gg zDrcG?3KE6))iRKNxx}#q9Zu|mI&6fL5(=g)e7>Z)&51nyMYewAkJi`YKgYU~Q*|BW!LcGqypX~xA7h~&$fyv@=***E90 zV`UF=!P!_iNJiGIBSks+x}Z**h%2$or=csvYx%9YEy50rBmu#xl8Sd8Md@oQO?WTW zjcAb%$Sb6rQrd)xF*EJ`Xx3;mRx;iKf*v4+7=M0z$njw{c(LRg^YZY?OvgiPT#fe8 zdm0YNlOhIlUnZu*!xvHriTaekaoH^Ks`fmt&Xg~Gbyb!qz9`eE-nFfrJE!@0G0t_T zw(7wn8_wrxu^g+k`+C9H{Kukkxv^hWieZREh3{A)vWV-suu&2xN^iTvrHP}Ao#lwo zK(uTYXLI}h+J{%3v`b5p1NlB$jcPv<+k5La%a<)!*`MvxoSjWB-8g*E^h}+ap!j?A z-HztTLueINsEjM5`4~-DE+E-g@`yZ8?6nUf$uE8v!T~TE_K#2QP&T8SKB5^!@mRDv zC6j#fm>$j<)-If{nr{y_>3`=|G|%AQoLvN%&*f_c8~VeZc<_grke_IcU6g8uln4T6Tk z#wh#}aX@w^4w(2a)3?UD%h@7=3i)uAW_H_57OE}2?N4X4Uszb3oZBg7zpyCgoEb`} zlZUHuLXw+iT?GWFoYp)rZwe;15YJsZ8%hGIKDIguJrIAiRIB%llBy-`!@C9enA*dy zJJR;kuRIpy)uFD8N_`}YT?0hCNecd3cLlDW-zi^YHXBy$f69FRZQ<{DPQF5?HH-UU z+Q5fm5HP2C=g)g!UYCKh=}ytCxgdpCuGwlasJ-h=%gs-v4^F@0F31a0yvN(en&`4# zw@bw&_LwidyK@2Bymx8VY7G+L!f(e^RCJ-|E~JKP&!@dI5*~lVS3J%%@ldj1G_Nw2 zPUGtqoMC~81T(yPXEZ?m4LWC^=*{_~nG|bGxwC85oFV#>^P4Tq`>2!7@gkHqYE$58 z)ujmL&v&PP;RKfJxzjNe(25DJc5;I8tEe=2$KLIPd?w8r^V1eio_i0?4O8R^d~!1V zmxI0FfwRqFi?>))`qp+N^+U!_)Oo3#-QUA3cIKv)Rt51fjFB0fxA@G-LV)0prU+q# zPb`np!4pZ+t@|@z+QSz~Wr=nHu!6}_L~n0(b&bS+gA~-((nMS3uH=&_0{}aF3VQKO zHIDQElGxl&&S8kNR(Qn6?5X=>VpiC?5-$2a^%J;&DECeLqY z5C(*#B=PKba>@#Z9SvRtKJqWlDeibedFbKI^xq`ZqIK#$&ogP~3qHs0L{Z4X%f~@Q zv^1#|1veG%AAu%|MJ2P&EiY>)7L5GktAfnvQ$-_3=(o?6(N88i z05A5G@{;c*@0leFW}Mm8^*=B6Ph9<=jO7yACwFIDww|qJo>SiI(dG)$|D~5feaqji zpu@Rxo~rx&Zyi7e#L1Z1^)Z^FMt>_kCSFZIK=L98Agny$A6)R-a8l*$7m+*jySS@kcEcZdu z0HhD(qr>hk1Te#FoiXJIcTAFUk$?JBwPC*c?|BBWPY&)M2kOQ!%=4e&TTTxsP>! z`zQrZnLDwpPp{d($t`G!?BBnR&I3u8J6?iikkEpQ14iYm@EmD88|x2dRFh=v&Mc}A z&iHJSMpcwJfL^tda%lOk1vIxhmyKUyf+g9H_vepx|Hr~!Q;&bjK`5o&o(O`Sj*qyK zOB$o!3g;NM#tBg^CAGF5UU}n*o>BZC(VHdx6>7c+NW6R`3oeffa(`+?O__`_8CM2S z7DoS5Rc_ziBq`%}pO&pv2-C3Z-74wa<<(d6oomyNjfJzM^i@dxo~Zvr%)armAszZr z>Pzfj9WyawhGu{iBgWav@_ltq_-=>aqphEIw|6$pI$A063FBo+0qde;bdY(IyddDbi!1)`q24uHLvWV8l;aE)%Oi24 z*PNq$$6Bds^B0?)>RjCUzk}!X`;Cq2Iugg-lMkq@d}7GA{ww7(6af;FfK-fcg&4(u z6#V|IRlo>U{CD${-kd)g&EgqZ2^0Db!V1#9=giVROtF{T&y5~b(p8$A%!@#+TA!p^ zTNXPfayCtRsVFhT{LH;;`1TWf-~GB=yJ0F}K>(ssQiabUB05CLnEfFS_DSSGj7FW4 z20P!eUD;+zF9)aBN}J$`z}Jcgk4~Ny{1J$g$agB*W@DeSwU1a$?fMH=Tzf^Yt|Tqq zTt`Uti;j^eRP3+$znK{8#;VGvxMsX;XtKu(E5bOE9PgHT<0l$57}$tTC`x36Dk-ry za38v$W}VDJ!k#{)79g#9(HOJuJ$-D!^FAV0zmnqEf;1^2_R^%Z+QLUtsHvy}Vycz0 z%xPTWoD<$MLdKx{Z{nqY6U)L^Of`*7YnuO9*g|BZD6Rc{{c!NM%0ZmhIYr8Gkg(Ug znYe-YT`I?pm>0=UPo{=DAJB)%n6jux-wI&yf`xESJqoe#LdKB)wV0F9wzsBQ0Rs)1 zC8wLbS3c?fSpo-M&AE8xuRUO|(l$<9RCZvbg!!KQb3pw;_Kx9?N5G-+kV2!sRZ^Z8 zj|f{>*gx@@ui1Fb6RBXgBWr1Nk__l%H2f@bGtqD{BeKT3-9(%O!jh}D-B}QhBvo7G z{*Yj9yFTN)|ECqGApD$qI{ov{hNwu)Lf#iZP6FgI?|OM>eNBhGrpaz1q+;fig>`&K zgl0ja7)JId@u<1J`;3&Q)84j7HLBVm`PpX2(4O8L0VUuK&9dBok0^`BuY2y0u$MEF zNjhJ+kdKfrL49Jt!fJQLm+gK_QX$USy(8|j-WwtLOgXKt#4z$=WZBo=Co0X|Vgasw z#J7&3s7~gvVIrBKju2K$kVt5GF;O=*_K=F|x={D({_(;1^tj_=rWD0J{S@`l$a@lW zB>I2$dcY)8(zo=g#s2j1n>Qi_8DbP7Kz1uX2k?PBxV zKFq0d5WjKdvdg|pwf*oA>jH|nyDK8eSrth0yJjhYd&u2lYCS%YyW0JP^KY+7WF{pi z9V1oM=yfpT`m$S*rzj)FNrITI7?~IJxBIN>ANQ!=;cQc+Q;zKO{w61RN}l%tAFG_K z#`2?h`s|Y{B=YZuzZd3ZFHRIiEMQ2F)gtGc5}z^X^#}X*`)cjM?12DIh}4C=%T}9_OF$(fFDJi>8o+bMLa_Ff!ca3l!G&$kZC;I2GX16IW-Qq?HoK9<^dzM zd-c=K13ir&lk~r3hU3xFD>%hH?3Xn5utxoXKgrj7BYV%{em!GM%y$TXJG}h~CIsbt z&nmI<_R~rjwU2UA#0$1VcMb=0G3?=$#|e?Z#JTxs%%P>PT#=+ zyAb?2-qrz#hhbWD5sMU@cqO~YyPL1!1lRP@IYW{H4K zJC4=HEPqC1>p zcTY^UzQ_BSC**9t=20oWRXx%{`bQ#b-{1JyyPHKvUkTq?Ea~Z{Q$s3sS=T$jb{e}O z)gu!<`mte5!iR^P!CSs@lgUNy(#FvsX-!nBHL0^J?b4RrmH9@lDA#1Ke5=S5*95gA zD$VGZ9A#Raa$uUeY~yPku`YPY^($e6s=`ujbJ!gIXoK)aAMMLXP)vJ|&S|f`MB?4C zS3#1IccTE&(O>9XsDgU}6P;yDhb`D}cAVTm()exL ze4D((p<~bU^VLt<(HoFL>d_|lg#Sp;3p9~OdB zthV}^d)jF_)%j=piS#{&+O}YalOQ;#$h=QP3 zr=R(Su0^*lJ{x73H)E))&U8L|>N}kZspb2+!)x1g{mFK3t6KNG z-T0e!m0$+UuYN19ISG=54Ru%+?G#B53RK+w1A<`QS96@%q@kNEqyy6Q48QaDE_%W} zwRW^S1GKi1#zM2Nu^wj90ZWOPl{WDOG;pzk=zxPN?(Gl#cQtQ4KoOG%VyF8Y`8uyx z`NaE|uF=m$WZ=7|eek~DY@GoZ?kwbd$!XqAyZ!fu^PI`u-{wZ4JxU^;P1ZRI zsSucD9VGp65qs79v4X%)$(7pM5}3(U^$!0}-#ya#vzE^*t2G2Q^e5a3);sy-o(qq% z#5{*g2JNpmir+!JytaCrxy8&WVJy1Zt>H0XzLyFfUy}S0!I8Rhm6)D06Zatm@mzzW z0-zKipPBu@tAt0XX^b|->QBaj-QLsF*!K$af|Z*F>hBb0K*ZuyX{CC#!S!EY$vqmY9)v`0C7V-o!_`LF-+9N7 zY|o3fxKwq`8^}?HyiDta^~L8!^dCQ-?aTKm$$mM-EcC}YsU)WY-& z`MJf+4~a0$j-1;hSwNU}{SO19>D(~Bn4kN<-;TL;ZP|xKaKQh|NvIOr{psW{LV^6P zQ~q?%J}l*5Yi@SsT>nOq=6Wwb>-KKT0hj%fu0O6OK;e$xk^s|J4BGr+ZFWczerQO6IncVf;L^XXm>C(EzS2BS$5tTp*_>+b+wp2nwY-n5V?5L zz5<+75qZAXY44sBQL7v%hE7opXHl$$d9!BhEUymvbC#-{e3(H| zhrpLRC@upVv+4Z}U*vp%i{r&FS#2CR^(;~++sLH?Z!FK%4D?hN1PR%$|D*%1xa9^T zkVC->UAiP~TI#0$V>JP~qdUge%rOwMCC{JRn{hz+Yqgz+XAnpGH471xcEDxGd2Jdn z<_E~bNH7XyF~LgM0Dv{?ieP!ej{%(H3dvWh(j;fh=Wx!$udF6>gB#ihIgfc2$h}`}b=)~Mddk2L zg}B^9yE~Sh-F&Dl9$o3Kug}c6d{Z!cp&>E`owt|A3RDxZFrzJP`iIKO~k57T*dkBa*tmxTR z+^WnM$i+?K_YrG}bai!}9KDbO(s&r;OR4OJIxVbu!O111qk1)9h8Kp@hFAd#0?Sr$ zcJJa;cb0$Lyk5P3f&+@Ny*$7Tze|*Boa9_S~K1q@iY0&8lL6|#sRuH4FJ3z%S#SmTw{CmmfLNxl60~jatZwuyiz&# z=F_CMMR=f(2y?+RAWBapo%JV22XHSPm{aDL`o^HUovPwsC>TIZ2&*kcuWkf`7IvMqD3|nj7 ziD6PBmh)08qWIa2L&2;Rbcu7Gb>r-dB;%v@Nvi*1)i~??-Ooc=4}3!B*v_QB+ZReN z>4B)frhUMVig?SzxQB_44qfNX*&HeA)O>I2@@t|Qy;i%s%MHT1=iWFS-9wyC*Yt|nss5wK)6qkF1NP!6{j>$^QyjzZHY^`L^ z;P?)KI6K~@C?xFxF4(`4@>AA_^VnKOIfLC&~Not(V(eS9&E6!8Uw2 zcYXJ7==XZ1ojsUZWp2(%2N4cM43)KQ&7~R#VaQr)ZiPsXRk?&vbD3=`|46%kHM;>v zH{)r=_tYySDJ-kKA+Dq(u$1{!`%OuS1>Zr2vtUiYk8K_IO*w-H7MWWohGh*2@3Ae<8kyNA8$+FEsp z2KDA{fov4l;GD_mV>XPKxIW}KT#qR zH~i%fG}Nx#@)k&WQPwBGZOObgFsXK3ykg*=<7)cijpvW_%L237F4qjElPp(8+oZLI zZzWtpv&j*DHmkQZ>q}3AFrN8c*EgM)>lsPap>{t|=c#RC_wwTswi5enmL&#jZvoCF zENA6F`NMqy?Xv0~0TrX6GipPRbi|6gv%}>XUsKte#n1CbRl6aJIVUhHZYh|a&%8pG zacEx;+*P5G#<@oa6>QezHfn8@za>Y9+g{p^J~3X+euRP zZa|v61${$Mc3m zAxMU(eQ!_0smP6WpCD z%K%+<_(ieSqQb2Iq=Ey8IO96aDl3<*04_&#(UJ~$iX3L0tHV(}&b@m{2pRnbW_Cmf z@wrG6r{}JT_D6_D;a;QkX`o3%8}~^;wmk4kJApYBze?t`%e=MGo@7lHv{*OGc)NqJXc>~@<9 zj8pN6j%1zXC!DuEI%9eF&U<(d!@KGvsnL~Y@L*g_2+S@I!Bl!7-_svRZe@+M8v*oafbVnp?zMQ;T4%@fHv&u~W3=JpT(hUXgJZtYi<2j`LoB}Xv`&ix-8d?6BRZ;6ThLrZvKfk*#niKr z)Ay)&2YW6KcSjV8L*alK4EP7sUhOs)R8!;LwE4zjgy6s|eMO@dT))YYf7mL0imEw1 zyEo$u-Pc$<__)6K$%2?8oI>Zamuo&%_RNkqxe>?o8J_oWR8*ll3A>HGRU9ie{iSvZ z(z=%aIn$`$6Dv?Zr=YFR1S zHvQ{jV4}AjZ=oAQ_1iVe%Wg|7Qw}z6%e@F$n?jF12#fnMta^IedMn9v~% zdz?k&Xw1bARP=`+ZvAiR-VFZsEcw{_4Xv(sAj!hXll-j@M3VMbROm5D!%5^lB&3SS$7_2uO^9y^A-Re8Yf^LRKev;=J>zVKHIWOZq?PVSmSa=85ShQ<4oU119S zT3`~H8UtF627aLFEK1tZzGVdq-+l}7mY=Vj4oP*-$Nt3e=v9Jf^#2F~&6bcR8zZyZ zL{NeF-q9X|be2B=j{LpG;O;OwPd&>&$*>fPur=X^$sNt-6sq{!ya>J#0^u)EcwN~@ z7G!Z{8dk(Q%FrUlhD710#k7w)W1Fcg0DU-gr=WCWXn0`9>Ty{D7kv@=YT0q&gN6iT z1y%^89F~GX&_7?;#hQkR0o%_iLH=PoHwdzFqZ-O=L#4%FkrI~(&+JDMK0#p(dhVLWouy1@h?K4tS6dwT|Uce`i%Zc=2hES z$ksZbt&`$!-QlzTmDlm;f;zMJuD2`-PxS*MG^9FE<%?sX*tUJW`Vy!DXLmk6zZpwEQeU zUl74@b;{8B*>qWk5tT46i@$|97Hav8MMQ)2Z-y3_%aA*~!vP*8?*dANFK;32%XwU@De^5gvAZ^?DU=nr>iVmvapo34N)~Q_?z_2jU8Ul!s4zg$ z8FsPlJ)9n}_U$bGx!(l*a6jbdlSYpDC-uK15r5)}@?~utk(gy{Xmq3GUS5_uk9_F6 zG57Q}C)vTawccKaJymWP9NWnW=$}?#=Fji0O&ef~mju>ka-?^S%5R*jnqKeZ{Y5GY z&^loqvGDUqWeLV502SQJI*4ai!1Pw@U=(swbMI8r>fBf8OTMf)bTaGc=QW}b{%H56 z%U+p?Ta&>YdtFHIC$xpg`&QGCK5 z=#zl^DHw1Udqc@=m?Q4)zfY^{LFzmZ-8Wd(O9Ab|Tkjb}=qTkzkkvO3sYTiFL72qH3m7yOD`(trnb{jIUf;VltDMi0+8ZwL0IJ=o01Y8qM9K_2 zgx-Xde^PLVk{#5%-O{{{IiX!2-Gua=uTRBw@i!aGs^93|&cmQV_6-1dEusqUy!IS8 z!eu@oe@=x8FB?J}#AAfLt&vf5MpE4i>bSOyjqO6B6I-9EfBH!T_7^*P64^_G3Xt26 z54nz6|JK%9B!n$oZoG%RYV*sZn3KZHnb%Kdt@!F4)=nbH@Z1S>hjBK zA_m3b$PyOx;2^cvJfyebUie{mO3&HY%IEcOXBYyTE4eNh^D)X!!0OKdp#5*jL{Gl# z1OWKZGD-LPdsjfvSWmf?h~rDWx-+WvTit9T&PIT~E$mtUiRFhA#tWDysmxC3+cf{U zi4lQ&6C>>c;l5b#$Zu?u^k;?PjER8BS0eoV5V*Q3hr2p=n|{?x`iZS=FY7f96ZrmhfJ#S?>Y13x&*0KJ0823~rh4n##p(v5`>A+h zy$)$H6Sr2z**y&+UEm>eoJ9JbPL1854Vt_7z^^yWgcNKEnMK$C1 z;Yz^fswQ{4au*w>=5xh7ObLeVVwnriA9gz?OvhRE+onJY@&`!M z(07v8Jf}X`iuNUbFrjkXL~T&Hi{kZeqvwO8A_tZe)D)*g8r-42u#tYpp6jiOD0Kf_hVI5JsU!Q_w5ceZR;peF||z*lLsLu9hJwDVjRDd6sZF)M70 zo5lgEHDEL45Wj9-kZM5D?V&V8p{`$(Y z-x8mIT^4Xbc$fgGpsPNg&}3PJGLoLdYxHSM{tF!VH!uF73z_p<^IIo&~sio9)PfWe(ntp@#J({qoknx2rZNb9k-fR866^k+9xC37DY~nSm`0)^Rl)6L~ z1arStJ&hKh(5z29o;Xz-99sv&W(}CuAvGgf++pgHlOzX}fQ@3?-bxdzcR#)dr=5Fu zck!-&2WDttz$lnrTRv!1Mu*OJ3JcyQqJQ1D+}CeWu%#-COTX;Z$2;gS{G451=AyOf ze2I_|X&~G?2E4}twkraFWY1(5*JhV~Mj3~G$nxZG6{>YSOL~o6WgS{&NC!~@BvPu7 z8aoH~ut9m3qHyP6-%IeH-^*jcTYydDVJ^_=pA7&qQ%K<)Vz0b~TwKCQts}zNq&>Sf zKi!mZ0x6HumvDYIhw@zgY-3rmv?r#${G&JYSB#UxWM@^M;y0|Vz`z8r%Y3$tZGnDJ zKR6y+7mDe!w~CHRh9{)JrEEZamY3dNmVAzB*>0s|neRTP` zGm1PF+mcSLmle%@QGB=M)7q+UEsS_p5%ig zhL1Ax>(|4F2{=7ODv#WS9K~sv0GUYpREW>CM%F)8!?ypAQ|dptJjRjd~hsl zHcYa3YpbF?()AL?K2Lc>K7%$grQ?a1Tu)KOdV2=sMaKup8(?tCZN(=`B>kbrW9yoh zdbN-WIEjKl{%Xt?UN_mctXizEQh7K#_Vp@P?cN3 zZj2qtaP)mF{p3Ft(B%aXw}+XfgA(-p%ty&4s~0@EX2!CU(6a|zq0us4(Xv;VDtn6` z+h3!TCzd&)*~pJlyv!VUwJYDcRE94jjHm=1S%P7uAA{)ldU?@?9%J7E@Q_B(_F$&nf=s&N_L0WCK zq>eD^Sj4i0B%+mSeaiixsUe3y($9qb!s2L8bJu-Nf0W))m#2$jNQ(h^PW59@n2Jnn zomJ#VIEYHH0m*AKsyzBlMH2gWW&SHc%tWo^g9YB(Y?woDh6X^SuZw$LxlJB8+EEgE z;|}+^qTF96Ecj6RU6ptVpi+rx7SD0HF)!bzp4T6)NWfKB-qk0>gpYyoc!{qX-^opVN8?Wc`qJ;_Io33fFPL3kFPzmQs|GunsGZk;f2hec0rtO#GY zhylEk?1d+f(>V1()^S6$ZX1Omkgi3;lYY6Jahabu2iWFIZfI-IK`MFmjq2Kz{}djl zMZGJP3l|NOFzx8hI5$O0F%MkIrLTvs@s0g!Je$3+WT+TA`y07z>-;pMU;ij zE(?W5FlJ-BxL<(B{0K`02u00c7*1L{u|qw|>0K9v6vGEBUt~9>PN5Sq7vFb7j~PG1 zpVgYDfD$C=19R6dmRidYo50^8wDv5h<%B?52bRfHpdj!PKM8X-R`yR12Cq=i-93nq z?5*ygmzTmUiYsPou@lJE=;BE^&b`FzWlR$;bp5E%tNoVS!k-y#xpp**@ggQg{|mbQhMVLaxy z05`Tq>w^2KF_vRzn9ILY+DFS4x3&!UGfwZl$+YKQQnz#FxSG@AG<4F3vy&j3!`!Ff z0r^Z8NesJX8~2Km^kK)NMI%jSeA*|ukqqwzd4F_IuYUSI63bK#v}Skdham$ zm}ME;vGhZuh`R(9=u|j6z7A5fuR03!kUH-#&7rTG_ z`GB}1RTaGV&Nq?1zaDn9>|%2ri#Tier4|gxWKr(gJ)XWiIeOYoRq$n3{;zr(P(}d% zOT=_rdSLtQ3Z4gqqzv(FoN%L;1~KDaHS;Hk2Y8M6V_^lB7nO*c<<5^bI`$*#m&KDu z<9%w941f!~IeP3G;~N2=qiV^>dh`n~6Qz6qW~)EPilYbD1ziD(Sb zwpYX2!1j(hiUw?O%Xner77-DgZ)ab_4t z%9pPzD8Ica=Xxvw9=n_$_h`V(yeNyAT05VL%GQ2-_a$HKU)4vR{}nUe)n`V$4Egdc z=us+j7azOIqt8y>@6*O9NZN#QQ15%tJMiu-O}bCK1S_wQdc~2Oye4CX1-k8p$L|uL zQaN2-@^8#0DARiN?tizGt>2$|5t*hj>~CxNUd&~k7f?IYKvihBB<)aIQUHyA^V~US zV&U-}cV6P0AyYFeE^SwuJ#2Cb(>Jz=g_~p6`h;&{(3zALhD^%GOE9#@*TV9<_L;KP zKLnZpU(%9FO45C%jc&;mc?4VHb01HQ=B78fWKXTQ5>vMUl5RP*T_X*!Swe>@|8{WW5t7K-xdQ5$?E z$L9%L(!0O>g8Xc+%H4cXT_L|Z;`#b+Thp;Td`8+K&#)?&RWM`na`fEq(8RAVRKbA1MBiO|q<;xe)y3JLc>`zix}q3AW5& zHW((z)^QNKb>BiPp_5NILN+Wq)qgQR&Slbj>FcoR^;CD6!z>j060^0_pFq;%=n-Nc z(|>Sdp6nf63q7js_dE^!{;0daz=H5m7FlmqL{wv~t-unLm DR>o+1 diff --git a/cpld/db/RAM2E.map.hdb b/cpld/db/RAM2E.map.hdb index b532496c9624d6b7cc02702f84955fdbcdfc28e4..d7aa86f989b0a7f63a04840ee0fdbee18394bc56 100755 GIT binary patch literal 17748 zcmZ5{Wmp}-4k%LGq4>dzySsaV;_mM5c5n{vPH}g4EAFnv-KDt0W@TVvU?vuEwX`uIW?^L^X5wIEW?^Ju zVrC^)Hg$5dG&UtxFf_I%W+qntNvv*aL@aJ%>GIVw{Fi_sp#G1li2sjz zfS>!q{U#Jo|48*G4jZW=-u?zVOloMxGWvsXCNW)_g(a0WF<6u!Q5GV=1cDn34MS`> zSy%m9W8?m%<3q=F@!nujS6z`$!BJf^R#kyPH|}*t^&i-cU-oJFWlKjzdwEYfT>8I7 z`}T^{sw3aCs+P_2)9T9_^Whi*-s}NIQ4i>tyqNB#%l9}BhyfZHC_s!c3LrM6e{SD%l?*|PxJ=oLFnD<;U{Lpt5A5DZG!#^>Hj+_h5pj`W}Az<-RV80 z%9=AO#vY*0+Fop%C`@jXhS*}=jC)K2gCF@&cA>qNxOnRSvsqb`JtRRQ8O|SiqW&$8 zD|0z;5PFggl47f}HNBKo2QVvvh+iTq#?xfZOC8N@(R4uXz1La!8TAo>`Tk9?LIR@y zD%W$-W9Ty=+{u%!Ko|{(uxj5}n>NP<((-T4EDz#Qa4Ti-{4G}_NgOLlh@a3hicrK~ zd1gX~a@zN%j47i_LDto<#*E7&ABy;ba-b4Tsnl}EzckKg46VMJB(0aoK+AfgqjAH6 zqx0K2hx}LZ2H-Dxe`0Jn>EsM~YTo!t(!9McXyA2DVzU~rV>PWr*>6s#u4+Pp)y-iv z_0C7Pm`!Yf$oii|Oq6N5 z^x8fyA$8ABt($SLLPYG1V`GyQhhkYHq-wh6Mg36wB)MuRuGC-E1EGy2a}Z{H1S;OB z{I8dk?lvVHtrZp5p?Bajm-csDRf^m*cFK;m@}*JXw6w*ZqxQU~@tpB)8Ez{smNVzR zY+2_behI#SjGRi2v6r#A;t4f-&2$@W0o$9X4|0}8>} zm60{oy_p9^KO^1$O>tz|djDs8UiwN>x{pBfqPd4n-H!Wp-Fh(J(9CUJZ@k_;p2(>b zFg?|YJc|ojnZdY009PwYb~@B&D^YQPtmC zr3<8gZ8fVTt@4#3c}f-ARDR{ir_5;YwqB~1nZ2v~3!>vKlFf3(NKZPVzK^L8;d;X9+lV)16j3F9-SHe=-UKWv}y9Qz@V5ZLIx#{B;h|6FSSEL+v| z34rNe4I%eFjUic^ndoO7dGDm!th)qwU~k4fown{}dBE);Y>m?17v};UeZqh5=&chg zvE8mu;Pm$zjP2>XiGl_7#>O^dNb<3TBNF_D1(BnAqFAjSf&ECUgKiAfenvyMlJJ_s zxVFZbV69zbwd#=w|DNZkm5cgUxv;@!I0y9n7p#rMPYG+~RYR)DH}yUbQBE52D3!=GlP zo)f@z3&wFY2_$kL^-i?-R|ER%n>2;Xm)g8 z1j@sza)jNwr7t6-KfiFLhc2WZC3+o>CuPKN{Ki`eZRJ1JvC!Al)Js+3&+$PYhq6_x z=#qgIXUTUTY99j9EMuk&>zG6+zf}R8dA^THyPv7`Pam+5Sm`TO5L8H0mOrf^3O2Rx zY-yUC0dPqowvq;}C!qaSCCmNX$zKoNLGN3~Ju6x6*q`h{72k-A7vHz}E`ZE_(yF_9ZR|hh#h#N@3$;2inI_=B>Ijk;l+3yN zpcXw+wxyb(x1O~szqy{p42na)t^1;FQ>T{9oXMsbyCb{h?lQfed-LF^%yYK~R-`rE zcd|m?=lfUCsIRY24e|K=by9J$w&$B|vWA~tj`X|ja!-dd_hY^L(Zk=j&nEBXrH+T< zYGrMXyTApEIK^AN;NWQa*l!~yGz8cqVpi{}k6^N*bGAGi-hTeyPrVea!n zMkABG;{3*GT}X+#Db39*4=N2=1qjyRR+rtbO}b6O_wfZty`x+!K<-;qI%sF(* zbme`d`rUL(GFi#;1Fv=BIZ}@||4|64ZmFiOkw+Wy49em;01Fy>?0e;ko6@cVoeY1Q z2GJUJI55s_#|L9As?0oTg8y&VRp(^kgb(hw%-o>}YrhURuXe##x542H!jrQYDhbA+N_10}S${_XBjQr4{c_!wVS zuaSL5%$GHz)6XiXlCe^6B$1Zc%Ci~MK_@MiWqcbma#sE&%LhcvAO~Qbz}Hu#_EZ=8 zz5%w)SJDRXXfUoP+={AVw554O1`_jpWJWo;Qwk3XZxV~C+cgN6756E#9_izaq}hel z;zxRYr4ggs51jSi*+$~%#VJTbZn$@SE}T!M<6pc-{Fry&TFFCv?vAj`f{WtA5y@>` zGvMhVS{`a(8qGGNTn~I=s72LZ;kNz`nQ?==VxFGXqW!xuMr`$dEI;A z49uRlpEvig87&jTbCRugBBCS>yIdX}%Yn@r^@PS<$$1j3T}U82P^qWpJe5>9BFq@~ zJ~oFE`xyxe)%sJ3G`96K@}})F?vWru(EB2WRJEt9{|@2=7EOL3@`6gXPWFX_2+H!O6Ln!_~nWWj)^NirvLmzBv@e0`iR@V}Hhp4}IoDU>RL(@*R zmkP_2;T-UQ>+?PH$g=*PvX|S7*k|fb7kK9p^R2<(LwH|hHa~{c$0$-$8tg*T=J&r- z@1}nze0VmNnCpI|dXKzMZ4m^vM1Q;j)yyo3USn7`i}ua4{l$oBH>A1}{`Yv<$J#nu z+%QAj$=lSs;kL$4@d@@$5#O(Ev|Qpy(|?bN@ujvfCKJ7abb(*O5q635(SMf*IqB&G z`lqD4WK2m#rK>WE6;hG}5z^OGK99zYKM&s;Mzje1^3(3u`;~X)zSKoF{QTYnI6fXb zOgYXt6d$9O9PU9OIhI^36yxwM`F52;k0|2JOakoNZO*8oQ|p_GRYrN=^s{w#dHsld zv{dw!e8fw9-}My@rTy1K1ORsat;YKHTUS^o`=2(~s22>OSSv9iUvQzXwyw4>|k+73GEny``w=A5U5`zd0I)sJk;%Nduh zPJ2s!*{;QO4!aGd@bGOCQz)9uGeq(51N=sp_oWhZeC7@b|f$h|*mp z_?U6J3*x#>Hj3E`JP&8<56dIY5Y94@5} zmmvc5e=7QJ9i}o3*Z$>K2bhwX@>pE1N^?8}i1%-Zj`L#OyScl#BDtcVptv$Rj#$qH zMrq!A$YU4oS+nlS)D#Gl{RM8L+jd|LQn6T)rT*Hevz%-zGtkKx3hV)qb|~2Ti{bc7 zufiTuX)eU0@nRG{?HW=*Nz&lwBs7{_0j6q)_b#ms!kTWv4QP2dqoV&f(mQ$ROWn`` z4$6-$S;@$nXRdSOZ3Jt1_t<^a_1ZN4m5?MYIT0Md&nrYWH1FAyp#HpfZR(#P;ZRcA zRZd9Jq)qlmf+sTQE_a$g=CQc>>V=-eLBiBjfX><{UgVR`EMi2({`g z4xXT}X19b$Mytsd(Q{!z@Dh6(E?Z-h9J46kr|1=I;YNn1zYC)+n%URp@0PSKe7V$i z!pM!|BuCOv(}Uytq`@LpmP!}e)x5uhbomv?NL|8YMc@xi3$21_WW7#E0bAc-s)?1MR+cjr>RR~ z+Afw`R1`$CedV+zG41^7AH=N*R9gvVw8UiyR2=}S4y%VZG+g~Sk|py_%#}-hu7hI{kZLv~zPRP3TnyjkpIT@BomTxn#f-KgfNCc>_==DU zIrGl_zaW}2vlYwQH8HH(j`1hHIjO@<10Vh(HT@o%KoVJk-fcDMQ%0Qi>u1YdOYIPg+1!zXbf}=Cy93+P4$y*@f>tHqb=W#~=msh?23iB%xU#S5xxH*sOlc3W(DQ&^11&Nf*_!5{z0}z~in$q& zAfdlgF)4>k$4>4nL#$tTtYCbDI+nq`gm3lYSF>8mG=k^f%kTisUG(S{MhM?ztRFn< zn_{4~%wq>{3<5j*{Qe6$m)5Ruw>53xNO&aKW`@SW>JP)7w88ktt=4tTM!WIyPMD!H0oL(8@&eXo=xlLr%jnHTIK|;!D zv9q12pgQU5{g*)uZMDh1u*j;nq&&oOhg#DL^jlKF|RG$@lJyTkGz6cyPw zugrT~KWMc8o>O6$A3-!J&*8wDerhxqsAqWW{ zjZ$@tj8;+W5zYLqq8fFn63{Or_YqM3hc!x14y)XNu_`vOzS7m+X~JQAEY zTacIarby(M{N`3JT4KOMuzfQZ5)C^G;=d`Z66u5N7!|mJ!7d2ADlT?MVP|9=NE4es zQ$wqNri3$LoD~D{+&W}x9rlQKAg+F+7y4L=Q9_zaVKnX;B(_*@J0SNaB7f+JsqMLlCwx{A54jQ^nA7-iqbZ5WO z6FRfhJYRhDFIzi#MD?kaJRdZsyoiSLM>`Bzb%8ixq7zlT8oc2W=1x5KI(HEW8vvTZ zWP?BQA`J-`q*$}O{RiNsS~5K97~P0WoRY*2_aHPd&{=b^uMP@ zNf&6Z{f(ITY$9ezFeo0)<=h%ks3e&tUft>BNZ_l7IHEf+g1z~0S%tef{(hM>#gQTO zes63T+|wY^|E3Wy(o(xu1>N9H*U)jMt)UD`8D0k5%umg zB_!^G@$*1OW@^XQSy`W)7(d^JgV3mdZB;2d@OBaYL$0c|=G?9cFuR^O%V=_=L`SHC z%T9U-=wE1~l7_Rw+NQu}&v#2lHFX*}?w;?syv&aCaio0;gndXIQL0tW%b=5)sg>GL zlS>_BNTp$>$Nfzv%_1F|8$f~^n1V67-8t=CrsBw9?Yec=W~G5T=UR`{I&uBT4r*?* zL0l`Ia`?sz(po-(-mI_33?(TIjryhLZk1pro&lNFLU{7#sDm7Ez|bRb`fOb7{SgO! zw5@iP+`-sCw|Wp};mC-oG~8IDz5a^M5K8QKU`w|Ie@jPe^DrZOn4Z3-rqslztWa~5 zUP-`cZOAkIoh|h^T;2W{^jCQD;`9S4`nsmBp0mzP#kiDr`XSdUy+fv=@S)K@` z%9Q(P$-jxVEqKFyKV?5#UbfP{`2%s3Cln^b+>8Gh-R4`wAxhv|wIM<3XI1k`Dk67? z{$nncG#cjvs)6l%rnbNE30%;7)DQlZ?CnU^F%_eCm?Z$m5f^Pz#L`%H$oRU)u;Wc| zspy(1R=h!(w%n!P%rybc-7_^IlprZ=NFW!2NS%68H`wvmm~ z-H2W;CNcK)sr)R7x960|y_;yU?#!I%jAlF#U_c=}Qzzyvh34@>f z;>_XUDOe^tp#A34rFz@Kb!Q`@yW12Mwq;R4B!)fe%HJb=3cC(+w$EkQ5eugRD@V4&UqF*hEqOvj89g)D2pX% z3uE%?P9D1+p^DIKL>#MOo1|5oi?u-xHL|fw^_Qa1|17H(QC}6Glmz?0&R{ zyP>66?6`_yWOlQeKEW;-@r-!le&$U3qYH_emK{Z4y$KC#GGdB%kfXQWtO2!zo0}P^ zS%D`Uqp&LU9dnnoNs*U>a&cLd#+;CbfNN*GP*h(+YGrkk(kRMoPmEJTg*jOf(*Xi4 z`j^7cQuJ)uuyr{m)FDNR#FNVX@F=g{siQ(?hC^gn!m6pZw93fYyLm^4*8|`&GsX$> zfII0{;H3w)k=C$lCDg-Rg42yJZrULC1a`b1&Ye2K%W&KlHVk3(@+QnsQ)$lI)leCM zWoNEx2f+bik4OeH{>Zo;$Enu9w9p$Izyl z*0R#k%#p} zKMjWU1g4R_^M>gMKXrs*lL85hRC@N(x!U8J|0>S?5(?#Lg_BLtBj?B8UJm+%jO$;H z5eV_%-jydUu3FhLC;c!VFemxo+HF9a4?QCOpxVs|_+%soEx*0)q(N%w!2oq%>_f6Y zp#W!I(8mKn*(BV}i}t7&+*Qv%A9%yy+kTWr57!8aQ$W3t{%x)JW6=-Aq`FvXKfpc zyVX4)X4yCCv#zpy3;(U7S0-nFLYy0rYRfap=exS#i?DhN+%J-oO{Xo^d70ZHJ-k?U zT-KBy);R4^pE zMEW1)p3E4?LnLegm$I7izvtqk;~lV~-aNysvripNJ@e4Uq;g(eJ6&3vtRY~X==rC_ z;b(DhIzh4-XGPL7n@4!0yCA4g>omBV$10r6CE~M#3^Sn{GNlCdQ;HLuHR-sTn7L)y zIQRHcnlhPF;x*a}`ZM+$92tTix?@|~-=&`CvYmMQiega{%hZ?1=apJe+mjew<6O#m z3f1Lge9Lc^0*=@fRS|-8p@4j$CFtOq~CIM|qM>({p}Aw);sAzH z_ccdpP~GZ6Ly~CD$~${ue}{8~Cgfo4P-|;EFnEHW8p2@E=KZ3Oo1a3mZ_bGKunbzI z-+lt5phLF62Z4e(lB{zJdo%#FoAvK|M(^wcenLK6$jcf#6yWc#Xa*hyF(wxh0QwPD zU99d{kq@ILL=2?|SfO>XHWX&gmJw&x7!11lScrFGJUmmgyk4l5-E>Hn*KF)xAc%gB zI@?YhFV>hIp^VJftKMFy^II;e2y7HSu1(MN+zbDG2Hco{IeY(ZQ{V?l(kDU;TI05I zSPMxv`t@MgC*E~Y*z=$590#3Hw#QmVNgtTq*zHz`6IxXLa1VSVu_pE1C!V=RTofaD zvEA=-dr-JUwzeW~Y6IG+JrI(dF|UU6jssUMKLYbhakdaS8fPa|-`xG|mr`rHN6hDL9v^nXs zqwYtnRBVcYay3^I{Oni#cA>3R6t8XhXNJAcg?eE49W__$&ZDK=9`wzJl%W3;>VTlA z&0#x6{1E^}iNXiB&2DB|;!RP8yI1hfY(%{_+E#d)*YD6Y{1{mfAS>faYx_~;#SGw0 zx)HpGRfx8jO}4)Qy&915;hi+f^8`3l&>i~VQ!U|+LAnIL+i_>t?_iKz!ncD6G*01E z@mAlyg|`C>r29wevfVQ4F1!tGk=pVnXeREq5)n{vL!py0NS4Oxl49j#=9B5eVMeWP z;cY-&W4vC}+3uKn2_mhQcHCYRfV=`*ke4R$;w_Ox-v{CeBZdYjvk(>55kh=3SvuDd?Kn@kofP2)T0xI-0I|2WDa$FWNnA^SXGBdr>r3iOvf|Y zXtlMLCNkIf{CeL!>8;n)t95yomR9*%s%-Bw+yoGnc0Sa7{g)A~>bA#sDmZt0V(qos z?0m)#xig2=h=Qs;j9}E62%8PqjBBp#XqIgH+nWqRE@EK9L2zIt7+zgfuhv^!=c}<5_^!>=9Jli%%+zMF%+XrC zrrl+#2!E*{)Hlb0s~9V_S3L8%!f zb9bM}dOJvRFGcGut6mu9~5)s$%@D%_=C1(QfO)B5-_ZSmTxCu%jT@UM(P2 z!USLEBF%zZ`#3>YjbqkJ^vM%yoJ_CI3JvMYI}Ut^ugDSEPyO3ec|qrVl6<2WR5|sP z;Ek`Z|A_RBnTu>sN`785PsMGCeS{i((eav7ved84N?_i;O|Ey+-%9#BySQcJteMsA zl=G|Fi?Z+Nv?qMTqE5$5|P`Oty8rR@l8$Z1WtiGESRKIi<%4JT1lhP zM*^1t=4&DX%bmxxv<+E3vKxOTRit1XdyHBv=@L2D2RV|eA|2D)5u#jle?nV_n{KMH zs3)H&RYi3Tz34PwCpR?L3%HGvZ>iil+NgJ1Xr9NmcE47+(a+zS$5{UhZ|th<_PWrd zNUT{kl7Am1+D%Phi$Nai*<*QdDX26|eR53>m1i3YB1Ev#+tykB7ygy=5z#adIWzN# zHhL`b8sBZ|X`gt?DCN=b^5S^HYo3}WG40k?Q#+I~^|?Yk)EDC$$M zyNuK|UAK$defFBUdSpWwFcP^N#N=Naj=j!k@lkHF)8S8Y&o$N5 zY8Xs?Oz$s#nwr|CMbzt-U33I7D9=hNlQc{$nHslO+R)tnPLsW#j~|ic3meq2(;uc# z+qz3RxmtsIE<7aj^HwZR?-QDL`+mvKjeB+Vp>+bt zkCs}tRe|Y4%CL8}81aFgnjD=RRP|_ezk2TXb90&|QF918_4;vS@L_PzqPYp_gZV+Z zVq(QiM&|8hlHA8lJN3Tbg*x)pe#RSRo z_C-lkaKM(T+Hv7Gi*cJe=IV&k&Cr|pmPL~My1;o$>($dmyiLzsw`JSL$M5mI=guOg z*f>G@$LqE668X>D4qWlqq8G;6RZ9An7Qg(5+w0d;`Qal_<%P_+`Vu=(`C~U5>YZ}& zipToh(o<6RLGHO^=tJOn=it-O*3YVk9K*)X@qKi)$35`o;sMG2bKzgfLnhv{yz8sa z{p;!$73VUg+b3+xv^W3Wqt4kAe5#=0`}v!|=XLVyQcj6?+cdDl`&sPW+r348RiJvv zbU9Ui@=5OgcJZqo<4xnI)!AG6sk%?LShUXv=i;q$xxVki^-0cH%j5L^*ADqXp62sC z`=jpcXud~Lpzb}s(eL~r=kh@Lf=J+1*7Y4D`BUZWs=_b-0HY~3R&bFKXkG=EqdSbP z-r~&C=}-AhU?MV2L9`N2_#z{vzVuxqoC}?wo{T=vjm_dSvw#3a6!7o? zutjV{Ikcz{vhFMDT6m7ZZ94t~xGi_-{lY77$c=91(^ZIpCsgcR~tj&Z}au%DP^fVmh0*y3BL?^~$* zH`7aYpf`>?H*yBLX~sNI%rH#OZX&K${+6=`$q6R)cj2{8A6aze`Z7y;<600+&FVp| zes!nr|1b-#0S5a=Uzp%$eEml7Ve;sJcGG7;$FSZeJ7Rt z`f8)+UUkgf$S5E{SheL|WzOBmE|`S4hyhf=HP!(x-g9+-7re7uXgf8w_%~Baded59 zA6lpfaby>CK{noVuXYIW3QBr&S{xl%_@S(x|3-O-5V)HFT%hD$Bp1BHHSRe#<^;Lv z#JC|?z#Leh1T?$HJV?&`8kx0&zDNUHaLmL^&e}mC-CD57>z7k52Ira?erY@MQc`eH zEp&ydS9)!3JMQpqa&4*BHK}Zh|0jG>dgp>)W@3W{5+j-^n#|3&K32M|DGa?`)82@* zbHt&IVf-u$@n%(gXsWdOJ`HNtw`vnHC3!E}pT}H=dZJ)z%gW;EK4%M0ve8X28|S zmdAPAB&m4Icc)O}GKNes*eqUeX|epM+ThlGW~(v%sbl3{Z`tek9(^kq!!Y7ue*QW? z`t`a<=NbHIvlh(* zvW|qA)%98dlQx#d>535}9|ILEeWg$cePCb>Q7IyotOrua$l)i%+FkmgvAkT!z$p~J z8>z6>OY&RMzOyAu_|rIF{x)(5+(XUYP_clUN4A28koGavy**bU;mv20gjRM0JO??u zlK4yGI7t+YVviJUS-zOEF^UqIYN0&bE~;=7S(FT#g(Qx?JJ}n{1XxB`RJzI1f32YP zyVn!i&`HuZk99ht+@u#T8vk2WmO$89aD$|DkI-k~Bk#S_ZgX0X{wn%t^(>Pw9fvR5 z{p0wZ<@jZxWr6;JOWXughw>K3YQlx~r`H+|l4c}-7oWxF#_^be`t|;3EARD|W*EY- z)(tV(boB!mO*=zp5hNJx0u*;TkICO_ly@bVC1vu(|_Lb=zmRTh;^AdLbp_5#mEXbKPRs5S?a716=mtRa7W_c%K&VtJKNKRV@eCw z?Hp;q{pn6l(OZWDkbl#}HnJYPI3(w|gfyB92tQjZ+K&uiN<4u{TI9mxuy_*chrtt= z`41ag ze0`W-6n@4KnqAOJXtXmX!X4y<98J5~aQn4$hW_a9!p2VYV8o&(p>*xsMog+(_@rm3 zpWY%nK|$gK3d1VZaAh0-!7O@PUmrdp-?ryXPYKQ(EvE6^>eRH1TuObL#9k%pwm zio8&Tan;emQa-Yd_A7>u;5L{EAC}%yeb%P1(8{_Pg2XmNqR@6GxDhHN?z9H3?BR=4 z!y&Nz`ZkGW2B+J17*Qnip_{Zw&G?Z@sa#Iv zCw=OF$G7SVPO?gltH=6pn8mz{;D%vmgRw?T`}LHeLZTw)Ci@K1mV$8vJ<-*r{)`Q5 zgdf#G>@96OD?~~O1Xua6y2(M+v0m%hM%?P!|#k;7qO}Ml<7jNz7n#J$hs=>x?+1F;Ku0S4dI|ae1EbM%B?>NyG zn8g*n)r}#&3q!AD9v4#DQV`a+lO|%Rnl|AFE4b5(6s3v`=I<(o)^VcKNFq(4iyGyf zC%H?+AUh;H5qjZzt-wZmxYP3x;d(4+O;^LX2ZTTcgrM^#CJP5T#0D`1`xY2YBVe5B z(SL{n{#wvEyP(C)6oM#JlcQeQgBb)>790|lY(;Lj9euJX4IQSbP|*de^FSwYO*-}h z$T@3hH?`fPjr->x4@ihd%oIJy8hWfb32a+QX;1nxg$Jrs{f9MrW3^lP zJ7QG*YVo|PE7)+l>A&fG{j_?KDdpplRc#)mpnNMvYz_9Nvcd>HL}Ty3?Xb#oizyR@ z*JH!~ur}$y!kV|mn36#TvL<$pFdjzxZ`Y)pCdB(s=)Z&jAa(gq3C}=)BhbWyXbenY zr|?mMA{gf3g}CK7ql3@@e0?vtbjSk7D~UE3_40#KPuzHyNc9~;+Zb)I;B(7gBomB} zs9+c;Vu_fd!FV*q3W9IJk|WaoGtbzJXR7!!a1F<~SRfold-Q!h-cgU5f3$o}rw+~ZWPl&Cn;L#UphS=#8Jpv7Eg{HrppcuZD?lS{nyMdKa zM@a$lwA#^>r&`+}x^IP@*!ug}H%3CpB3&~K?jm3QcbSY@S8A;|>F)13ul_82bF*8E%nQlX-_z$I97`b0odroEI<9?ufwjgS zt>&KY^A3*+@{(>?-;c$GZiizDvdDlA=H#=ws$%Zy=v}SoUecK2DQf5&%5c&0h3dx{ ztTcJo(r!8C|7Pj^-j?ULSXox*1zDKZsrg6}2SBsFbI@<7KA{_wrYk7DFq_t(d2vuC zbV@WL1HR=c-l^tPK#Pfmfo6}hEd6cd(BnS8@+dqp%_PQ?jW2s>LpjT~@$;e;R|yTI zz^p#+k7f^fK_mf|1!wr;+IYK?y6d9Pa=HT5MK4#?(B#ErE!C!&MT63AhTkv@(|o{g zA}4U-1KJ%gMx&sf49A+dNg#<2{JG_|nms=@3o6CD^_a34fUZF8wAV?pU+#__b0W5Ex zlQY_R_zzxo6|-pv|0|3^_MZ9?nBcyU;J$(2{F{C<_!nqu9Y9V{iEpS z4(Kh5=tfv@pXfa<_JxE9G8jQq-(7v0<@xnhR{e96@mNvlpZYF*>~qK)II7+E?dD4F z(kEx+*fahe%$pymRzz-fueis^ z1}jcNDyWkq6nS3<%@V|R6b_~BOWA&G84K-_xrO`z{rWor!lbYgGsy>TTu?@gJ#k%G zA`?TWtO5UYiLNmG|8jyg=z|^p1y6g2WSWNi13>lXMomK{;-NxycObru6I##vvr{vX zh%Cyzv2-E*=F=cKa{Ct7{~ky77Iy^M7e3NP?(+l8CdRwS7aWxmLUH}$(z~6m!x0Izp+f|G=kzY5WAAKlovK0y4XpjgdeFu2)-J_}05{IsO z1FAsr?sJgN8OUc56o}*OP0wO02Vjq3)+n2i8+vaMPzT4|bf5&3oHrMeXmUXfZJe1*xW^A#h#;J5p zUj5inL84qIWkR@bm{&v=TI=&`wm7%fivGeS_0%5CE!BJPBESBL{(=t1i~Y^MGJn$j zgKw~63J`7K+FjvRjy;PQJj`(WWjP-ZJUc?!I*7zSAc-Y=8GPDfk8rX?H+(nV$q2d% zz)=#m&X%`*k;6O&UNK>Q1gi1qAV{@|0vBUY7k@{T%-+3q$FOFm;P=u9&`*kM=<`p% zcE@)YaLPvxG>k7@s=z8KW^}-k>igSF3(Xr0kId~*@O9es6-;G!oBGO;1?e)g50c$4 zHb%&8GuS_OQyp5z9+pu-?@LK!jGV(%`t@8BE}UFX!Mw%6yvM!1#dW;LVY$4=Tl_f8 zFWMj=YjrshB-`}A$hG`htP6!Pi5T- zs}fte4ABSD@qsjuzWPJj8OE~C&k}eP@wNOBcSFJ0MCx}Uxpy$-2!V6Hf_PsRGNF9O zz3N;&)k?evpMT7x#GqpOj72_SGw#mo4Ve4?TRGiS~GAr8Tc#6OaHGOM1k7VGJDkdJ6@gN#wzl?0matE@o4DIvWs}wb5Ap zbK?>?kIf+h+v+`>ElkdLAkWKa>-Z>HSstK+jhp|O{D4pd`2vgv_U~+9ez36oi-92i z?WRB1WI7y}hyz2(%IGS6FU@?9@+qbO3LwBN>ndxKtob&+?q-eE^aIoF8f zt_VyEpBm>E%+Q>vB7FFH*ms39u)aOq$VQsmjE(+0jaO2H&OnBD4zzcD;Ub`7A*Z=C|oabMl)M>3NKVf+lO=RN0 zEm&dC)h)QxG?ReD$}5 zg8((}oU-%YXO3~76*#z5ml}a`~dwV8!lKb%pdWEgz-^S;0UC%=Py>qqW^7Rj@WR` z&ly(Qbm3zI5mURm~)nXRt-$pGc;2Q z5LM!%82g%@ao3BOZ|d1raGw`A;{G0o{}y-kO!5gQ)wYoh+I1S((HlV18!#j0OX-@U z-A4kF*guGFei_>plxJ)qfVX-dwID(8i!ADCgK+6IR9-cl$h$S_>8+hgQpPTVT~eB? zd~mGCx(WUmNyWZv_J!U6rrtniz&2}NH{qK_d`|-b!LfUfjbBsB5m4t%&p!I&qtk8xLkaTmzA3(mMJ%(zR!xNFF`i^jOisW)KX74`|)H{a273;*1O zeO-!uou(fz=n?WZ8u`?Kecg(E{SB2cGbn6_=I`lh?=lDAJq+EZ{-(l(}9O?*_ zZ8bW-^5xZ+d`|?ug{7s1g=I%I<=akdjwX(hoXN<#lQwMWlDqF}YY}XZz&)7x0_)`} z{lxdSUrB*}(Lau=&P{7HxGyD0@UwsssOhpE=-jafOS{O!Z58-Mb9#rZ7(daPK5s;S zK@QBx=PO3OivS^Eb@H1V%}13KeJMtX!Y@Dt1@0z)ce`gl0zNKfJyj~wL4H2M*}KQM zxQHy!w`he8UX0-6eTE`vj=q;?(&FD%1poq({=jwa)G9w zAmyB|w&YrZ02|G-hnDo_p#~=2z0}J@Hk8owC=HMQrb_^~NyDw_MmYs4p(PzE;qxsI z3Z2;0iTGI_f2l;~Lo^hNn(}BU1d4^5e$21X;f7`m`EGQ!`4=^>D5l+Cv$Gv#CtO0P zKr+TQ*!zk0kIx_ zBKb8ypn1p1+^O92PdI^~KehwEBbRik5?msO-Og8lR7S-+=d);}lQf7~_|_DY_(H{w zVx5wPc<#At&*AimhXNJSx9BT{&r7w^L_g-H(NFeV@LDZ6=G1qdX7+fSSq0N!)~u1q zmiKMzdR4-Gon+hOiwDvh!*x5mov?Eve|gqVq^7RK-HF|I5ds6N2LtsHX1pt5n@QZODrVqOIhR$@9(-LR;_d3HLzVd1_JU1%)%w71KF{ ze~u*bHlv6vzt%!K$nV(=q)iv}JNO`3rl37SWp&H!g>kmloUz<&Q5`?2rtThTxv&Zk5_kuA9GaSB%>B$TjT_~ z3)rE3_BYC4uHxO?vZ7zy?1ij*|lgPk*4gIqj9JrWNsB zTEVC(T>O79Wg7A|w*{9?ks`#HNO-FfBR2FKWDyk@OC7`q}M<<~%0 z5)+@Hi7R9JPML&i3*Yd8-BMof>C{6NK4)W%b+oOGUp`#wYy&Z=C3Dn$axfWOoszP+ zFMq_Pyq=txUO)W-x7m_fNa_Mh5NbOUX`?4`UU`{zF$to(fh)H7kL4{T7Iy%>>2XlP zvyhnCg`BAq`-vlOvUqrQW`mfYfUg581hYhpg6$gA(r+63*ve z=IDSiL7^U#it{2zXruirhH1XfyF>*$P$}Qqd8x_Dp;p_1*+oBJMgNHsh_LVg-F1zd_5gabV#wFwsY4v?!HwjXj8D z%4MijopFZ{rY2-HT6T29%&u-uRTq3OJN~T{NLpw@f5#Csrz~&7{5BI!_?DC%M7OXG z@EiaMqwu1bo=+6xLb#@ZBPENgo#1=^p8$6Ri2GVp0h~0I9f#jIzO(S<>`8iIXq=+W_H7|OCI(_dJpxn- z1*5#;yT^Mw>t;?SU9lU^#sRjhyX-lj+f`L zf6SnaDk94tI_svR2CvKQw47qPEp_eI7Ekqq;#k(3Dcs^2@7b1{2q&!S_ z%C7)b5u$o}$)Sr~fVekAAS1}!gX2jvQgW}#5QExCOv22@;ZP0a+!tcvfRSF);7Sqz z?g;_sL5egfZbF>}hy)?79IvJ_kmX`=8<@)#wj!uZ+OK_uwuD?6ES^Gb3dgb`{AHgfsBe?H`ERM)&49T>X{kQ;i83m1)!oL|QNjeKo?fR<- zCRkZ?)(HjSLE1^#YDafBS|8SS3yNww68C zg%}xsN;<3v3KFe`tqJ#Bj7D#$ZebF=weAI zJcu>o(35Q)|KgB5no*q6Lej^SZr*}ZBcY+wY;L58FmRA9C%5mZti_{%C7`WvAq!N` z$pC#e;)0MQt1b9)M9RP23b?3nS2W_FAB>b2=Zd!KA8LH6l?m7|#Cud}}$T#M`F@p7|06pN~}Yk$ogghn`3H4T?*Mo`K) zy<*@v6dX*q9|wDIak%4sW|HAAezN<`&%iSDk?y2CSFCcq@R0BiSG1g+hvty^=N29c gDFBxgpp|kWipi~il1H(q5v4_m5jpIC00030|JD;UY5)KL literal 17606 zcmZ5{b8u!)&~A*4vC$jb-q_p?Hn#D`wr$(a#>h6OE1_oAz0RB%p{yRkep(@b9(cIRCn1zjznURH9#M#`+n3$D~m6(}>iG`Jk zm6?T&SPAIhVr~Q^mNzi6BxWI2k|I_E8WM{en>+oR*$nzm9T*s%!2bXk0_y+bu-N~i z8~C|5d=`;-x(gL?3w0=57;{#DR2tcsv3wpSTcWYP3Aza-d?+3j8@yNoJP1si#lA}w z^Sj#CgQ(z&^9PpNinFjiKU$lZi{OWgv-8^bJ_NBrE%_LbXAVz$MMp(@`7zwD=M~%b ziqooN{?n?$ii)?L2Jg4Wiw!$+xS4*I)wP}VJ1g=Wh`LK*63N|F$dSa|9=sb2saCVW z`7tCx_E$()twN&3bJ$qO86zgHE4eTo*a*;O;%{SLsJO(rdFT%r!h!@kiDjGhPLHjK zn}>r6)u={W=F%i2jD6K94oKNU1Eaa_F`uy<EG;pHEfD8qIo#`?bng1bmW@JUw82C~` z*K8gB>&lGR$Z8!8=Mm+!3?Mhmu~1XG@H>;w2qvX0i3ecC!m&!a1-fwHopPXPtDKmP zyb*J=!VT%c!4S>J$99)V*O?YL9KxO z)%52r3*z+e0lP35vFhKKD18L`;8=p)2$XCxaV^_(9P*CoC8h{hZThD?5nYYv z2PX#(fy?%vXhyXhAV*Ex^s3XqRbktBG$RH;H?al-kc*R3ARuabzF_n>WF?rv5V4uI z`A~Te5BR{Z@1>R7&{tQ;-`i}_xDxZ|A-Z!3@=xJUng02>iK2q1<-VQ~U5H(y$w~SI z^IWNNiTbXsw^v-ToFu3-B4*{X#;W3=UGL3)$_|teysK`E{=r9CP0M!crJl4Bcofnu5X}P~%pGFo zLlg)+X_eG0-3K<8m<}%c$n)W`;{g;BR~1U4dP_YJv^eGz{*s+UvskD9K9(Mym)@oa zjk5+tz1FTuPM+hE0(?o-f7Isk!=v?46Y^$O?q}Ok=LvpP!SG{U1={kM9XV=D@5H`Vo?NUsZz4LDSh{tzwZvvmJW5pj9v3lzcBS!c$fYkrw#uVPCKle~ zjP5Dc&YH#q5ni-JHWivKHX9-4dQHnsDI(<1$6`P_rPC5q*8Jb~jI?FXFT4%q4k<6z z($`82+Nc)@i6AankNBwAiJOITTdy3RWN&VpRXHD}g8g))&&p`yw@aB%y2o6Jw+o+- z6}-awFABYh;hGKhDH$@{w%V2Q^R~$>ZPF=P0x6HCE4AeI(Si;0 z^7fya{7ZLCwj`CaX+40I8e`WEpN$W#<*AIX^EcaFm$8?jYCR4*)3S1hg=M^kjV0gq z8ogHx_Tiz9>@S%imz7U6rJntVx19w~`6kuIiH4h`B9|tI2OVUf;0jgq$694Vk;}2e zrPBHIgX6>3%0+hNk2en0wu}uE@+-&Wx|ULYrsDeU*eDQ2xK?rJ=eQ?gv4ffsiDP|c z^293R?2~R2XN^mukRkPCpN60}&p4}G>jx>rZ?l7#IwiMtk_Fvn@nzxW_!8s^)Sa+#N(Ilx@`xA;SW_zNIQ@?l)Om&kJ0b%Ay0>m;*iv zcqTLaa|WzTY)ad-Nb)oHo_iWcb5xmh)#vzu5BkXGDM0B=M<52dNrS42^&RTiDag#zi9>C%{!^35e}ss(sP}*GvUTS%QIb^h|%y9 z$LrUV-(K+T^Iu=ikAHqZf_h}eXJWMyMn^t3Nhc;A$S4X;XyTwX{<0A6l$Q!V?Q|t=(}MSn^KhZuH~vrp05>l{5&v%bE1`q$-{LcKD;+*|Yh&BT9!eMW?&XU0l9LVr z$W80)+!o3g1Fvk3Z5Vg!XFVK`EUp zfL`O0t}gYc*FS9nq(A5qONZq&1b}y3(dX+3tTY!B)Tg1l;%_S44;7CzsY+xhOWi&n zQl{y-N&l=OgaumrKJI>T^Ah@a6*;i-Wpq07vd6_UaEr;>FV9*7r0MYTHTD6+1^O)Y zw8=R)H)ucTownstt4#cnvB>Aw7(mWJRlWlHRUyeH!S4C4Q1b&cIH+T&FB23OTl zioo6Uc3hi;2R80s=zM@^Q|W}Uv8dhj|0Tdhsq;IMt7kgDc2P4Ojn7e{P}SLYH)rSh zTp_vREL9`BsF&0Z>Y_wU7tphRLsX-#{Z;>Oc;!L?uLQku?Xko_ zZL+~ATW! z9*PLenDA;xkNRPHoZx+Qlp4gX<42|pI3+#r;)hJmB|}m-c@J>-piw-Aii%JhoU2p( zav=T(|F%l3BrRTL*-sXRnw9!_=dC7M@&_lT>Jmv-hKez<73XT)8X$ptyXEa{D@CM- zmIcjzC+GIkrjM?7b&kLLR8y-lQTbZ^eD@(gxU67br+)-F<&g!r?Ldt>TQ?e0Y0Tl% zdS!awZtU`Nf9RcBRJEQfjX;4QG6`FRk^xU7E(xsgXnQ=}N~K0$>{X3+htECwIUGng z9h@{X1#t&KxYKYdM1?M5l5UtI%D|4-1VUICQ3A#`c8gWjW;@K)S=uq2m@_cmj(pw* z{){tb7%mTG3qn=b$$a#iX1^U*OaY)7aq3F9)2VeFW-M}nhVgOeF4O}i)p`2r?Bx8C z@EjKp?_A?={K_9_+>*cfneJCX#vz&%1c-QJmyl|kRhpP&2J;e(p;PbEGW5%Fr-trS z-%J$E#vw#9n%6o)9L_C>ec;W23O}JN=bCJ+a6TqTjv+Ot>%!O`T-MVHsLHbt5A(@{ z$=1B}dn)HevnJakhiUq9h0wYVdCQTC3$Z}nBQ3KxM8tNj*3tQ*m3f#1LBDVW6)yC< zhn7UHY%rfK6pIIXmDzC?oPNu4R^&tQq&Td<#p}fu(kHOfkJ4%dRxI=#-boHK*@t!G z2CKwOR6@z`#`URJiao_zIfZ|s@TrQKh}6KpiSkc)+rCjyzPy8n*QlvyhKwyeDA@b_O1U>jdDyO zA|V?(u@kMX3a7mmSDkhOx(~k$W&)tkZ=k*UEoRbz6b(vFyQhOovlE|v)vlKGK7xIx z%1;V0>q#1~8#quNnPC(qr84=T2~uWxN`6q8EGsdbhEkraE-`$BQf^#Qn&SZf{`m>A zid=>-y>=BUiAaGj-Q_BuGtaD0u?t}#$j(l|9>6;g8L)KL9K#S~Wbf>bc(x}|S;WUq zpz_f+bNkR7j72CbIBJdKyZLw7*$SzT_RsG>MuI`%MA&$`8VH`P>nne_7&_OT%A|8V zOA{>(@{K?DI?49V9l<_i1yiWo7ngk)iZ&I&{zu_Bi9juc zOW0GaaOm^n>0zAcJKq(mYgn$DmX{>K4Z+R+Are!F$|iJVCKSG!|5CvPVIi^2E*`YM zd+3bZlvpX__fB9<8n<;MJxq@RAdg*E z_#o?pe|oY4R6osjRCtsFh*pNv-&-lCuk9JK_CAm%eRnN9emuE{&{n&+=zQmxwh4aN zn50@6hS8nFUew5ez(2NT;GQ-{dfc#j6AAqI?c#SJ5to9`>A}ur12VJQY@OR}RTvmc zjo#1QvUbIB(gxr;X_NhHbpIL~USkizg|EL1tf5@}Iz{hjUf+F~r&7Wvn{ePsoEh^6 z8d5QDLEpUuds^2z($N`Saexzh4uSMe+gvj*VtYI9cc7YU3F&{GxuvbLut!%aHHM1g z-~y|!!Crs}Ao}<+-aGk|l4pBIY~(HTubVFh!If>n!H29U8+* zn~DlEeHJ$_Y{%<#IJE0Xz@f>*?(C4Is$^GN+!g?_D#9@Da4T@D%MCEkPqv<8AQ+dz zwAPu@ecw`LA9cws%~9E7HZaRAfMr;|_1uzSd&J;A7G6Wn zCfeSVlHl4-_7Fi(N0cQY7dmnnHuY&RELpv?7b`HCE=YyU$PJc~PoBc3IL<#O5h5~fd>zcF;mpRZlym<$zwh? zeOMV3f9$V%hT%Iaug?53-5E(9gnsg9I$+?wcppyP;6BB4H{Pl^i#kshQ|Rf(gq`Ue zqIWVNr?RY!;uxNb98V4!AN>J`nemjR_i7HY5@H)7df1Vb0AH7t=qeAXx*y2J2mE`| z0s{++rRO;a0Au;K>f5eq@-$*d!vjNi+}`>UxiHDKV;&Vx*XOmwiZ|K9ZPe?qC|+Gc zj>XiiIwoFaybB#^+0MZHLXnXo5kS)csC@xVk?gsE`&%qGmEpx~N!eC#?Yi0QcIk8P zx*_jo@8jE@pBVv7v6a_jygl9(N$_I4UEe|6|G-H*cGJ%Da4Mf3`x}AJ$b`k;#Na27 z?e0pnnP}8jLbs=fiBAGB0G8YN!oY(~s}2p)NH?$7Nk~O52F)FJ>M1b3r#;J4hWW^7 zhv3qQuJ(e(%}GXkXUpw@0Z`P(F?u!w8T}({Ovtyuw%LuqLdzIumRGBjv8lz}Dv??9 zT}{a7dkLlPY$xOj7%rf;rJiGzW5|;C7#E}QjYU=SlpPyJI~V-?1Ei-KSBdqQ$;VLA$rGrOD&N$Q6bm_b$)1bM;n3&wU5Psn;c4hB&`J$JvJC z^5m0Qev<~T)pgm@(pUc}zbAJ97``nJ50+A1nf$}~M&*!tU)pUYbEE60oiJDGa`!8& z)?daE%#H?MO}gNvfv8}>h*w;4Nui)kIoPTr+Z_1cjZ^fOdhMLWRP zPkB&*xD^I9SoGMNO`J!SEOuciobNP7bd*#ET3018{8%N6=S6vaXjwI&mHg3SQku^v zRYZ99XG7%b>y_WKZuEOfmKn2z<>Try7mSK+H+m?V}?&}PE}ygS-lRz%%*hu*;D8IvljX=ZOYWH>d1 z?CjO_x!*t8KFRW&!@tL6BMhq3LDDIr2fCt|~`r8~NUEQBfsHr3hAZw5ck=1kl9xNhC zUEwSE2%n&k0)E2>P6KQv8iFU6k86@_;?kYQ3|}Lh^M0y}6KXa0mM?7x5`&E9T_NtPb03uUXzL})el~|8mKy|JY>Ly-VrCfKEOK(O|BgbhFsf-Cd;w@Nn>(u6~Sy``g_R5hHOaHJ4q629OdO4d^(GA z`W&Z<3>B$222@;?>l)v3XZmQS{Fo4-C!`Xe{vAlHq{9)^HW0FwS)V1n5QlBO!}IMy z+%*^zWo_QUWBE^g-l7!MKJA2+hT$zMr2n`r$UKP;Nx+TG_S?CtzBDtO`*KM(`NCh4 zg=JBJ@^)bkJlVS|a#@UF{)K;&XoX zm=3vvTKdj9^O*oOnydt-VIP5I;&BoC#Ol>-*b@NJ-NC~lb%0RIZ%EN>I8{r0Ka*od z#{?puaGN26n6Z~V&4gY#p$B5y@_YC|Cm(kYZe7&S0~aoC047GzFXkNiqpEwR08D*! zR--KYV|Y1AP%2c&xcW02_uL5^{0n`W+&5F5Y5t(P)9LTJ;l&00Oc%tRc^+YbEUCq{xfx=mEmVhF;al3fVvR=j)f4xd-@vHngo0=|w){4RRj1Va@yg3&c+xo*|C-536iA;9tQ^nG&6(g54=blc1P8 z9|*$Eka5Mpew{VZ^HHS=TJgf&(9iBfrMWnu1a%8yjs)j@5$sQ(`hwp?+GR!D$D%I8 z=q`SdX8VBMAjm%w?~|bk20hyi3W{wSAD$E@#(&ukGKqN6?KhzAggna(x)S?v@AIPd zAl{^+HOThFJUb1#l3WUTeK#!K@j*pKR$Nzd&hqQ`?2`{JqqP*->*)Ow_`BEnNHZvn z_C>Z23=<4byLf>gJSO>s9Xuxce{wQ)`@!m;6jEJd|TDBYb?yN&hq|^eF4AiGn*rXyOGBF1UBRU z^Pbd4Am%VHJBm*rAWj>2LcEM`O7?+{-!ouO<%TTMC!-%LJb81cgD)ttmTwG}?V~@B z4;wICH|Qg&xg}t8eFbqPXJ4C>G|)ZS5vLrR+)czI==WY>XSazLMVC`H5pE<{kYii; z`<>Ix%ILNe@}$Gw3)4K1>VrwxXv5!{_p1r8Fj#k0`_2@1G!*{yJn4FqB%8d?ZoF)p zNaT%0jZVLzVl=tLyXd2h-TZr4Zx@Jqn>Ca$?9%3&aO;}lvI)I0)EI7skb@e;cQAP4 z`(KDTt+Na3N294GAIA4jnj@TL&qb+*f<21oK+G{DY=Js18Ps8tcI5I_EV3mRp>+ zQ{Y64b*;O#@4BE)FhvSY3ugNQ0>jmMk%!5R0L_?t)=;3v^`JKSQmNcqbV& z3KNWSqu7rn_W7|NOX~C8@DXLB*zmPgh}+hx6?P;#(w5XlJc=5J5G>%rgFYrPu9(2K zkHwFVQz%Dl@+)nS$iwlVmlHY0ukUhs!abu!at`2-ekz-Q2>KX}Gbe)_dm_kLi*t`p}Zmh6)_hUJfK zFSvR?Gy*o5HlMwMUF;)x0g6*S~}(kz)XVA}<2n^;f9bSoh=s+(Y8F}E0jM!%(nAPW;*MKZVbIhmL!sdHr8}cC-7{vCm@F5O&MBY^gIY~by z2S2zT=L8D8R{9>@f*H?*GjOJ{-^iwX`hu?wxCn*t;=iR#z6lA!j9@D`&?0NWa?V{s zU$_k#cOs48{=71{afFP1EzL|zv*Q$U^#4NMPhH-PjQ@}s>BO!^0ou3 zd2-o(KA1}8txc+c_N76)>_xA(+ww2!9DbEJDoe4OQzd6p3>!BpP%2HW96wwN5$p% z+<%P~3eT#{g&hCu@s6^JL}oe6X`R(%Z-r?&MU}fgy_P1aqF*t`DgfxTnkv#46ye{K>oIyE2&|*N&{)G$49Z+TCP4$_Ge4Wq|T3yCih05@um8m`q}9couuSQhL)OZ zSpX$itnVJB3O`22mV;M9?<;D~6sx>qa zSkVzxD%Lvjsjkq>6q*`ZBXzWxQWm_P&-T&Gcbn8>Wnrz*UCngY0|d0aD1Tgve)sAY zm|jDUxcdCoZ6xjGM0&PcF=9NSA)0$$UO#pkz1IW#aFm~t6rOkBgbFeRysXnm_$2F9 zoKCam>fjPFjBZS_=5{60R9FZu9aDE%NvmkI`q-qG`?zvHyqr(AES;L;LgsfXst{Ua z(h9z=XF6BU;J&XU;hT&wZco&w-EQxL_A{3(93!APL zTUjN0i$!F-nJE0({RQhDyPJv4CeSiD_M*MLiC_e0c;-_iMRe6R;au9zSkAg_n)0Gd z;3LnXrn0736ICVQEzlD@UdFKLy2&9c383q7=x9DyE4nf$(er*NIo$l?TGdCT^hE28 z@nD)P(=8tUWZdG7*F#z8=oI&%m0VW@03!92a@WjJ;T;IaLUS|ORa@SNOXQl}!=L#7 z(&1df8`?^x)GV(4cmS6FQ1I>!Utim`d~W9oResniuP^Ub9^#y1ZDMq7(NkFydsy>Q z@3tM{h1c5SJ%1=lI71{6_FuB%c{Ntcta$M!k4_@7~%<&^6sltzSu% zVnIi>Y3J@fX=~CV^|Zw5#NjfRpWo&3{j+g|J-a;cJWxfTVm~vc{-m}@%A~N;XD2(x zB^Nop+&Xh@Dfse=bqbHp+beWV?dWmBX%#nxyP^O06I*VrjO$1Fa9|flkuc9F_n&gB zf@b9#m6MJ1@$yq<0wAx_mMg4Qaba|^s7Z27#jumgn$s#bcls@ZwE-pJpu=T)mdq1h zEAJ>`%f%J?V)vfhQa+w{+5SgB`AyzY4psJ3naW*4!prRM9at5DoU2BqbWZ^#pvv7m+O+3RIY&9I(i?`#>n2det2|1On|_ALLYHSwBlJ4!L8nAUrROWf zQwQoVa~X@ygG~8&t_tvRJD&x;uFM<_*+Hms{ulQkx_HrXVIll@_$6GmvcJDC;9`XQL zvAJH7x^F$l(q%qT>ndw31e94P&LA~&mcFi}oQ>T(zsBG6+&?#@U5+Pa(&WG3*ErWc zzb6ps>H2)eJ^8-iifs!Xb|*0%KS^QqB_fmRb(?9v1N6S)J}~HJUatn9b8X(JDg>3k zB-%85+ukHQeFY{BDDGDi%wuYzd>6U9>u<+Sb8)_m-&Tx|XST9^>p!=aUZ^&DTpwOX zHfGie_-E3YPbwK5fPbVn;gmKM4;3MLriSCtV-#Pa^xxx2i-Yw~6D+u? z){)J-B_^no4d}NtP$>rbgUXosD~Dp4`MJffwcO^Zxzv~qva(2}pnRxTp$yQYr0EmX z!_&Z|)&Cl!7SXJFb<`W1OEK$F$-q;@y2B^dEz&L8jwm;NLM>ie(fic&#EHZCVCPhV z;fe?_v4{~&j4~BEi3_Y=4=4qgv(ctw@ulx#zgi>xLMs|(`~lf>Iv!Vl{RPhY2kJ$X zI#b^wlQbq75o>}*T;$gU6x=XTnI>7_c+fI+Azs-068kwzVe_9MIcoQF2IeGjlc^Ba8%@Ed< zX3sNm+`8{0*#2Mmsf84I-pzhzkQa{7>uY&?xu1t_JuGBsC75PAkQYVJ>#jnczx*F_ z^QNe0n*&39?^752UDpN)q1To2{^{n2UvK!@hQ*oDw2=-({o|0p^8*}WTx zup1cr-K+-eq7Zt$Lg?+M|D(mMfOcLL)P*SYI*!@Oce4uNHz9u)7PGr=90y;c5i{6! zk$!J25Y`-KJM^=f!f%HD&0vj8*k)UKTiSV3uoo#1vVJa>{w|beE!bvEuou%17f!R6 zw6jP4F0TF@*o`|_X0QQ|9S|35c^}`vlyU2jB#xS#Gnq`E&u!dew&g<%&4YiRZ zvY?&4guVC+aUq$Pt&q0`vp(^ykypsm-v3c&Hil-_2W~wbdc7*o8PiM;_TnDm;`c0b zZ1LTFvWKE9{2l__7Z6T#T(KQyqT>EA1@>@?tHjO|PtJx0{dz{#0R!)=K|BYVX$*uG z85xw2QiBQH)e{E+lhp0RCo6{NP~0H|kaJV}a>tKpU1j2nf}U044^jU4$uNev*qBpu zO*a1#bxp5jG3LG1uCGsX zQ7|X2BEP~K<0uaDCC|X&%@UjC3dnv^Ux*6Gy2uDI9I4{Y3vhtr8l=o$oHUkxXw)$- zu#XnYe!&=}>E?!XeNKwVNT;r6Ypb8O?u`c%?I#;w6P>V}2W0C#5-U^x_`uQW zNRltVV9R2jV=?7@@vZ$y?}`Jct9iU#rCLv5N!XTcD%m(=^`sAYLQ-lnH{S4&y@Bvp^vt&hIkWlPI5EMl!RIh zdb$;c$EjX=x9b%LC7kfa>1(~hT9-AZP+>@=)C;94rsv~RMx7*#Cc^Wohny%XGese> z8qxpY*Fet>6J;o`o!3wbv9#n7T%)2Lh$d1ZZ;}2@ddkp{ z)g@@dWkqP1>Ga^PTic5{UV{hQwKo`UJ?_&nD-Byk%Uy-*W5t!z&n+0 z1iEHUlp>Z9Y?%nwiBd$GwZc}IPRXGQf%L#d{n;YWwZ3tV(ShfuY3Np&HNbhS#rnB@ z2M5VLmcPMc*1!Jtl)TcZ8F}6Ly{_RNRMsl}ZD%hnnGA4oV#VE1w%=kzd->eo#e5!H zTV%k%TO6DILEIxZkP?Ds5E~2(`cKv3zpm8bi_BSN0eco8YZ``P|o)OEC z#tZZ|UK70hs*u>c4)hqFzaCh8^B1tjM6)Hsezd}Zh=qL7bwAcV75(+yX>UA%; zXQ#DEJ=GJ>h-wZ;{Y}9QZg>}i!6OHUpg6b5-Qh-SzB&GlK;BO4o(QLFHEvJsOY-De zQi@tYTw!AhwKc`~IXdunIBScTxg51CN??st zR5VeP8n=@Am99WT(?ClBG%5Ns$@pqNRd#sMSi4d)sfQ37*>^n~CFuqK#9?4Efr|jZ<(ug@0h- z8%?dgu4DEAJX}uwGihl>_-nlK*RS;Be*_ey#ye9JSSu72w*wD!rY?@tk4#WDCdpb*;N0%Q>4{g(4*WrMg`2iw1()Etd1!4 z;bt<;f=P?`TomG(2^|T8B5GtP&82zzw{*D_^K;}oBf%1hK#NF`shD}Lj`|phgL5p+ z>D^ngh=>Nd^16+J^*ZaA`ttSa$5P}i!lJsa`nG7Q^@H>B^Vzb>QmhPY;7TWT$9lp0 z68h3QL$dAl= zG0Vxu^#~LK3(2N26sooe55wCObh82ffwNWY?aF4UFfSGIca;(D>(6V`!;T`%K(a_e(D}che(fY>Gk|xJ6wyZ zpNNZtGmt@a8;!5Uy@OPRNvv2*>iR#2S_x1;ElR9aH_9e5UQ?VOmu2V9*a&^*Uj4^QR;3gUdkqmmMk!aZNZg66jjlA0?y)4|U?AzEh+#aKn#w zQqY6AR`Hmho|!>G%%DML5V=q}-94W2x4ijIe!+?t3c@gFXpLikW08btgHcMM@~SRY zdQf>@9A`+dHUVaTZf*NJOg+3DF`6HKQ2aq@9W!YHNS_!&ATc~ic}{_4NI z5K%)j*?z!xN7Kp9)YQ?7s-LnB&a)0c)-86*i@stpwUoAj=KO+=u8jQl)-_&OMr?o? z{g3z+Bn%Dn-&-k!C}R;sV_>0t!_|g=X-W)5;1CTszpk*BK*pV_4yw6`is-_O_Mx^r zm6nt_mYUa^?#*{k_Z912HKFU>$>!v;(Bo=%+SNAn^tkF9U^Fu_+&PS~^$z*wv#@V+ z&u($AX45sYKKs~VUefPsZ_S_&ZMk)S>;B_tR_dxDw;(^GZ)@s<)i`_Se}wYF(-AVT zTdi4e!++>D$KND&a5weiw6!*dGGXxRR0jlG#{K9_GfOHBnr!&eU<3X<$SF78JpQ{W5 zQlu`-&j;mt{4mWssNBsZL#`f1$nGUwxq)D3-0Q<9ko@7zHaL%$TkVswjKKiEuIa(R zwX=rm;*YZ>XIzO>KvR8ni~{pw52H3>buVAcOj#&#DwyJy_sz!xn4yk3lE5k0BfHk-}|jvqv)J-n^+wfaR`LP%`E$UBd`jMQg0z~kvq7GScyy^pm7Mk#g_V7-uf zpQUdj-K4igsgdr7LI>h@AQiW+HwHwgN%Y4*{jPuKwV7w}!c1RVMVGB#a5F>PWz}-e zAc-P>6Ges>)dhotJ<*pl)w_}(BIc*1KMOV(oii9^G5D#3<6wp3ppE0;h2tQC^Dijr zponu@zzhO0gD{1z)#{d7iRq0EaOx4`67yis#`aH(&E2oA3yKfl9A@ANe4*bNO zaSu;UPmDim*swFXUqsbs3;lL$3h4N-ux=%VS{3{@>T~+Qzdl}b{R)>Drer@NCw)I@ zH9olHTOXfm{N%v=dcI}mirMF#@qfpxM}liZ`IkFEbmC>ML z)X^GR8YCI>On5IJCxjy^GmH1%-JhEz@vWj{_A`*kzAF4D%t;L!ub$|F8ThCUZa5BN zI1a`*w-7>HXzndRu@HVsH+tr=;QPkJ;~Rq6kh0RSAu0Dx4~%~=PHgZ_b<|nxIfA44 zRX6rsFCzOze*fDc{E+u_4hl~)7{bxu3U*S@L?*SU$+N()ih&R>8pIbV90y07+a+dD z3Ny&kPdPS5Ye2*XLDU9C^b$_=66(+TdQE7s7bNO7Ws#@TkdOYYYbem04)KLa=(+oG zxXFl)al3OKj%oM_Y&hM;_^$XtrByHV2cbXRx-RO{JdfpcxHv!M7ldEAjyonQyO+NG zLcn%6;edLS9vqHUc}9R7;wcXeJxJVOR2nRQXyBOXeIUJfaPO`1%z>#95(ON<>7 z?RsJ}FV1p6WV?`L>QgfQ3n31>pUJB|_83PekpF`pav}i59 z%uj{K3p(x;*}VZ^P{xfUSGacf#yexFX#zFzJV{Sw=Z(a7)$zkq(l!Pw+%&a{KOOat zSV{*XX{>OwtNlX4^q#n=tee|fkYsk(hxA)!NU9T^MTq3-kqq>wt!duTTOW(p#T?)F zAwT@-Uk$9VV1RAgcU&hJk7K6(ILZFF+)xsf5E2Hn*FyPUlj4ycJfU??xLlGK{Q9{S zH8o`ouCorpjBQwLLb95-!o<arv=SE@_)!gvcL|_{xGuWA^go_y z&mfQzDE_+Txm{?5tT_C8MQQu%Ci?!SkS`qw?{#R`YA)@t6_=Kj%%q8{qqs)|%T8{@ znT8miGbRm$<0OS7&lYOM2MQlqDjl)Eg^H$PEu8jrT_CT|A4sU{YLv>-{OAJO)M!PF z5+8%@l{XZXGEoQV$=bn>Es{eLh83CC!5aS3Ko7|RgdjqopUR2$a=j)3C+yVc>Y0t* z10jm2?p zPnnIOP`9g$&=$F84}B+0f#f#q=$u<HnJv;JCJ#4U}f}!XwFotH6IFL-6@cH)SQe z%R(I`z#(=w9pK53Dmj+{45pA%%?Ho#)jV-qq^xJdnwJg3$7~TvIiQ=Ymc!0D^Ay{e zc@jVloc-k!--YbV<2V=5?TfJDKO8h8TEse4Bh#`^rb<3#BB`yOG^KQpCDaY89mwd} zbgv}THJe+}Qc^4f!dzj{uD+3OBZ-;K_bi9jS-carP*x0ILH9fVXbkFPq6U2slBDc> zjziYndy$qNCmN9CJ_=RRel@@vrr&Cy43^Kz8jK$I$NA31MHIbQ`zh;fUfuq!JN0UT zHC%ep<43KS{+fZT!}JEAR(HO6q&(aomLN*cKKU7Dn`E1yIa=Obc`9o>dxFCwCA_g0 zH$q{h=e>ESAtt=bXDZG87Mw#JL5f4WCnjE!ePN45t;i;XAXmPSBM`e`Poq<%M^e;b9>^a|V<#WSDyq9Cq)9Gw( z3oFO&jql@yIM)IkM$6r@O)XJxLWuXRg|2Z8e3^V2M|ak$)%kXP*FH5FX1-dV+w+D| zV9+?tf_%?DB%-f-_HUtynJF-BFd9sxY(|3p0RTw;XM5AwuNFf=i0XD+AAGTQ@~~$b z)Y{h5tpM2mIM_fBA1pCGOtE*qFgLESXK~bzKGx4|4kqbb7Kv2`xfRmGd&oh`!LG~D;g2lS!Av1xG9urI%8vTdd1gM<~}W*shQa@b3|k1aw$4b#~(j?5aVxljhWiXo&TV^`+O?c~AdFc*zg7YlKNf5~3e7j7r$pda*kJ2+67cyQG7{Fq zfmAFZt;ECvAY(h`c)8FcxoBhpiXiKeoSo`_)|C!1;e_Ky0GqMZkH z$#32yFmX0SVx4NQ8fHg4q4NErX0Jceeg%TkeumhUDAXLMSgUhEg-vZ;MNMs0Mb_J! z%Q0;i4Vp(zPLtL)xf^rK9G)(Z{3<@9m$1Pe0UfM-P<+18Sb(tDA@r7p1Ecc|j4$PZ zMQ#||+64_?fv{9t#ucfw??*sOMkLBLT%xUR@3T8pwrCQ{n8tZ!tG}C{%;t;>vKa`* z#dGG(w~kOU2RR0Gt^+a|bO?z@9odxLwaJuIvrjGaRDapW2g85rMIRJXwb%lEXi=88 z@Fl9pIt&BLFhW6Tw{bE6(|O9rn~-sDF9DvzHDG>cbo4K|cIi}_0qeL* z03pHH>TC(Cfa2K@G;axHV%wze#=JL7t=mtE54#F+&c{x~L$`PG>1EcM0k_`Tv=p%H zv6W`)9S@#!5bKGsHY9UwEw zwvtj0CTCf4$#*2Vjb6i9Y9ukj%~$@_Sak1L_>9K6%u0+Kd9rfchYxq^Za7@~+y#Mr zDu_#}`CGf?elk7e5nWS_6%d4)k8J3poCu0e3IzNJvC{J*)|tN&J@5C0xliuR@ChWK z2{+|!1#Ry3`K}3@<_<-8T4IA7!9T7vDRsjW-EVhIf9@CYd>wF)#GPl<4#c`z z$nI0~xFFm@F|;<(V^Q}e+Fi`QLdXJ!^w1t*xu~?!G+gZ2@*whK5>?ghV)MT4BH=iz zu8qFord*kA;JvyqXRfnw6=npcvJ?^K<@9!T zcKw~c2^I}yl$3wKFMoZbU-INo@WWz4Vd#Z@V$vAwEVS!l@RZbXsE`6aX3xR}Fhr$; zgG4aQ9@FV<4cQEh6fzE1=bLZYjx%qY&TEiX%tlrdH5=VQ*cBo1zbL$A)4G`*Lvp7b zs)T=7?L4%mI-1v&cUhl>JXl+5p##=)EW}tnj)u4xf||Co>?kNy|CX0lh%S5IRK(m= z^iT+{jB}S?FL=0s$sCWQFQGUzb%~UZ9=n5JGX!)b<|d5jD+}zqHZeNXymGk zy)VtUL4Q4`n*UA|qagW_ypDb^FVQmj(xh4?4X%sE&LSYj2M|d;hvmqYZT@;v~VMPQ?lQNd=knO73+lmkRMK6B&2>^rMX z!3B$bZ~6PQ8wf@)zgRu3S7;hr zLFXp)BM9_i>yPX%48cFe-v-f*Nx0AAo9vTwc*?Ovk$3*~%b9bBiELR?MnW;C?SX`& zve&p&@(`5_@@mypJUny4e)}U))!96M9OaZd{tW6zRgh>&J^_Vm2>Lo=wMY$A60D#ixMY9hSh=ffN|Ucpv-SnhNQC^K z03Zb4`xI){QkVIvmG|aQb^-P_?cV$uydul=s8hI1Dit#{-fNu;egOkTnglW+h84kR zBtaK%8hZm*q@hy+>xiE-bx#>hPM-eH8z&C{LWY~$jFA~86#7pYNjPg=bN!=$lfhtG zj!^(CV;KNA>8mH!!+SCew2dK*q;LwLqlo9j8A~He& z+RxbB%OHTBBGPdErH?*9*=7C9(+~)m-$NFDy!8DhTp9}lElf3D_T!+B!y5>S zHHal(R=U6c7+#Sa;e98n;@Ax)vsYoRVL#48y5TUWQUo_6B~88H)ULnK{;Vv%>qd-l za|&HCijO{j6~AP4&PSB*LA(eLH>uuh#QOMN^#ZO)dk2b!SS3UHwv;{5ff(t33O-kG zN6q-lM80^H5=VVa<$3g=RD~{!DfCzH3}^4bk9Fl;yN1GB`j9&gZ>EbSp|BC_R6|d; zZu%FOWNSutN)vG(S-N=(ZViKhMsv8SQNh4Pwv5car?LiH0ZYMH?m-l&zMF;e*?VZ0=WeQYwX^pXV`RwgZ9reM()r>~?of3=1Q9xw zsP;bHT7uV>zNuWrB72?v?a&&em+j?dXDBvhXUG2PnFx*5RMj#)uA5;h=S(BT4}7}&t!~f^ZDhEb?}?S3A2o@fxuWLmJPeoAKR5qyR05Es0Iif$ Yp_tI}Cs`De8c}+@Fk%h+9{>RV|FlxIv;Y7A diff --git a/cpld/db/RAM2E.map.qmsg b/cpld/db/RAM2E.map.qmsg index ed17ea6..abc2600 100755 --- a/cpld/db/RAM2E.map.qmsg +++ b/cpld/db/RAM2E.map.qmsg @@ -1,20 +1,19 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1599607693606 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1599607693606 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 08 19:28:13 2020 " "Processing started: Tue Sep 08 19:28:13 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1599607693606 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1599607693606 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1599607693606 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1599607693837 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(37) " "Verilog HDL warning at RAM2E.v(37): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 37 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1599607693867 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1599607693867 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1599607693867 ""} -{ "Warning" "WSGN_OUTDATED_CLEARBOX" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v " "Clear box output file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v is not compatible with the current compile. Used regenerated output file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v for elaboration" { } { } 0 12136 "Clear box output file %1!s! is not compatible with the current compile. Used regenerated output file %2!s! for elaboration" 0 0 "Quartus II" 0 -1 1599607693927 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1599607693927 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1599607693935 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file db/ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_a7r " "Found entity 1: UFM_altufm_none_a7r" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1599607693935 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1599607693935 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1599607693935 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1599607693955 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(99) " "Verilog HDL assignment warning at RAM2E.v(99): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 99 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607693963 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(102) " "Verilog HDL assignment warning at RAM2E.v(102): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 102 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607693963 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(504) " "Verilog HDL assignment warning at RAM2E.v(504): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 504 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607693963 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(521) " "Verilog HDL assignment warning at RAM2E.v(521): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 521 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607693963 "|RAM2E"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 78 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1599607693965 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_a7r UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component " "Elaborating entity \"UFM_altufm_none_a7r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component\"" { } { { "db/UFM.v" "UFM_altufm_none_a7r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1599607693965 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "263 " "Implemented 263 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1599607694566 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1599607694566 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1599607694566 ""} { "Info" "ICUT_CUT_TM_LCELLS" "193 " "Implemented 193 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1599607694566 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1599607694566 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1599607694566 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1599607694614 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 6 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4577 " "Peak virtual memory: 4577 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1599607694716 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 08 19:28:14 2020 " "Processing ended: Tue Sep 08 19:28:14 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1599607694716 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1599607694716 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1599607694716 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1599607694716 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600299285139 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 19:34:45 2020 " "Processing started: Wed Sep 16 19:34:45 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600299285319 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(39) " "Verilog HDL warning at RAM2E.v(39): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 39 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1600299285349 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600299285349 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600299285349 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600299285389 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600299285389 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_e4r " "Found entity 1: UFM_altufm_none_e4r" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600299285389 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600299285389 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600299285389 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1600299285419 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(101) " "Verilog HDL assignment warning at RAM2E.v(101): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 101 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(104) " "Verilog HDL assignment warning at RAM2E.v(104): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 104 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(551) " "Verilog HDL assignment warning at RAM2E.v(551): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 551 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(568) " "Verilog HDL assignment warning at RAM2E.v(568): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 568 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 80 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600299285429 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_e4r UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component " "Elaborating entity \"UFM_altufm_none_e4r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component\"" { } { { "UFM.v" "UFM_altufm_none_e4r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600299285439 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "278 " "Implemented 278 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_LCELLS" "208 " "Implemented 208 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1600299285969 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1600299285969 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1600299286009 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4575 " "Peak virtual memory: 4575 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299286049 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:46 2020 " "Processing ended: Wed Sep 16 19:34:46 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} diff --git a/cpld/db/RAM2E.map.rdb b/cpld/db/RAM2E.map.rdb index e6f91d6d3b6868d011b275bdd7e703e47b5cd9cc..541c185dbb5def0147a3a4a5c6d28ae92dd62030 100755 GIT binary patch delta 868 zcmV-q1DpKe3El~iTYt#|00000006K700000007{iQe6h{=DNf1#w z2t|Zs5wW-%z?R1L^w_atRv9cCffzJl%xi^edaB!%R9Cg?Vd!k&zxWBhg&l&#oa*X% zB;YNZFjA{t=f2Lpw`xvLPf!2B*J*## zwuXwy+6qh8Xi_V8%)@-*%aWOTRenDI7L!KTJLn1D=UTw*`Nj!2ei4%+ZkNbmB3NxG+<$oQuM@4i8g?CYvFqBwT6w!cp4 z-d?&f=%?E>lopN~M*G^J!uq}NC=Rg?Cv@{V#Lxux9b5+~Tr2?i+Fh8H??OR^?ZCjBhTXZ!?AP-S#s zSXqWG4PQj*WfNdjw!XBHFl%)tnBy~=>CBg0xrl_EP_R@Mf6ug0g^EDYztcyG@82&qMCI32e1 zE+tpM@G|nvs!EECO(iFcjRm&UxnQVA$ur@OK`mgVh_Ut%19Mc*laK2Xfj5C!4TY6Q zg~XyrR;i7ti=103nesWyoHyWdTF>JMNr#qZD`~k1f83%Dn1?4u{1vzt))_JNP8+*P zG?tvfpy4?xq~$nS32_lx<7nfe>2@xo8;-O?b3`Y(Y}j31s;@)4gf}Ll zDxsEV9v5uq(&Fqy80VqsUzk?`6XYKpj%X@%_GgsuVk>;@@9g&o)ce-tHGn5R%TQan zm$X5Tf56CuSCsCeU2kmf+}Qbr2K_-lD*YM5?;h>rE#1CNyE_{CVTqrU+bq=S4{;v`G-2%GI u#n;%|-HYcxEY3g9S@^Ti5*XC{CvBbYv6s>oXj!AQ->0jz{sFVd0~rAb6SNNi delta 871 zcmV-t1DO2Y3E>HlTYt<000000006K700000007|x00000004La>{iQe6h{=DArXj9 zgiv@aA{KW8*wWaZ9wQ5T#bDVHh(Qy^A;~JL>8WlPR9Cg?Vbg4qMgEFaekr>s0duOW z=Mli$Y{*EhdY$_^_ui^GJ3Bjjhp*HAy4_BKY00Wz46n3t^M8ZYv3milw~+cLO8*L} zTi6;ZCTlA!-JwaX+%XUHi7!iL>Q(vq{4yquuD_uteE-z~W-m5Q!0{`X9C7P}D(E}r z3vTD`t&ZK_u=)!wu70b4|L30t0}oI!Un9~dykL@^Y9})OsldB`qDS_1RU1(pJ6hXc zr*wBW-5B)KZ5SF##|@)>ZO~!N39XW!wKwD~C!K%d_^y+00Udv*%y0@@Ej&zxvosuy z$5b)n6o64jvQW}%B$%p6dslf!xscpaZghzg?B)amlxxEa9l9l16DpH_7Una40w$<3 zx-hIP!QQnk+%c#HtQ0ZU9%5jQ>Ur{UT_W%%Fsq@k z@~Dtl6v-;JF?Er1OC?i2XPNT`Tu$qG93kn@(rhIy7lD6U)B*GG#E92{dtsdsQ}48~ zn?z&D84Mbpqe5Dala&w`p*4=?-ZV8gnE z+RDA84LX1FoF=@Y{(ZFT-r)XTdXEPEK|d<}7Q^=*?c*&ydPF<-HXaH`&$+RvqzDPf zbbGVEnbJcqK>lERK>eMqbgs-ZNN?V|1jnaOfZqxdE0JFsd839y}pE>{l diff --git a/cpld/db/RAM2E.pow.qmsg b/cpld/db/RAM2E.pow.qmsg deleted file mode 100755 index febe030..0000000 --- a/cpld/db/RAM2E.pow.qmsg +++ /dev/null @@ -1,5 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1590186927656 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "PowerPlay Power Analyzer Quartus II 32-bit " "Running Quartus II 32-bit PowerPlay Power Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1590186927656 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri May 22 18:35:27 2020 " "Processing started: Fri May 22 18:35:27 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1590186927656 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1590186927656 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_pow --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_pow --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1590186927656 ""} -{ "Error" "EPAN_QSTA_NOT_AVAILABLE" "" "PowerPlay Power Analyzer (quartus_pow) cannot be run. The required timing data is not available. Run TimeQuest Timing Analyzer (quartus_sta)." { } { } 0 215048 "PowerPlay Power Analyzer (quartus_pow) cannot be run. The required timing data is not available. Run TimeQuest Timing Analyzer (quartus_sta)." 0 0 "Quartus II" 0 -1 1590186929219 ""} -{ "Error" "EQEXE_ERROR_COUNT" "PowerPlay Power Analyzer 1 0 s Quartus II 32-bit " "Quartus II 32-bit PowerPlay Power Analyzer was unsuccessful. 1 error, 0 warnings" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "267 " "Peak virtual memory: 267 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1590186929578 ""} { "Error" "EQEXE_END_BANNER_TIME" "Fri May 22 18:35:29 2020 " "Processing ended: Fri May 22 18:35:29 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1590186929578 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1590186929578 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1590186929578 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1590186929578 ""} diff --git a/cpld/db/RAM2E.pplq.rdb b/cpld/db/RAM2E.pplq.rdb deleted file mode 100755 index 6619eeedfb506a9cea5d51de27dcc01276701b45..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 245 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5-&%fdycafuR6Oe}&Q&jKBT^LA}En z2G*V)o<9z04r%k89Q=~v;<6?-Rj*L@5u2IDQV3H0|9=HzQd&aFgM@^H4x=qTY(YGC z9Aut65|Mu*^*~;PNhmRa>9MfGr5nd}X9;?6Ga7nKoV~xlJ3C;{{>jr<8$0P5ALd)| SXUS~$$_9};4joEv5JLfTB25DT diff --git a/cpld/db/RAM2E.pre_map.hdb b/cpld/db/RAM2E.pre_map.hdb index b8c27a68c8edeba66b891a7fda841b93fcb8a5c7..ede3b5750df77d7409da7cb5994504ff55f85aed 100755 GIT binary patch literal 16440 zcmZX*b95%p6E~V{Y}$j_*Me~Qp){*7)UkJ|2UV> z|F|3Ig*TiVfu#H68Vn3LbgMlKc^)}Ac`z4G+i%RIf5UUNRT7-!tCU5m$qd{mwZ_AB zQhvy#G}mz{bBaG2W*#lvU!Qz^@)~ADXj1iw^MiDsTl=?_FN4UD`xr>D*1z7SrY@$Y zE-xl>$X4q*@3I_CA2Xlcjxue#(zCO(Hyy8cdIO=Z5s(Q3uYP-%72bf8KL-9jk^wc_ z^Th9p4R|sgh!qRyr^SSSSgiU=?+5Fi}ezj!9DF91PxZW(=sQ~Bm zL#iGM@$xWTJWJn>=Z)F?Nqu{c{ou{4^KOm4X^4P zTuWa1?oaE|?f+}_|5wum0e}Pa^MEur83gP5SOQGNJ=Tez26WnumKWCqgv9$$18fBI z5O*(o-FWlI%yscOXP7slgW)2Mn6X$(ZcG$kW|bOXlnwsfcre)yh$bq|+GFo!BG84s zqevU#1ItGO21M(Dq$maZiUazf;IHsp`o35Kgrqx57!e4nuJJ*cpc zu62CWv^(K84J@Jtj?#(3({CRt8VS75ps?X~bS=&*r+6$^Js)rO6RJE4JD`-={u~SL zi4KViN~sFl#(2gjmhiQIc7RsBLvPs>~&f4x`(?4IV+$w&3f^A-RSg< zibA`+#YfuR-~LTNj?LYGQLPQ1jmpE5adlkQVfq*joT0BE&#jnqL2exT7TuBDi*u}X zVlGyA+3m&t*X%BnjFR9yl*YBDCN~q?9pd}-PNcOv-8B5;>TSd`fQJ>@#!KlXUN5sv z)m?7&aOj5?)?x34TypBT>(1QH(0P2|?&VHnSm4g$p2lZTl;$5)Wy$>CHqYcW7wOW+ z6q2$Ol3dV0Yh^Wz|5maWICpe98Sna2ysJOq0m(W*1jwVMNN5dV2$u#|G4WB^g(Ua7jP z57#WyAi~E8kwx`hGKTM*#I8eq?x~>K8%?*`P5f2&A0muTEH9SR?zI)6-=<#v=RCt$ zIzA3AQp(~l+P(`SC0-1%qlJt_r85+bR}TMjkBkaF5*$mJ>BQa~-gmR{L#Tbm5^@CH z+9lx@Un+Qfp(NMe#h+}IIBnjK)iN<-+HTY;@DkHs|2Czx$aY2gwjMJ*yl8bb$))d> z)#sL{Ma7Q3rXOE14J?0H#^7s7X>SW?5lY69^8dNI~v|B5%qUh5#8)> zo$~d9$GN6&5_0hq+p$Mp)%N(453FxkS`Uj&G^<1_}AwR2hk zhBl~zgTibAa0wJhd7|ZJ!tQVXtZZ6ONEgtXCe~Q#&DRfALrM7~&ot7Sp?yqam^cN~ z8rsuK&y|y~b_Z&%U#y7MwZG^ryZ_~FI`hlv#DsK=F^>DbYr_g!HEm`d(v|lskI>Uj zb57%@VjA(WnqZz5Yzs!&?P){>8lV|132cDnrUaCTRmPCEzN?uHo_PErFu5!ASh?#) z%~b$r@)h}{j-ghUoRB&e)QG!y(Ho0r0z^l!6P3($jH0NFqKwPM6!6tYM_hivvIlqc zcW)oN<;(gIIPn)YJR^*vH8x6!v(!oj&GR3=^*y5KIRP#6dQopXpW1$5s^(@N^JuGX zMd$~c|8o-+FLG0D$XO~b$`0c0sQuBZ(a=q=L@GtI6$g`Dxnen4>&!O1w2H5#;b^@% zSz85&sT!Uv*$yfutE{3Lud@psNqr85^N^`Mtec#A!?8Ly(b92nXKUkt4Eq4}ZmcHJ z(acYNM6AJ#ZvY!o&n9e@JvT& z#G4Of?Ikk9t^`JuyX5=uw3;H70WXS9(j&T4OlCc)?y#2(yQolD?v4?!ePKx`QC3pZO+J??{~)j zYDy8Mm?YopkE+f2QfNqBC2Lc7YdE;O0b&rATS_UowL1Zfoyo!dPv_oZh&kDFCYoYf zSO?@mvZhI=OuSC8jt_dXQRDwhQu!055i;#tKkJT_G}T!dm$xT0om4(G?>SB8kGTD} z4xIcitWyg)AyS=#zwKfDwr7*%h>ja_(2GLn+c0j#@60CY(H(Z=eIxeOoN{bTm7h^FZcBsuO%3MRWPd2^|`FY;AS`T+Uk2)PUam6tk zX!&VsO(p)ISI~L-Vf-jLS##8&dHgy?*I%#xKXohthUz@quqMNSC2yJ97*P#lS#X7k z`PB|nYr#2_PUfg(+Na}bM-srJp}}H2w_Dj=?MY>sj_v-kK{g_sVZA50sVSxl#DG#$ z!ewR+&zI+q`<&KR4f)HgHFj2{9E`mxwhR`-)AZqTcmd)8mMC9}tc^A!0M%#yPgFyA z-*l6sGQWjCd{PEtv9LxNRcwt`=Z_WhtN>8BK_;IvoOu*C50@>diSzNlI&c~`XvviA zm?FvQ1*d6RkMtdLk}Qj9jD>gi)u=pRQA(?lNK1BW?I8;urppU`QL*MOfdp_`-gyf@ zX3}41h}g^kysp3&hvkJVCa>ko>@EgJ+M;PW9Zp`}(XaW9I`8473M+xZEQBsAGuKJk zjETh0svGzUcs*b)AbDpM{i5|{amAr{K4b@D*W#Id(ysq`?K3_aO}r#&qgUMhg3M|d z&nWZE3JUMx^Rss7`T4g|r)bVu+PI0|?=$%;Ud80IA(=JKarpFE#Y5hI$=KdpIq2}n zHggQgoJuT5+@lvqKb*S{)AQo9z6ObQ4bQdlMUs^rEFxd4)9KK9Z=$oNN$B*-F63)} zg6ub-PH670c}QD3oqdq9s4Lq|{1C}nT74T*xk$nW&+Xxy8>0xoyv)qZ>5-z5oXVBq zJ|>orSe}>0$RKnTQ)Z#YtC;;i7a|ZUJ#{BYBzyKombO~$8ibu!JfCagg&+?S@wRzT zbP7WVPCB8Ir{k?%sdb8!jC|A+52>Qm*dTJ7nMY`47E*BS0GY4Y{Jd>MFwB_ z?!AWF*nXGn%vK47FFwlNf*ra@OVa5xg!XU4j&l&m_RnaGIra1Vq_2Q#H}e?#$|MPP z4ODUb+f+-si~abNK=_m{8+>F!nPqNEbwqXL&m-l&05?T?JADE7 z@7`67)N1DEzlF>zYIRBaPu6gOoz0rq7i50Hy#ln~8CGcT9|Qi$Siw0}$o(H{#365u zLhw)ZzTf2YP2S(+^-a8wm3JPpL>IQK`WCd^ERz{{XU0j#pg## z5YNuqYJNr=FKVVsJ%ER!9 z3R$m>ht-PcF8E2X08iEgjJCu^S_R(HnXyk+`(j;sXjE?9nDW<;ke|Uvi?gIjxtAzQ z3Rlop%jv!!1xNdQg*9}Jnjrsjhm`Ab$55@8HEmsCn((-xE|vp}jJvnUdVTmM13q4( z!QUQm5xcC>y9Ekod~C{Qe4@Xp>YFCZW*%MMaXN5Iko_SC68>$Hi~VIJUXHczqSF;D z(fCUY_fIQcs1PLUN*YU_(@P#Sts;f7XG@(MLci%x8;IxK5xU=t<>`+L!gv)B)5>DF zf3c9?vZ+7XAOPwz;stvndgl?5fz?dZxLrCmPV!w%`LO*U;S={@Bbp>*9H?wZ@IoJJrF!ab=oW zCY+FZm-eSRd$ZHT@>7}!QJSb9QB}{{+hfh6Pw(aZRl;oP?IupT#G9c z>4Ka>&!#uvc@kMq*%zX$d+*_9lVR1;c9uQS=|e4IaAbbo5j!UNb>G(H zk|8l4E__FuD`_YBh85QiBs!nqfe{8`$(>PVCzOT2_yHN`-@N6xq@K$Wa=e_Sy(P^?gpfr;}Bw*0K(i=-LX!d zj&};B;w(6)BuD=k2gVttDF~kNRv|NPtP}d_xS~%3zZx z7k9kr0hNf?M1z~?lJ>Y?=g_NVd_0sJr3Ws`OO7Qr6vw;PZFp86<^qz4UIDa4pZ;9+ z@KrliBiD#(&ngSnRu>FSVUeOgj}we)*c{K64{k@c3LmV*>JWS9#}<6$kE8T0w-|gQ zv_h+!%8iwYWC22w;S5y#i)t@vbWbxc*qvWJR5aeT=)gb>6}xT=_D-Li>72I_41Iyx zD}*CDIIo_D0k81G40pcNnuBuADy5-sX9gIKk{d4R<)Q>Mb1mF~-p?R4dUbv}Ua^Yq zWCF}l#O>fLCO!|lD~e%=oBGv)Cmg{6KHmJ`V^wd~$KGu}U>E!w`BAts_Hf@FTEQ=k z{j_Z^$ogQc-s&^4{E1(@clb=ABlB9CnR}{uTg98=%6-_5r(Wy+WenW@V~`(JP=XWj zA~5sR!uCfpO07{g$|r)b8uG9;c5R_NZEJcwN>;X4{lVg>MdG5$<`C~vW4Z#6=6_!4 z{@q*TiNb|kk3Q2_o%RRoK};KLPVju-oeg-5AnOJW?9SEFF58lqT{u~y=Qno z3eK7-Xz*k1E3%ULl$!9hpw+#Q!sCd=WOa`qZ$%Bn>n=-w8SUe%H{RU>jd-D!Lx70#LM@ly{fJ~mmJs$qoR(P{7M%y(KMHJ- z8#>>Z+Cb4QST@IUjF>+NTNE8~=MQuJF~q+6DtVGQOVUO|2CPtKHL@)ksB!-?alPaO zQ@wOKuOit>;+p@cA!8lh_)Gu%UZ_OG3CyHy2L5#BSx6zDbB*tdJvp0kH=50I9)zN? z$S4lDKIWlkm+{QbnJ*C|L0klg&&{!tcmoBzDy9-?RkgaHMc#Ug+aNQ3_KS9vnS`r3 zIpYHsAUZVSQ9{jrBjpqvn_I04yEWw`qV{w`&<3TJq3>N=X7w!CKl`u)Sg0sKGNJb3 za;S!k$q^Og$6On5vCsGvYECuSw9Thy74677Gqa0cWXg&I?}f+0{-(T@F*2yhmkZF` z-6|Q0L)Xkn%i(>pET~GsW8~PML)C;r!i!``hk@A)QbKQ&k&uQ8(iVjxh@0TAAt@)m zt|YheQMtradO(B{UFc~)zz*z6mAyt@A39v{&jhCQKvw&W$0-cmRE0^^dpCpL6$E(Q zdZVW~Lmr88ebSuJKamftv|y*+5OJAeye704@j!4-~Ws%xQ@|9_7gp*xWh_rN~sLf3Nk(Rrg`xo&7Y-hiVo9m%8P%v zV!QK9QGMWE7vAA}(RY0?j5#DfHB;|1DGoKGwEuH|S(fQ~Bi@JkRpW5-cGYu@e&-r< zTm2*C%V9B;>BByf(iLg${v(xC0OzJC#q8`^Yh?cweB4CunbvT_Qos$RYefRj&YWC9 z(^u`e**}$xR^f5Mp2$!)d^`O2gKN`a?9Jgsu+xzvn?bJ8Ds=v{_{1k4%H}gtyyPni zo)J7x!Goac;}?s1${!1}YnGrr&Txq6&!Dc&gm+OBC=Kpp!Iy_p@oTC>nZD5HK;($+ z6UkyV*>dTM-!oiHJ6xe*PB&FCSj&WS)q8wSOs_m|DBW}>l`)yoSAnuQfi;`8=ayom zc3pXMYWU#w87N!2s}jG#KC#O12(!Rw&}`;Uu4YcM?g*lVYu+3xwsWl>^#E;ZumAHm z?)=pBb1d^a{t0d`lY301d!9JOv|RMO#!%AwSy+9{t+9tHc&eQ%f_%QhBq?&UW_YWN zxiZKT9AiW{O##1f?qaR3!}7>n^3tA83}@Z^(StvH6zN4Klhrt2-tx3 zM~Ng)X6x&Dc3rFX(xJ2!`T_y*nrLOG$h|IOPh6gYGKGL5ymmuBEW?`EMwWeV>n4`n zodSt4gRxoHE0W9~zIQxDRcPB7T=tYrRfWXIC4o>4henc^v{^wvLXLnMX?oMPFz49hPsfNYI--*4Yxl^Zta6O8s3s zmO6v1EkBlA?bpuW$POnH_2dd;%TY?kTBYl}O%E=Wm+88!fszV^dFMmYj-BrrtJw>uJH2;8->Ob{YBvW&cptbKO)6{) zwroZ&PtS66>z$penoOOqWUrhwrWckNfWS?iiu{#~)2(NTgz9OUoy;uX6@#) zwpQRVBotw@U{1>qeFWDEmqyZpUOxc6RTYWo+G}@km~9;Xtt2EvEVb5F4cslESqw@J zOXL_`?vq}D4^~yf^6vQ?(EV^c@}jlH>q}vQM9);U+ZLmtzqJ*JeB<5jca;TC6g~2~ zdbVOIU}c`DleBEvD)7Exh;73<(FFFgD$(R}8?R@)T+qfkU82z^i4YJc$P(6NKA-9} zd4$oZci@fT#k^<%q{lbONkOJz9X7^hIfuwGf|*qntY=Sy?}uFBI0@eD~0@R7BDMoG5Um* z7wb^m=7|Rr?Yl~8YkBue*v`9zQEJ`f#hhv^>cG@}{zd$O&&hrA)iz$IqQe0w*_}6; z(g#p_s|zANh=tErNEh!?O2S$c)m8{C7aEXA*UV1nO1AAbzu1?LaMB5DijJ)ASh8lU zz7VA)59ClkTqdE7#oAcqLC?l2@X9;*w2PeC1h&kd+8|CQ?C&e&~#SkKmcb#UH* zZiBy?T%oc07&g|P|}TO!nk42#F`wxTd$$6S-o}YlC(d^dK!4@b6I0Q<)^dLMa)Oh+b}y2U#3&j zHB24Ry9FvA67aN(9pl?u@$a|4X84`9OG@i5*mh4u!=%58yT3I3cSmUgdM^X4v>mJ;v z1-V!jsyJdqbaVA#wludXBB`Y5YP#;*IuR~8;MOx3|Y=O1ej_!n4B#d;+$q52@5OWi?qjo>fbglh=f3 zBXqP(f;C}GV{fK_j{+Yj9{|CI_eDi33t6TO_4PR?`t{**O&LKWUN;{2Hh7TyMq+DCQuU94nU&HR=c;A-uW7=)CFIv4x zh1-w*ZGkIf@1-r@nhBuZ=dIQvQ||VmV71rs%kl4N*VQZYY1_r_SeG~Qhy7J9&rgM0 zB!#aBrf#*5m(pJGhi(ejciH>#ErkLJ#xJe28=Bb~?zfhEg@fa_;k}#bgC+&iuW15c zVok2h7j1XrLwu3lIl^((SE8xbbR@7-t^ zBFXw$%yGmV;mB8%v%vy#un{s!l-9-QCd}>dYTmqk41vBL)!!bdsQtFE^tuTc6Z!(| zd`mnu)mmoZ3sNW*SuPpa2pF6c3X-z6fkEA)Dk%Sau}JEad^QSpbj{o2pCl}UZ7`}_ zNq-`#`$a6N>kbqkXJHMBX;eEen}TNO*nlDo*<9Jaq9zf=9qPeNq-3n%6{)YLY|$`= zkRpvrHu-JkU70fxC7;Cu9`q9DoJtoCMzvZW)}|x_nB!$W2H?Mt_de4}$9{{s;H0zO zT0{^H2Fq6HjyjDHVO)rGlanx$&ab4mg#kJBBQ>9=P2ib@`4)=MRBem~xC4ZZT1Uu@ zBWwclE>N&4jQ{;pOrb774Vze9uwhoSE=(l6Rq7TCWz#Lpi`W1LX+vTDygiJ5 z1v|54HA5-nnJbJ3W&`P~Q+oa`zYytbRyw~0w`Lmn=qt2tJhO#>yXBg@hoCqF8|Z@E zk_?G3tsFdz)9{f;U^_zy0c3^*qRhzuG)D?_DG>IAZfM5gaO1vjU(B0HYyoIL&?aXLLc=@Zi^+^K4k1e4v~@p};s{H-L~pPT{vGVNU^bqMzpd zc^`?wc;G-$NMN(j+dtuTkTps8HJ%v()0tP{_Q|{|2%B7CIN`S>;db-}Pxv(zp|@IL zUa*EY_%(~XP1_lH&~Nw$8qfGx%;bQqfk7hpF5xj^HwgX!K0pH1@;aCDbdc8MAc2rG z05)^Z0GB}Fc9@29gf%N+&tzdwe)DCV22Q_6hyKtlNTOGSz(=(iMmBRjCCet@yN^$j$ z2Cy3bf&5e^mRm6!+c{TL9(+uv#{vI@VV~^lrlU`}2@4-tNC8$D8&{)0>y5)R?`@oL zk@%?vVdrCF8Hqsl3Qn5*BV(a|39`xP-?*lB;oB~S!4PlR+=ZVP!YSS7p&vsE7W(vB zAveZ_^+ifB9c5d9YcwR%2d0QQmuD;U@0VV-8E>F|jb^*F$sV%McP|YkZI{5XdhBe| z&1K}6rz8j)d$^R~uq+DZo!%&26Y;8J!4=^wR}anCtmE`8S_6xW(DOw@P$|mo$=CaFihj>f zZhqS4c?_w{r?RcgMm!AYe@Q7Kd(DQdBi!0=&sRk<5X2ro5wt_2nyOR_O*X`#1MjI{ zQu{1|CCc0!W%_~sz+fPfbvqCFC&AFyIHivKgW`Jtnhe9JAi1)thBf%I8N^c9|E0YD z-9(Qw>}++`)tD21lR~!q5)73ua?|5dQmG?5ve2X%pgT5g2NWqRmYGHQicF=$5hL;c z8XG}|bKYKbwCzNe0dF((4WO|vM{nk5DKrTw4qt~z*CN8nPiIv$Z6(`3FNFoOqNIdJD>g*H0(L=^2FWu|ZVccs!l-naqhAaM{>1^#UBuuTouI?2R|Wtx)5;ZF_55kI8=zTYnDkJN@dy~ zsa=UL{M)V*lhuYVm!S?M4GDC6=gL@XDwk=q**sn^=PY7yv38#sEx4UkC@W5U8ICuK zJ=`0Ow0GX?s+*yV>D{&FZgdeo9$hwKu5Q@SJ!uNnQgNjT9U5M!i`*;$$ZbM54{oq* z{Ffe6yV}}mO#p)da-9E!jiJ>7?893Zt}MOsQy9tiXBsvP8>+M~45;2sAVHL1JTekzYlq+B;zt)P1iHUD5{c!N$tF zk`ZW$%DnP!{l}pG%k2ER1$71$&eoD*Qp--3SJVD40)-oHA?7VLx%Wog_A*?NaWtAv_S_?V(+P|;+#kLSPPrp}(o6f5`$g4lsajPFp zgs9Ds4g11z`(yW!utsFd!fFP}38d!e%5xZ$6gmd~H~ah374_+ArCzJTK~|bALMmLE znP!%Tl0tg0NUS0)sSG0#wbOj~zs*?_ji!EVJkxGd4rEIym09Z|a!Z*Kc#^-tV&u+o zK}C=WaVJbL;g8>p5ynbc9(>YcKFDYCD4YUM9RW!|J@v z;lyO6m?6nP^TLoebElTaC+KXiESKelBBewY;UHfo`Yq4Pa zv@vx>ObdG@M%g}i(sOP6WEpHMtSS+VPP>IKokZxQs!=*dBlh5y-ywZ*FZ%GBw4zhS z?;ZLmoh}n@Qi)e^RID!7X(H25OCy8vn>r#p=+EL>Fp{!F{Hf=nqjzwbJd1HWy!Vj!pQNd58bX1-!3-$+xJp%&2`ROV8=M|H-15m^ z_tqu3@X%Bl_78GB!6U^{@y_QH^v)Y#(7ApW+KcWtB~86@-lAmtw9`>${)b+#do8b& zdqi+W>9ptuldCc)k1qXo;wvl;sjzQe{pOLyR~j-ayR9-sqBxnGdLr8_4s_NFEmbSK zWOb#nb|gyRj&8U^LOa-hTz>Q^Rd?ANib;=(Ew>DIU?O`3h+(OWoo|Rt1y>_FPdkd{ z07J5_LbO4C*$3;!;QmO$X`ZE})s7Y;6gwo#aTLYeh}-l-xAM%^8hMZ>;cN}wxIph) z2IY#n4a0Mw^^muZRsbWd$wKZkX@N%O`D%*}G_?OS3)T^?Qf!6EOiN@Ri+Ae@7?A^v zT!ATTpFXt*Z=8m_OZspdC?9`KNRs>Q+{q7M`RSX5AA1Ghm3)=(oqD18%?slb;^37d zH9bVbM7Q9)h=PJHZ)SUJko=(Ug_{ZO_((gPD-ta7A3;z8Hk4as642_(yG#4Spg z1|MMe5ro5@$|mD2S!oR9XcjpB^~*+$4{RAc?4T7e;_kPD_S;08*aUqdY`KM`(+|Cw zRJRpqS%d}Rfa|o$wPjur+Z@fl^gXM@HX{64I@f;>A_Qk*wr znDP2qx5c>&`IL+rjTQG62}nYXe#%ZQ+ka(=*m*dk5JO55*>}FAFxFFtjb0vZ=L>9h ze$n6Qx$YS_=(!#kamzh|`Ae>=c$L7h7qU}>pshT#83!XYRTLT19BrM2y~#DZB*^hE zoWS;DWn{>}DtGM!vlAw6FW;CIxp*i%HOZu|4tp#YtNKT(5&p2RVGFSanDRx}Ga3Sv;gk;i zr*l7vaW6xVYZw9h);>Md*Ya)_x(*$)_d~6OrR+T7H4zc0Uf6KtK8Y+})&340Xweb` z8rl0*P(M!%WWk0L)|b{U%aWBe+#r!&OVFjWC;)tb|F~n6;RFc;g%xQL@}akPypcni z;_Dy$b2P}lQSQKYw00=d)_KS~YTVwI>kLB>Dt-Cz;+H9~POk0_0YE|m4v_Y4V z#IlXDMNaPoKn3lnm#M;z7CYnapD?Xi{$aV{DOIsWb z!XFR1(nf@Q@aR+gkE}anAm5j}D;#lY+43ok0}~2!UU7c(%!Bebm;^-u9eoF}@v@`i zv#0YHofVFCw_;=b;8Ktp1`Aa<3K6Dnv(!yr9%5)XRQ_%t`!bxjAMMF_=bGlWsFXMtVD&`awYExfU;!9O z2aL>b#+iZi`H!@bdtri9#(c=W(GxR*leWLB5CPV?_ypEY@P2d7oY>+K{nqf-(i;@m;{ImoP~I8Ahb>*yaeIZ zO(VRJ6AyOos{lxHT|@z{qL+4}0${&to4(F49jJ&qWFwYOWCaz6a~;QDJMeCug1FZHEyr2b^1Ql)5oa0Kv(;#IlNoJtJgQ1dZ zO9=2B=!H1B2-r@vxB=6yDbD^{BaRz!$3H}(sThd}PU;n4m|V*1rAc`zJr^16N!R@C zR!kaIMi>AjwkdHgZ&f3LU{A`Mc0FCX4l?Fp0Cd1L}d7Mf>h6mC@=@01fIBBQI_^ za#7#G32vAdCL)x?n#4I8-q5<;T^&L*4^#*TVxwHtqvQg3?1GIH%JZFxS>zC9#Vh%{9XXlM1_55zOpo^%_UdYCN$i`vF!pyx{egbwR2iqB1S{u9`W);7F zww2w#DuJIR>BPw!F=Uc*xrnEGxF}$z>n}6_pg!CjH)0!yzqL3^4fUjGDMQ@Pcn6FG zKEX-tX2;>`&BS?nPMC~JO~tzinVw$0xg_VsB`H41CP$=Z$-@vUA?FbfcH)lFK?0C- zD9I&5vN1O!!yiu=!;azs&k|k+T6_qcTmKBUqTv}IW0yk*rULS#1An)5bWHmUxg*VR zBjjUT>r(AqWraO)qxd2P^_#wJ#I0|})qI1@u5C-O*E+&W44wlgv1+ktr%>x~c#8(+ zd^}$#q%NdEnI1EEb@mDY6YqFny<%BLi zEzWQ&$ndy`0|z<{4%Lr70WBx91A7eVkPj$VB@=h`H;`v(Lc7frDMpol=s0PZL}|>`VJZNvc@4V zVc^IM{&sFdfBs6lws-R0OED8Xj%x|9WffMH$dD1`YnpVb7MGnu-4>f4YC{GUP6f)7 zhyqCZ0V82}EN3AdD+oAX1BVLxJ?PCdLG5|paBFJD&16r?=t`=4rhWhmgR^0tUHQpD ze6g`Yu+m+N^k#}{B$`22oZv+R{ZTHoan(YS_>IwRBr1N_mIR4;uXefKsneJW8|n%h z4OD<3G*=3{4*kA@yiQaNci2n_d8Z#6e)5f3F4qo76N`k{9qrULtie)Bymu&TcCOK4 zRY) zix!_5gbuQm*-ZeGSOqwTRhT5W2R49__UyxsVTOY%2IQ)-FMWUWwa-L|0?orf%rMGB zQNW0x%&*>s_jy0`+zml18p(>gV|wH&kuOt!bBxz+z(^{{?u6&R+P}}D{ZZ0Kh$F?s)yK$m21ceSY zv2}ob@otXeY$37z2B*W2cLL&+MmifluUxFNt4_tQonVh{tTQm(GT(cVk3<3t%97_i zg5vDoOhK_+8wZdFqUyaaIi2zY(eeX$emneq`Jj9aBgfWMk|DrMpl$r^MRVl#F8xim z{J{{-nCb#@{$cRl3?p0dM;KtQ7- zA2Hu&5L(AIr@s5VJv+=ZE6np-!iyqkPkQM{>T@QxaV7RX5&qnYb^}L@U-c$a8mGsN zg2aV_)Q0i$Jq`EE50J?Z6v_|y5aV;Sqr-U&>fEt7(YW0HIWB)}x|zKPqIZ;`Ym(a0 zq{N;%JMndUPTsY*t*wQer8YOZ3f5E=^1IvU2FdwA#WzSR==Ty9wLao)rsZsKw0l#2 zw8*FV`G%1XSKT*g@H%b;%c}FZV~`*!?}EhOr>uw2;peJtZae6~e6-^^&=3y-8>Scz z%=~&N0uaE?Ig83G2(C$Lsdlu8vQ}CE<_>PgE%}F#!r0(vM?{<>D){_l!M*-{?+9}i zoy&o!meKLz8wMTxG?7C5%K110aLT9wc{n)tEd0hM@75@1B5$W8S`ikpu0pjcJq0cp z4>tIC{gjLpLD3~pP#e}rP#r}q6uYMN1nMTzX8@jlgT>AJ&0@6>I{H)l9k)%e_$gA^ z?r~DtH_pJH1m!q5k@=yg_%el|t7nl)(o^Lr+{TAOvYCfM6U#(LLVQK^hyz}Y299lM zOe_=ex7vkz2SS*o5=SzyST%W0l6v?ynMn@Rs=Em`ZTMRh%l%+N|BeUe$v*=nNqR0y zrA7EYJ~L$c?wnqJ(ZSRr9{DALrja?h`>mRD3dM4G@veDVe(#7jPO(?;dT2aVmRA96 zuTFr#-}5>~sL&|ShC^TR4+rD$uXve|uegclXQE(%se16c4UR5v9AQ~L4!I{ICj&h* zJuQrAg( zdRbjw-p)5#we786KWPI8nv0c)V(%xa{|2XzZq_@p204i0UsZRI5KTM{grG=aBO;H30~uOYNf_i!jSha61z%sLIzAu#Gmg%(a?28OjegyjwY{7 zj{ycb!1Jri&nZQd4>viMt({Nh&y7j(&`~Lw@-!J8VtZ6(6;(JS7_D=w0-cW)Ee^>y zlM|^~3D#@>PrJId|4Y^?t30Wd93OM@`z4rAhUjle)o_b#+1Ytl{@k2oxn>2+95W$Z z_l(gg*<#TH_7j4d_a>+6pVwdPW@-{VLq>;K9`xQtrES&RL)oJ0dQS++DFSsRxA9|= z=Ai$RHUC$z7U=t!;Kgi`XPlUlW1P6y;^+0H*bIJiw6to`5qG6dJ|YAAf8&s~I|hTN z2OU!5gM&R^o3Fs%lX(YYunE6olAj{}b3(*f^K3-(b9w>WZHc$AWHuaz-%ecL3#GEX zuLT}w5Bbh|^Y;07#@f?N;=iQJkm^ks|IT%)c-;aO?6r#B<50Uz;Tdw75!F3XULMu>gnJ@7*e(x$-QPrx1caKI z-*!X2OeYL)(s*%?#_hZLF=N_~*#8+sBAcwF#rJ`;GkoP} z7^Yr3Aspx+ZHHr?iriJX{s@{Ny9{Lc01GG6-Te;08ToRLj!7+oTfGmqC6-H%2yt`^ z8^#e!PZ~7hCo*!qmYBhrr@}FEppO~<_Ef`uO;ZROL-UtW9oAm`RKGXfhk}1eGiIkq zZL$?7n#j$dO?@!M(+g{X(pyZ)4H39!IQpQOb9*Np;FE7)CA^Ec`^2`u+g3lY0gb(7 z=M%%f>x8q&ocQ~r3z)+9`m#83F6zFJ8LR!CLmxQ2Et$~}OET1c1aZ_&0n~Fa|07?K;hW<>-o2VJeDkl_LMIO$eijzuPD(o=d7Le1_mSz+8)XWC^KgPdQU>SM&uxon zSJP88M_bNgL*695@c4*+HdT%Y8$|Rzo=jDPHTttLr}qqfh(o)G(rG#h;z^RD<_;!kd8GjJYV#@LC&E^2B^KMA1C|f7|5b8W*x?L|2j*c zz;I7gJA5_R)US-3oLdDU2L>&KyZn@6q}^q3?6AQdzn=P_c;t|B7^v|31(Yk+*8+N< zm=J%rNu_y_W0Wb;6_mU#yVIxm>mPDIRtk<77fS=%ez2cI&QdITLaw_vFc0Oe)ikz}EY|zTziHgC*q7 zOM^xFKMo%?o&U?xC`|QCmM{e+e$ji>1TVOB0}=aobkq@r+1#3aM_+yTu_jigM(5h$ z&np4JyAbu4@j;cTM( zZL@*T@$FI|bixfm3dD3QnhDBjz4`u%F9=3X26Eb&?$V5QaTclJ>vl~}Ib={2G*xIX z+5jJ9151S6(VF%?m0x1Kep-_*2>#?8$iKv8`2umuej&6okyyBPkUtW9UuX0@0Rrb_ z=f46>)uGCIsgRm_>4=z1^4IzXqt0nAf2qUg)!o@hqR=PyNo6*jQ<;;-fJ=X-2YtLJ zTeOGtML3ZZQbtxfN^1ee#`-&gqO0aTDXuA3lHDWP)Efm8h0ipr z>(L((qjz^UNZ^QB-niPxRNRcV>we5AxcMN!Vunk@mNx|yiQ1tAcq4nuzQ|1EoM#Gv*G&yqVC97DTP zf?Va)M({|8RV^0*PeB|->d)Sz6Pkoo(&2xe*`yQNARtu-6&WIQLZ19JT2CtqFHOb_ z!-^N$g>~IZH8225R#nnlMFO&c@q-Zr>#y=g5FZ?}BaHonnA#JxKFR#x9)~KU#!7^= z#oX2h#TjbKg8rS@ty5|(!AG1R5pG=tMdMC_Ukvty;ZHiMt~X@eeEi;Ia{9-z9^*&S ziN=di%OnVs0_j~n-6ZhFsQLCU`X4{&VwJPwP*6)}81kM^=9jN!)Q`Qy+!+TV?c#rR ziXxxyzo}Yts#Ds!opzy;%1l7{piB?Tn+)eEp!^*&EWC4T|hyRo2boE#OZ1 zDxDEos@_0&ZZ$>(F^n&Uln|3vhFfG~Y#WoT|^y$)vKEec2v-gyf@_TFSQ-=T~zCXuYcG7VK zMl}`(Om}O+(S5f$&R<`jPZ4>SD}J{fYic+enZ#qTjU#Sn7g=IJ30_EvobI-FcXWoTicltv#_!dGjT97voNwS zF|!h@n!9*do0=0V8JpS?GZU-I5Nn#75R02xyM6Z@zW#4G5D*;B|3M&i$p4FL`TrMt zfnNB-<`Rl$c~TNbOd^tIAe1+WWYV(YYBX+7_ehUUqgqnHMqY7g5+}A9~eY6b=bd~Pm3c<|o{DLDnX^Lz4Zpel792pmwne14r(U7elP)tz~=q-5Q!IKEz1 zm4D8uD)K+5xqn}CkC%XU$01Z=KIAc>()P|SWYW1{t;fQ|A*YM*ecT(v@Vlj?n}z@^ zi8?^h74n6hyCBsmv?A!7DKgHrbnYFJSyUq>;dnksV#vZId2cKshIoYJiv3oT#mCJ- z_(arh(qkMPX0x%-Ce8X$Q6-(-^aih2Km%_~8fchZX}Advc^nNo2x6w$=YaC!-{5t) z87^?BotBOlswG4G1sMcdN>M4ER!e^BILrB9b$Q=CPxI~DzRzWUh<;+?pj~AvgV%SM zOsb5r?cYM-5yHMO&~wN+viP0!$sq$qZ|4{5k#Gw_k9`!+~T?h!~4*!?_{}dX|wRiIFwzwJB zK3*!;o=+-mIpgwJ8w12@5S>1Fb{5|XoF#Px&p6i7{Eb%6S08>X|Nnje&mjL(IHY${ zcfwo&?T|;Lg-9Vgr#vcc2XP4mgyC`IS6`m0{-h&Wdwe`axq^sohpLL*7;$FB9|CH1 z6k3&aW-^C|2-De#DgUcBZHV%rbsql3fF=A>0K@3clF;>9L>i2|h(gK^1S3Z!%awBe zNf6zBNJ(nN$G|BfnQY>(+?B1GkT=pYZtQD-I1LDiu3@O_&jc2=$T+!_ir!-y)qK(X zr*(RmN(-Cv(qws|pLq5^AL)`!)`uqO$U@}=LBJbAgL|{-kfY(eOz>*u7I-kqg7cr+ zz>iXRI|#zWW>^vcOlf^2cWwO7bc?a2cMya|i?~*zd7Q;8lu?&1FKjUthK=@*P*smz z$Kw`_Z7#MmcQx?Pog3$*sX4yy`E6M`ymQI`jF+5pU`NYm?p@`Y18*ge9}r$|%zwNw zy2SDDnLmx0T+L_7>NXSoHb0$#{h;#?uW3|wq=&lyD#`x#j+Fa>?+u6b&Ym~Njrqfl zaN9o3RgjfYMzYWBsFxh(CZj-eSaYs=!a}@_VKSuCmWDCI5pX)7yA_I$AP?Z&$A`d< zGJHY5onA~%lnLu8&k3Zz9>kSBCZ`@v#y~Spt~wqdq%pfNiD$j`N}eCLuprbFRLhT) z5EQX^GkENQNoTx!9b5kJ^@x3-pZj|^3YH}zuPxY&RPM7faIkc)nW`x>XAjtOk0X(% zD&7q@7j{Z5A)uY5AxATrImo_Vs53#M_K6R@GkX?7x#`_5_B&d4N4`08qzS#0{T(Kv z@_W_3AY-pwgEN42fpG-!W1PskZuai{T^cW}c_yIj>n}j@rIu&T|7-cR;--IRqvXrR z6x=xLA7tG*zMz4Qe zzxTqh*Ju6y0Lbo*2i+$}WlsC|r2-%*C*>G+Z-Duj;qr>gD1+wLXrus#5?U#ENQUe!^BB$Uf{}0xkbOH z)#LF;!g`;w>QA!My4*SUZ2isvi!YOEgC5HpEP##{Q$2CL5#sxg3z((}1o&stj|%lY zM=6}uq+C8{LyKK>C)s=|CyxWn^%{$AInj^7;Kv+!cdEr^3*RdcLDsR1DMH29=&bT{ z?NsdD;rbI=>?`#(N6_h~m*Piw_zivLe#Y7skXUs`pVx z3)v{Eszp+MYpna~j`o$-Pc|G>%QUp8#QuA)@RNM)QV8mU`eL!JDm9L;z&+dW@qNW{ zq7l?Q^=R(X_YC-C{x59q+mU_2#=0S`Y))zB^b#$Hc1GbO>=^lJPCKt z6%Hd^Vm?vZiG0eswrXPNIbt2o^lyrTkEn)jfi{Ifh%Z35I7%bowAK_o+nC85>}hmF zEJuXM*m|18Tz6_~N@FA)@Rx zLe#r7x2Vke62)OZ@;uTb+EYwsL+SR&7nxV_Y0VJR8m$#z2`^MO8|I)I9BeT3qA9QB z12GqB#7x5NNwD-sXzU5F;P=a~Rd%86-Qh+kJ$ZIHobjXGgam68sX{YBB=cwIJ$fy|^s4SKZXB-ke!75*~O(*F&$wT!QJ$CNuO_2l15nkp6 zY2ehFoVF@LNs(#RWg2yTIFv%zYc}?w8}}4p(5(_STKm+GHkAOw=E}{*nrV^7RXDaT zvTKqX9><4czeu{!rS#g|2CvH&55L^;URky+aF}YC%aC`JQZam0!C_EWpK-Fsq*$)} zhB3)&UvL&N!Cotn@bhi+XXrHRYSKQr5nPeb4|B8qwJulK73?)3War34sD%1FImIYQ zir7No!aqIbcpiap28eA{qh~B@Ig+` zB^h+OJu<(*o!x-pjjlO<(YxIm*mXcIW;+(-xAsv~4cxvBB8Rk{b&QLomt`D&fVJpN z^o_}Twnc}Vle6a6WD%*V%+*c_-{a><$a0W++6e!$jOMD4yOUF4*IyDv_vybNMQ};S zN~KFXXn8Y%zcQ~Bu6dQx&PJCuIHwS@7L*Qo8`5z6x$-d(P!JbIQ$v(k$}lHz0(*S+ zyojxS9uqwum#&RsM<|V?>dls7X`(g$OQ|LzH5CXOr6V48&g*q$8c~Q6zOlzol3+k( z6FYwWN215;a^R;m$qjW};>BO5Rup#AKujLe6td$qFEuOZbji?y(i_(NhCfgszVaUY zTg&)|jr4}cTS#c&c{G`!CK1nYcq*xA1?i*$od+>+Z^7qLew?aGo(CCjw0Hn#Om)QV z5a&`<$nj4SR{5gWqQTmaiv;j$>;$>|9I{s%Gb~(&1++gX5im0QQf}AdWqb>PAT1sX zHMU))r?tX2id+UUWXTAM@JUJ}YN(~e{HS-EEy|VbpSxi3eh)&3QHkGVeJV$q34*Za z(^JXBvZI#>?j|RB;xor2ocJejJ$HoQ4^o+1ysC=DU_*7Z9oaGF9Ngwv(ih}1Oyn8n zNb%u{Bbj2Zi1*SBxHqujL*fv1V?5~4u|@ZI3R-B|%1NWckuHkr+)Q+}aHaP>$pLM1 z*nYSD;q^EULjB5)$Tk=8mfk);RQM^(#)fcGohi%(9Z^*J!)U9@BA9N$#tGILpq?nI z2lO<3ab-B^#RpY;+uVTVW4Kt)6i|9&v!v}dVS23sR0zJLm;?pn#M0`? zuy7KKxi$n>*E8sw-l$5NHdLEY4_vKdLHRm1Gp$L2z=!y&H8HJOqP#*x($lpeZj>R7 zCjf)CDE@?fR-TiM0Cedj{h|mZ{+SHL)IWmh$@n@r|Lgi(;sVpt=mGM|?+f+pPdaW8 z;(Hj*qF&?tb{z)r3rhBwKBkdvZ7I48^VX$s?_KuNe3PJZze&)p!|m9L5iY}wH2aw` zGBMXwWPL-1Jsr|mc(Z<8^w?Ny_4v)=K)x!F{`hJ*r&!*=3B6vg9K*9Sa-0j9Q}xI? zgWv%H0WF*h{;ffy>!I(7)VDCLy=8?BY`MBYZDBdF@6-7zj)wvGbt9%MgV(q%3Um(l zKQ_3Mu2+X)x9Y>tdwPS27J7Je5dJOk55Arf7+><-35ryBlhmKcPRc2QJ}zm=JgRC3 zU-Fz^G7NZ-9sm09Nld+1_5Hb4e=OBt*q>z7Z!k2F5x2K$iLp_Sh`CXZ`kgVqGcM-F zRy^`RwJ{v3l@Oi$hP+7X8JG(J`fB%{yPQuNToXt)CM+A=pNBd(P>-Qwj9;BLr(hTM zX{sO=)ur$aoQ?{hnf2)mf38ruA&o|Lx-KtYDOT9UdED>M3hhnG-f~3% zc?`(*PlbFfK!V%($F>EA+088YRl)>Obqom2YxWtOIU_#f1r!Io?K{O!C&1% z#*8t6haA^D05mfIsrAJd5*b+iLCrP*lEWa9@bNx8YOW z_SUn}{oMNki@T--aM+^4DXUtEf8c#NL!*Vf*l@huuf=?%J64_k#G!bN42{v?uTVm3 z_#&VC0f!|WRD|nEsDpgtq)mJTnOUw{MLCl$*@MZQ>PhH=d>f9_QXu6AZhkS*lxzH^>-XNB^nQFCbUXQOdTllVX zgr?2yKAo|-(2>tK`G&C630Tx7$3G(J9kW>Jc9<^Z24#TwPw2;Hd{CtGeJhlg8Z z1&EtCT*DN(J#|(?IswroRj}@9=$yiAcQ1wAJ1e8T^>(w9e-=A_*hi0} z%^(VWlgvg?8u6gDXET3jjLuHtj*Xa@T1=R?(tl)f0m_pOZW90pcz8-6d9gLpUu z#7$t!E(mBbS3l-ZyE3p&a0d7vz%gy7JVM=xI}r@2|Jq4_J{*GI={fUz7ZqLdj2voX}NV zt&eqz@nWlb8=8Iwf1};@XM4NQKWT=pB8>4c{>r1ZcgZxze$o6u+oB!M#!ZG((kx*JK9sk_J0+r^gL=KhanVXO+SgsneBPrWh+Ecz*2U z4*x(hcfKMM^};1prLUe9WL+)o$~*Uv@I;wh@ zwJ5SBP{{;9W7Le^#s8GBk^4c5d(Dq<2rK}J*bHVg39VIUrm(P;FS=O~*0;Ts!H5h) zRF84Pyw|;LLEOVM)OhpJXLBr8-&v+cvR-(d@xuA&&w#<*s+Hx{ZuaxSVQ`bt+qj9I zboGi_K_H=~p+`$3>@?@6M1jh3KJUr9!|cUeLqjNfP7`eY$-KW}{GhtBZqEK(asyV& zIhkIN7LZtvx9vJTr>vGgq)+c$emg;6*txxXRyB>;Co`foJJeO%N**P&xldzOLCP1? zO(!lz*|O~Fj9#j=02d)sN>~-Ty~tj8H_D{&YftQ~nA0%HSwVAG-)ENB4HVHQej`Cr z$48}@aUgJj*W5`1_`O*4vAB-{Tv=_7 zWQ-FWZdtYf`K610z>NV=QnmO?CTftIpL&7CLq%cIv*icQS#IU(y&=1v)!c%TuvZhq zjs-of+=~KD5{pR{=G7{1EyeVSHuYV8;eeZjSz;qHm83XN|}a8JHgK)^b6-=9)b+f6KYPe-g(_M z{}_7{YEM&q?fjEV$9zCid+~xcG530$HZl-4O)A$Xh&S zU6(HLO7%+rng6Ca;BWGYGl{Ip3D0VUbI+|x?sh&{1dWF}HpWJ{j(K}lE%oXYLwlfa zJ1xl>&4cteMU}&OGRNNVq0SzBFJwC*oyA1)D~0k?R4^!{N>^TjI$tTh7pY3cv#V65 zmmg`UoA_8Y4GrWiSv9}ZWH>Tp1@x?=f^}@m_=l5`9WdH&j}jsLi>dzwnd}6EX@oh! z`S0kZfcvdI3+fhPHL(f?EXH(&^`{(TvvBRQ6x{ew4qR6+vNW2yJ+78ZkaGXbzQ%CYkm zZI7?b+v&X&EWd9$R!p4_41iZ|Dva`o>vH=?#k`xjvJNz?lg=P%<;-fnK9*Ai^ z9&b_4heaabq?hvc=(RI(rt)O=`*Hg-wkxsmadDg+^`}-2wnT<(3ndL*ogJ*GGLnm9 z5{x=d3$N~DPynrB$@zj7zz#mL)emb`M64!~v* zzr=pQFST^1o^)MhG_+8Qz;l^uKFxBdW?x!_uE?0_qwA;IUgi+@sA-+9JTs$RRnH(5 z(0!8^FPoWL6mT{lEm(Y+GxH1Q5x;CAPsuns42k$}cw?Txl#nleGkEg)$nuv;X`X`A z@pNrqat~?Wdr!sXR+8>k{qC2rlXMwFs@c9Ne!rT5ay3lHYYwA+Dr*DR8L+3x^X z;j;d(49D^ce{B(zTS=(jb4OG3w{gWi<41exJZg}8cBxs*Q92kCaianPC-SRder?VR zX`Jj|D4b6un8^copFvNX>pU3x*uVL z@vUF$nf7rtU;|$;#;={Kemo=k`M=h~m&)-#fH!vCm}344#mHn9IgIw-%~EPss0&9#ZZKqcEZh`Ws>1t0Y#YG z%AWfE&(7v>#y;z+&HtyXAbXnanCtq=++mlb=^Sh4Q>FO6idl2FWiPUXI~SYg92H|= z0M?6~%rQ(x<=QHy}Gnn8d8s$r@pYs6WiAWLMIv zc7I8Q(j@vnv*}mEMvaVu48sW0987*y+CAFIrBwlWe%qOt$?BsO{w7L6kknS~1Iy#1 zTXFAenw_b3%cSesFuq5#4Z0?P(J04sd9S^_-dsVK{|RMM{rk`Tz!t~kf(PNFW+HL_ zN@~{8k~{tPmlxOa569_N!{V=Ey|nYahsqKp952gVG)ulI|)h?bA$IpW2~iB}b#FPd!j)Hck2Zl6uojrD;a z`md|$1<#K5@4UKSmFd@2f&7Lu{kI=O+X6(`{*xDmuwPmZUoyAlIsx|Yst@&*@de#H zUuu`Ut1sne+pfKzpRac|dT#!+*V~`BcQ5)MbB3R4562PeF=v6^SI=1|8U7s7aeAM} zRbOg%=pQ!C39?^e0ReAUT%WC-mnq|44ZrBs>Xp{(j4*11z zKcb?f7tM03CgW^dRVA3FVnC|iK83PN=y;WR$Smx;$3l-+0|UhrVWnFV zQF4!Z(7LLH4iZtFNK!Mj=FvrQK1?-n7>ri}>PHh4@o`Js=mv;T|0OXS*3W2$N%=M# z<(n4CgcDAsEJ7mxIUE^l($9pNH9Ff+5h#WM ze<&zu90|L3h@DqxgGUyRituAfnu0{t4iUmUp+k#2BrL2+%$X)=Tu&Z|r8;6E(}>Q3 zwI5h%+|aCLYir3(k*rOuRuOR01L1t93TPJ$yU)+0AY)BM3#JqXOcnBjZfrqaI?v}j z&J%)PLPA|K7WU2;^22QiKwYBF_dVF@WRTy5-0(ux!nedhU1RPLuv-y9+Yc7{W3)Jj zJkAwf+RhU~*$aj|qFBA*wLlB={wd@K*$9HV446k^v3d&fXb|qkZV^S;;4k!_Z5TkY zyU`A5gKG(7x3c{8XdUvXGcRC1ZveePiMX-->k&5O5o%t*VO~NQV0IE4*oJLjzk>z- z6(iI=QP>*vNEWgJw~>IT z!)hhSZWUL^Z#@49c1ax)&S)C(?B!n7e~Glg5yC;l=10RH1}~K3IN#9EvI#8Y2j4Ic z?|~n9n}f2)Z%M#uVK4MwE6fAm5d6`?8T=?5(uUL$$YhmLsBb+#3w{X~(uUdsALpYN z?nB37#rbQ+V%`Dlk~HLzVV;4>>Ke*^y3ik?#UsRn%*v6)DiC?YBE*B+N*7_nS7?aq zN5T^JgfKfr2O}}GwA6HRCsa)J{YWN^Q1B%2YH0^6<6T~-je;4W20M(dVRW zU&+$rY#4oe1r`%*YU;FDtg%KuY7sjvgt&t4tK|DC@1Cw0bh^qt&v_JPT1U2Y^<+dx zmDVGK?67aPbj2kIq)W}LGl`X-`PuW1u5X(yTykY=d1meg1ZtxEPCKjH=zOu5W@{`M zj|X#o&ppK=2wNT(c_Wd&0_|5RBayV9YP}sejjLJx?*`0!&HcT*qjY=3@=NivU!8dy zV^yrECmLS}Xb8-~U&+ZN6jDNY#6V-pb+YENu9W+VqP3SKN%>}I;}aATk4r=*WR-#)$$nyC+&)}ZkGSqR&OwVx0;BiIF5`V4%{19Rx+Xr`DeF=ju68T5v-cqA4 zH~#mLTcM(L?o{HFo}%YIt0l>Ocx!ReS}eR2D%fC>OGCfe2Lo;<_FZM6gn^w7#}ccb zRfJV@X&TY7Y@6^;Hpwu>J6zbw^6?mgYNT8v?%?Mz*{}$34zQU+DyHu?(ifHUrA8gG zD8jS%(PO>x@LYI5rPUaF#ws<>{t@$6&1#AWlO>ylOs$htm^+qg88S(bX1W34P=!uF zjVCg6QO&!F_=pr~rJ@K+QEyUT_we1UUOoIwSv85dV45YAX7CQl9{XWl7pAT;m7u6H zTTV_K*%L5JFwCCa9O2yEKzT!41i&9-F)gym$UFq9D9Le6|D^JaM3srlB>wDku$G(5!1Z7pr1%^<@K z&{hBTu$9=h<~kMfh?$lUAVQx%9dZ=UZxKUz9ohZ!i6B0kyJ^A0VyaRcvzw52FMjSa z%)z&V-93YaRiJDo}#h(P#2^JKm0`_0P`*c*tx(o@A&z1V;-9) zN5?mwN4{R`Xl0R~cr5J{4RdsK{IY7WMsg-Fd$bA;#Y17>~|3!h-4twAj4H+#t z*m0m_CzlnkOG*Dtm%|lNfsc|pBZiem{7JH4h=z7aPO_knhEyneE+kCsu zFYN5bILVS7*w?jj)vO=8CT4}-M|&Nbs)-nnC1wY*6*a!k8fn0Q)-J@m35(U$)S%U9 zpdz1VEj`Q2;(G<|iv(E-&azRK*M@ovX`+m(`IAj+BWfH2;F#|)%i>kCrIDqTSr)iC zs|B8T`;fc8$A6#KpIqS?td;#zONOjU6dB3Ejam$ex3O2|4y*8BOx28{52!#YK*Pqe zQT!_GRXI{I@m@h(I4IRfc{NJ1QddoPkO8k@bPB%h|%P2qq|?I22K?LdS&bw?HtVOJp_B<2zb&DmqlWF?sX1I zHDfY*vwL*9E9a}Kki9Y2E)M7E4Js`9(csfG5&wSIW(@QzCS)~IG9Kj(;()v4)k9NN z1O^+7w<7Ld>(xWmu5QoTgVn8oUb=-N^JNZ3*%t5RM&Oizy+NKw5z%CIJUo1>Cb-b9 zRE1E0j(4lOYZ0BgQ4tj+O-;cMGAtkO8IgJpyT%_eM4o$Yt|#oW8Wr%rpWC8kcKn!_ za>H#{(daeBkU>MyXF6UfcFf01V?W7dr|HrhphF!mTj;E~&DBNcs%E!7h0`qaSHY&Z z@2H(0>TnXOj*O%VjQh#R-sS-hfjm^6d65}7z&_=wb;oSN4=}rSc2MiNWOyImDbjKU# zmy52P9mfATjKeyNNBzRkJc+9y5z2DLt1TYvj64ul6PP^*CdxaETL?wB_KwpZpp_@K zcZKO4VGL?&5lDD%AaaBBws(v|gC%Jy!0fW*Lcrt&PD~Oug4CW2uuoL1nxUebh7ed$I|km=6+9cPetlT|cC2mkn_#4^Z#2zuzm#baG0W1zwPe`xl_ zsDB$t#3q1sUjRU*oGk}d!}Uczed8D}$$WX>6_a}E`M9(M02V@VM{KV+T&eDf&5^#s{LcHMX8t8}xacI0(O{7{a z?!WWw^S#joj;BIjlLEE{I;U81bFIAL$;(2iKPaCM>M(|~%yDlnpIyjv)zd)@i;9&B9A;^es1;HeC6*lY~0&0fo<{dRUj#N`jDh8DcP3EydMepvQ+peAu8h%?qNN()J zJzA1J=xd=GPwWunao$in7x-OOqp|0f5$#z>p$-c1=jpybZW>TkmCW+8dJqWxMx#0V z26BA0`#U)xB`c8Vzd6BGHes;Al^wc#qv+a+K>eBw#F&zxLc^yE8lLAg$w6DPlZ4S(G~Pq> z$7Z7GcLFBvZzk>o6VW#l(SeB>T_lXIq6%OTfsnFsvYR65T_n{Y+^B~}0@kw*KQug% z>20<2Yv~-df=Yj@YK$UBP8+m?iri=xURY3?#GpHKqr@-l!j&gJaieN>#d!DQ-6A`T zM{Fi0bd!9oBEGcX-7@~V5n0i_JTs_lW6hbte;=VgVHUd4+<{Bz4&wub!G?3v)gU-& z-mVvf#cy@6u{+T(`;5_+I4}P-*g6&f>GuQV9rNTJp^2dGsYZ32gV^g-Cpb}A1+5Ky zC>wpqXh<24Xp>KI({D$~ZQg<2nNv}^8$6iS5FVGVA-yb@*!&M)gxpM^Bm*Q9>TOojP9h zgoVJoK6lhw1gfgX9=%ZgDO6oR@e6LQK?jN$oQ3z`quB7d9YtR$yrte?l=Hy|5O`+81Mw8_870eL)Bp}-?L`p?{9aJ7^)IuGbyL6L|N4wyX(8A>?XvL zN%Hbo*OLz=#-gaaBe}Ku+5Lv6p%$Kw$g#6)4o>Lq@lMO)5Ow)x#EhpUtW3EI3HiqW2qBhEJ_j7^!yEOr;Y$mg~R z28&L8OgwqMG%S8>zt-XHm3v4boYIQ*w`UK{i7AT9Jdc$l)^lVw#sGWicK_rhlG{ul z0fxxM8T!v+{^!VAB#Rcok3rXw0j*fnPJHV%EXN*1jkp@F7wzGWH9@UYOkQ{&%}1lt z+i8V2%;W7}v(}MXCMbThQO`Z-{~#&%VuZNtJmUWQBW`VlqG{jp+7oqwiGa<-ZqOnc z`4zlWL0;6Sag*$n_EjP2u(3p!%tvR=18L3!YR&^~q3GJVxB(>lmm~c?lvWI8WEeQ= zHDN&_l-RWO98q#pPHZDjZK+vT7IITXp*72x{Meg*1#X(21V}WI7K8}ULE|S(e>@7x z7I-$P4JuE3rJl#y!M5D|&(fir?0eTLlq{B95W0wN^{FnO@Ejf<9kp;STMg6t zM}2|c`5nxPn;n!6gXo?dPCdqh#f80S$6j!{@VO@tULbik-1Pq>kf%Edar|t@7P4kt zNoO-64!hd?yoEAdJcc`BdN2^>P#WTl$)w4gSJHv&K+d#a1drlIe`zIBR3@kpo_NzQ}jS(ncl`hys(NR2~AZDO6YFCf?g%{@Ek z!MVdY=g$%&n@@0|XvQl}t;vNmzZA&g#O1;Un7ChUCi%`t4yDU60_12NQ5NAgz%vR>)E~hHNzB6VZhvqdOgW5e zZYI`)tLPOuc7*vYA$Cq8zHkuxbu@N$+ghzRxW63oI!JFr4j<-d`Wp2e2X&6t&bAVo z^dZYR^S=Ly>X?n{AcTo<{hUX9LFPPw;yjSxJfPq_5Co-e1H>fh$8t?aacxGeL4*!9 zIl9An=je`iZzdvlkQBIy3LrSUh*%U0t@_`Khjk4Kb-$b=8^~e zje2epTg%w$Cq;dvXV3%Cu=o2q0G8ZZ`4=OS@Dl#u>0?4ivP%=;Cb5D%# zNR01F;{diiu{<}jJTKBRH_|f5Y3;&|?gZ0w=I})2;y|7r{s$hEW(iUGj%mp_T99m` zpL%`gOG{Xcf~~#1)k$sc`h3TCfW*=0L9;h1$IGSgUmE@(NL`fU4aa*UAFr7Wcl6U$ z_dy6h=wkf##U+EwNoPtH?b8F*P^pqZjuQOzeSjL{u<|LcPG^Z{M}2>NHX15akdMr0 z-hmaI@gN2iY?yW&m*qubtC8DMHU>h~InzdrczirV2XUCF$nMI{XAIYB_FXmb$y(4w zQ~|nVEhfDqj1K~)wx!1Rl%-jR=7U;n5t1H~Ty34p5_J`i$aIoa$%*D~@bh_UC?Ef3 z>Adwr;_`kGffDwHBJ#S5oV*~|$Rl*jM#W+7mIf^&d7oAqKMqnnsRiBEHeODk0EJJ7@`IjU%x}Aij4cKG8}ApDa{a4u zJhx^_k`J8eG7B`5L5t9cjkmsjsT5sjTW$aobUR+ashrJTK424*-BiM@L6D z<+}1#)T2M+;b3Z8e_0)vx4cW9wsn=ysu<)X&^zPc37ND6bya^-6`1Ht2tFLZ|b;if#W}%q2 z=v}F;f*Z@oFBM&QU;n6%^(5prcWdl-TeY!DU1jf;Gh4D(cL!9;XS{rY+VgK5p7H2O z2_+rjjpIIGVn9dqX|SYV}dCU6WY8E+;aN`NUPJ(D9pS?dVL8go78YUatRcG4Nm0Jnf7hLlxyu+?Ul)#K+F3GTt?Tf^8?zzo%PFi zx`c|a1@7!6%EX@n#+H6O0)kW;aIQbzvA1xs}!;{>8)f^heaCyf|L8S6Swwjr9I&8xIEHT;cH!dQbAfkb6p&SsnE_5-5mruj@2B6o`4RY?QWb zl%=<`j^ypqXEzW?8KF=xa(Ta^Fn(;mJy#-k;fZN|yF)N^W0uu7DW%GJWXhTQr`fKo zF;L<8-)dr+-7C!boWP$~#&ouo50Lq_470cSqkln^^v-(a$0OVUCRri-Ru=DO{G~); z$7K0qhUG5+AC5JdEj;hHi2B)k%&=0$$`0@JCw=yexnqs8>7JH4WJkI?ChwLEDZ1yO zECH|XX_mWt6}Bx#7J;R%<*6K9j<2upD7CLIFVGTATRRMOj6QHR9k`Z54?kEhA9_sSSdiS`#B6JkBNvl z?n4{^Px}7DadM8ixs9f$BpnxQDGaqt7TUBbyUYB?pc6Fq(a5WnojEgiI8!{vjcy?>4(7xg68aRS z&b%8phnv)fq|xwiZ)ctz_m7+~!9fNN0;v4aQ>Hl={+VA~tbcN{9n6273C66+Jn!1) zNf+!Jjp{?Kf?EERS5$nVB<@VF4SG?Uf{(bV#)mRt<|4kKGyWM`WHTsC%I`k>7Td=a zQ&7r;QqOIpbHX?14Z#cd7n|`z0Lq|Hnz%cKrC6mf|G|!Wx<9TV5g#(S0yRK3LczY6pGuP|Cd82*H#&GhxngSjd!|$tlFTXtf7ZWJKKq6l%?z z%hZxn0sSUMJ|swJ`s7msn$)zph)~V~cyr$BuYT;Tii)t2xEoDlKf>}AWD1|4=n^?>o7ZI<(vcHp4-#es+SMd>9sk= z>oB!z5S__9V^L(kOUIvJ0JC4(nkg8hy|=9Y5j0hzLUJB#SntO0j*Ea{vp4k%M+3x^ z#~1I&lfjWUk{EZ}So`LkOBpLgAciyU3tDNn5;myv${cY z*F0?C!w_8L5siP6HNNua?RBhM0Dy2^$)q%wYH#76REYuw$`RC~|8cOM?V_Y`7Ct)8 z`}3E}EeCHy*g+;r1L7f!lK4Sv^!m2I3P6jKg@x&+fLDv!Xo_blU$}o<@1$EiOYxs- zOFi@(X3Fs~fDi_s>y530Siwbas}I$blud-FC8Mn)X7@@EvSjylil`@|DdUgO(BTsb zT-LTYRzSxy5KHd|5f}-z`P4lxs77I@+uKWk?}r*&ZYmxeLV5Aosz%{6xeHQJ29+Y6 z5Uz}IAwnG#|AZusI!Q4|MKV!1?}+BFY=Yu0pMOQ&n!h?I#cH5JWBE^rvy+l7)x&X8 zB}~vPIFS4qcU&DKqrfF}9{cJ;hS;x;V9cCa-oCDCWc76Yr2J z*&>O2i267a*GqbQ#6)b6NxrwI_bmZuUi@-{c7kYMZfTc0h|y$r{=1@KAcQQVej zkD3OjW1NlUt#5LJ=UwKH)|CiGMSe=q?RqHQAgQ+bKn9u0C#2e+k+|++SZsgGk{&Kk zF;}`T#iFnp{myPv0++EKimQKEPPyeS9X`M-*zfQq)5oWj{tVYfVqh0mRwPz9-|u>? zc7KJ~D*ca5@GusgH5WO%AP%!dFas%Ih(94}Lnx<|1_MrgT_w&jWH36$N{7iuOltG@=kXa2ecZ*P8)k?Von3y<9X>2Sv9tELnduYZf8Xn$Rat`0?4jY83mWwf*t z88u}nI%`7FR=N@PRngcgyw^p=Qtx%84ezzJm|(^(95scQKs2gxIN2Im%8pb?o$SZmK!1gGW$oRO?dHPl|Bp(_J+t4=Yhvr#k(7em7o;subf ztc8RS$$v@Zvl&InKqVy>))gs*1}q{JK}RK(qSlG`5rZT{6bx4*&nlh4=%~(WRi@Tv@S?Fjf`{Le2O@GlGi^+%uI~H)D6-KQQU`?W?i20@x z192}_hC`VsCIU{prX<37O^jWeR$5b#Mj-w{74={#L5FIUFc4~JLS|CcZqrR^ zt$(y<=%ohjRT5$}p@u5iOAT72{S~|q5<)AmE}@3zNkj@)hPyS`;a`fnQCur9mKI^( zJ}bxf&7oY5PGhXxkIl${8sl=m{%bs;s5$feBOtG}EQn z6KeN`jVfJ=Sg8pKHEOZ9&<={-muiJkn14`UWz{*M)g7Dcl-`wkq1Lk?jDn(ciryQC z9TAEs1I-}91Vnc~GAMIUQxKsDI!xxi8gxhn00$;uWr~`DfMk3l?3+a?BAN>D0EoxZ z{6rr@M~Z6p26T)g@Hs!xUn2YW7br^6O^IL4b;w9eZpw{#Zbw}}#i9AC1~MVELVs>Y zY0KT0L^mQ-4;5MXHj|>8p%q#e^jEq23R#B;W!1nziZ*hHeTqs3CMaE*r46xwrWYwl zH)6ip%uzkE*pRa?oaVtPR1zM{Zytk=0w;1fze-S4PkP}Lyzz{m6Pop!(_IRSu zfQ3ULB5>fre>%N{8hQYWjlc~Y8cAa^Oh{m*YQSFXi=%rSp<^-vC$0vN#L5u!`;@Tm y!znn}hg0C+xKnV>4=;d(7)_{=-=~DxOk*(N6isdjcEk}p*lXp@nfx1*?*zr@0!P*W delta 1192 zcmV;Z1Xugu3$zQ6TYvKe00000000#M00000006WF00000004La?3v9^6Hye!?Z(7~ z3yr!kF`|Efc^@;=c4q<`6WtJrYeEp4U`w!s#EpNnTeZGnm@wyuyx~z4wT}ea%bU(U z@7!}g=5?`HEPjOFTc-484?{RqFkheKI&den+_cSoKb+EP(3c^mEqB(1O%1|r2 zCTpJsb7OWq&3^=5x-=_+&x5%++50fh3|^7JT7s{4-)90BS0wOZEx}@~=^wAA^WDRp z*>oDp;h9p;-mUVu(y~x@+*8t;)Xk(_G`O~uHQnMtFoV)gvNdydInHXKt>^5#h^+Si zDzy6zX<@L-GHV&)t1?!nW{}y*ESEVWSFL@FZGp}r$gP}GXVtHD3bKf@p*wPMQ}^q13xuJVO(7uz8&F;8RgY!!bvU^m8^Qb7`L*eguADw zXpP0K{8;ly{d%Xhy%N9Y1S}VmcI`NJBp17p!hf(@WB@}ic1A9?x?jKmb>ZfdPVi-C!1hE>)py>pyrGy0w!Q!m8gr99Uf{nDG z0M&|_g_lvh)F{MFMqkJ2BuygAAhS}X0)NcV1|BZr&qqjDV8)&4SH+KuaVx@zo&@4n z;F+eDFXRGYD3e6BV&X^i<0fhj9@6s@y&STkmudCp!Gd1g^JJ|Og}fpD{6yakN?hL8 zxvE7bX~5u|quM~Y(;B_DHcCYu0R~KbmZ(&sBz6gM&=%1MP@w1+s1!z?zHTH_Fn>|R z$1SWf`g!{5JRUM7F>b-s)X39UHy2P7&uK&s(Ezq!lzCGw5xX3j2F4)(;%tPD&H%)}yYR<@?ZEUYZVOdO2NEQ~Bn z%&f#JX3p+bCT7HnMkY4I%)}}(#2RMC#Nwt_uHQAch{3+ifPl1d{SSbIBmWn<1pkYk zpclTdo&=I9S54?(5HM?+V6apnArKKol|zY!Qm@+W&Ei0kF%FV|czSNsdee~x=>QZ~ zqZb$^6yn~xNgr09`(xiY-yK+UO1c4)@R9B?aylc~QRBA{e>XJ`H#ZH9hpt3=9lbnl zHQzJAGWi@HH9bAOt*72VaOlT_Kg65xBbIh`)qeiukAa$p`2i}9_@M`cH~P`fSBj2` z!~MM_1Ty}HlC&TB`9zzLd~qg*oxG?P1fyss3TzP{;6g|ec*{sE0Oj=T^v!0Qr{%TC zwo8`<^aTQ5Od8_>!&b?LY9SS^)pWO=@%oe^tttd6Q)G4wQ7S9d3=qp+mE%18+)QmI zoq`PW-rX#W7BsWhXfo|cqa#xosj|l^$`WR%>V|qRhxZ*O*S*=BQj(x+4U)h;huKcY zcMT>bhPZPj;sFDpH&&$K7H%Yh0U!Wluf-_kmri#~&G|B&#HnNPkiSUQE!XOUv3H$r zqaMbk;!vr;R4&nl7hC1E$StS#wfVTg;@+%$x7tO}ujRU&A#<-$(K2XRia2~7#QU*R5i;BJu zNPp9gS*xSO{i;dD-SpC#@j{;_KO-O21X=^oXw~(Hzmk|J0`@uI2bOF($X@=p*8gwj z2CKEe8GISEwF!|H!X>G3fi`gis*Un0>XMgn;SrPuEfvM~4b%@ z2wvOK@|x0D;|_{a5juU|e8B6Tb&-5_zEaVGp*)uMk(`ru0~~wxPCZw7&1PcapyOvaBc0$s8zk&D&wJXG%GrP)S4g@f zxOXqw_v&D|b?#15*^tIfd#s)IOevGmGwD$?j%b4xX8N`pK)p$)V+s$n9J@x!ZOUoZ z7yC}pt&NdXXl@(ZL~X~xJBK~}C8;AdV$S!Rim zab}T1W9H+n$St|dT14yk@gZfLjQkP-wUX5d&9S2WX~CA3*$T5urXL4~M}x+PlbbOQ z;J!uc$Iu7ouGUD8ARko=vHkt|46W?$uI=+rsOpP0VUnb-07F5v^-hVQ z;M9oN+t7-r?NJ)1oKM^6}(-!FH$aW&hTg#+x8k%K-#YJ9G6EQ4%062L2p zhHXC&U`MXIb!l<@>}==yVf(ISsY!He@Na__SNCh$rboQKOmWSQwb5_yrSE|D()q^L zw{C-@wo_uGOR0NkmO|lJ@=wQ;{nw~P5~1dfdOfh zyikBS-Vy&7rZpTO#^7M`4mSk=G@PsnW?=ml{k0DnTvyFblUOe&qT8=Rp6s*NRT(JZ z*L%G!n;r2-*Umil+|dX?OG7IbERIJbGBi;50AwgZyZOZ!>*6!o)%t+L%r1{Qg>sW8 zuWq|Eytp-w=^7nTn{OcI zgUB^J`ubUM^}}*r30lEpAzkP|7`Cl?MLEE%#aIZCocQ=~|cAd_Er7v|^G(V4NN_tJ#!LAAg-Qv-uYsgbf*mq#aUR^BbOmwR{ zbP-)V2CGVC6j+|_7i4A9Cj)zqNhkHgSY^v7BF`oXjKluNV6AL9`mk`cUl?0;1L6++x)%HpBj*&)ygaVH^zS|v+LapELaKBA!sz7IZEr5I_jOAZlQANRJb%6{pclW zG4E5H-d%SFCpX_~WR6J6SKKC-^t=58o4nm0+t7J`ddSZNT7*8+#FYNl^g3>%31)<; zM>x=crEIp%+X^(GLJ0jaU|^lWO-EdhrJ#&5f6%`wlf>I%+d;>DfW-vwX>C3YJ^3~< zmUEwGkC}o6cHmDv=Q=snagujVmcgTdIR~(|0L=j%{&P_TZNqh>Cu+^#<>KtOF3Hx> z_xM6DplA*H_upnQawcmF0dI>zgNySrcDKt4(oQNj=)47GZFWY+?zNTucC+tPT>2DH<9gn%Yaz8ic#PINbS$P}qAAzC z>W2UJJQG=kfKn~0+hSwsCxeYyjf?lGk^vIVkAXz}TV&$QaH`s4CG^(3WsH%KlE}{8 zK~=T|4ZPz0Aiw8jm@Hy!tYPc-N}hB^HKqGd;bD}tEv^Y<>r{+O?yT?&)EQ8Lj@K89 zTnsWe&f7Fc*|A&<`UdDp)`BB4x@BcbVUV>{*k@b-wg&Iy(r)H;Fiiu0mI7-CV zbJ=cHLx@34Lf6u85iKTWeY+-VWu8)J#I&)vD~F<(WIZVlE>&TdCh8?3hS3ux2cV*D z1DC4*Dj-8#6_2WaJB}sVa*8piD6xV&ClyW`u-M5RcP3Q z!uRZzqzveN-Pu zF)%bO@~qDq*YE~qDCU1s08DjQ;)62KY+ognIuUO(AF$XVBXuBKM0n`pu?}wd3W#=^ zEN78yZ%eWa@*Y#->|grQh;|-KlZkeAA)7~BkLQJbbB6u&tIf0R|&#kX*w#J!R&Iy85@B`k_f6eTEt`wL!y%L_~B5kAx0Jbx%g{ z!Ce~KKQ2BdzrE(>0cUjA6>neNMrYY`pIP3!17&HZST6&vdG>I`9=)+U{eH69`{CfQ z+heUyz##H+N@)D?52@Zg=VgJ>T40*?b)B>Y66DQ_j^eGHuFpN^!9C5eBZ0Nok6%jW z@thy+V#S4gwRT6U&Y*U0cS7R!oE7eJCG49bzA5UPe#6;0j0g@?8o{Aj3emxC$cZGM zfjNKtSm}6wF!4%(YXIrMgk^*4eW-B+bsw<62xzn31G}(GQ35fq427@bbdUf|uf<~M zy+Xx-G#u7$1$ncKR{}Y%dV$=XlRPYSJ-g{r-5C7!#2wnqtB19IC9GxH!E0J@X_pn+ z%&CgCZvQ|B^hG%?gx-h@#5#<2cy#JZmIL;5MLxE0&V4@qT;JIid)vse^!G-$Yia2& z$w5cGnB1_vw{MQbB?s`vl^9t|5LgUdKg+REv-Txca@{U)~ub<`uFGc zsDrWvARRl~)=&-)PPvh^QIqa-<-?$h!-TedY!VL>(-P-ehD`yzFZfwv>VYBRfhAJQ z5OQ@Ve6Nl!&(7lu*z)iwFg?x7T3ZSyC=n=eWKqPsBxs$*-aLUXh5r{5tH*QDqQur6 zNs!en02My!+Ts3IxxI4TG?en8wdi8{#L?rM;|%fRb|;Y9p|x{Ey=v(-i}j3*Utqbw zkr_j^YdGjf?ncL&HbO{vaG=IhYzcOVHzM?AK+sdTPgQ@PtG#Q|6Y-QshR@+J=0Ds- zlpnj^6@iJyqBV(TZBG6|bI|1FV&<6$>FJIg^ zvrNM<=fQc3w`U1;jTEV zOIK!Yw_J$Yz$Q5#yZYWu1Ci5H(`oB;S;L?1Qgk0m*vEfVBQ}$eAs~wncCEvJ{&dHD zK}QhAiB_ALN7_4cunLE47^o#a;eEniy?-vEnd?|9e8TyP0J#2wmuGx4KMalwy|m0* zmYPe~&j}*u0Css-{PkjALb?e_#gV381xB(X|fF0+va268*)uJ-75- z_iSov!d|%H8odKo9v#5Wd7jTMU-2kdiOjFGSqYA3s8}iJuMNu4;%t=v3g)RNWPwN{ zbNb^-H}P=JS(1|)p0jH3;#IX~(Gw})op@IN;{+Fn=+>!r@^;rIeriTy6veTM^<%1Z zg|pYYb3a7C6P*o!$M4Yi_YE_7>~#W#4<%A!!ZLNnJgrt#QXn;vWLM6+(JbSg0PD>M z$86yz3x@SfZO{|fP~_vG9#G4E?tTf2zbB%hwM;fFz<`jN=FM?L5VX0EV@B1#2eoJ@u6_&PH=>TDBvngZbEyR_R7|l3ijEW*{l89DT5l4F;hYl`yo#{jODt zmFiWroY^W+*&utAmkabb9?y;g!5o7Ay=9RG8;20?8fT#?);Yg59jsg%V*O#mvTf%t zm}f_&2nzjT5SmXPoa_xb+w65s@C|gZ$X?%6J8(*y6{1IkRScJ0TUZGP`g#QpPD<%y zA7WmVh~9;!pBQ?JN3>IGPA8DQ?|UM>+hO75{#z}?N~ygUv9xQ~zX8-|nd7rLJFkzYSCW7-ca--%J=lzKy58&OGei0?l?Sy_$H}V()wf=%g&amUOX$<#3{Xz<-dF$Tg}`JVztRPR{EWo&c%ZBESVel~2Yp;y-gqkiN>}@i{P3oD2n}f1QzO9N%THTGXF$?|@AnP=xUnW5yWJy`0KxnK?Zd`Ozrs{o%Db(><~n z68Mp%aAKsL)9C$Ivt$GI&zC!y!pKXp0f?V#F8EX5+)6Q>2H-1(0R!RKK{Rvsm#qSsfDM!uxGA8bnV>ogQSF!2iVk! z?i>EWXOai-lil9Z1-M$qL+Z0yxG$(1XR)K9T%IfO&B$ko!HS+ndjGg!R_ytVnYj?( zSTiz+eL>^LliLsD3DE$)dE|{BCbLG>UwsW<9(4_izj%YwNKn2GG-v-R{N0cn6V!Bf zOi_7FGVs?1@mKC9_lfvI0lOvo;vzJt_dPK?)9ndY1|H$hSf==s4SQa?uKm;~Jhr~g zS`jsisK))6!p&LvB4hsRW?=o`U1|PRQmRv~@ZSI;QUatWvicr7f-v9q(L>~uZ}I)^ zD}KZy|$M`!T6W43o^M8nc2&XIAI_C|^d z;FiesNYHcM&+7cJujFd`v{8ZZT$h!^F9irR9U87w%%;N)oC`NYO}GN+q|TwvbEr8#fZ>u zU&Xc}&zQF+oP&hV1r9-|CA<bZG)t|+nvHd= zFTkG8rrHb|_@EFHL6nGplZT*9sMOr)e|WgHf=|Y0cATQYcRf<*cjEXL?oSm$CWGKA zw01sAdhDfgXXk7#{KONPQn+n~(TAVJ1Ndi>aOFS|%Ld8e8}}aQ*I52-PVT-AEXuh> zrAgyU%zD?Fv+wg4(b*JPXRaEU8Pg!>%bG;9`&~kwg+`j5t=EvxB5^tEz3nSUlEppZ zID&6%IgkMWxOz34KsbLon!L01`nh3!Uic3*t@iM!WBun$Z1bae&i%OSQHN_o$_7GS z^+#rc)qP{Jf^OPfndv7#bzXtIQOAY+5e2~DD4zd%*FQ8kFH;rl`%Uq#7ur#CEKWK* z>!u9)jsK%c#j9?LbT`+aRi&Wp zs>W+XH3=PB7u-fW7{UPi3{3H6usC!S$uA4p^)w1;#sG#Mr=v!;mTS{<;14_e^^{7D z(?^(z4)%o_fZsj%1b$~m`$@{mAO3q&KZC`+_m8-Nb8fa+g#MlZzGl}9jdcp$Xe!^r z3*-jI2&X_3y;JlOg=7H|y4giH*6bqFH{~M0UQZ1?=~@sGrl4gdhq zojkH)sn5kYW8c%+DKW9|!sL5m!S~qfzRrJ2PwS|Ml#eP1Fh2}^()|t%r_CBPTq++E z@O6Z&;NM#EA9cKD2Ap<;%j&N>^bEwnmcNDq)|wgaWjfLY3|q3o!)$M(Io zQpO`OW1%14|KU0(e#I`fo3R-9B-2ZN?%=;~f~G9Sr6PY^mu!Zo#S@%_UW%II0AHjt z%62HAGpAR6oFI~k1YND8t6I8B!s7fU#o1ho!Ci!7R}(-Ik8-4Y~H zg#Xp^@!vmVkvTBCjwNXwV?J#SSw0QL<1|;VF5ppxOIGP=w3>MC4bKey5v6i5i_JX+ zpy&XV^zL4R{bm$GO;H{vCu~&H__{mqQkC`f3BD~+FMUYOnlZ0iGMgT+@zg4u9MOm6 zPK{yIc0*Y23RlG(t*z7_kMnk#wQoEkV=g*MZ?OJ5M;~0zU%LVb5H-IkU<3UCGoQE* ztiCbJRR%?X0zJY6ttTc%ZvoWfl5IQ;U%E;3yhWK8+4Qs(4f*j-4Vy*tJ!rf8@rmh) z1NeKt{-07yj2Ds?=F5FsBi(7#tiZKb{2o6oBm?)4yY)TCF?e5N@&$&1YQbcywD{{a zZl5)QYx|xi?xT{Y=LR#844-nwOIg4r$})*>Own;N&UhDxn&fd3=b^%zM8;01@2b7g zhA-Jt4bUMw&(1&gXwOq$E{5zd?q2VG?Im2{Z71ETNAv6I*W2LB+JnQgAA_I9i__P8 z+~n)fOA_M4PP$;1dBVkxH|s>)LoK`MPP)I-#dYF!-q-Eq>&Tyyb!(#8bjCF%D^-Epy&Gh_azGu?G-k01y&-RVz>LvP;h~bUS{bg!g zaPhTc+<~J<>#g&yw#WfM2CDB>Er zHkkVDN;2ilsLI+{l-lL<5R3`Y_z9FH4mIo+V`>QhbD>ZniXW0CRQwS2!XeLCp$z{D zloeH@Se#i)TG`c>97-`hckAH-Wtd{mNX;c!DC6rRNJA>@#1tzeA?j^@{6gJRrKTb+ z*2D_UKSRUxE@&ZyfI_1$lnR?qUKBDN^ACqfpjnp7?+;wm7Nf>e22Y^)DnXe-QO^`v zI+25i>C#+an4Pa*c;Qs7&>Xi>w`65e7Oi`Yu#s7aAgYZV7S<@5xGG3O zduBU*X_Q=wF=a8`a9*NuU8AOrjRiMjJ^<7?y8{>oT)9Sq`wujZu z?b8mzUC%1&JeN87b}W`((7+g|%gg+|w`16CxN%LRStO8_`TV`Dj9seWW@KOy;yQo+ z)_C1Mz2F5*WGr{#u_ceQX9_$5zpGdNHK2bh!JHJ^V8c6kJK z$(`RdnXd=Ct}c4QDSGmR+lsm?;4u3M3uMFvQU*Nw1#>{GLnE$(SQ2qqDnMNpLD}(J z5^-AE2)`8zpMzgQ1vf(hyP)VnE*qgPeP->MEs>!vpP(*HXFn}xQ@FV!_O;Jtc=bP88Il?-g@P^^+Ht0Gx)a6^g z9joO^uzRGiH@amSF3=wm@xYQz-hdi@Z zl3hy~<{i#BF;q}3mGQtHJ)werLt{*8i~7bx9fx$VsQ*44L>-kB!v8FggtQptz3&$k zFX>RC+-e2))^DDZ3J^*8IK7ypK|`%-(x@AKn;Hc0*%(<(9A!lPdHO_OX_IzeWa`U9{+Lwpu_L0cuYOA@lDE2X(JJ3 z4SY*3Bx2W!xIM@@yvS2aGy-O;U^0D|S~X3n0ftP1Ll4H=u(bY01Y3l;<+sH5T9DpQ zME5QUN;}!u-y*ev>VslwwcKpPNd?)1H94Z#pUp5%+^HPupJoFc^@y9znIAAW@wRYqV*t8d!{sqR0u}UClD|VkUp^f*LidGHq;;c2^k5>@=~?sQW^QqdAzVQ(mRxSa z9d$sM%@1GNPG^~7t@-NQZVfLHOGls3HT#dxGvn<1?fbz^{fP4IVQ4F_(~g!U`p9^J zEq9Z<@X6S+3CR_3Q~z&sxQ>bkHT1~HVng&+slD75Ov}(F%jSRh3YCY0qt4`IsJ+}u zqp&HAYLHW4+hQYIw~#D$f|H}RJ<0kqeUTUpCDpF5Wa1E16XC3-gX6{lsRgw)_|L$Y zvpvF+%}Pgme*H`>Y$I?4_*Ji;>N^vyKyZSRf5D+o;Ojs>8N6fx#aE$I@Dk+z2)%oU z;Ac=lJe*1TRXnsgxFC$2IrRxERR=Ac zQT_42g#j3i!j>aUuRD_6@C*Z`ADW~X|!xA;% z75&A7;x4*7vTT56d`UsFtcTW) zE)Ox~l^7y0bsSWpa1ScY#CEyBCq#-M_Xd1SgArX2D0<*5)iH=SuB-<@P%r-^9ZHRs zs+T~eZq^rzYKoGQhM#_DcIQ`P7u+(cY2he+YH!N|LF+~ z^A~Y*T9(Y&-4@G_f3io4cg`I*fv}0vsob#|hUgc_P z25V?`w&Ti>$5Fg4956bzQujR~z z3llaxUF&sQ)^vUezg6aL%c=;Ju!j{4BqNa#@c_Gt7X#p#d}M6F&lgS}!U=ok+S+YKWTrSbcw!$*>)4=kWSfsM>I zfTP4ZHz6JjD9g~aZ_*zR1?<+Mog2#lB6UWK@+(ERSU2M(J4h_rNi0AU4GJuZ!az!f z?SN6=!K?&GrI!ect@!Vs8f@a1b^TK;=MvQQsP=C5ML}f4{W72eZ>$b&gqdb-we%+B-jam@=V;$62Ov$O<~ zRZgXt4*I)^UV)JBOn!j`Rp^{(PP$Pw^doZ1i9m&MTCq!!6M;t9y!(+eW%Gu+|Eja0 zq__8#Pm4dRu!O3CMH!Q9Be4Jp>WNYt)>4+3{@uEPcnV71gLykJLpDe#7tgmW*4SP*!`T~1W@gdpimqDhm$!xj~>>V9Q=AJ>? zdj5KS<2E3Wr-rr=Mxa(-$km^OP2fad=rJ^O_SvU%g7sN5jS=b*`5{i>A94(G+=F#) zywpDU1B2CoJ&fOaFNN78A`bK+M*CmQiJP$71jGY+-=Yt^wcF(wB!?djkL8H=b9kU* zL^2$pN|xE4)=FW~Q7nAbR0BieIJrn+NutqD$aA{~MIR@|FnF~(F&~rrbm-zsUg}C= zWBW384BEq3Oc*^3x^N65T(LWYj=~J!FNR(@S%9IR$9mi3uHIp_y-ivB5Kt@!BW9e&2MUBc1aRMHnyWz1xRQ zXfjgKVF>!{p|y`70!WCjxKG&ag&Cp6CiztH7NMXR=GUnbe8f?2XhDbbe9`<_oe8=< zEAj3)h3>p}KwD5`9RD~A3VBk_uCt6_22b9AM2_iPa!-Tb3$^f!bdXTCl2EphAVY`b zxB~U@{t```7MHb~+`VYjP8%pSKV6S!Ye~IudoRu2*4yK@--_n?+$hos+kY z#4g-P^XKym#nZV5m}GTjHGS~;lEO6w+wqv5(B8U957;&$ z$fvVwH}o3P%Ek#s0})I;QpfTq!aoqEDsEy@;tYcP7-aiUN_z@Ns`hy^0o)iF!Eu%O zx!yg-hhAbd2(r=s3)<|F*3iuj8Zr8+ZD^R4!aZMprV%g0tLs2O0Q4~z@+A0o-rGoA{h zJ?Zxl(%v!D8a$ax4I;Mnu{-dr9j>*1i@KlL0Q;8dKm*=6HR zc*WrnS+*PHjv%JCq3)Jzm0C1SgrwL_tpFJmg^buzbxHJxR)SRkk?C*p_t$VrcTU$5 zKhjDP2OfeOXTUbUW0QxLd#-AcA_mlYs>nGJ1A^_ld7kK~$G>LF)5r3@`l0$<`+?cmOIn)aQDL3$d?a$bZGv@I55qeJzrsB} zw-H~0@tmXaoRjdB#TW9TWQ^&w4QgXd&RATHS|0=4Y61gOwZHzD2Z=f3P2;os{wR+2 z7DGsX8=6H$J-7A~#QS2qJhup9FO)kp@bD=33 z1}Kf=_|hubmS4O44Fnx+{4}h(NEM>X_n>>C3|AnUoJ^ficuK=(2M3-tT&dJ(gBtq* zcDEdxnpP?__U!Ta}cY>H`esvoarX@`Jo z4h}E#j$zH23=g&;<|%Ht)r2inK}v46sCs3ZVj)lLaS}{ymbzujE_@`lF;lLGUP8x zD6eX3EvboNDx~Wwyp5R7+mWkB zbY?ALgVzBxr!;=C=uVI**|?gRUCL1rJK5^}YVW$#g`%jF&j95R-?pb0`9U@LLHGt# z;i{>n|Ev=LCFr+|@`IHPsF`1#xG*dE{yEUc>}wQO?0y6&T4}0s8Rw0mmJ)z2@dzkD zFy5WJ1^2ZhJRlCTQ0=7)E28ugnjU3}hNwY^jhe_^RDhI(TjhQRG|}JbtDywHdfMQ- zH^TWYfz8qU^WTbh0PoLu67n_iCFVA@Jo6!^?g^aG2iOnU!id{^2l z_|*F@QjxSE-;;`R4<%LkC>LPLRB>r6WBt`fe;h|>{0Z?ZtUHs%|32Qi zOa)HRAekM;3X&jLRBQ@*W47IS=w@4}rjmn9p|PGZ+fe$$KH* z9R=s%g#BoY{iw!fd@Wd_LrXX*FOgFe`YjWsF(FL2S*;TsAVFtzVl#fFjl{u4bQ?0l z>{12~dFL|JD-QdtOaIRkpkVtt^fdtcEZAKYC2L>QJ=xueA5UQ4F{v^ES&MrE@W_SA z=t`;&1(An}^mtGr-fh9l%xAh|>z|Ws;jjB{ZV~C=M7m9h`dISxBpc!zJuwo{8*kVn z_s++Fpu75`;^1hy{X?(b04!|>p~soj?^5g?3dSwTW{<(I47r2)Wbf@nWu9M);6}ovOws|SGc_4NH z-fz@+U!R}0!_Fv{W=vVzTx)HIgC{K@zeeQnYgd`hV9CbDTg2iCorgzT8_EW|3Ft1+ zT~f{dX-fza$rQj^>5ABfXI1kNhFnV7JZ+mL-(7<~xVu9-=0wYVxm1JCNs^QjD<~e3 zB(@sI8h+Hgk2GST_B3|ITTt6Zasr;XBX6@M@`(VWTiDR;zx68S)`Z?o?(UD@6nKkh z15Ftg7JjB}UxKDebGApsIjVxsPZro0+5e6`FWt2gh-Mj+AmP*Z?4^tw=tV9<7D4%w zT$LtfG(YW>g#KU#UJ-j;0r^zA;QsKAFJxNz9ChkINA87KYyAjlz;ga6U-E8<^Nx1eIGIAt`uY z`z<%}lY7fzUPDpA?N4PRUXJho@ z7es?kN_60Q0e3BJE-RXwnl&~$G+G|2uC&$kw$|5|7Z#Scw9L?8P@jz?*b@#%5MIyl z-n`GzZES)+z_D}S_kb>5ZyXVa`vP-&3AdkppJjm-o~|MnI>dM}S?g5_Sn@u%X3=xe zKfP8~Uc)1f+P4htEJa@tL0J@O-sB9xI*eVmIn zv4f-VJMKXU?5Pu-VJ?{uq|X!!DZ|Oh%Ux#Y=H;~-n_I7xRCMzf7^*@==83xH?jVo+ zn;v^PjnrdpTnKR%#m}pX6pFxsNzceyqp_yNat{(*fisk_&6_}oRR90eL_x9 zlJvio)Bi_V`CWZ`y1+W`h}=1&IJv)=^GVg$Ft0XR2Km`8qSxVuQtR!yLC*&=oWyT>R zJuf3CJ#V|o#{3Gc9scP=wWCdsVxs?U8_&*Z&%1up%+zQv_tTCS#QzGZ zo-FL7F-b$f;ya$8MUIEWX)=Q-_;!UC@ic_ivUn@-O5m%YYeCc*=j+P-8WTO6leC+#5*lBp^dd@p!M<5wu)2+aCltU(`K0J^a*b};n8GjNjZ zN|faTFOp1uPk`)x^b0X2Hm(?c?O!Mnsa!@>n2l$^3XWJt@{kKZk%`AqN5IXyPe+x>4NO44Chnd4dR52w3M0` zEbx#1_=9%d^MkaPPaepse;;+H9|MeWfWPAimVCk0CyjmH4g<;^n=@LX0S4qW@EuZ!T2$ZKyJeDhG)L)6?Y-8w_ltOq83iiDr{AZY^mFjV`(WaI4 z<^O$*@axMHv{=K&7DElA8yrI$F8R)H84{BkLr0O?SFx4X+rxc)dLTo~^ri2QDpfiL zN+gAF0tz`Ux-g0LZzyznx!J)#RFR}Vsp3(<1^g_Zg$tpG{tONhm0JGGW_7XP)v=UK zKipnxJLx#deQL95xoxQb$w=XK=7`9@rdN>N9rLI@j5H}%fxQa8-`)#n`_R^+4trVKK+!$=w z&NS@$BJ@jbqK;lAeY07e|RR7?J^Y}fV73%Wi;^ZfY-NWL1>Ug$lE8B zq|re%fsyU?F%?FK!mSJl#G}LOIYWzF;q7v24=}LhL4YupcxvK7!N~%D zFoffU>e1iPalztD>C2bH*-|%8#614|_kcs55?)MPmI9Ruav3*y&1Be>FG2J~6Eg`V z^xCuO0wcC{3ld?tHSwm!f9gniy`jta+!U#3qkip)?(rMe3B4&GzQ+Cj2c{8|NimHHj79YXr!N@SsqupIZ_-`ORM3E=ZfAV895`@06Bsh; zY*I_e+xN=KLlmB(JXccKR1r-PHvF9(q*@Uw&C)X%WR>!k)*>zH0GjD$B8j@+?wlsv z`tg8rax|(+3)IJZ4T}q0B-(k-WS8{3kO3=lZ?xklM)u}{Ry8KY##v6Ka&eDWY1$NM zubbZ%u+Pj*dw;1nDe3#|(75A=F_GLc`;h|yXfJ1#1jp*SiKOBb!H(&K^tKF>4g{Tx z!LrIzt<3pr3Yxee5Ab`+NDVw- z3wk1()dmEH(O!^Xu;j^M`Q^WFsq5krfs#<0F(SzDrKVc9FZ=XV8{y*0k0Yi8t27}* z{ZtHE_kb=)qmE+~!!aH9=3&bMDW8l((c~f*MDU2JMRD^EIlCGPXHX;AZ-%LdLScE# zXa8IJb0!ndND((uTC0tm4H!R@4U+`%8<>f-5{Tkx1HlEYTP!0De@7=aNj7+7!p>h5 z5c&%{i&wFYZ;wh^CMh(( z&A4_%ajw(e#fzgV#vmf4--zO_I;D);h#RAVdw5al?T1jXU**SdLMBwdH!R$>p z(WhE}aEab0ZDP_#_8WlYROxk9x)3;zbpE^=Q+Ld+b#7JJx`3@_eqnxl8{L^`(~P&* zjmBiA{{@h!$Kv#zrdPehJ-(zJ8jauD2o1O!wA3;aW!e{SI)R5NvQwzRh+Vq&3Zq-P=$cD1xIA!24>CSqh~U}9!qW@KU^ zQZ{vRvotm(QZO{OCSoE|mLgI&H6ju-v2^)q*?RMzI3S>L_WuE(aK!&bNxuI@58x|b z=xhS940j5mC`b;Hai;7^7{l6W6P*!1mIrcd7K!W(GEtYY4iM4_Fee~tX`MQBv1L{F zPnXBj)6+R!UHer~L{TwJjaBDW;~oYCU}Pb|kfZnSs;bVas;s` z0l=Jec1)B1FeRE%h9)PF{>WWx%1a$$Hyh|q8Q*ug89esyy#WX1Atm%X5VA7(_F~E; zPaWPW5)BawbwNVT`8(iohdDk_4%rV;XSDj@>aN?qAL~Z7& zENX^7nY2sh(T@}VH0nLmKWFmysj!L;UBT!US1D)|G!2{tNdc#ZRlz8yRnRo}ZtAzj z=rL1t*gI5gn7DT?ZIjjEZ1I$-hIjbn-dlXXb&$})Pl10jCtUk}b5$++zZ>WOA8E;L z{VfA68jySJY}H89F}Ar{$vYt9pSEeX>t2biW%7yjAqJb9Wg_jUj5|2x%s7~1GY)WV z#`{5q87D@gUO#t%C11MS-Cedm{45`mN z>v(;bI)Zl#6UsF)9C1q*IZSqH1$cv4jn8=lA=6zTHWW@{%cp-Ez3cMNkX)$SWCaIW zb>DC`YF5{5i9hgO4hq%YcS0Rw5cHMVnyt>lF0+e$omg_v*9ctrP`7UKTe;7*?ptfb zcedU)%dYGubqG79nAe4ck6wMY=E!%Z z`?W^gwoZ2BWnh+&>N7v+(L=p@iutJS47RM-ItTClvJixm?P`k->*lqZJ>xivY>^hG zMhE2D-KTMlvZT&RE5-bxA{!)AJsu#SHn}p2W2yUMDM*}|=B@QB=S7b73z?oBTYn(Y z=$)?P%fH!eGOo7wEY0OWA4jvAe9Lg<9BV=#&(rHG>{jx+*+8$ej=@g?c(s;6^LVT(4)@t*Oe+>!^-cGY|Ns(Mu1_YZ6*m*`Q!#f6%RVQ|nr zeJPxh*Y9e{rp!#7dlaNI0krUnW194u85j6F#ZJZTUipWQOG%uHoVa`(9YWHn9J;%H z!aTKIw%zO&+HJg)33P$l3B@sZeNM*pRo0ZmeAa$B`+ok(15=i*-(8niwef#M%zMjN zy}ccNF1L!ql16@ZFPkuN;iq%{^m{PBdY11NQ1NBvHb2cn|Gv6!zgl6YJ}#9(dd>e1Z*q={Yr5%sG+pOwm1&;JLERvuNJNJ*KJL)fuOyp5vIqOM4pj zFq@=ZEJ&5vneMGzBk1lrqj%pwa6-_TLza z;Ip!hjj}LGg5t=S#h?O+J(oe?bP)Gt)jlY6Z2W^;-6#ZLfJci4kKlrm97>2?I_gSo zKxYhj@*d^xehi96l9hUhh`TfzSzE@`v@o@{j;?Ls8f$N0X-gQ}JOhs8I_IIVu)1<9Sv2~p|UO3bGMxN{M(%yJ?HLZvz5at`;{Os{|?Lk8% zY<=df^H(L6@hwR&*Ogz!MHY$hCe>#wD6oYbaD>8M$_m|vahht4HhQo~?_R_?i&be1 z+>vaB@FHFRDVSL2bNs0>u}8~oGaYl=jy$^a>9#o-#S0SgK?F;Cj7YC5mLB%`>zbzgs`+O%k84-N{OAG2B%17oUbx%0K-H)kW`L z>c4kWbO>^@jE78bEPo@Yr)5doo9^H7c@ZWCn`gObVkEi;WQQay#4z)jhRYe&=04y$ zcKk1CR9C7nB&Aro$8C?mu9!kMLm<1!yMl^a`;!e*I0}6km3^d zqa4qVa%1`bzm{VKKN;;|9|Ge~p&tUU@>2wM8$eN3g@}|67L6M-vE>T>`s$8kufpff76!a8Ea@XsSJDmfJ2K^*YUvJ!`dqKkN#Rc zikr#0cM5&M6#MBe_KI}grQx?3CzrD7;Gf}q`RM&NIlODlPrgOU&Q)tH{GV6Sj^QQB z%2=R;oL;r~wW)IlGwNCe8L4sa!k9vahVkU+;KVdf>L3--6xKS;f`sMHcvzs6>zsRM zjE3EeLX36b`udSd5W1dNkG&jCoc)_-?_PO4nx-M2bb;|N9LD{2v~w|!5-3-MIC6G$yHJ|?% ze2>4$2r$E=3q~JmUett9p@3}w-mXHxr#%teDkl3f%@4pv|;MW4X(&-6O*0J9)FC44z8;VGfa6-HNg;!4xflO7(G zukDSC89~Eo3P2ijeY)94tiZM78 zlnghC5OgR=%Jtpf(lsyq4`cSm8O$F^Ga5F|DAckX-EtEj0_CfQCeBCK_Y4VFDGIJ2 zijt>ct-vK(;&PpXw5Xi@oR<`}$31uwl!l&^jK=d1CepIZf_B^$;4ProG--AC+l9`uIR5(;YEIH_( z=(O{ac*)j3Mdeis4X56 zf%GbuRyAIAF?DbX=4EEJlaZVPw(xy4A-H+UuaC(ZWlYvh50{by67EU#h5JE(7%iRp z@FGN%1%tY%H>g;13Qb{6Aj#F&F!>y-yEUlT8a`uNL@Gp7{d3V4NoI8PUU{*VT-hVjutpnA>Rshn>08DLfr%UXBJbvsz?Vl2` zE^}?HtDc!b143Y0xF^MlL4!P@GgPA1`ZacoEN&tJH%PB6Ak4S2+ITd3`v$!GUtU-v z(eMnt8?X*k*Bv7xmv8ciP!5{+Znvzlp!ab!eU{!1Yrb3(-NrGq$o9`4c=vJZ>Tw?4 z0u#u$?Q$m(ZO@fIENq7#7U4AVt|l-3BywMMj4?e8U2j#)3%&pS0lB6P=fiocj)p;> z(=>+bLpjI?cP({4)6EB!^W%Z7Uqw}#K|M{0I&r5LG{pOhfnoi#2W)T;;(v-P4jSB= zV?cP%Ens_S_pih}T=NlQ+CAIg)8|1Oou>O*rNlp5ABy(sqf&VEVaVM*LG-FWTv#v- z%RfV1mbr(tTuy&O6`X{27uHd-@PV(%8&j>zn?koE|h0^s%d0^ojo@wV!0BV&ToR16mhGC7KW1z-q|>tyl>=?kb~m z8@0-Gu1Y-)a-~K#PYJZzwFtfjpQj9TQdt69-=-=DoL-Yk-@nt%1#Zx*)d|!kafB9t zZG8@MRV4IN*Mxx?@attw_I!DvD!4T=;|@8&)(vbo8QXlq~o!?^IY zcqf|9vTsENrHdGg1wRa;%ChkHM!mMTFZ{fgZSL!dd}`xZ_5pxwgK58pN0t09V^%$+g&e(PyeRz-6O*sI3=pLi7K*m&4qZ9$XyTw}k(_&kS>w_91wXLhq43C{ry2%*sh`6Je}l6#>& zxWZyYyf|>(o$e$(WLwspd*V>t2m8k82$w7(mp$RGy>m(a)b8gE+FZq*$-CI@N5PtW@G* zGey!_raBSKtIdLL^za5W!O2wqwdpZ%#({C6o8*Q}h8K(G4bvkr??9<+pjo9Y>%18n7G8$9&+w86~g8?J2;G8B<_c&Nq zMz3&*aiU7ojnPjfCjD6fbekbts z+uz>7uZ8W1>8a^d4Q4FCZ3QVhk8k*zH0z=1DVMQ5H|0>jy<^Fv8hz1Z)2hV}T@N$C_(hIp{@@)7&Q z@BLxmqi}4{jUNeU zfID5Z-c^$eSB`%Ne4(8XcQzNUXcr>beZc_<`EPzHNZ;o@wAVplp5Q|K6Gw^QdZGV&$0G07v5Q;H2ngZ>(DW!>qqT7!0$vjV*AeLW-(d;6CJMaqsZBHSe(;+Py}v}pyFS)8jzpV5cURcQ>hp?dXMIhe>V!>N zO;taoy`vo)198f9N3Db7QONBdpSycVB z>VjoosJgd8g7ERQy;CdABTd7`i-F1gi{92l?3k;Yq?QAvxV%|X(Ca8w zb(X(zwu)1KK|7Fc04YlUl|>#U<#t;0+tdCC;^b zx_2+Xz`%;CCZ$hYR71AFMeE;GG7!i3s)T~5JKCE@G~%53znLSl$--hZc+@FgV90)Q zs{ymPLw*$$N|-$(Fu~59Fm)@=dNr^Xi_R~Cq9t4pQYdeI$uPU6jGW;W!YwNSM9mbl zD`M7zaN(b|spwX)ra~UM^n0M(R}OrM2cC_&NkNvECkrIm!eJGq^1r*Z@(8g)SHXmw6d-?#=nc_h{Up3Y7e-rD^{>5}eTyymb zGcosenldsFb2&yWzi(l@sG@azn&Znzo;N$xF12LFo!V;r#<~0rLMlJ@I&2C1FM2!t z(Bbm|j?hyqJw89Ct&mr5CCZ_-Tm5(MuJGtW1cT%9CvJZ?B9~03vNQ1$6C+oO`m4<66OCKcJC?RoOOsEvcgD+ zC+sAHEsTqvce!bVwuU;_BSzT|_&aqh^s89HJ7X_f?tju6`{{3jDMj$74|`xhAK!jG zr5emmSxZKcqK`Y9MGb;gEOQGmrad;Cq9hWg7hQ8O3r?@3NiMBVuLvOgnK^ERSC=l# z{~K+I*kBW!)sy4Xln&-wNOd1*v{wkE=H>w7yQiZL>a+YZr<9M;$RgmU72O%you@|J zErtC8FOkbpS#E>c0p#lB&@U7n3Of(4Vj)~?U0qdVdT#PQ8e21^#UaNk9~19RZ%vqI zVQG0|m4St;zGmzGHRY`k;UHkAo3$47UEJbo<7M{V`fHP%LQY(nxAEP^|C#U)NQ)^<26@?3C2Cn`Fo#nX#4#mnRw`{lSm7?mW44qy4YW(Jkc7(ZzysRoxL zPF_Zaz0K~*>iR5Ohkd26zO$pf3rR|1kz$lV-|6*4mIAU%qflZ#FLiSd577e0QW+kj z(M|w;XF#k^CG9UDqVbqdBf-6%OU7f8mb%VoM_%+ZPRpilNH>1%VKNPK-FXi1sj*C~ zZ>G|hhuJ(t)p3n<|5+Gtdjv-qJN~wQ!MW-4cb>e9(!TZh?e3f5VurM{7@QcMLPXN9 zHL)hg=LmDXrTk{P={)65F}Qj5C&QD~UHBC36SvsZiFVv^na03Uxf|PYy#7z7ZDo|} z9F$(4YSO($HWA|!Aj(6FpY8W)63RMrmG&R?;j}!=me(rhspwWMy~GOG zU+XU#@xu~L-RB=ZIZ-}l^nj=jKl;fk6SK|j-S4LEVzhNuEB#cYkdMTHv!++4XrCfV zhDC`jrSM9@>{#X|ZpCPlj)k?c@Rsw=ggJKlPC%5+=`1S8Gco#~frqca=dE>aG+oRh z-W_wZR}wydHAc1Lwz@7qP5xG#SDWryA1PWZ<18I@9*h?M#Od)`qbzl(6&0jwtch;Y z)mkxTe~XOZxzZc4V>YWfk_-VPS5EiUeUBVrY;beKG|G57-Q(YzDsISMO0OaRAQw2+ zcB^`oUPX^l2SHY_*7vZmXA}YNibb9aEq&e77IG@Nb&7m6y_0`WUNn!o#5~1%RfbnB z^jI0@?0;OO;!{hnjLUaROgx4>OgG}5?(~D%WFg`oWWkAJ>ryFYo4){QK3yuF-gEQ| zY~k|POhx0Hwt)M*KG6cULleew zWwONFaA()TUYpx$VyiyZH^co+m)3lh4Ww>`o^78r|Hoi-B z-haI@Otr}W$|4`^B%K#<(HJ-1V*61o_QcJ{} z%uAAcWcsX1aU6+R#o{A&Xs8l?^%0Os@%ZhZ!Ogt8>$m<`$NCLl826D#EORQ*Mf~k} z&Y}J7H1Rw)$L}w>Dew+QVw+dZQ1Vb$qA6mjjVym!mx=oRwz^y5Z~Pkh%|6;!_n2q< zTKlH{YW0FoFYl$JMld*S~DFs~92h|^N}u5uom6YaZd`fYUt5K#X#&&oSnP50G#qX0Z? zy3gIqpSH@Ad|$^sO{~t9_@@YTJ?0hr0LR`j@xV{;jV~E%DI; z3k)0IsJtrL`+) z5;6%hc)Xs8DPse^CMQ{1!^U`=3o$DAfFy@w66*daL{+gh z9-jD7o@WSV8YPp?BQ*9piaDu#GPG4Ni+qxSV^$hrvs@EvLO+>-p4xr(Fp6?^2HL zo&3;z-;w+r*bR7yYt4M$?R-AeW?c9U=6O4I3;cZFq5PKMC)wb?FdJ>~S}YcbIL%{$ zPYFUpX$>Mi-P|j?2w=8pLfUXR{3*GE;Q4gy7Hj(01QzoI5ZBZqJEnV|=E3aH8$56u z;`2Lp^YmcXgzy{DLf%zEn_wFPSk2h;J0|n=5Z5@tt?12DFOgG=^Lt>M<$~Q%Ef|)&XpgY4MDuHt%*5nlMAXD;>PgkhJ1zue8!lVL;VksH#ghl_liiyKn@&$qpJ4WTeP5kvVeyr4N6>ft*E`HmJW z$FXqso;EDU8T$(c8gX8`q31r8n3uhH`);aePMM|Vs&{`s>1*Sa3@Dc>e<0{EtO0+T z2_#gqd=g3bHHoM3>WUjuJ{(Fn$0J4ODCy(4Xg1PP0{CX> zkw0!&ZG0!gC0ir(c%q|@+m&5}l4d5|ru*D|cSI_b1SN8RTB4ydHuxu@W1qOT#|1`1 z()g3u8{;@Nw76o&>sbZor89;rt<~a%3o;V*sWX>L0>KZb58TBqPOLIJ_GkWW4r#K4 ztcB#|BlWy}q#ME{Qn8D0#(0Eil)rmsc`JvMN7t^IJ3i!5A7g1rN_1y%%ybgir}$yh zq$>I{pt#6>ld++103y%Wz0T;sI5Z}6=T&6M&5FXiY%viIyk^#i z+I81ZJrd@v7t-*!mZF<-;`uC(#)vkzrA_DxUveuf5KX%&Bu6-BqmeG8jyk|&? zuV)m?2NS#&`)kI%9)R3-X{X)&@(fa3Tm9B-EtQ7L28x@PExohWaBXFGD(|teWv_(o zQajmgsJ4+U=B@weDy6%hB_g9)pjznjd{$@pD<=hua4x6p6)3O@9*gwPrW{k zlfR3;L}MxE$mpY^&Gwg zqdj(|=12^u;&OFWF7%_6`R zWUwm4p-~(xC?$~iD?6o5Fp4>PlyxYZ9}2qszv$_fbH9YVOQuVP0oAyLBhob(j{_tN zm8G<*1_hLEU{))Ov>!3dQ8K$FdQUW>EuIA%JyJFd)kRK&PZ+B2B-fjt{{U83>~(H7 z+80IYWoaVrUMXvuYg?0gIeuQ~+%B|JRiUY#=PlFHb?gk@Egmgy(gmujgaGRLm8pWw zZiO1ZUl`PNIORVj?Ve7B=(K7KhHLF^oUSQpwX`(VuI^_2lh6x5qk5Y9=^3CYMBUom zOS5ojsuEu>_3WG&wyzmzDA z9ZaK^u1UQO4Yj*rslDj-)LGi=y8RhEL_NnV4?4y5u>SMlFa>OJ1~r=D%(^u46T}wu znHQSj)OZff6^pAW@~-lmUtb7*E|Uazaszg+NNfH^jm$O%_mgvD86pCu1XFk!FdSH1 z!LgAb=j6)YI5=vilUJ0sbamjMKB9#YG=NoGf^vS9$hPu!!qQg4(slw15LNAbw4z7= z^C7#ISZFlTL~yxJHb)on=2pUW0GhRThxRzS9C4ikY{w*3NL@X53;>hkC$_zFfI|o@ zs>ekfGUY%{JQKT0wCKCf6B*$@dyOp5cPFx38+`dTY4m-fX;(T4G zde#S+f<_(ZctD0GdQsySZn|<0Fffli^@(~n2NXW&0>yzj8aR~q{asz=Tk9)rt7BiZ zCN#N=)mPuXJ2%1v)0cKj$KKVzsMJ2yn4WRwR~5^~>>#F)l-%9(ie*CQLq=MC@kL?G z=8dD^z zaw`}nVFk+9Qjm)mLA1{^sn>oAbm|~bJL*jHlDTo`aNFs%9?;neZZ#ibeHtQjane(S-2f>D7TgO*8FJI}0u%iGD_y-y;?2F*k<7tOe)Oc~x+i-`?0QY?GsUd*NQJ0`4(2 zDDK-xSNEgc+lilnmA3A>wuLDN3MNs33YIuHW*kO{ICy^>MX7n;UE7$SaxK>&@Y`H3 zzBq6kNpc)j1k@)XtXTW@41wqT^JuF^-AX{+PDt8HAm=zT;W$F$I8xy_V&yn;;W&ch zII^@G|L^bknJWWIhcImkDR$%Bg0q5L>GGLPS*4FXq*a-JSFNkE{u-R0UA5eUk~FQ_ z1|of+Q+91fuI-EJz=s&Sw2x99#mWt5ZH;uV!@oju9NF59cWozpUxsz>!oOk)dJtdM zJ^!m!-OQLVL-;zva1kJSp>YU>+!7`L3xNf1r>jDQU%OrXhQn*Iv$VU=FZqhr6u%4) z7;G8y1OI>pdZC$rH8kPTxkWBZ&=tH}YylxIDki&v2xFrN8we|6=WiFwZ6E0`ILlws zIsnf7g8+u$p8*M>B}+gG+f?U3aD)NSs6uL~f8!+5c{mK_V~l_9=Uo7OAVZucCP)|I zV+{8+K!6+_3y-@S#y^kbnM8GGb$Fox6jPAq*;zl6`LV7OA5qzjH`t9k+Knp%?+cpf zqVN*{sg3!S@IgnEfhOvHRlu{>;A812-`?mhXy01m+~{gDI(qk_FcpmQ9#h{E z?!5@x+6(iUfq&&D8rk>?*B+M*xMQc%D`NQ+EU|Z+dP~IxhzPdpdvBIbEWol^&KBPJ=~6Rj z%T|G?4}pMepsu@f{#;`h#Fh6;P|}uO#m(R&Bm?Z7{l9xT7!gk)@30t>u>I|HkMKpl6wr-N=Nm7BfBnt+%v+p@l}=;o+Xe{S!x|b z_IIx0+KXf$+;%U)cTB;*=HNP2;VuT13J|0WYcyBt;SMaD8n`w;1-RDs_LgehCYS}O zxS%SI@o)v?mkSo!PCa;!NOfl>rZ^}P=pb@MYo8fEoFD~K!2g% zNK6`TKyD@ccz_T)h~t5jY<%tD+$^RzZULd?B@tqR3X%f|ZzBN))zdq8)Yy>iK#-Hd zPPs``*zw>YzO82yP~VryMT7E{N&I{l*5(+j~&|VRONRqq}A24CXvi#&zgW;Q~_N5N35FZ<8c2O!*bw##$~69 z$wf17_p?+Zt?RZnJ~`csSEw0kni6U;+1)G#v8o3vB0SfhjRX7YsCdEPQ7v5300$H>ar=WTb7S#Bb;?uKQ(4oZ0)F@eT# z$!TFWXdDa5>Mi#~Df&UrRHiH=F})4w0sVX0JaMxw4XBQhqGSx|)=^PsYLOP!Y|biP zS*Q)$nv)2Tk~_eviz}~66bH;ioNV>fUL9zO-CQ*xRS(j|5pH6Pa||gYS5rL<&Lp)C zBwv3c+ZQ9-xe1ZU*}O#fNr1stk4T%Rj&TDW;NR>4{oP!BWU`xWK#A^b%gLVBy+}2} z_DxU=MBis&KJ%KheI21b%ODGtA55^KRe(NiBCSyV<1n8M_}7>aG?|$`7|HvQPjP83 ztsMJS!DjC7GVsEc*G;?eXQ2J*uDagZoiqYwR#!hDw9DorJXtR*yDwCCMFH^PmEV5! zOk&D=`W^;;R4Y^#WxJ9Qo}k65?`nchnM&3no3z5LEZYbXRU7UdBOf~=)7$+=XH`_r zdEvPoxRbFSjpz)$s6QIFa>12lun} z-9^5J;5&ZS%L(|7ACn_57|B5Hzfdb)8V zGq{uJ!Gh%>KRq9mi1nKDaB~{$+I*8!&3(1M;LoD$o{ReEkX%XM<|RPAW29LJ_9qzh z$#w%{LeSg5E#EttZ-3RU)dkSlh3WGk_c@aI!Gf{UZ)iJ2|13vM*_+uBn>i7?T*&U6 z$nM<8?p(-y?j$#EBwu@?TZf__3z6-ZP!g9rr)U5QiUU821K>6iMD9@DBhinMNRPEh z4=||knc0ybJ;zm@So#sUoyFGT4oeT&H^<3Z6JwAxqt{A3>r0k2dT%ptZ)IhFTM^}l z#imTHtfaXU-~XAj+|tf6eM$PFqnjYTU1A z#3&W@uvFp4FYGI*j>~W2e{0VO@v-pA%S1zj@^k+BYp-Frm4Bm?U<}i zmFh!GbP=Kvf>vdp)f`@piDxoJrsPD&5&CeI63!*KQ95h(8ozLyBPfTxE(^DzDlNSY zFbWS8vsJp#<6#ZqGn9hDl|@8oUnfBD_-!gRIAdn5lCj}w^qy*%@n9!GS>B|o)wYml=li-+wOgDp9EXD{xWJz4=eMKd< zSdxByqaIbn{47EZKBn%FS`iM{uOb3`a6X9`lc)@QKr*{{OqvUv{xl6FgGr0Xi2EpY zwh&U(($Q4X(os`lt0`fvE^+!NwM17Jedg58-m6(SOcyDT#@6okq`*8ui z7 zJwT(@`QIwUUo&@=q(H5G@AvJN+)f5qR|10ddG#_EJu9{4utRCYKO~f&m!LmeIFYv7 zywLKxD-m6yt#EfrSt{BueRz_8g3-N!9{RM75BRr5yMZseq?NP$9--T~kE>IQhn=~I zm)NQ8aczQ1N3U`55+O>+u0>80|3+ph+tzd&jcqQU@Hb1#%yas&khc1~pJ$64&G1B& zJ+d*(+0b&Vov3HMCD2dHM>spr>TN+ge$Yl!ccIg#!4<7jlNv1~rNhX)PI9MW`=UJP!0lniFTS9J#7_PkH z&G$jRbts*@FA#E;5{BGkpC%kOa|?uUHdfZh(*?A`^2fA#kZ*)5Uuz?eJu><*$1xDk z`n(a_5sQA^Hh(aX%H<{{lzH3<=j7)=zAT~C<_+p{j=%qnA&vF#r^EbO zn#ue8$$cONouf|K=?IsfQAY5gh1rJ*UkPDo8d2_;{=$bXAEC{!+t}{UA$9Y&sKLcD zl`Y<>_u8B%w);4IWXuY5+S}@4~%G=I-l29X9>Jmg4ck|m>xphxYmgr={7^%>p-+@F8 zWRxgDV8$erqOk)8g(c9LSm-s1LC`Q{!vXPxIDQG`1CZ2A_u*7He5cb}>$4aeDC8aP zZa1$t9jCrG8&?GEK}@a3Y1jJw(JO=F#eaIqW%SdzhUCpV{ubl3-g<3Kbu?@A)EHle zJ>ti={$h9G-HF*etB7>eg0ueQ+Lw~5URGV052%JbP{%!7^$_u^O>tJ)FMGT~N~e!u z(&fieUSYPGLM!EHZ;v+0#~m=3&t3ifs;pwlbtI0PKYl{sUryhZ|5Y-DQP@p5M!Qm*ZDM4NN9+e z1q>>G=#p;l-D@YDov|moUEd@KpZmT}{jzVLBbIk)II2s|0c2iEw>uw%n$Vrj2lgBM>U9zEY-wzXATTx{*nLxpZFq-uihKp7px^oOj^z73js7Rec`hPL3H#)kS~4E zYj5?^yJ~K~6a}l9s%Udkrg3m9ua`f%crgL(s99+aCI7SG6(6Gdbmi<+uOx6CNb)<) zn;@{9hX4q<+PlV2O`dzp^3k^T^m9Y9oUO{eJCbKKjAyyJr1nmg#(pC43S^%Bdi{f{ zo5cUA0=Zp=8okNx<YA;U6JRnRqjH%#$_*-J6kL z6=qv#Q{@(^tO62OC8tJM7fIuRxxZC{Ykj*Pnr80!;2|z5xYX#8GN^SF43i^|t~1MK zm(>EClsH($cZi8yxIDA2cZHo~;MT>RLdsE`#E-M>2`{ayFR?RBM$XyU5$cb1kLM0_ z1u^ai>7>|3q@u_~WCC#RQFTbJ>LC|5L*ewQ#0Rrb4G>7oPx)+T zrQ~y&xP}Tik-s%N$XJ^b=CYxZz+##+5mp0{93;Tbfa#S=iorcGNKTLsq~u+1Eewd4 zMoth^=;b-3{wx+3JxeeDs!Z8GnK(MPbLM#?_UCuel9#_Ue3p=aGlx!3$-U(dQ74n| zSdFOUBq?i5GpschSkC9BL{*L2Q!6ZAhLyYiLcN}wJXGN@3K_Os-ieOqcSi3sah;V& zz7^_*LX1?oRUUqO;eQ0FmGp*;3eylv=(RnLcOemf%ggMdX)iMSKqt}fmWnLuZN1?2 zQm7QhY^e_+Nn5zWPhVAayCs0OKNq>->`tL~jVP#1DaG+>^j+$60;dbULW^yWr`zrZ z|6OE2G_hYQ4i1W0u$KS+thPcoP=^)+$r}N(;@+gdpXDH;LRMw_;uMOpMGL+)-cFI} zz~m5iLeW8!iTc_H#I4z7rGCnN6z3_sl|;A4sekNH*13YJWdtyOdQR$#cWxls?#7@o z(n<%!2-DfUW^Yz(tjuld$0QPWwE_FiNS#Itbcn0~Ba5E7Y_!})KB08C!gWunHk#Bm z^$}=Azngk@>|jR;t*?9LyRi!!v#GYo#9x}FPfD^wK8pw$cX|qFh&&9@<*@{#`R^z3 z+2@nai-%Rc=4u?jDkec?(pbs)d)O#_Zw;y!q6BEe%uD@3kBVv)7%xm;j#xYlMYdl1 J{vZ4E{{jBZ;_d(d diff --git a/cpld/db/RAM2E.rtlv_sg.cdb b/cpld/db/RAM2E.rtlv_sg.cdb index 0c7900014622c6aff1730ca2a86e71baabbdf75c..e1d4e195433752124b5e01c5f3b28349f04977d4 100755 GIT binary patch literal 29054 zcmYJa1yq#Z6E|)VN+~EHDJUf+-K?~Gv9qByxT#Lz$)_i#itkaltC07 z&;NZQew7f)&X)g+m^$Hg#P=7R?^0hIJl2W29uH0@|0mUs#INMc$w*yh2m2%2!~)X~ z*fDger^~&C zz9_!35}tgguy-x74VFuSSPUG!d3JEW{X$l;xtD&kIS_{IKKW>N1!TG*uW@9;t}(gi zZdEOfW55)U77P%6GE?_9H@N+I`78Up&w?U;RSXppB7fYUZYwM;C0jKQ%y&SU<3&7C zTrPt*R0qs$r|}-xzd5H&Z~$k^g!aHO53{{Y(HT0X%QMx2fJcy% z%jUW2VuKqJ-M{<+DKnLJE#pT`-LaCv9bkrbQ(p}i1*xlx@U0>p$7el_4%Sj7^mWt>{;;SUM!>-_(Lj6Y(-A^1jiXR0qW@RDU+nRFQGRB1YI-)xZFYwjW|F(B1z&J$ zopUaU7tEC)koH5wW}>3!o?M%^K~Foy=36;Bi7uI&)px6*cAB2=^od~A*m$Mw4 zaCEjD;#2IOc=YW)+|}?InsrDDSemZ@@^k>3)yMrPKV4ERC0WsY)q1?7nE4;ma?3>h z$6V={L`9xK1{yMC$1Bb(=b4Y#JOXLp6QoAd8V}}yr zys>}fwqyV?pKRbES;wTXNJPFSDN9_4gJ=akIciGS#$5PcXXIxj`%uQEOudSAw?F)k zTR|wvXcp(nAAhQ!rdMtSF1L!NxU98Bdhvp)%pp53Kvfgj?s2pkrkS)Jf*wfoO;PFf zQCA+Z`LjQR9u8=x+9gBNBBm&6!66BFR#7fLB8E3ezx-(D9l>)iT1gp80{_dm-UIfZ;UoeU-J9i6EsgN?a#e4U#EO4Gbw2_dA z6I34A3?s#tZvmm}R^^(lGvum9^>H>?yIRhkqg-m7Vmr-kA%Ghrg?& zG1+Ij87H>$Cv0WC5HT0FuJ^5>pcnG{qqw{uwQ((al(s~*GH9uc{_DAMX%odE&=)C$ zO%iYTD8jac2xYRXpc%S9-avVQsTLXwQH~ud`uj1x$!gFeTu<7ccEMh--MTD2QduEa zChD-;3&HaN&Og@xNoM^WtVF{2-0%Pz8_ahxH~I5 z&YycukabO$?7#{{y5RD?)RiGaLCXd~_bY;R+gc%>(;w35fmy!&Ewd)tZa#mzx-XF{ zz0O?A->U3J<@(>?(;kLHCOizeDYd9SbkK$AngvRL@CxC~vepgNaeo-y&WYReI1(~K zbEovP2NV4!$9DUR`CW(ig~!U8_{M(4wVu+w{7x4;{Db^3*;(?tZ>AS{-@g<|4%3#& zeC7{n5|i$+&TCKphMXu^+7Q>iOKM*Bu2E}8<%%tB_JCq}v`c>bcp7X;Qj9(=TamOi zv#Zc~RmWb6LWm%_~Yqg67}WP0S?^CEz5g=>>f- z;Qj-dao;E68=FMUBTna%dpIUl$oo;_Krcb9IY@mlPpH(#!oLnnS9%@~TJ+pY+g9Y% z&+N)UlrMp=tymT>cC)3)%X6Ab5trgu4s_kf55cc>iWv8p6d^_R5X!Po54VOd?lUvSN8N>cm&8 zDkOAMJ$3nQr{5e|w}~uQA{edvwP(h|7yPiz;64h#o%xCT+)w)==;0<(Cp$oPKc<;5 z`fg@f$R-Z67J=BAX7Q2m=+mO?gNjVuELmZz_T`WnJQ4R+}0m{>tf@Te)qCF zAZwvT*-X}5nt$ID>XJ46&7)MI2-xx35%TU|y(h13?UC)6Dz@N-=vq3jvTlg*TE^Y} zbCn55mXTzMR3@o-r9|ejI*{#LnJRa#r{%-_PLs>)o^B^lrHBAOi{)i4dsv`}TTEDy zmi1 zV=+^vvN)c1kn-7`0c<~0R^x`@%%fSSM-Wfsr?v3d=Rz^O{Si0)v5Wks5~BQWL#~2+ z?Eb@xzoS?dcczh|?_xWlHI+#IZyNQa^Umk7h7wjI%jP%D+k&R*ltGo1+$8$cS3#z$ zvgKc|>M^H2Xu^F}le`)WRH0_2ggK>D*|yV(XSY&>*uveOjv&xL+E21*(YIUEob8d2p0)PF~&1cAS613PrUsb~`XwbJ8R~K83`p{C(+#EnWP`F~;fT^VI zK3{s1>pkIX<-5=9Ct4abhkca_!~On|2zCC`GTnSKd$D?RN_-GWXbgng@CSF+F8? z^s-p|xzFm2bl3i4g#B{g^e}OBTrk}MCHdrVgaw_i9i1_#~9a_GhuxK;caum z&d=z-QTjz-q&qlWjb9XPKaQ{#g3gjK(b@TQnoK17HJ_}1Ri-;2ChLq#6-#1UqMQ5l zWulerz#_m|Ia|2a*1d!m%w{kF*!uh1KPh9gGs_$~5Z3SCWwPb5{6)LDG2QaAt6_x` z-q+7hXnVJ+A0hLcaAmTCG*~j!q$Ief*w=tsV+Jr(VXdCXs9&mI{D7G%pW{z~-cq|<;Ohhnm|KiTqODlZT zF+$GlX8O2a`R>IT<$lr;@8wRgOOU7QykTa}A0tJuX`b)S^#reMj!^BE7NZLJQg(kcO*CQ+D4Bdyn zZ$Gv?Q2TviS!C;8B~ke`&P0yqI2SbM@9~gXGU)18cVbSO;-HgK@djCX|3<$|O-TsA zI?`1%M~o<)x92Zo|Y#qhXg4r0zu7X!!5=C$*u#ICF{-_8~f7P)N}%E_LWy<%ts zySj?6M@QaUEY+8MsA2C6iGhNGg?d={^4!g;{CNvzeZa~K)mE(EFB}MI-ebH&NPcz9 z$$irT0rg&~m7#Ko-+|KVI_^gD2Q-SkIH`eO5WeapnP2|Y!=bqF^s&?jp6YdAp(}aG zjc-W29)_EUSr-HR56Xy`lgt62`5e0^7uh$>ajDUH(;0UW?Qy|wSP6o&#pZF|+-tvh z;XqCNzpC_&5UTcEV%W5i?(VREH;FSP42w|UEP04+e4l*vtdCvf^9uE?FkM}wgU znyU#}F)bwEq!>94dYNnIe#xQNz0fiNlIq{-1t2Tw3+dnT!}hf=f|;xaRgaj6nu7*a zcXEl09g~{BvYU5o{%{Pfu2__)XrAHyT1Jyu?`rpm`rCrKB$w)U!{RSB>toW=K8QmV zB!8<|4SeuqC5&4`10Q+Gesfv=@!}+kdwYsxWLv^~Ljg|Wo1b<^QcLSgMY=qeP{tuU z?5KV1xCUGPN=#YX?fgzk*`1DQLQvRx+Y6=K;>{$%5u#_`Pn<(DQGcakIGHItJI9<& zODdSZ*Qx|C`xzRmY8w4g`KHut(b45VV%Cya4ostXyru1(qB8~6f1%UhXz3;B^Lsi@ z#a>v^zD*vi8Q@un3u+9mh&x=L?Sbw+;Y?_fP1V%pV>;;%6`V#)917RokGk;Y=wGW} zxxWACJS5r#pK9TF^VNHrf1W7s<23(dIIsN;zH$881q&*UL>RJhEt%MZz7A40-9OtX z&&G_l7oO~t0{Gf$5Te(~6cxiM4bb22hSQJEXVz>4{ajqDb_t*EQP8Nn;uc*xb3ZYBc$$!p?kAUV4+twDV>e=eXgEfVuB+Dpo7X35H7uEUY} z$6Kju5I@|!ZBX&|qU(*~r_@J1Xx4}=^{1!yK(&;EmXqg^cS2yG@?K6RMeNh?t?v7sG7wDaO?vjBek_8F_Xw7{MB5$ zN(LcrR`F`$4D)# zb{^9&a!r=sWp(n9)-89qL!%FJ6qR;AzG~_{S^Z%Va434^`fnBZl(w@+B(BVzel)r| zER!r48?_)ySC6pQVgz-m_va ze-8KFdp0eGDK}k$^dbk&wt5c^2b7ywQzwSpWAEAY*Um+_JFXYb4`yHX(YO60?)L%e z7oh1{3=8z`XweCP&W)1uhu^yS?h-~|)|S{m3W>?vy8MI3N`++$yEFot2%8VyHkk-D7T^=go-a%a45d%qdwC+rI7N`qSg{ZMR}TTEh;YsL42*CcQ2vNd z`S#t5n=*)CC*UPyUaA&ZS?S zPF8TQliiiA!5cqEy()1TQmVdX(~GdDKB`U18K0I|3_mFliDdYtA||I}gXN!Akc$2! zy?A}^V+~Wgk`Pj6>`<*QOor>ZW*~$}vQC-yzoV0=&g(f6&t);!e^Nwj3XOBWe!hgm zx^qF#c`?p+`2H~I#VXY4@rAz>CNJ2S`{EK+Os~}v`)Ht8FD6htgx+Ez9!l9rIp*zc z41D@cI5f`($o}^ATjMAG9u zP=aESWnEyxoce=loKc~omEL5`AyiJSr~C%_F7o%m%#Zm5xq1BSpAja(mc`O!+t$yX zRpq7?p%4|NK@@Mz2g1A`=l*`xYjXJb$|~?c)l)0P8EX9>===wA|AD}N!15nFxdr#T zveX1@e7~`LL}T5k4;z17NS)Tx_R>m`2VsdP?QrTlrJg97q>LTl--aAjLLuTKoZw=5zu6scI zfZpvQ)f5w#-xO*;F~;=gu~uKiy%kep@#~$(N0>=PpT}}eGnf`qKd%kO0Pdy{PT}G| zwFCtWq+F32QyXH+N_omJX7o*r537FFR?nMilgYU`h%)^DXP=s!uhHK8*_w2MPhokJ zW1sBrWP5r61jfg{U)}Fl%_ekj`AYp)**N6-xkDidUxOu8!4zuwKu#JeF!o`Y`*6uo zP9JGL-ghuD;s49<0joK6qUPZ*ZMmRU9#&Xu$KSSE6ZsnBuzkKiK=Oy1lB5oi7MChbC1aJvCMIfE}y+7EfkV9N1@O z>?PK0GYdY*52|Syy5Q2^!(?}}+x4b?F+vyv^Zj|h#MBjICf)_(&WsH*n3k&S=PMJ} zN{96Ag|fv2X?ubDVS_$y)86Y%g9+U5rOrG_g3^;OA-$!7Iqa54C9n02=+O$^sZItz zH3wf;%$T;7YLpG7Xtpgz8S+fMSuv^j)m3__3tJ`xy4nS)z2~Ffs)bp9W8x2;&mdtx zmN%q&IlYYe{au6LoISMcVKjRZY|m!cCG1q$E^fVnike(E5SIzZQEMQkMM>n!{=SLU zm9^M^Ve#2Nsy|%0#m7&WJG*TgXs@ZyMOuhj{#+D%=V3o+14>Zj(n%iy>OPmABKle6 zN`IC_p_V$@S@mMyP(7(ugv%1oruy#K2RO-T_%i0|CVelF(_5o(L_Whg;VpM_g`jaX zk@6JreTg!~x6GEHOOB*d?$oAy>c?J*g?ahhC4kv!Z} zxgLHy>7EIXiyu$@>~eoCSHp1BQd6>LJ9m0NrBv;$=uVD-{9NR>s>|T_cCY)L|K(@; zygOAd6wUcE^+UnA(mAbY3%Txd@k3!sPh&`VO7CDGZ@+{|drB)`>%L6yplZJBG89#OsSEtRsMW2h_g+b@V1?6@k_Grk`;m8ouF-dFX9qAq%Y!E(#NN& z<&Wl|pg%VK@Ugg?5aQ)Q{X>hAYFC)Ourx$NFvQxh64 z@6UyA`QiIbr5pNEyXtNF9l#IPf_UMvS1vZi%O63`#$T8JUDlJxx`Ag*m|$bgc2xYc z-f`g%Y4FW9Xd2W`2M2d$*%LxiW3tCVE+NjLcd0JMp7eFIS+3KDg_XP34J2nw(1{hf zW+?F)=U0*57{83)qS#HXN@VuC`E;d%54{|Gd#mP5D6*OUNo6YEFVOkJUp*tcE6Nu| zR;~bluXUINJaQ$BOS5MF)}QrI_p1ohr#jxH=8kmp-NjcX&wK{=I9K@Ua+aBF-ctU< zjZ|~4_Z1EHiQ8sZGu6czlZIp%W<%||@0X>;yQEtb1`aiuO7^jTDs~~@1`F#CNILqhK1z?!CF{RjoqO-p%j1y0gxtpqC6;bB8Wda(C0&w+|-03`>&u(o<~zdv(9!r zL8S-cBIgqUBMO?q+rvK^%tcviG{0&oQhGcS6nvo4j_*!n-#x)Hu}plBG?E#0>PYW3 z15_;hw`NrOGMdz1ON&kZw_@t@jRxSw(&D*|W#6fZ?CD^W^7la*WcHubUiQammPy8O zzyjKWDH*RO7`p@I`**i{n0cPX{T0=4_Tz||e1dyb^bsrh@T_Q%Ni;5~wsgBD`r6_m z@U&Ghj)643=f1%`rxKrukqchM&-ViBbSTTpyc17#GoEvvOWf-bNIla-f>p=I{NG9iJ z)d%{?qC2MpvJ-X}s{zL6u#FVan@ed;Y4hFQTumDSv*J zeDfnWOxHB|z4}Vq$;r6FiLP}_^rox{5TjceuDkfr&&-k6T|_3uu%~xMm$X7A|DEX@ z*R%Il3&J!*(z>tAukW__tgaLzQzWPRqCU9(Rj+^jQEB^#EuY`}UPWKF4n4OCVY_RG z{`mXZtn;nnXZdfCZ-D}IMQO4t0Y+2sRr|B~LRQ%j7 zjSRU|zj^-UGOJkV$oi_mN3fcNq(-w`jC@?-z(oCn>5M?!!8m&HZ?gE8pFN2!pF~mJ zm&eBRtQ+Fopnx)G6=d1;zaWO2tJvMuo48|+iSb91%i){ulmE`e1sP#)$=A#ecLGf! z4&SNFA&k(v9_bgb44Psz$sG#OQkcO5tK}5Z(x74?59t&Bj z$DIks%1_x)UBE|0T@&gIG=A?+eeBbe2y*tqrND?=m8cbBp7LH3e7MqBZO9P(;K8{Q zO|4K=DQk)f8gg1|`;8K}bFHyTV3qZOx-{@6^iO_Nl>r%dlp5DA*T!~zF)cTqp2n8v zXi@uwt!uvdlb3SPUqQ?i?P)I`_UCn1fBv)l@1=V35>49Ekb2e>*QxhK8fLboO{{z) z7dsWcWXu3B{LQyU3h=DVZU+C!o6i!QEkdsYsrxb`F+MKuADdofR3n$EJSi^=jZM(o zrNEvpDslvw--DLodL3B?zlSZ+QhW^TAKUfrhY2}jIi=l8yV#oAABnV&Z-i^pYHCX` zc`r3Te7QTe-X$~r=}mJylT>z-Z0N_uVw*I(VDHr{PfQFm*+hB9g z7(c(+8S|MI<-$LG#AVAm#Nw+Dh8!)f@y|bM<$t5V3a9+;nuHg0+1tA9Vt|U2iJnD_ z#kX=W#?yVO9a)%XKMWK`p#-!nl7D8U00>bk=?MTPluAkhUSN}gn+3|cNx{d058iBA zGQ*vnJlZ&1@{GX|qjR!BoQNvRr)Yd&ihr|8`(>w&#q}|}D9S`a)d}P|D^Octj67du3vmw0G*z#kbb=x(2N-{^Wtf zJ;*mN!*OQSsvH^=zZPIRYbe64pT9W>EeAF?zqa|(fl*4aGQ1|ODqzZ-f*|?zQzif*hM> zg@>#AaMjixZK?Kpz&{$FMfMErNoON9+kL%5k(NcwcA|tQ%y#mL>ZK&&3F4y%ENLcI ze@>+-+W+v`g~WtYH#Q!jV-1;B^E(JZ4O{i^Gd^Jy-!FExQB+6ObMgmV^`MNaH2Q>M z6C#Bx*QcoiotS$PTRkpK%`u#)Wy{gTi}Fq2NRs4V*?#sz<=Z?dkq^qaZh4O0RfII^ zC!+Bn>7dVMuWU!L+Tg#ckG8(fZ2IsLdlj}PK^K=4m2p3@E#G<-;JgJ=)Ba@(G6lsK z5r6TTg;+K4G9l((fB$@to5=M$Tz&xpV|W+BTy0Jl>_G;2C|Uy-Nw8em?RKvOE)eTj zF0maT&&Jz(-0F)z;+-rYme%lKYj|(Y%}Hpq=@J(I(i$Jnz;TjxlZrlUv+~X$K%yEH zTtv`v+fDlf%;<>L$(@&I4NE|+bv%_R8I=EtW#!5j(n~&w#}^e~87kf`qo?B+xRd_? zAN9^srAjsA-271zd`m51<4DYZ#?t1wQ$_QplUpo-dPW*QXz8Y;_>a zEXcj2foZyD%7bSWD1{r;x~sWx$IwvvC-+cF zq5Aw2#qYqJ6Z;YK*NZCrGDD~U`OjBFXRiz5DIC>)%+!C6*H~daIozQ9{_BQ?#$8Vy zLbBOZwm3upv36B2=>X(&#p2phWa%TWW z;mMfL(?w+JouX}s{EW?H+r-~Rf4)U>w6EF#o(#3Z#R4VToZ)AIN^OcczKCziS&zM7 zNZI@vLEgy}?H&biGo!jp-yv$cRh@$s_AK#|dw_EotS-&V%7ED0-ah#Vu6%ZSKMjYA zOhDxATybLdwk1*kPqBHTe;r((to&38Ufae4uko@Ln}s}z@4vLQ7@!X8;)Pqa{dfDk zo$$xGeoJm>1x3h)Klr$#6b!URReTMl*D2}vR~~@h6UIeEwcQA%6)_cq(nxe zvtEEt#j;+%gFFGhf(_7`p`H^tjxH_U@6w^(1*t6>1*>v= z7~>mkwSY|+WBcXZZsh}j+a{!pOx@ynVq-EK?pT3cr_69-2l?13P zek!HYkQ9HQDr@@B$?h@EgmyVxfl%r&zE)Om?N1`sNzNke*5y*S{7uS9zYelmi=Ngy zLXJPFEt|4Jc}yY5r~6`Bik3%x*rd^Bp25ePr$?H-L2qWrSxwGjcc(Z8NfP-I{eMbt zXRgyk1QJ1}$X042#fIGD7-<&UuOLj&IJAF`)8D5!V#uYHL(R}9}S zhbsm4i5UY{-HZD>LS=!(H)ZGdOCr~)=Ia=5GEU9kY;IJftA4AB*|FWy=3r`4K|TsZ zx-kwGw?~ICXU#-xWZgMY4wMk}+^SJ#@+!e?u2>r&(@K%N8_#J(FQagi7G_ue2S z7DHv=J%^SSg9@~t-29Z~ZZKi)G>wl?wzu^xjBiuLwClZP&gcY!3u|O<=m$4xoK|im z(FG_k9E=#Mk_fHjv|@H|E4bE2ou6hM0G_Jyn^;5*45Lg9A>WPhyAuM^M?5GvNuH1P zwU!`Z1Pnqkmx&wUWP#pAEj&9yj}x+pH`_znXm1wi&7iw<{SSNosSiPur1ztDc7~N+ zuWB`hz7QL#18nT$M%l*F^0;rNGKH^V{~{jzNQYCnb$o8iV%!Yc4p)LMU+exMANwAIL>^=5UL8Ta z{cr4yX6X6y-rv66KCz0$4V<1Rqh~1nHnS%~C=yVhi#6uVfXlxViuv%v0E>ftqALS2 zI1AprKvw7Lnz1476%i}m{@C;R_(Qmdvx|a1TKWlVGP%!rJd#LP*-bak@&&j{IDEg~xXW9Z4uCWI9!~$LA$kuXIN732XU4jwLRqem zEhytq7_#3akpB;W21}!Md?HY-`d+x8R_Tf(1W&_ZsfY)v%_FRi@$bLz!xr@vp%A4V zZMLhtlu0P}F&y|CKpFLKc?94cjm!OsUzUeZwEMy(qj5`uU%vJ3d%T1J&LpOGsg^0K zxL{J(joz>9*xSv$HPH_f0Dn$yghuJ)5O2}RFYuoK!mE39{rQpTU9yc(lu&*L_e;FZ z00y1WpOZrd%C@ky0YlNi&^dKSW`wLj@cxL zy~LVUB5`X;fAR80FzcK$}%a60&uawqiw@o%N1Ff8oVyS0W4$(Fv52&#MkAFiU&c?+)xG+ z0~%(YHfoz<5Dq#yFEKGRq{o|Jo2rxJpf2Du`k2IgAO?BbfTioWc#MS*T*(Yld;`XQ zzvJ({pt*BuRe`(R&Sh7SmSxbT>(AF$I0I&dmnMMK=XM`p`IUuyBG<$kB^SiFM}zo9 zg5PIg?i#ySf6D1s{OaS)=K5l<7x}M^B7qg)&Fd(mM2oCfq9QN`P~`nYJaZy5*4x!z zO6JPvhjbFBW|jU6@x*gD@Gk=UBi0r)dFX{u!?6@}${RadBwTYI+Gx@)NRp#rm7{PG z6p^G77fi;F*yA#*ZJ)obRrD!V;;T%Kq9(&}Y{_gamY!rs$YQ6?O%-g<{T-erpBGQE z}tkAN*u!wfN z);k@rn-aUa6sV6MzBatz zFu$=(?v$-AxZvTA7oj*&##yjo07@tY6L678AWW;oeAje3_i9_aT_?{-)^Ty!l?{a# zVe8*0d_s6{W7(rnmU((QTmVZ&soY>**{ zX5P)$`z9d(+wd4tvcbkt^{9Is_CgVC@h8BQrKxiu2-5Mj8qrVxec+x+!^Eb7z=O7hS)$f4OVVZFVwV_}cg^qTqI31zuk(nmQ4HvqK6&Z|qF$Wx? z_LUmtdIzR{3kjav5(D1!-DSlu#-IcTWSBv7tLoq%AV(Ug`Cva-);%tBqh{>ut_bsW zxJnBG*||(CV?{2s%n5t4*I2leKB*u9J=|v-Iz`V24APFQR7wn;Nm3qc&qvZQ)+9pwINlFb22w?&r%splYQEoCq+j~=o&iU}W33$>}sN^=%8T=5XI+fQ`$yHWd?wG@?1-E?DShACG~gc_Pc2fv!swz8VNA_>Nsjls^t z(8hBsrdv0eXNO~^uri>H4rE0>2#t#Hv{;JWl`46Q?wHfz1X%u~R`NmZA1@uAy;0mb z1@8!n^}TA3Yzgx1=2z*XN0&ul!`!)qDv#W@&snkH30 ziCh@3Zk{#}WpMKzfY-md6=qBi4cAkzaG3zgUvIay=X4hy0LsHhIfI!nAMV?}|@zC7dQH$F|Pq>%V^0S4t^cRlI5py0;lqxgSWLxb2ama#<7 z?n@LG9De0^nwpM=iOpJW<5{lpxpg5N(Uy5 zSVPqL;mYns;zl$pn&=$T{;UE&JY;luJ~`@c5Ny7J>uBlVt6eU10UOA;SL87d&DS|s znF8Up7f7GLIg6M4N)Z(P-rNhgwA8Bk{p*X%hkEreeZx-Ys{I96Q^QOx4qE^Fy6iPr ziW@wfwo;2SfR;lboIq_8rsx>xFp3*JU1a25S9}o9`;X+>2A&357O4IKa<79H@9Q-n z&-cS~&sNykR$8M$OyhA=r-|1WRm(GF?#y|`^CF#PS9&wJS_oe)u2ijRx@e|t>^qMo z|5Iati65@Cy#3a!yGVnZvgz&c+Aa08mE|{``)B7I-8});W}lHi(>1G{A%zWFKQmVx zD`bYZ5nAc68KqB$?uj@!rTlG99j`rfHwi?8N(WABwY002i=b1u=_+WDAWY{6KyTE2 zvI!~z(^--2sE{o=b8-zDM9?VhCI7FJL?>T?wn(R&D}>Xuv-F~)^QP<;z@?W`dke*M zu$d<9xohVS$yM{0uklyX{P8>K&#sw*r#ffg00WH0ty}+J=p1|f!Sc2^bSv3n&O>Y^ zZv7m%ZYl*=Ui*WSOc9|drr*@w11;pa9hiVpcfG~bovzh98RzoG^}X|jd)2=F{?u+fJGhZ7bHzC)qnQOQXtUCaLUUFDuH{Xm6Wv=a*+!3h z#EVoaSl&(9eZ36EzGhSd$)6jKT}5c0zsxp5!a2YbXLh}Gq{=;#yHp4&l>>d;%~Mk{ zZhL+eiR{Jdzm{^^=`_SOP16rmB~buUDNrAM>g(X_=-SL7qI0u7oIVPY7caMW#28TC zVEPfd7!_bS$J!gKl^Xo<8`C_?aMO)>5Psq(^o#a2uQW2bDR40_Klra!(NXwwlpNE$ zt>7#SK^Wum?c!jJPu7t$nCn=oOuOy(iaztYuDj0D%{9~1R3Hk5DE#Kk${hrk% zmqftBI2j-K8T00uvBgeE^}j2^){LVnDSS$vT)kf1LpQpz-=f0~iP83o(A|R!D~`#$ zcuA2#?4O3>r@VhM?lMg09NbqOOJ|dqpwF&S^STx%KTp5*L5MqzunwVJ181~<`-b{s z3j(e4C{Au11~Y^l5PjMHzsC=Rj8w<)q+^S067EuChSkY2Gtz7loi}aI{Zg|{eqUZ> z^9{?D&?8l)ycvGXIZP*IQ;@|416~<&Y4UbyokhBzYM*`HI9`_%R@xPKbpzs{P?as^H7!T0MVgJOujS^r7Q7G|3h-OKED96qf??I2BX~4feBfd z#*&;?<3Qdkm0?^OXwP)(J^uL<``4*CJvMqp>f0%26tKH(9S<(Mb+mdrn$5-U+ExB) zJLcAAqdGEdr<8h+hkNg)4gwy@h-sd~H;p_{3)|Sw_oodK93+wsXGofhzAUVN`{#Lt zxepCzo`djy*^jP4l0P@WV`*lxfmg|0b0VueQNW?_!x?opiRkCqH0oZ|V&e7M83DZ3 z9=ZI3yAB??LgFq}NQF$xPZ+_DGN zJQ2n+?#ki|#;H7%XM=73Gmg(PmjDpo8YmPtEHN&&rW}}+yjw>n?%idn>&_uNfTj`u z7^BG0#EIL-4d4)as~)6uUg8j2K$Jz)j?kTK^wTl$>eWRDu>+Y0^?UKlFWtDeI^gLC z;o#qIEG|E7beiaLS^z(H%i0W}Rk9&V$>K1JR~A5pvCDDW8~l^S6WN^~3#r$j$9rFv zkB(~f10v*!{Fk^Fy|-vC08Ddh-obH#hKuS8XeY z<350JcLJ#o;V@E_c9o zICSO48^t-MpLDCXk^)RnDx3sFns@ao@Ec7CcEm6ACTi&g|0z6-cqW|XeC?D!&iBuM zVl-&xlTgoUyN$Ex}ebzFC zy+B~dX;>G!tt)ZEI^*b|&hfgs^WWKX=bi4B$FZkkA$1{L)+^x*+vBWTUrwXShc8Ko zdU@g!&uEeu;=LZr5P-G1I`+R{+hg)6`t@e4DTaHKR}b!7Ufv(`B1CY9oQ8Ix8@txB ze-^L(o=A`IVW6DCJEIOe_2GawstAVfR~>4r2L#(TO6 zPH@ybm{=M{aqdsC^~#v=i5nJHC}5;X!AD;(-g2$(c01jI_0fL<2UcBdJdD$U3~>q~ z;6@>F?dRDk1rMz2!Xp z#Kak*f!EdM)8fH&*($eIvx^lPS(QEzKR^yZkaVngnn1VpJncG*lxzd}wgjZV>6z@Cy z+E+XAwx)Sg3H~-HPy+Q}YA)9Oy6EtD-=XpAXge~69QQ0@VkBZ=SUla&g3@gyygpu| zYARGK$@{sui>3US?kcI#KcH`jcS)DYyywMdfCLjjyJxtcqJQU&M~^RP=+^`YTk<=AXOym<&-QvnB7zo0SZ7x_D&p7e|i_DO$ z%M_b^5VUZiXdVuj$homN%9lb01`qhAdNC48v%>`hd+q~qv{Yh-j9^ZbrJ2yJ&BndsG^6dyr z8o1lZ_@G6{V%q^RVk*(;lg_X^cET^?m3*YDz3b*V3yo#DtCD6q*cJGCMa$J|`VBxpnSB;jwE%1ZhY&nl<*+Jj6S*%cOVJ#mWQXbQ_C5 zc0)!AxAT(8$n#zTv*id8h}-duHs2tJP!0KAElfu*U*KOJ+d^{31=4zSyhMjQ|52v( zrLGMj#>Ki|%vv&GAeY)iWXwxsjyIS4R{BAAV%_B^l ze8xdds;*=8I{WXK18p`L8TkT9tanjY>!&cA6eHp=vJfaRn|u`gM>`P# z=Kri6D2q?uZQvAVxF5c?wD1`cHNwIFfN()>XxIl)=ih1`>Ms=FW7;EG+8F&zXffR1`jbw~|IN9!%(^|ySwn&E zZsSdCwA7*o=AIoue)NAM>ZJ zTqbJU;!h<##*~%oRYuC<0exoPLV>umE z*BOBfPbkjS&AUwG%LHqM93W<&6P3UNURr=Gv`xlT)G*n1{liRCx`hu&lxeHn<)Kx@ zlL+sbxCH)UjIg|WwPuHrsibuPS=x7a(4@<_iXCFGz_XOle^`xpR8 z-hWO_gz%4Jli>diKbpPWj9&fWP0DNOl%C)i|8B@!Wn$!0c3W-}6&44@A;Ibx55{!= zbcV47$7>Js&*}Vs)})jA5_e5QyU+LN5G6_19uSQUY4fCRv}Ek5hAKINLS(FtP2xW3 zm^Yh589f6U(yUQM^T2YOS(a#K{X8szUze|$&~oF-pX3`&w8w0vV|KXuUR}XPd6eY4 z%4lO_kImKys#OT{yhY>9){K%5rhpoqyh__#%th5)-1(@LW99Jv&8!5l`MQgaR41#e)n;_aV2pb-$Q*NnwVmp@)6hS@DLhhUqYX}SAYDvh7M z+JSywhdf$@>nvV|VXB^8j)!4F95F_6kVHRf1WJDhM7Fq)lcz`Ztll&YKZoxmwsR+8 z(2E+z&w$C6(4ga>1Jk|}SN~SqbD{kqK=DPk*IJZtYO!DijPNK;MhG+f?%KO3657w_ zIV=w1a5p#rF>%5QeeYFvKp`q9fFEvPdRjO zf*p?*>w@n5e_efhJkxvp|LHi*DYsK9%py_hxaF45T;`NYr8uRN+$*%)HNtsm`Y#jN9HhU0r0(O$y*JDP~(Hj?~`iPM%BI=_0$lo>8NXm~uTY z(`)v9;pS@|ZD8;6Udp;W6}BTW--mrUQ}Sb*?}=q!KNDV(G2d}5(LylfQg=bTC*7+k z=1thyKGGud0%v<&aFW&p_Tdp`M{{hhg-8(k@Bz!;&H+UtwTP|Xhmjj0Q6ytTH8Lx+ z$i5UwZQjGDY$;vZ$(a1gNH&_|+Nup9^V|+-Gqq2mz9gfkr2${nWVI#l5;w+5(;Ibk ziRhN$uc>Wv7_BVfFYNQjj0NI6x%j}e$e~5$n=La@=JWj%4?X_GZ5#sJJs++ywIxn5 zWV|AKsl<4;#^;cS_jS_*18~j#lTf7(_0poXL8mR{YK?7vW4a_^ggInzrj zL;0mKRMp-gM1F^rvD#PC=d_PM(~drb6AJXF=P$c6JOM&E?-_t~}>`r7LaZTfd}xdR-3 zZDyOkC*LI|hu60CkNLe9qkkCl=JXpxDlbI~31zCh3cK07#$>`si=>88eRxLgnx<`9AtlF_fy9af6pOV(H|B4EV z8xQ5zlWlBk`3qhz*vPF~CdiC!yidp!=Mt+!aB*g&egUF%!y!si2X`7C)-Xy@&S3i- zqA(|?VwB7W=X$`SC@ls%eny|IDOKLY*wyQAhufFT2v*VcQM(*NsoH7kT)eF|X@EQZ zz3rA78@d!B9*q8_Vz#=fo>!5&q166T4CV6P!8u2@Qqgn*RaLO2%7;j$y)&Ihp&UM@ zkLtxVO*SM9y=a4AOOGRceOs{|iGPgkQJ&PBen8Et7hxMYk%%T_KekR41H(sdgGSdP zN?acLauEV(^fzMq2juU>t80=+v(^5A7}m@WSIFxi9?jj3gGrn@xn7{oa5v|Kz?i>b zPAfxRBnstY{um_pD{~9y*l%d@mHN3RFFEz^8mj47>yj;)g|=ZljT;FV2419IZPC5? zNs~5eldvY%l=Ue#K55eY@-f)~h5Zi)D8r%y&us)d7LNP0*on3FL^!`a2rTn0m!dT` zRsSaAISsoI8(7+CR?C4z%#!h?p?K{#m>Kzi_wgI4G3#$5i z8>Vu$a!}#dz2EQEys#I_&8gJ+ZJN7EIc>bqnm%7jS{@yh%iaDnH*}77urCl-hqJJl zv@$Mq+XXCbxAOzmndoY-+-kOp+Ty`{!h7}J0__?c;l=p#o-DftE@Uf2T6Z>I> z`LbBi0qv@Tv7*J9uQ!(dm`9_2?hfAvG`Y(z z)g>$D1THE3JXFWN)5{ve*wCL}da%{+M7UM6%EvjIiHDPJ4u-Oau%YZp_JxFIs*fWo zmbBeu`Q%pdj!lUVi*%`J+gT#n%U3U!Z{L>6-L#c_J7GxrOi6@Pva6oq{3KH{kIcN$ z;)PnsB=?L?-O;|79c=gGzv093xVzY*2=Cwe-f!k&5^v1=7_rm!a?TSS6qznZBa|&6 z{Tx2x0w&2TE3%3vK5>MpqR3QniXdQ!!oR~C=UBy+(FerdzXy{Os=4Z-0iRFSsx67K zGpM%R?WG_1_o8}hc=4?R(*7HpE(yN8t+G1CkE(V}@*5L83O%#lQNP9EtGo?$!Q!t=K!EtxK3MA+uY{%ewJ zx22jGk`orEG9lbGG5I0!T~}@KRs0C zPE-+aEUQa}QuQ&`N7hg1w#0NfsVY+TPjxoVSL|iMDXqj z(euS;_vx1($%vxk-dLu{ZsKIGMk(%`_8*NMO9KF{d|tBea!VZ=Whii+wqm+__^JwD zWK!>&{69sN0pj#g#>1O^{AiyIC%sgpN)t0SZ!b;amz1kYll@|Ao@1{Jd{3Vqik9WO zEFcaYZV(H!F(OuKZ*5n>z>?GKk;I0HR=3A8^J^`lu#XF7%Tp54@0*r8jE1F~C-9p@ zJzTAu4;Is0;(%klRAn3&pgHp(L7TyvCKAd}80zSH*Mn9c?mGGvc2{@&{4;Nhw|cPH zXhz?*uJ+PT9S`*-c4b)@PL>fd7v|j0tlVvxS=pP73AAGRnv$k$P8I=6LVH1B{`h8* zCYLF@k)tMnD%uTlZ?}^d^g09%IZt~&4D95%YS-mehjN&)a!KfI2`>3VLlISKLzy`D zt>R3VSY~#zaI-k(x!Z}jEu@?Zm!CGAoG8|e=TBGS9(ym>w+L*p?jJG>k>uH1#2ck& zpZ%}zX!#=h@k;A@e27iF2caukrN_PWOhicT5;>tIVs_i50d2<(r@d52kE=R53;&$> zXyR;SH+L!L@(ZJ;?`qt`HW@bx7(6X1qjS9txYSa4PgZm)=i!jcucB5a>1ongo0v;~ z829WWED`?v!JLVw=%~~mvo_{n`aayjn81x&)-uW;qr?~QIt{!P@4LRaiyTt5E+uyU z(9@(J*_Cc%S7&@b!1k-);BS)V)yxLoPC7)FJk1l&|Hbcdz+@!8IL-GgshQ^TcCoO5 z-THGI8a*f6>uSH3$qC|2R6Z8=UA`&Bo<*R~AByQ0G(%&xPeml~^cvg!^^133WwwRjz%CpUcmy2lr@^)aIHf`{Ef>Z(mzZXAKRR=j7Eqj)z$FQdaCk0JkU0 zWA{Yd$Ews9tl3+)xp<$roM6_&XRfdIoFAJnyM{2)0-uxeMp+S+M*8JP2x~%eg0eIG zCf;*H%dQqS%RbCuNF9CI;%r{;0~(Kb){bOL(nG@FC(M)=MCvU0C?+dGI)AW;@ zX1-XdOuapMJknt1+l#ZNij@FAAU&p!KL0hg#g0@Oh6TyTazYhh0frao9X-7H_`}$P zk>NKIKFsB<#6YgbzZ%QGxp68gy4$#LBXmay6TO#(E=Z!2(opL~Lvas}pOrYB6_Cyn zbLs2DDiwNKrcpR6zSgXKRxJL2!V3|-%e_)d9n)1gQ+-sK{WgH8=N~~a%rNsIr^IIo z*Fb5WUt$%-{nz*u0W|RGL`nRe#quEHs6^$gW0KuBlWEU@?Zu6yv5GTsmu`^d`i81* zMs_(?4OnN-DGEIM9qtp;ErJi)k*&_0bioojEaZ=9>^}L~?iG6PKFUJ;$&J^9U8t*} zyEgVXMyg09Esdn#7{nUG=vK6L{R`DhpM!PbO?%VF5>YM7${Tt<4PhoZ*AOUqxbmSh z6IIUVHF|BzwqWzgW=7Mp3PEi*YoJ6jYGPgUL!M5ktJ^cd`J$KMbr z&%?W7nO_tgQu0bm_Y=I-^yj#dYtwmx9tY;Sn${t<{S7huhXoRD33lRO!Sz7t!oy=Cc^w>lzUA5ss=X;|2hS1~)wFe& zgSnrgE;9HE&3e1xWC*p1vlFR`K)<+BKVYR}z)#x?IeiTKil$!?%2i2Fb>%D+ESVSg zvrFwBDpY#LwJ7AFYgnz9v85^Cc=xi4i!rtorEf71GuLw9Ct5 zr52w~TJ>jBJu7VnUtt#{g*iiaPd?Hgs@&h>9Iat8UtfB_bL;nHs*Q_#SXOqG9&QKP z%|ZKp(%Zw7%cc;nbtF4z)6m?=eBKu(OpujDEKnqP73KxW*~G7n$(ir|!jTp|L)oYk zTaHG&vx4&S_==X0TMj=2jo~krZx^FI@wx+YStFmOD_qR;edXEOi+WyE;f7MQjjd0#`gB}L zJ#WqpIDGUgvU^H<(qn2rgX(R!*r}kpCwTk{9zWe;<3M#Q&FR6Kkpi#qUjK&CTwve% zro~KqDi;uaW)czIB;0GzGyaZGX><&w#A@(U_^C?~C<^ly`vK@GI2}~gU8!p4>mE+& zkIzyQbRWVgI#`fQ7*f0NXkv$uBcJU-eVGH zGT7N`>$#=$6n+H*hAdHbQQar%Gp7eD+ZOElozvL~hba9&refNH8%EodGu&npYxVS- z6BbSbt20cuwtoT?1vHG}fGsQAa{aXSOiyNkm-bBUW+R_TV3F1ZHjLJ;Hfz`W|JabGfPP3-@YvQ&B-bSv_OM!&Lv} zDbX#JMYk_$U8Nb*t+72F-4^d=brM~yy zVh4aBJO-t}4i7~)jNS&Nm1!|{-=4%w#}3(lxZln)7?ON>i(9a`6gU*w#ZQ#Cf11}e zzQg!6&Sy7uQinHpESceyq<@q?+O3?Sh7-~R${M8tu(6~Us9Q<};DswSr{f-j#eT4% zRLv7%LelRwZT79K19SBWrRZK)a)7eH#1@tebkQ&7mF}ll$7-Do*7b1%`{JG1;2c`5 zRDSyPOXvKItbus`q}falLJnLI_lP@D!Wa4QB{EH}7ByZqiG5>WbV0v!zE3To@YX=% z?2uc;%`0S+;a&BUurf+8A_?!xQ``%sZufroDZW&*Eh{5Ws+{r_?SPNm0>xh;JAZI@ z=F2x}_+$KQT=^2k4bb;!C;u9+>*TAnJ4<)BBa%pYi&N1jhD7o=>qDPsWS8HW91+Hk z_;R-XFu0@dG5t{XZd&pcC0|~sJweq?j`)LGIB+9;{yJ5qCw+mrMkG3$d`h#C3X7J7 zjU``|NUN+;2aTo8u1(kDJ+{k* zFdteShGXo{FPimacxU8!WmKDebE<-sqiFIvJR!vT*$B_Bj|e z_tWS3zm|4WUFJ5;7D^_k+iJcxJKvq?^{-tt>g`#ZR#qF+jy2xZ=nfOf9?;V)!e~Vj z6%-;eFMlanFF3(KQbD$7k1N-95TY74y00N}*9*=ukgv9(4`L1(5R-uqrq{()K_D&J znmu~DH%}Z3H7~Yd6oe``Uh2$2q^=@UZU<5qL}PRLX=af0!sYy!^u0i#_TB!D4#(F| z;_iMyYlFXcW_qq?{I=v{&?mBl>PQL4h$=+efW@CkqQ<2 za*iY(-!WNpn1Zr`3@Za5k_JM?4A)Smy8ip=RX8D=ZWv&$*zGfA^Bj&I&O$K%xkz+B z8qwwU4U*NgeWf0jaVv`~2-5zj4ay{)i^Ogxddni=xiswZeUG!G+w+54t}iL1>G~&W z-HhY?nWp=3{yc$*DnCg%Sg1~{Rb&!j0yS9jc;1VQ1bb)tC>n;oPP@bM))VM~Xpd6| zT1(f*-V_RP?@} z@9+-g``ks9S}RYs#Q6}MQgb@;Xgc`0yL`iwWQ8rU;zFl*d+PdKHYhV5^FL=43p57Ova?n&%v_g0mqn~s zVy@ePOx7ThfFC6Y<80W3Y~T=ccnkg`x095_o@LaV$V=|d$nd6cS~4${1SgV}n5r-V zh}Rq9>tnr-lSrCGb48}>$_fiC@WOH$vYP_}h-K8rpGG&~>j#v+w5Kj9NEBt_<9mwUq z&?^CyJ}x!En?KSMB;m=2jxZBp=r(X5!h=WZP9I^~!O&-qFzuC?-;vJuQ*~u^8K>(m zUm7wfW^|fD@)`gVGOJ-|BCWfdlfd90&SC&?90hy9Ir9R^TM0yjlc|hn*vsb7fF&eR zC-x~ZVK8(#t-GER5`<|dURQP3?mx?~Z#x=MVg)?_gBc}_Dmv%zp1ny~knA=Y?Ef~U z&$DbUV{OBeAJ8;HF(BY1Ezmtqs#Rj11rw~_zS6A@_!)y|*sh(y5l0S$AP*Rlvb`LGNzng!p6VaN_HgUd@>+ zGz*vpQBH~Z4u+P)M`qHxnVjNq3|*7>7$&fRp|8=p`#Hsan4NIqRz>Dt_{cIEfWyV$ zbWC*4aGhc3D>Sa{6*`!e;tLqaEXWXU7_fck09{r}wot>HKRomWY;`Nl8=R>lEN}A-AN!>eiGKCkYCFDOwh@^vr_9I|{t06I$%+$>Fa*Is?G&U5 zN6d#eAq_bgulFxMYJ_0A!u8STKX9wwl0Isj#~iX|0;fK&Iea%UT~T?!<`GIF4;tpV zL7JMxa|CiYs2Q>2204XxByyv(e`3tjJ1SO2P48)(kh?sK65M}VCop9Dz{KZ+^wg2Y z_kCq{eIGd?f-yt{RPq(_gcCy*m4$`$JCmW$JFoV8YJl!72??dgBi`+S45Q(Wbs~M_ z0}aG{qJ^^LVEGQKrtSt#S@A`LxKFOByAh1L2+NPw(LL`qs4ujo7@LJC-aUT*A{!jo5Y0wsXKodkSRcRx-KrotmdYK8Do+z$|Ah2c zrWJi+g~F4&RdD@EJ$5K$eeyu}Ers~M``s=ffVebg8>y%ww7WM0sF5^8yhB zw~83M5X@aOC{c;|6ox*6H&^Wy?U%VPXo^Kww5*^duq4^^*mjQLIm|*Go*w%hgmH>4 zpuq<_Rhs#>7p($nt<@(y-dAl%NH?#gfo14Di&<1B9#>?7@8#^6O|FPBG9E7Suf-P7 zxT0SynQNc;WD$dvlqKQ8I?IadW>{%30UU3>X(IRv8T&!pTgD@Jfo>*0N z0E0vnjtC?1!a5pvF*aSOfUmzyoHVJV&J?+c=c-n52ssXDevg5(;5kBr^9v0}b~aQq=@#3uZnnc``nTDEK?$ zB9W}fj5tIAGS^oFfnuPmoaD!C*qXtkZ&-VwVwNQ{gSIny{K}X;DVyiNR8QrJCBc(X zF4e*Z#cpI7H=HPa2-MVjq_RUwW#d*JzL@0rk=p4KgR*<}{R7PO!_plhfB}@OMMWS- z^Y~){n4|~1XQm2+x!tk%XmZEpw)7RPe5aURsZ^PyMs2}2e$UcRzjHk})1WU5Bm@eaB#Js?gsSTJw0qONJ3jIBh zAb@8F@bGg01>e#&0x&ZF|EX6I<9*@(H5pnhK8N$+d7b-DCuW4o*WFbqHEiN8x}-<` zL+GeV2=&91FtP1j2QnZ@!VQU;4}yxg8h`Hn0+|-uh~2WjSxq7=_Hjr}T za5DcJk*{gu46s!|&uENn2x|Fl`aJGo@U8k7?{G|&ajJL^9}$2F+XH#IJjZsC*t74y za4=hQ=qoLxpTG&vT21!)W2z<&^UCZ?v0-~5FB70?N$eGhXAd}Q7vX}__{dkZ$6#0O z#Yd7?f37zSBTJP%zMzc?{|4bKq4>vbUm?~LRv~s`4# zn*^*+Svyn=s3ib=5A=LlP3FM_M~hWDAurq$Y@Hco@WksP(eX~Dg)mws8g=?{q!LqW+JXRqIgP@EHH6OP z$3}jK_b~-9fhLlme<7s0F(&Z>=E9#%;5^N?VcYK;9<@xJYoh0p01?(H0<{++=kOE! zF&B)Q#Gg5>r?Z4tY5BE!f<(Nq4WWPh`42=+srFN2&ZJ_CluSO6(RL04*kb@VM8b*Y zO3V@%+87^MP3vysw1SUR8V9fJON{rm$}o>V{}#MiVRWh!V^?<{xsaC6THSmD=8#Ym zq3s5)EXMXVCM)FdDHkwXTCpdc9AgvTE(-p^lm8}UFA|iK6VF7G(w?T}&DHgPgbDrz z0x0*pCv8LM~B-o{Et@fRgIBgApf|VI-@1wLeiLQzu1sAB|SL6!zDjm$26cALs z9$``DkUmh(3KlE#st;7(CL#Ptl=9y2^P~S(H zban?a0p~i(Vgc)Fz<>>z-{ntBgnrw;4WT1eV%rH!VLM|5&MjM(Jw5u-xG6FN>{z$rv_iitXbVX7ZjPt(!-) z)8&1E0vm>kCU3DeiYLx5c%$k{3v*pjjHp=aYu%)hPx7UB&h=HpdBL%u~rcCF&1 zEtZffSl?VY+H?guuYx3z?y~~MD(F(i;r@0im0PU<)L1ubwar}%$nU>4yYb|V)i#Eq zm_z@8mr6xtc0l0JH2|CH1)n|Quq!YGKrh2!OqKG{6-ya=7i^oewlA^o9BPlQ2$rO( z;OU zd(8Dor8;aonL@B;ebQHI#NsoL0|{YF)ONN@Q_LI6z*RfG6wuN?aexPmxXcFzSI0mZ zYk8%gc5`B5%qSdxaUh!SJG)bDqRXkR=n z;@=82mIl#@7OS1KP!J!8raSR$!!feonFUjkmp+`c?oYETXDPr0=kfIxY{&!-oED*u zW8s5!X=)NbxL^k!Fp|&Vj`5^yjge!lv?3)h1i?mnNb?PPRJTvEn8XknA&qIaK!&2V%Xfshp62#%OyUc$F^{K z)8hW&jVS`C14#T|F@CUasd@IXR#Nt2 zg2ME-@2Lrrp~MTZ*~V`vCZU*_aW_Bb?)lWE9?!F+vf8r`66EL0D4yeNU@eB;r@#4L zx><=S4`T#T@2OP8BwoZ6&!T)KqtXlS9}QbE3j!5_;Y2j3F!9Xt z@ZZa=O0vAOH-`Fxq79i|FhPNrgdky3NenETiSw-RG@az#kRU@Dm zlWP4i{pJw3_7kndl)%s%FkPf&r_aXZq|U*)t2FmUPFYeX)M@=>t)lWMI^FNJ?tP%F z{>zH}zgg$&zKyjmy7SJA-WvYJvBjRGjtJiRWeg^8#jiB@#!0w^4p;fP?bJq5Jxh9AiT zSN2#W0&`+qkr@DEcw~GH#neSymhD=2_)quTVMn}6JX1A+^|Q*00<@)1oywpN_)hZY zrYhM@?%*d%w#a z(Y~srGdvCMyyM%_1PY^DPsTJhIF|K+TXby_>zc_BxV1a!1AG zgKK2VF{52h*hgAbw#;wL0*^^FW2@~;=7YNzkSh0!KO92*i4l$P(GhK3P zRKFr=6FdCkIHwfIUb`S5VLk)8KT)(IVJ;FQ6`3^v0{BdU8#VTLKRXkM&7lNf7ASwE zvco|G*TBoe_m1(101E*548}!A4y>30paKsZWN@5U^27zS*e|Q8_a8VwE))yas;z82 z=82mqsukp-i5QKg9fS#vto%Y%7pL{?Y<={B+JC#nDlqv12TN@f0aZX3Kc%_1-eOn7 z1RL>WB8@xwDR|Kfz(dMk2EP6nw34CV+p<5V{XSg(ZC|%mLchX5bQMJpy$kY?L>)Y$ z%-9DPG-nY*m6-qRK=!B;Uquq><0G9g0c!`+Q-c@`BAXTv`z}A^9Hzvesk@is<(oy$ zr@hx<9C300cEFN2=)7AMc1&$v5N-j5j>P!Av)0M7ud5$zP2ZU1F; zaC*xPjBVY7VTpj>lp8ZAYLxV*OuzUom7JSs?Q9UY8N*wVc4_^yaTP z#wL;}of8=E%Fd*vHcoFarWm`1{adV2`nc7rSU=`P5f%5h6rGHMUYVi`Wyr?mg@_lIb}Yztd-HO01}ZVQF8^Y!3DlBLEL{B z4Ri*Ket^+rei&H@hF}IQqcJ6B5JK(ZqAl=QgxAOE9usZ-3`_$R;1=`7q4>5ptN-HJ z`ePRCRF9LQ6ag+1IN?{+Tm#1|s4Jhhlb#wy8e`c9VG_1BJq?MzD^ChLhm%bs^k2K{ zHZLA$CPzx2RQS5^p?>sfbjQJ;>%_8T} zV&CZjPlM2l(9wljQe+B|&VCZ$U+~%07Bq;));@>=gqJf(`!Q>>5 z5{6mud46|dHGPL?!DI=qmVQf4kWb}CIPJcudR|`SI9v~KInKOSvU?^0qlFhGMkig*rW*dl7f%+;ml)waT{}o=PAv;zJ zQ5H}k07(jsTnTbYyxiQMnB_2>$r+PcR%W#sIBSY zUl#TDslN1isds+IjjeCWwmr@~YW(I6jowUG-+yYoQTg9T%FEukJ`<{JTYM0$)uL71 zG+A3j55I7QaPnWmOI!c-AM<`GkzX5-gD^KSZ^oKOd~8*3W<8fH`b4g8`ts$~ zu@^p%Qcs>SNw2V)I`TS^HiKX8A>VkUQ!Egb^qmj<UBP*}J9h@a+V%c@s!{AI5@t8vv%dOySNIW=@qF>o-!CvOYV635e=pwp zYlUMMK@l=PeUa5?odTeZm6)&hN8DcTntRHIPq*djN0wzhWEn15c9_jSFi;3!>cjP> z3@HvbYn!aaxb6_#G>ZFSnbR|>y52LrgL(kFKeBr7 zKwZu6&hmd)N2b0!$ccO6pw_xDZ9pe1s@ysCWlp)SResO?dGn)>nvFJS)>!EN95H2T zIhzoK*6 zQ0DeGM<3-Kuqn8DS=2LO{qBD6y?-8f{#p#%DxR$^h}6yWxLS6x%(TJuPu|o7%Z1ME z;r(Un*uU8hEN9-fY1*9Vf) z;*Z27AE;wl9qb#NLE5pNOd|+&6{XoOk z(d)XV&?M<~GdFIaoc<@d@%z^Qrte(;H}$)@lTFdesd0h?f1lnzQRv= z)OnMMi8qoMpC@UDK|JLRMje;|ted6Wd(2k1I88pCm zzvXPreCnz-s6{DYUCCi+EIT`U_WDw?)M;*uIjRaM%2Aca0p=ho45#Y(PR)nFwT@Hz zip@P)e@e5xXZ)cpP3$Tv;G&!SfOfIHC4V8PCr0Ls$qlIU4|uS+Cp))tA5S2UKU?N` zcf{iJnXi8l;M+iE)OdjaPaU576v2)!~Re0;^8i5uaPznfe z=ydy1M?vJ~%3562n!?iUD8u8gWI~3vjjv8bNxb(m1>D)z{YWYqCveG2!(s>Dq8{BJ@#E0tgX%!kKw3T&Su6cZE9 zhZdCVYTref7}w?pMq-B)c`5`R%ZUvd;Tc9z4b1Ltfqn};J z7pBN1I-WWAzg6HmbS=k!uv79;_aC%Zu$hUdt%;Wck+W_VX3O=bul} zi?(sYB)M{P6dNS2>E%e{6Qjce8rM}e`60?+A5dCDg?BAzCt$L2 zmQo72s@he5wv9HnUsk~(=qm7n+*a0E+~s;u%5}E(I_orl`3wG2sqKt=ezT2XQ+q$? zxv&4edh=)iU?h9tGI8%%e1vnQtZA@>2cQmd(2}t?6x=IIh?z)AJ%O7&_g-p=e9C;y zcwRFP|6|;*nOj6>v9727=*`)I#0?|=O6t(|DNU^`8R-IfrD_cWXr;0=QLF$m6hc#+ zbA(oF#^4(gu?p8v(0>r@NMZ!>;bK0z);|5i)-Rp3{fV;mdm-Q?$RFWs2$Tr4<-#Bs zGLX1^^>uf`W#a!0fw_;A?@6H+#B6hlk|0wst|kLqEOKV>q)T(J9lu`xLm^n@c?$=~ z-VcKE<$jNW*wW-I$(<+{MrwXGSjy1a{=AfzXfj_Yv| zm76)}FkT$Os(d^%_Zd=V6thh|%F%Sl0jℜBTnYL{w2ROyox9jUF+%6=9>bv!n>C zdGar48fikbUD!-_zIjM5c}sE(gV8&=DFUxEfrtMxRJ?j*^*L;`x5l0)NvK6gvflG2 z(~cZ#Inwg@tdYTcB_~+23k+}CpbebJK zomtHYR%oOBJkOggQZ$+0^#J7Z^#0=cK^LERg}B)$a`c!Z?v#pO`$-T_c;|` zxPmdU@+ZfP`KOp%SMO$>{Y9zjK zUmdl(j(t~&|L!$4aKD+-rgZCZ?6djL7e^s&RqGr(Q)Nq!Dn#zw1qQL3f|AF3_Nfj~ zPovRV4Eo7sN$5xH)WLF_;pn)_Mc;hj`Fs^aaP&$0KDpgh#r|l|7DVnPuTi;ZYUiNZ zbdMZj+r^OYF}9!iT`^iu>9tr!jXcS}Mqx)rDT<~mC(Z4ftx3KSyB2#ZcY+%>N9kce zSL)iLXkFFiv24$>P**EIm^l|*%He#a5TJ;A&LK&2L+R&gx6`29yaDfxaH zc;lvYy!-&-7t)S(pEgjfP*Fy3zeS=%XCrItp2C7!`Ml9H?-`ewSaktyPK-)=jDqxq zp5wK}2T_mXBTti~>$%w@J= zZ+DKXKl?uwgb&l;?UzN~nlU$9GV{q0wMK8jwTl^A&`O!s z{Y1aUrIH)vNsBxhq-9^MEYjHX^NO{beB>nUI_$;J6a0nFi!QF#YE10T;OT4+Z!Ovu z5sw;W196#m6<>r_R9vn5&c`!ZN}6vMdPbhtJdi{%O=l379c`A_8vG}^wSkjaSyFpb zFH*XHud#q2GkKa5g~?(uSsq#8F$zuJ$V?^wvd>2?L?}xi#xtA+e#Cjr*_?~ByEYI~ zUqqkKuEh?|tR_!+dYhZ({{9m%OY+J(6PeWpb}+Cq)#+1=JJI)>S>-6mn=+L_WedFy zOt5Gh4!_e_?YjR*nHnj6D*Llvrjb(bq^X!eT40LXCZU~8#L4J~Nyi3aTrTX`66DbZ z)MV+klj@an$?pF>WJ2bV*?aokBw$Kl4$SCZ%1orz&R1mNjBhdy)!h_6PP2d+c}rlm zzemlk$pB*7=iDo-c*W?p_?}OsaFc7csxG{mW{=k@sjLg zsJu4Wxi=O|$d;>QL?)mI1J>CAmn@~jv}!nh@RKZV-NtQnSlW0v%=@%W2W4AqO={3V zUxAYTG!mup(G>`mbY5o&2v)C&9g=Xm7@?PgmHvAj9-gauS*p##H=F7Br2S=hlM87J za}M7_?aZHRPm0CV`QA)|T#)a3O#b22oEVyv6RPgA3pW+spz+%nGSj@E3NOW{?q5#T zXnq&_s@(A>938d%A#&7m&ppsjOQ})ww-p~~o9q0}*3{Vwd(J>lQIM)pau8?FECVEc zJ|oG1f2a57++Vd<1(#w&?dnSlmI_E}fgwFmn06h67HC; z5H;>SmHB4A6*c3&^}J$P&2DXCK+ewWZ1A7StX7wYqu8yX8TiSc=@_q2o4khmqzPW> zD@NJpQIC%UM*C`4DKP4H%u8cW(gRk0=q>i2{I=}+{ewl?eJ)}pkfb4>{Skl3%Puxs zN-^iykCsZL>EU{%TbY6MA*3EiHBp48KGW1k#uvsJ`~&Ziy#6~wanlZe8;dpj#*+*x zE_HM~oMaw(JbiC{M@4mC_r3LC+YkkR+Q#;i_YR@GWh(YC6@Kme_?=pF{?&t0n$LE^ z`7tRzWVKx12Utvgf{%Mg1-Rg<=KT>_yVS89fT)-W=S?77Hf{imZ>>0Oz`vR*7{v>N89@vHuML^9E zFf{(zt-xw}8nG{ec162=Jd;06JZ*2)_533JOLA)ZWVclHm&g57lU=yFD$d`}(A5zi zA3csMDY;)cG-+5@rD&-)-m&GZBGc!fj`;Vb=gzca3$?Ky@1u{j{8aW{?jseS)=5(| z`ce6}xowMfslNht-52}XScW#13(RkFb1)}f-hXmXGkX2*NI!E-v({i-c@ORozki8# zQ2m_Z6ZtG2MnBGwHyCzjRBkuB^81LJqNUK!C9irlMbhHGBwd!EF5a&_6xY2<{gnj5 zz~~RMPwxwBo9NYJfnn4&t30_w4&(q`jAuB*ubOGq?-ez1DU`pCI6r`!hY;|?o8I*+ zqOT`$!DR`0n1Jd)$srPv-~}piD;wzAM0yE##2BvmKhpOfnfZ@waYxurGJwCP%LUHg zWusY7d!!Ms^30+psgv5x^^G)CQBLm7bV!&Q>qUBSIEO%UQE)l>-;c@zwzgb;SC{(R zYA>`F8{#q>nbzNq-3p%l^~Fq}QsaN2al-!x)l~hz&^KnY>r$5`y^ey}aK@Fjab4k& zBhkVx&miOhx8#2G+dqnZcj4lzl^xn4n;gX?G`s4uvu~*qsmDk}kH@X<=Nx_L5^Y3E z4p5kQ#Jj<48m)R2TB&&0t+Bx>vaj3eXa>1mZPKQzR-w~yhYU4Oi&@rAH3QxZ;Z6Ix z!&J(jgIeX-6T@{+ZBJrqF4-Gm@mV2^a*J?jq!Z=Wozv9Lw<=~CSJkj~;3AsI9$M5v*HO4XF)c^1{;+Y?B zu;~p|V4g&cXT49p4v|kOLt?U-jNXniZQYsh(8~XcVKr2Snk9yjGB&$QX`({SHW1bIsq#&KMcr%#PXYfYkMQ4}(Wi@@F ztl8#2jde)UN3ORHgS^|u72RokYAL4u*ziIrt%E_zBKtX#AfnG|#T_YYE&dQ+Mc?^U z=_kX$=b=8wN%ZUD8&H?>y1%LVB80oA>8gB!v5F?h}+x1m|l6g!~HJNCTfNvuH2?$N-<+{!n%vj}C>AF)&~ zOW*vXqs%uVW7>GzkWW3My*?j&kt`r>n<7D@Xuf8iF6|epN#Wq;w>G@3Qv^<#;EeP$?uoCY3t>ko>Wv6jNU~6A#>05*b?C(_Z*Wma{ga zcj}u={$WPXZGXf3+169pX2tY4YGtLg!DsIl>H8&%I_qY^`>zl&3B<`V@+D#DTRQ!y zJ16x;nc@q%D@z}Wz)F9ai2Q}!4Sr1-#?rwlq z`@EtRpW%MLUF=1?*7T+vsSgSo%sh;tvu@y!@}xGa*>QP3Hzp(UZmgEXtBr19XK3g0 zwufa9>EXxJFIj6#GJ&f|T5ujPm{GWj(dsHb+w4I5P21V9m|qA>NewfzMYEL>sIjoVoo%yl!V`c1)B#TTPbckUfqOtk;#4ENIg5<4|<_{we0T zAe?+m*m=;|O~CmHx?GI8kt^`G1+0&Zy^kW8nZ$Qtw%*qAvuwiPkD*~@A0f@!kq1(r z(o{wlkLUCaIMgbXNAFH${*<;8b{eG)-u~5Il4Fg&5PY3qQmNSBqI<%aL?;=R9>BU( z%HaFKD4G_Z_gAVK0zPI8i4;WWT>1xQ=$_nsng%us7JAECCPI7qsMh)FrdwCjDdREY zvFrYKp7MW_y&{Ncl}4$Ty8@bScM|Hx6snLDA6)esHFdua4Dn+bBhuPLDz)4;y2|IC zg?N$CkTN;^Zul=7ORTf)W3P*DSaX*u4reg&E{Ns|0+TUanR4=+Dq~= zdDc4GNc~dsS1>1M!`QM<%X-)$^DcE9VAP{gX#2aj)T0SPAeo58D_^NbmD_U0F(;EG zk9jYhZnE7P!^!Y}7aN((x~dl}yi^GtsvPCEo~X1RGv01wl)l}Emp}Cl66*WvOw(B~ zUSn83ObeQv`=aNo&{Xj4bni}qcX^x0!Jz6aCUtDRz^8yB31&8;b42hw`t$X5*olF- z*^73(awW;pMgQv@D~a5bVE>aJh5mZsw5#OlyZQ~M z;KjnDIhWfdI^5=5kjlC*dMPF!K2+<-{^D=s1-wa-GWmW^zuhL;-gdz_a>eh5V~{LO ze<@07?Noj#DZp2|J{@fVsR+?j9i=VM4l-^vEb;$Z@${rP{b|P~=YMmJsw9UeMs3{S zwTtdxSJ}GtZ)LaA3nx+pBCXJ{sX&npUT1nw%6-dT3l; z$)qDzO8(8?zobHK{0-&c^BVpL4^qePo!jQcDvAE`o@Xa30q($;(@{BHKejgCsI-05 z?NNE~CR}Yi_qM&z@0F2}bjC7mv(tBj%7wVUM&1+T`VMhaqaF$H>(W=E_PI^7lVuh} zZmN>Wkb%!{big;xj@KVWW+LL%bi~X(LI!~NrcYmrD|d{Ivb+I4p=V8I0KKJ`;lP>P zlCh+il9$5 zTjtfal<~Mhng7>Ix4h*oxo-hwXv4H|_nPL$%n<{vP1WFHi{o>((Xv+EvMnKhn?qgJ zGQySNb&Y4ox{sS%E8oTm@z9k@Y8YWjeP6rN(0y^Pf zr3FsrGcjY}anC14v52Z%$E|XeGg_mA&U3R|?vNat0bsyuXlz5G9YtC6pZz(r=3vUZ zKl*1st|tmp2i2;MFR6-`C@PD-B5mk2sQ*}=rdyJxYaQ=nHg3s&ZeDTbqyI+T<_(BW zp|$_AKN{T_2mxWeR(eb!Xph+Yi0CSq?c3HO`?3rf69Fz8p|iBoFY| z$V(}_2%K4odR`d<_m$-&w*nyV0q0Pijw&p-wRB4dT)hQi#}1eN>K*bH)u@6qINp!` z5{SuUkIjWMw9YqI#PT9r8M0-a_(N+A>mBVoCFb}Td$Qt^S=5I##Gj83JP{JzdCZP{ zE%kgYU!!*|!cbl2sN~<{?G6#^l*LGcv~C$0!BF{GVO;?Si$Y@+^P^enY_|2ySlQs1 zdB;K7ngOo-&gA~1p7ruTb+y2?0%WU#`GoIpDrp~*whzK8>C^~Srm526Kdfh~fD=ZT*+?hJ0%@spwIn;1VnqfX_iw>Ph{ zzZbkX?mXSVb_<@;TK>JWuUo2Ktu5`!{KAByJfcxj;@0j~-48>{8RrW`psr4sr9X^L zq&e||tuJ_S)gU4-VnOS30@usLuQu-TWB2i+88}$gS28#;2OV>-k0W1^2^sj+_Ri`0(3YK6=(Hr1ZOwB2V6y`f+SKi561& zf2xq{eryX}4xIfW&lB5x=NT|1va0*=mfl_l@~b&8PMwhAn7n4=F?aicb=I0sK?(4y zF_^#N1@>z{Du=DrKL(`~F`=8N}2+&s5LXzyJE7E7?Dyirlc; zwvCDQ9wP@pd%!;*ZZhFOl4-@*y`^SU;7HPVpX8@)dy%+m2{5&@{f6e)&M_KvwViTD zR=8ahsM;U+PSkvBr9<-1MPtu#V*Ljh#)yA9dASV}(ykYIDG3Eu@qu-|rwPR9bSh`a zh|;|gU#kK7IgC{}iBmf&mX|*3%e{K>3Oc&!3I}`{EAo(BDQr%9CgL`IOT=6kCxAYs zZ+Gm|((CWQoBLT?Zky$~YMkKZV|Qu(5rcT-J2{u`JTHg!wY|p=X!q_F#jlhb=dqkY zz7IHq<1>M~2b(K}2V4*D?G3T-Yr!CfpDT1~-g-&b1OwK-@Q#^!A+;KQm`OWnd;ZGI z_!gwI$0{bhz5OqyVx4} zd9z#k=0Q&gxAvu@8TMXiYZR`<=~(TXcYawVsLr|2ZuH6dJ6Oh<3@-&f8d1U#^>bAI z5k>!{=!RLX^NorKA$G!g-b(xo)Jk*J&xy*L7o9dC6dN)NfH#lqDg{<92U@EbJRT^B z-kjk-Q6S7OOsiU?{50dURBo#XD)l{eTp$e0&hYO-q;^8Srcc^#@~`QH;(Pg4b;9vf ze5)V^oXx8q*iD=%-zp%W#`p9kJTTI)>yUm=o?k~T7$O1akK9H+C0J5n-E{o+?eg*T z%UaH>HOkA6m+I=;(5oPk9n-(SQvc53oRO$MzfJ_c52>W32d_c+B+B(df?>YGA5r7N zmy_&zjb4ff6$7cZ9Ptbbyv$>Ay~m(3V|`f5ODwm=OPIl{zW$48&7Vto$>0w;Ieoym z-6N)umJhfv=N_-tP0NTKp_asYIA#usP`%X$tsF zwk1uw)|<&Mp07&`fwR6Xbni5o?YQvg*lV9GY&la=0FM*cq_(4$3^h zffhDs6oaKWp@+Wr$`YVIm7_YgJ<1Cn3Apm+#IC8VC@+~%?eTSAO*JJah$SN`c2XKN z1IO=N1`bAd;6Y?RY16+@PL(|pLS-WQ4Y{v#lJ!F-PwCl%rtxpRmrwiM z=AC4M$^`ZqR|qY7wotx~@?l5KRlC5eU(m6lJQXI0LC6duS#EH}|GrYuZfq@LGxSu{ z0M@{RFjefE(}fBB#=McRG`3KS_{H#5`(1G7?oOlZttLH-UJ$`mn3rfk;7CQsxD_a^ zJC)AP|G+PZ9TUN9m5NtCfvC_cEtDny&&f?*oaa-W(`gdC>if&bvH@^z{n-6CQw^sV zqMWWzkvd)2>#pIZI-J7Gyx0r0l|M~(H|wkGCsgiRF{Z#g{5Hm zK72hL-mQaV-~A_v^Pg3@40Oevz*XM$wJ2Q1CVNiAlzNvD5~TJw{oacQoo&&t7!E$T z?5E>zFQbdkwV6&s^b0B(8pP?MaEt{&Xz(qCJ*y+%PCK!7p#B#r?+JgbcWhMc;es z);>RHd*Sh$=2ny3ydo3&wp+-7fY$@KRGW5bB})DHcfM#s4b2AcXzBByyCho-0dT-^ z(x%x%cz7TQV;yL9ZbArG{eysPNT(uMS@04m(YZm#2yqMnGAv}L^!{c*()dcnz3GW)#BFVXgL}ovX zVW^;u(Xv0qquOsGBb1&tWts7zEiRSCw-OU3W)W6dWR<4bHhkY-SE`=i6~T$_>_;RZ zy-tnf<_#hr;xjPW@+qKK0y`j%izTgHcXds+T;+`0F?b=kY>{r%3b>H9VBXYF*)PCH z-{ILtR2y`2Dmji!nMP}|Dg|R})e|^mWv^_X&S=BI`EjT8quOl~EIQIAczb>mCbbt( zk-sX)j-SSKdNo|Jc%4{19m;PFwy=!e|y9HLl@t`J|6*rf$(dXy-FrL5!i838N7%H`$ zYsoJOB=nJ(SR{yg6S$b&(xf`%qJh(2K=Hj$R#SP7v&`K!nMDb-`_s*-nZB$%AihsR z69nx^E^rTTruRnQ+mhHWT6E<^OJIMuGFK;v?lXVJ`1Z%QXP=gBMZb@`u+h6r726NF zb0jzP1gFRi>Z+*->^<9r-8(`Cwvfx5#9idOzHmzq7>&aQP8{q%_g^%guiE-ND~RCr zdFQrIwGyG6Zl;@vb)tp{@uCikt7>kp5%qHS+19yr356tBZqYtz_%J@yO-ZQ_N6N0w zCD&+tMDgKP^>9x1FP*BKU$Z?(W6_$dHB|21HA_Zp`@AAK1VAx4J!V^-{ApHD0wxm( zDLW!*dJXTvNBrSNd{((qW}OUSzXhUX1c^F{SLMxqfNhUdZ~av&rpSP6%xoV-O5!wDJ6kV)U_F!(l*9)tsdspZSdvq! zXM!a8Ds%*$6>@f z*PBpqjV%X>y`9c_x!*dC*_`U1BmrBK*9+J%r8Q7ktxLBi$NU5};(1^t^OfgML`ioj zwH}}%@Y86-H>P5z)7B zceGYRw!Y;DiAUqLwN}sW;xL>A<2=Cx(g~uWTrrAwk>Mmzq^$r?nrK=61*lK3=n2`# z9wW35RqN{$^a^&H`Hpey=j^HfF!a|p=z0))z!qu*-$Zm@e{|riARG~@Y~dg~02=|y zJqb3X!Z~q+n!n&D+rqdJan3w|ktFP7D8ifyXQ@iK$G2J;g{RkA1>eOHo4vk4BlLb_ zYsM^r4F1w%oN9_v@bgovQ^jE5>y61St_sGB*P(dn;8sCp=D%2d*w10KUwi-c7g%rm zB*<~buX-4w@Me6gm`LS?#fRL^yMiYWW^Ys=-%N}gH$s;RXZ!%+cMmsg@uG0jGZ7@W zo05TBdYfc%-Yr~vsFF9=<(_ufcE=el@VV>v_E|E1@Uz6sWJFR;-=rYGbB1_$JuOyD z;gje-&VNWx_O+N)&10lAj%UAbt;Oa#)JDw>iv5kbU|iZ?e3427-vqACJ%bF1QKjgI zwIH%N?Z4n5(hJNH7@6}o4S%>pj1L2gs5`66@T^=<2@}f!wgX9eqh~C6AZ-W0+9lX1 zL}%;B?wB(SUrLTnmbh!#Dy&I<`TiW|1_JuTDEGmePl^afG5em9^_|Dm?GDXk6dd=~vz73DAq#EdaX;})m6JIR zLBW&_ZwL<$5Xms~Y$7P+1;Ot?N21-b{$Ys3L1lP0OB2s2gbS5*+Z(H~Q^Rx)5^PTw z;l01aa1*2~!8{zoJ0@UvY{`a?$=pZ)hrP?>#oMNn1mc3uJYtR?7M)|12J%WSduI0oy)sl8sgB4ekG}+f9aWmKta8y*B zoDhP|S-QX9Ml{~66JJHU16VaUydaujrd?Jo`LUQbvgH`b)#(FhK}0vPw{q2meHaMR zIjI8Z zaeIQB^?Zg&up_`%6Uc_491r-K*=O(ug6^e^S`|)f;`&&NaAQmH_x5>*Z}BN5fx5T< zFvVee*PtlmU-aqD(@Q~2rv(2+3UE&Gl0y(XoA3`b$6qHDa^vaz0e)3>3la7l{RsT% zbak{k8cX?6c2A%>wspaH!&B(EY3d9mrf#vWk`hw)kK%iCqrMlo9o_aM#4n8DJD${F z{vO~VgZIa{G(5?vgcAS<$2O8&0Qis5zdsR_sIPp*bBV5MgiMl)cbjpV0r3?Wn$g0d z7HUEz&4Iv|^LWwQ=tOWa3PW=oZRgJ(@$GC_6$gE;%^`FiV$SpTDy z5y!=?`wR6X1;oCuo(DK9oP9EESwBhIp2Gm?XR1qZu8i3L{d#x}v46%rHzMiBtVPo( zBYI9R!pT@y!YF_2)`~Y;*>rWLH--AGwO+8kV_+0ClUp`SfTLIEmgF| zJYGJB9pHCw1$P92ykUu7QXxCRaqM`;!eI7;m^t++2fzkk0#LQgKG#cRxFD)7j1);= z8F*qJg@_J##&;&+jo?IT{Ixag$0D{>Mt}l^o}u>?O}9}!geOTDrD=d5kHjUZ#;RRN z?&sD7S7dkPwLxx@!eWf7L^_WVnkzHwxuglkmozgWry_L(1W|=eXlrQgGMnrXT4H&! zx1Z2UM|O_AngkrQp}r9UU~Ahw#hs5~OTUO2iP0iwIYs(P=Ph0@GA5<3FlnszV?}U}L&%j(kUut=@C=MN%{DcSlhFUx)6w2H zzV;cfwYdkE_668np6^UopEl3p-ax?6kdo83>Y!#7A_9H9kHQ8&4Luw$2?D=^{|~+Z zUTbg;R5D+PP7Nf8m4+0pNv^NU0uvS+niNhm3dApnCGd~Vu>T>6rC)(WIC@pd+_D#U zSECzQzl*&npFK)|N?bp@A}2aJ@Pk@B+5^g6K?U`IF5Z86A`zBz%5m-F4-F^Ch2m~?S5FQvY4Q~}+wCoJm`J+G)bR$N`*T+U7b+ubxS1+vpBXVBf7Z>~%2j_&U6 zi(3bGsgSK(dN(WkC?QI8*wWKWfR4^E*Gip|eG&E|aKj{czJiz!B+ho>6qR1rtCm7rx)G%2?Gk_?$77$m&7UJX#3pM)hiAzqK`#{_}Sd+TRxTDU? z^0u_?Z$WUX$m zqrGC81t%Yq)!^WcWJ3H7@Aa13<13d1(M?uM+WCP~Pipem%gL*Kvs;nBFj||V7ejxtC&))DnKm+}sK=ltVricRo|{T@PY*)B2* zQ`GqEunVkB8T1ir()rxGp(6;HXi0n6Y()rU(}k_vuGCyF^+kdeB4qa4#Ply~MF4P0 zl`9t8c%AI!$N94lcGA~I_{WjS;PqgOi@?>6LeaA?gtJ#+YVGEuMXaDlezee)d}YSD z+4drp;j)MBjZ$qE<}z*lZYXU1ySYKnPp_K!YNd6IpH5q>65bM!CiR}0FrU-X(n9R2 zbL6(nftlPfudD+KV%di=@1tRb76w9}?qwKyv;?CLrHf}?7@UP4InEgdUj?3L1g6^e zeyJiIHxUkEV0zrQdbJN3Z<5H~C$+_@CL;Y?ex4%BBhJ2w>CJ5zHWfu}=5v0;`GiS~ zHsFqR4jlsqk5nO9Ek4jaz01TVfK!hqS6Awo7th3mQX_n$MW;Y%n!Zr3kl~*hfx#sV3dN{n z1aba>#lzxP7eob1hS94B5tqLBi3v9cnCK^HYw1n>%A|m;&qT6$1K`RxoR>f|L5)8d zwa4gH+2y1OICX(={`QLXlAfxLPA>gsbugW)`DUoTE+`y$hD~&dUn| zS~G14l4uYm(BtqMRIA-8>klw)b*@1cLMYmH%GW0;%LuE%;A?B0LtY=oV zm|rvBQUo&LD*;tXX=yWhX5o;iCr#ET*WcF69b4Olpj-jIt|4f5vP z+vWGW?T&Ic7Tyzml`gU;{$~f~3bVgb|J`H*4LHZ1-1ELC)SHeHD4T_4D%hvBXV_xnCD<WLO07&S#)NbiSsQL($uvixq1Qg!(P2mjt5nT!O@g zxOAI*0ye~_C{+n-+<~v>KLu;zpt#dVe{m#T*$6#P_%cDLF+|-RMtkPTbXM#}%v=s; zoI}OW)v&DVyzFAOP!iS&kRmG6&bnkVh)h2Y*|k=M)Jrs|FDQ%=n*77hjC(IV_ZU=x zY|?GzgY%nigs9zSy&lAeXKyuEt9Crb)vU*2k|J9zvfYo0hqDP_jbf0_7<8 z9Os;-lj9!$0LLk!!{>dwMp)O&o@CzbP)rb9nIU-Z5S2iij>n-|_B87EPa_tZ3n2jSTd4QpOOjsx3 ziC&P!&FG*%CNPaZklI_AH*Ql7u?pu}?gf_n&h^F`%XMU{Y5=VXqLV62Z*i`043m|g z1K8Mm&0zP6QBIML($_TDlsSi7$@1SL&YG!*;oN`;@fH{a`xfQ3&=c z*nbg$J5qf#g3rL3GE`Y6S*$70us|ni=~wdI*QMnv**Ug~+{sy? za@$Hb=MN#LCYY2i|Tt(7_bxBT; z4R!Vi%q|Nap@_=S$-hEh^wzx+!DLe40CEM z`T(HBfvLa{%31R}>MTb7h>Zg%@qweBm(3wKyc0tf*Xi?gMf8K)d26oweOY-LR*v{< zhZRXX#6un(L>JfT|14(GnjFI#UX$By+Ob%L`mx!0@p4o%9?*yHtiBy5jc89=2~O^W zGbjw?YxH&XE!K#G1cjM{gMQ|K7IVrlAX;3_(&?xm2(;IKr}Nvz0c4AR$Gypf3aYY1 zfPFrSXopNL2KFo}+qucx7jM@5XvZbAFG;s`o3=0FCl}q|5#3AYw7WI;;(rq$LyHHO zrQy{`A3|=s6$t9srB%p`6imhn5rRnqLl)Uh7z1CJ-AGx;h7TOOurMfLeN-JC}6DpzJb%{cMNLs6|mb zw=`+_K>ms2rK9XZ6qXzVyRPNS=AaSY>A4x;c;z3YaH{|c4^9MJAlN*T4Xr@kBf#58*7|-q%@(jz4w2h~58XJFwN+WsdG zkdr4$$#XCa%bT%61L){6cO0SRbo5A?$4Z{>G*+B#-1em8QEX5bx*^bYU5Cd(i5nlA ziG$Y-mUgqcx)H41CAdNJgk(}`1JIF(ARUGUR8ezk_jcpg;5qVP0JQ>!&KU>|U(m9Fs>slJ}&gpH+?X%xPDc{vQa#4!uYB zA9SP@?%CRIrS!{P9`xjuZ=u|gCv?x5LT0FecBn`N^YB`$tdx4rbff>6$NP%K_#dHY z-ir%uE#)%DSHJ>q>|H%^2T|^!WBv;dGJ9y;E1L5OulA1K1u6^MC7Zb?5Q@IK38Aw0 zhSQw)=TVT-`x1S>+hS}X89UKZ7jcop6U$2U3Y9jRQ@cC>etfhT>mB*?Q}vmQ{b9jc z5WHUm3r{#9UBeNs4G32pYQ!CqzVcS1J0*k`IO`k5J$l8uU;k$jdX;>Yi+pt+94Lyt zb>)^n?@E8DmEA$K)!Beh!B1Kl&@W!J9IEUqS6!hGU8-tlIW}q}oIQo+>;j|xTeQ4< zULDf5wEaZMlxhXjd_4-(pZWm z!|dOofHm{a3PMR$FwY`8F}cTAYG8ZJ_@5VyLpO6g%R3Y(p_e>CMidSa9n)yf3VnHs zu%q{XO-Z48)X});P4A`-g&TVb&!95x(_9?S4A)VKC<=8T%S~iiYtd2i2>wrCM{rdl zO_4TSwt>G+U=8+XNP#tk8GV+7f;<58f)f5T4WROuf|a*IM|IEanr=&RKj5+phO-@7 zx2KuF* zPB8;g?(rp*=B%OGAfy;I{H%51_&3L7>M4$Vj@4C(%l#Kq>g>IP_Tm1>tq4X_A3A%kmWfe1AyPRbL42n9q;|AY0O+FID zSM9~@dKOVOJP)>zE7B=>(gw`%>7@&kIAL{k+he-k@q;3nekIpLwkK`sN7OX6^B3Jwsm5BdL;C8qCy>{XSiP5FkwER6 z5fa4;{fFi)ecp8bshtXapK-0qe0Zl5$6n`WC;2LjJ>j=yLpMZp3q?7*B|>-2&-e_7E!L3-wI7QSda8aI-ImgMy6CTW^1-6dk^| z&!b)DH@!M`HeH<`tGRl+?hobBV~Sr@Ts zD(thNjvizq1^0*9qW77BQQ23VO~5IzJLjsM5fr^?io)> zBgm*o!*D4NLo&=Y_Jm+Qi_(4HMMEZ5(WNIhR!*FDW_cG(o!y5nLQ#J}v2%|3Hj6nE z>=_IOIru9kZ8sRxp|myOVXvjV@xG+52ZbDn(Le0??M$t&K@ zA|Ii#sZEDDfxw_yPjUrq;RGU8B^rCS+GzB?2)ffZ9&oK449F(IuIg4E)IJBOAh3i1 zHF72&z69FH?CPsAD8`?2E7<;Bajug*v0kC74DWRRbaH6%BL3*8t<#PLj25m87qP^1 z+2*!TWN9EDHq)n*_GRNza9_}hoM;isUwEl0n#0lv|i#hDmNQ2E#DFHGN*+*X#G+-h0+w zYwxw!TJPt1-p}5n?j1F$^JJyq;ab-xcyEjJ|30;CtKU*Pzl@fYIq2 z9>BnReHh{IN$J>W&G>n{^Xss2eOw2!Cq)VKdy&EJrjDKN8ijY0B4VC3@h+#XEp)6j zC+Mh74s=KLy0M!?WFPT-U`D-Nf!=Ojo$;+oTor#`lL5iavHSt=a{h_xGN(w>`Vr-A z1ds1i5i#9y9V(zYXKMzO@!tbs|Iuv(;$YHv{HFey2#6eV?j^~)$c$fzjSEJ;{f=y8e62s0VD zd^)2(p=M&;$5(+Fv0a0cs?>`9gNbVmf(!_fmd5q^+q++iSmSXWm$V5P+X(bSjIeiQ z!KTRxq3V{pnp34^{n-+A-fXY3o;c=NGmW^F03IUSsC6VC^i`yOI!P2z`<$6&l{v1aTUpNdE_9uQ@L(>rXw&a1ga z6+ZapQ_%5W-AJmeTf_f(+r$}}if9zA^=6c{9{}A-5flts6|DT+GC2@ga07osC)d(OIQV=R zKZ3KdLB`&xV9PN8sBx1Xks05q*PCV9=F~H{yGRCwl~Dly9?n>blPUu@`^baO?Z#CTHYSwm5wxi8ga!?pVQ9 zykzMNg_yXovg0(j`_pSP-XU`OgQmTtX~`xBlD_V4Jos7{C%@gt9X ziOoEmJ^8XO?{%-T?_WDCT&6NlX?YY|c3ghE7~W*qTXE9OG9^w*Dtf7xYkpE`i#jaQrJEv`tPReB|?D&~J$B-dq4J~r!Ee{X64o9o0dc%fz1 zL_augywDajYPnnKMpKEM_?z5ovjsIbfv&_pS&{WrDb`T1Y^_eAwezA{uF_bn>3eTw zhes)U#%hhX)3~K*>(7A6mf5Ha^MP?<#RTt)4!(5jklo=W)@_F_iN{^(9=_)LNaxqR zoi&dw#8GRFW5-RetXm2@6zDJ`Fg9CV1HBxkhdYR_x~7H)?*=-OCFAC+w#&^1oSvLb-$dkPsjb*cKS&IQv%{up^w)fksjx4*QrAL>AWZHb2?`kiL(o;pgG#tKae69HU zRPN@g_J;1sNb!md;z#m)O-KHjz|GP(TWbQBi3JQs3Piip#>%ombNp8ZJbTgol0=i%Mi_kC_9QX8fe^# z86Ww?mb2s1OHKEp{iT?vNmm8|mW5<)G;uO1*^n6_A)<08P zSozwlV3PD&q*zA0Wvj$P4%%l|5zErwqM@MbUgNCdr32SA1zuq@2ozp6JrYHDj6gFt6 zEi0{r-E%K@QF9okRIc&tSyq`8KiVh>Q=j=9zfb~m@S%FX0-1;lZ|%^o-XsQ+z#r0k zefJk%G~MYW7nKDd`;{r|m-oqs@hPuwKmED%{pqL*%Xa&C>`dXNprJHN z+o_DM)BNn4O_%tQddIfr#Q!>ocfFeU ztRM4o?rl@hCQfMK)8Oq}?ER$mNueL+9pfq-KMI|7!s;&@&~ImqTrYl^Bf<7$h{Nor zPp7b|%wEqLbBa=m3jU(cpHp(J9Q9}_l~gIt5Pb)0Lq$K8HimbP%hkE>xaDZ)V4?mr z%k<9&`P+a@+;e|M0cDM`=#4)ip2Y`Z|o`#EZcdDJY%UnLJL2)?2!$0?5rg% z0oI~Nm2IlO?)8{BA7wx{)H|MBBi$_&n=}aMH`Nx-jx`8eheSuN2SFvHnYM?f(xY_g zq^cMGOHp}8Rrg7B3u%?7gNtW=Q2zmfMp;xPr>?;$l-xA;}uI_xb^@QEgen#>!?3hf4H&GVMJ417bPlG^6#Dbs>8kwuQULc1@@c zT`pH!bo3XjeP!5@I#d>AHp+0pf}~5yk@_O%`E;}z?O?@<-&5RWbK`(lxo{&X>mO-qgx9? zbA^IRz{P{!b9|JqNf^hOp|j-9%?A&snGTTzM4Z0$$RVi4Wxb(;k(0!96q{E+&Ul5x@XeXH*pp*+pIaVbK6cdXU;c# zYj{(((^6mxBY(EwfaNwuQ-(O6%?q!!t~r1#xX|0$b6yjj8tAoM@n?pt=3B5K>&gYf zukxSVS2<>jS3Ekjq+011n^bF_TV85~AGp~h%UU#4xz98oxHVT%IU4M}4!Xm`NQH~v zTyNKS$8Iyvad;PlqYF-TW&_X5mHC|s8++_GzRf^Nxz&3*vC_K;n|pt;xo#Uej1iPz zb>wlVsR1G3n&!Z65&2InOZRIoPG>P$TiaHlX6F_fJ1ex$?k__N-%UrR#=B1MpLJO* z)_k8cA$q)Yc5Ynz<47YddcM^i-w}vG+bGdT+YPb7I7R=dRoz#^z8EH4I~22+e*Nxr zCt*R~vS;;T#-zzQPwh7j-fDeeGoSxY_{t__3?_>cO|mqHPxEI#v1ckcH*iKpjH7=7 z0OtB?vmo#G;%eoPa-LB9qpbA!eze1!7IJTX^!M-z3zd5uhpP_ZK}C${WmDmdcC#qG z=}Ik~FI_1Iu{g>WoW}~HHopZTJgSbzy?Nlcy_M#rrmB-zT94!aE!5lyUE?vnsn-1Y z?hNV{!QPJ%pHaOX!=km+Vl{qA#NJ+GlqQcVQ){E2hd3EPQL5NvU4MkWD(c9Bxi6f2 zE$U^Ty%##QRGf=+UbXXjxSlmb;hNbP2_tC?4Y^xtxUv=R zO^=JJ&yj186tAAP)F55Yc!%|9%nXDLTHviX!Ii=;@)28w*jOjtQDbP7ll|Lb)T5d& zM28OvF$rZ12O#_}wL(!Uzb;?J2<^iN{HQ>&>8j@*HH99iVhf}XyNEZL{pM$N38VFX zNG66h;cbLI!w}P%o>h-RIxRm>i{CIkEuMv#m_qf+*!DbC;%X`>9~>$S0jhGn3X&y{ z*2|-lAghpe9}h(3^>Qd^q=f0|zVU~ubIQeF`0ZXuM+G^3T=BxB>I$;16bY1x%z?Q^ z3K}k7BVPKYIacR>2GS|2ilL1N{je12<1Q7&&i`WGio%|0b`gNQy4)nCh(b`0Y`wVU zyEZPuC+kzzgQK%wv={Yoc~^5vloZqW`4?b~-Hpz5T=P?@#YIdqRNuHejX&0=w&(66 zj1733eJXnI2d=rxNqUMhQhy{A9|-f!E4EI$G;$@CPw<)skOLpu;vAVO+RUCOCS(W~ z(}^dl!_Mw-?>Lm6pd*j&%PzM4KvqE9H=vFfqApuyQ^?%0ALFY8{?y`Uf_$YA!f!;q z8`}iNKIJNx{}@@(O?(+A+zKu1M2K=RXA2sgEe06N(fz9gH9)DpgWTJOHgOXjp_={J z0~eYi#+HNcw7JfRqAN(z{*T{_Evf4}%|FiH9((Ad*>^IvHq4nPY3IUOTUoo>1sBWI zS6GHvO2RR;u>@AXu!L3qm3urkH2Hnm)i;W~H)~jh=VK_raHmJ>bpIUn8Cz3MVd1JO zjn}CvFrn6pmKmdq4RlpO3WjJ&t>vt2g-X@1_!Pf+bC9a>hUbu{A#-NXeSA1b8m!hK zhDTfNXj}Az@$qC)NQJbEJ35Y|Cqj~}jFOG0hn#|d=}33qst|j|$Nh%z3`odbYQam? z*SFw9tIroK?S&-0Ym$WFR+UIj zt#3TJd!?L)<&O^1JO3h(!P{Bi7;PptJJIfF-;yPgxcDWUUtoZ_Mu+aqI5&S1+{5OQ z8Jmou!gT)b^DtpoqjM*hkzv*2EvfP@SUm!vVtkFL&&zgra!!(oBzn|iH2*hU}5BV?8n)^*qhZG#n*X1n=jt7tf1zf zvHT7FdhUZr5^GMZyoP(p}KkVL0s+XP^e}$@&<`6&`Qn`Sc&oH z<>8>?kc4uT)$`wG;xU+#17}YoW2-}*eiNwPsGW8ELOi%1jps^S`hUi3#eLhr|5N9AEV1y*RTkD?r*Pk(_>`Ys%C`Eu*EbH$f(^cJ{Lm zBv8gyYwFeFIzS#XMg{EviBH9}{^(jRjJHxzsPSxVO%U#aY$zALOq8@PMuU^Sd?B9B zP$Un@rqli*ddgPKzFdB7lsR$m8dCDETocLJtT#gB_wOFvDl%WVc`9}BV&k0=v@x`Q zD_;s^SM{Ryi7d-phaoc=g~J7_Vbe>wxp8<&-|;ruNK1M@!ym>7piFC(2c8X)OY)$} zeQASET2+uQa6t8^0>1^iRU3x{KOEjxQ@MMcUO@J{^V*`;b8U)JJf+^g4kK7YCB0<==M6Rx?i}}<2NnPYBPcN3$bKHbxx_C00ke<8 zebxIJY65xs09g?%W5Mpg-?lL`CbD8OgF!Y3EXgcql)GG=oF*1nT9?nAvqjsot*Z0s zgB31^K6b3<-e%5QhvHA2q{pgFy1dX5giwY3saX830FgsPpC{t8)PK3tJJR9;e3L)j zc-Z#b&mALT8#(k7DAN`&=~Avm^TMbS@1jireLlj|k?4I(a zpK9(evosy3J{pdn@LQ}kmP1J{nsv;se`&Skz22x3F18pROFX<&U zWQc^o=&*Zm{l^=}+AU45SYhwiK zarPuLj{w*j)bWu!dOWo@-)k)zPohc_-Z)UO^CX)@xXbD)*Ao0qEw)QuZ&a(A zw_{?IEnG0nq>HOB$Mz(Qe07&cQ{)vmHUU_Q(wa97p^80wjG<_c!jLdS$kGTx*bhVI zsz4y01tT}g57~4}+TEh-!I^3C_H-ua)-kz{U)iFd~Dq^XE96J&k z1c2ob@Y)$X_#9SLGigIDcD6+?Vu(UeKpD|J5(;3++ue--uS7Gn1mPHB9#)4Rm>;}S z%#1iA-JM+2r<^oZ7rbR43=RND#m7itK}VIS_6u`L5Ap&7VEjcG;8N%ov)@e-z*f2+ z(vKllFg?MqiTaR@3U>p)}Qf}gbso>YK ztlL>~XrrH=G3xu=(8z2e5Vkjh+V`1PDkGrlYHHf2yS{R<0dW512)w`SdhtQuiytsE z{o%I;P^B6&j3EY44Ov{Qj|>|}Da)9EE44V636T9dYHCdeul7@?d`M&ho_B|MVXbDgm69#I0~NtiZNE+-D3~8h-2`V2I$8ktzC6l$xxjWpXGUEJz#u zX8MH+W3}_HGqtnEP}=GT`2z7$+;|9xS>WC)h0EYKVS+0lZBA>2Rt!N~wuec@QQ*Xh z6&&aM+uNB*HvXE`?AB=h?H$}e(tQ+BH9eLTy6-a=Trw2<_^aHKM3 ze=s0y19OaL?6nvoK=qU4B*RATXdn#X3q$ZeaF`K`bjO%7E!ttLvg0T%C0lO`qkY z$3hH_fx4=Awyfq%$9!>p#&(HU?myoCw_u%>q3@lklTfbhlAODMqhW@ZmOiWwh|j zBg?_q4KaG#I!f<|bzYIv<{5t^w`YG8#m2*P59q4I5Ks12Bts5er;XE7MYKuC(HyN{ zx{KwHKdJwp!B`G8(8jS<5g#zK0^qr-i?96eNSetuE=&EhZ;kCiU`MHv-#gD7u+S13 z3U2uirg6SuIL`lB&5U4Z!Vg9YHKku=hz4EX1|btKL#i);RsCrQ#Bt?C*KuJtJ04tJ z$`YqCJ!78Aln5fQLk9R`(b@KCTrKzP*iJ!I00P26v!#UM)I>uj)FllPS-8MbEb~G@ zaO}EGwES6nf}%m}B>GTEsZ5n%*a?t$&}19X!cWU)Nk0SloGJiw+cM~J-O`^}t@#D= z(1(ESV=b^!QH$>|fn5YOf@kSt?e?rAy{4)&nG?lMw&-%Ia15&xu=4IXFO9+ImDNgZ)8((Uhzw@=b1m9Lhf&O)J&m{z+~{1e zH*6GB?V3t@#VpqX>~W{_hAFxYZXldhceez2^-Nu(FdVX)GGLrroD99REgRCdN|W@BjTu8FSG zMM~3Ori2cFTvWddp$%75H(QbP>$;yZ0gaXY4)R74_2tJjWz!F!0s^(TiYdOw%&g~vfaw+hN8Yh{6Y*NJ zpl)OzRR|OX*)5bX%aw%pjbgTg1<@@SMyCdM?NO>ZAZ~?Bm5~}15CjF*RN)ob0v==l zOvPbCq*c5+Ro5AMunFYclDyuzFlbOEL@Ex)*$bEY>zSW_h+V;qO3*S(nC@!jO7Qjm z1?){2fg;ZS*$r1x+8JS0npA`Da66XtI$MiUSTBmcHgQz#$(X_6Svs#_wzUVL3ltDoL zO6#;|jT7(Z>|XGtjS2O#pa(4HdT!vw@3oh|8KEv1S=oS(7Z78B&}{r?%HMJGgJ4jw z+6Q(5;+&4(eDpVNK7iy7VECybTR>!Bf`yKe6dvQUgp>2JZxfuS3Ju?Qs0xncM8|+3 z9tdw&Lnc(QXwKJZl>m6pUTBwcn6G90jA!3HQRl3jeFG0DL@@5>@TO8T_PeD^g6$mB zkY4=?`2*(svJZtJizd^zMqW!*k;Ql9`X;4*^8mPPNg4NL=g>oo=MPFHZpl_2L3WbQ z>Q7?QZ^pctnHGbIK;CK}{BApN>?<7!O*ew{fY{*)6aH>=?%^`rQj7m(lAi)_tM3w7 zb)R?Ld;iiuOZ`as#@jUS3bvx@%Ox_fidL>nk|`g(|J!=VB-j4h0+;|y+qvHBEN5`v zKL6Y5H+x9IA#Po{){B^_Tc3hUny^=(!+ZEvtMZ=?Q?dtr%~@ zXhIdRKYWxctztx{EUnjmTq&3-oIOUr2*BG!+kmJ7z!HU;rZ&h;?@Sx9j0wOhxNvJ_ zgrka-$|Z}czDfbgjCm8`%yI1nPc-8*^bG{D&)iq&Cm9k6e#{6MHr^ltjbCSW)$Q=W z+O$GB^5_|PAaTmj$Rj53g^&7yr}4;_9$u9t6KU3o>8mYvV( zx~Ll0Z>;WsHm*<&xnUPdFoY7)_>2qirk0SR>;tK#4lgyA;+f9*U~#fBGSefZ3R9WR zkHMa^QB3;oMlzGT`!rbkjPK*^1d#LJ+8mmB(;0?Bv%+`N-ErmLCP{+^zo^87x)EB0 z5tspxVdjS@DkBM?2f{x=(GHvPQwMz;re=9Y3$Vr3B;kH4=_<27@}Me_45Y(^FVnxa z|CGsyUqOeR;N|$+_t3D%4`igSXJVCMzI5YQdK z%u+xI0C7e51&WT%85V*%CmhLFVmI1i2{@W6!I1I*0;4hAS=DH*xLK!$d1*+0cVUTW0$PyzC?=jc}Tl4l&3P7F!8|%jl>XBnc_62Gl$!307Xlm0ya)d z75&JNHxn-YiOVncmWmZ}G%0%1p53JC)IhztDh;8NBzrOiBhY|RC&-H_R=*U#pj!&M zzZvQKlJx*v-?u#Q<>J7?ah=3il|MZ(rW!sB6BCHM;Qb`dal|s%8(s?hPBI;>HRhcM ztqjM+#{k>)oZ7t_X654~0Xw%tx}V@`Gg*is#xu+7xRMqw)*q$>z)L~!b`@;(Y{!5| zQHJ2axb5+Wx2qx?HKbAweFW6~#gd5Li!e(C`6h?X-pR!$Mu+a0vQ2+*r{ipa^Y*gF zP-fBP)KtZZz0>{sst^FDiS1t4;)JFJOBtBCJ~F*W;G`xbe-m zR(!kUx{W+|0iyms{pY{Hfy#V6q+=cgj~heVJT)(3r#PVZsfHi9=AN=t15*EdSO`|z zKSW!A?V4co4}oXy1DA~#u*z2r+VNgV{065_0>a3Z)N>`R+(bi2EMB?4IGe9`GYiMQ zJNfv@sOFGB=L^)OV((pk78BiLHhLSM|HZ4^rRc7|RKK*|-eW+h8`@|jI4fM0 zFFGTx=3<`M^$>v8GS6 z>^XSO{oW?Mw`Qt>e*m>NQEY2}mDg;EWt%VeF&i(%0)aj%7Kr(ossaEoI16nMmi+~A z9a-*a@@Nt%j@i}1h0Ps#;6^G{u@r|VAuKg)flhuGjkDe#@FX-DOmnbx3!{^_|M5JH z*DAFlBnsJ{+Bl981QIxZHPElaQd&fVB=BV+rHt8y!6twEAjCr1FG_N4l#!R_HV=ZE z@!^4VTMW?-?u^B+86>b0ME|kCRO#yB%ATzaXgQ&9xHj@M@2CkhTI}~Lc@)p=ukci* zfs&aQWVgxktokqHnF_L(t1ta9i~?SU12T&5FP>$ihPeNaXH_t}fS=y7FvM3GTcGx= z3xrNUrU697hr|GvSiiT zn^~p8FkiFH3;p$KSeek{6&-tUwoH?9-WK%-OD$@vjmuRbO_PEUAn z0=(ojyE(+NUnrBJ1g03lB`T>zwg^3AE6Jg!F*F{SV{%l|(_ae{uI*RU0t*x315eH>>Kz%ulgUi zT%NC0RHe}G*B`QYsNe7wf`N8g~viGNX(|X6TU;Zt)S2}_I(f0eQ ztnx!&w!#*lAFcMymnOc>Spr{;y;RkkCI0PTo4s|-r|0Q$N$&SIiKhQ5T5v37&0TI; zTQwN(Wnjj_nizL)*| ztB5?WU9;RfNwLr5vvtSAh$Sx1TE!=YXDK&uJ|gh#HjOyw*uX>|UwlUK_k^u4)x86h zPSE#QjZTgRzdd%XlV}gVu^f8#W$MeK8<*^ZFI-NO+x4^L0))HY-4|{$-m#D^ndf6j zUzuIbnm$ircJ8~(aICVq8F8v|Keq5km-YRRMG1e-JgiwBQsuO;iS_TxuozQ9?c5yr J2RNzp{{e(%wsimi diff --git a/cpld/db/RAM2E.rtlv_sg_swap.cdb b/cpld/db/RAM2E.rtlv_sg_swap.cdb index 40c58e914baeae92efdf6d733e4dc6149058b9cb..01b081d8fcd837f12d61619be40b3aa68cd1deb6 100755 GIT binary patch delta 522 zcmV+l0`>i@2CxQ@TYpXh00000003zL00000001xp00000004La)RVbR!$1&*9nNsQ z1)`xtR8*4s4J5nWRuu3@0`JFl;Of0v!u)qfGbsFVDUX|##8{a=N@ zN!R5=tz{|v?uBKwZ2xEBFH*Qso0z8bP8fBGxBZ`lp=-k7k@bZS`XC#ev%8>F%6H=I zSaPA%Maus4WcNi+@R6Ms-P_ght1LD&|r%?78zoL8|Ug|&Mz73A4l8b`;`n=u*5 z=4EvXA;wZy$BVphIO^M?0R^v`0{Q&NjeR&2D)E@YxPJqV8bK#Bmqwpkh zdzH3m(6`&oQ<~w8#+sv~FqXP@Cmd^@(G0Jyj)qsWzJEGa2AGNdm&o^n9T}3X%%k#b zRigFaO#Smc*4HmvX39s!#^!Hs8ah>THi{2CN278QBp@HP6&e7k)0G&{A48V+q}=4HEYWbK?+hBX}p;?*PGc*nx^Tz=Xs0L zPyOE04^C_=T^YB+KBQX$iAQ8+Lj0V8vhRYvuKg}Qx$7f*Nq;BxAG2(e>&Cwdf0J%1 zhF&YuW$C>z+sloA7XBiI8@5G)(naQ1tna0hOJVI_4u+jxGmOG^(?5u_NlI9=74`b^hXX@o zn&WZle%!jep!7|eUYi#B8c%Q@f{1a0GZWV0$4R%7@hQ0YKb;PfD--B>dNZaX)dJh6 zkYYS_eS$cMhNHP18c+zTDNwH+`w7pZ$eYI$B`t6yXMaI4;qA~bz!<#oejJ^}ey`FO z5Bl!7c}cUp(OPqq62?vhV-VB!q-KKHqoF`w!l4-@WJDxo7U(**mi{v$L~%mYs%%riz*N{O)spsh`7F z4&FY_9`A2Gl#zTO`S6yypR?QBThcPpw;sq#J(QM`e(+G{ma&7kzq7r=Edx7y*IN&7 z89%>e>hR{))3?sP=QRTtFPxL1q51b8we#|Z;r~J*&HoDnX?Mby7r+|zR21j>t0&h! zyDHxnM8u6hWiotj_!lk$mh87)J9r-Zq&m8Mp;(wHKRx-*?QvUe#k<~#pEw5P5Cke^ zboh(8415V*3mrNotM(6{&SHmcY8^)`TxTpoj(28HbH1FHs%e+@ z7r`_3#6zdJe=a!+s{l47TN(!eRrJ0o-)V-;Gez3rZ#2TuWwc*7V;?-Oc6v(HJ#7nh zak@R2MKL&d*uZve<%o~ zBW4T1Qcg7f=6lb`slB7~Tp83&eSuVlT(3K>8Kd8C!al15LHr%d&nP@&(RCth7qWh@dC(P1F?9gNbUd^0vg@JT5Ix?`pEvm=oO97` zwRPARa~!ejy_{?pLfRwQoyK=U({@5vc0!AGRMD~M!=OB?J#njuG%lr!ud$I+oouMr#H~Yeq+FhDRaaN3}Ib*MoO7mM>(eW?|Wv z{gp?b+PdWe)U`;NKzd1~?b!z2f@Nm@6;skQ{-r~b*u$@v^}7`%0fFX~a=d4^e;u*m zhRAkn1H(JS#z#+_>qXN=%b$LCEq79;9lt?_O&B<9xaKS?uk-E1h&HrI(@n{Rs>=Ua zxGr`=oRS;f50oqQ`(T22&|m8_HFi0=C8iMSJ66oU^&iT00Y_}pIxaM}PEjiOTp{aQ zWFPo9sQK01zkc0msM*{Hiw)I+9y78wRMbGOvTj9nKVQw~L!0xO8K*sC&ej?r%gce3 zp#cHk@7oXiUA^8QOIMP4;vJT>&&i`Mx6lc#-=C7(nWuTKQM3MiPy_k*q*lN!GdCIa zW{xJ<`JY1N*CIec5zixO>rDr~W@Sxs>KBVq35tBEE9NiPm*YTJm|C$lL>gR#jB(HC z{3e|l^Wd_0u4*Bhs>++T5zPLY|e%B1jioe)6D*vk%eW&V~HyJxJSwtQ2=5tdaa_W2U{dyE4Anx|&E zab#hF%w~F!4WfomDc_Lrr>wLE4qpFfg3+nJ7F?RoW(W&=yHPRwJ|tX$KkTD=@`RSM z`JU{?Q&TB5>o6uuHndwb1{us*99}@E z!qm>%kpj)Z$gGbanZsBZ3C2g$!i_q|(~3ZLLPGw|b&C?uF?G_g#Pz|K zWyX5y-cR2I3G0fE^NB0pmHKeUXr;)wET;1En;MqxmZvo=SPnY7Zu3!KQ#GX z+gQEQLxl)oLsc_;nRF-aD|;I43YUZoTd|Io^Mb zxs2mhu%*Dk(ZKJvBFBSxSaT8AP4+LjboRM=epPffZ**w4wA)N9K+&8lq87}P_$RK3 zQghFbqXKxnmqd|bi|i)@hik*=2ef{W`QMG0#g7=%xrmcjm`de^*%y%8;tm@V@iD&^ zc1O>i7u9krtO~7+3XIr1a;q80>z#?fHS8}WmFN^+uyPco6~ zN|NcbmD0T`JIc^F}E{IYrRg!!Zp=uBg$=f2n#-= z&rt=3{DO<`Gov+JcgY1^cWS2XzCkkEjSekFOeBN-IjW}V&)WZeyBRod${6$h#<5{q zlzdpI{Nv5Hh|o&2xdy%A_he%xbzT;Oi`)Q z)33Q0h7mq7h22ze1lK;x{$ea6#U5a*{-YB;skXf;C#Fr|9$cDL4OaAILE9osU-y#=U zc?&={{0*M|{zjOAQl%YtX8W_PnUdvtk|VxJz>K9mZQAC_t+f4}M}3^igV_NS#x>d? zrLPgeyA@u6acd`=o8U+{=%rJKlyqghUU%8Oxw^Y8E-o>Gkw5X2oPJsVJw!>rjT!!9 zgM~sdWW)vjJoq&ATLP3b;L4BQuD$GEo7NBV86xQds=mqDvcYZav+nQ%Zx7Dsm46ds zbV%VBhYKZ+<@bBNBAgKVaw2 zOS;OPUtHWAuL3fw)#@_5(_`-8@7TW^7VzC#0}j%M`l!ZkSeB)e+F91lxQf!yjD**3 zVN<@Z_DuP^)3^AW?`*YkRiwY0ZZvVSGx58Hef#Rlf#Bn^!DU~Un>^#BW|SC>0cHxv z%VTI;ehEAEpicOje3?jvardrVK-dtmRWN#1U$f(|bNox^K}ko8U%I%t6$R4C*C#%* znAY4dfe7)0i#%DszR#hixADlCRSc`a-ct#teCyMfLlqk7E}Ek8z}=_cW$OG%0y`YBx2MJG zZkji9Rg6v>?apA$SYC*8GRx!y*LM2Ym>9V)ioUpbB>f7>lVD=YpHBatBl_JvywG%x z#dm8`edoPB)1sSX--HgkzCV~#Ww-tDipeY4#Cy(bjTnwkr#bW)cRcFpDoUuudNl6d zYRh`vsjS2P5`Ne2=1fT?etn~6xP#{QJJ=BCYNfNhBg?+7SCtqF9N_ggf8w5Q+x0JMwC~8kWQtF)7H^%P1S-8bA`;Lu> ze&ARX`ub&7xlcZc>HhUQTt<^*4tA5R#uO3Gq&7vai{lCp^3~acD8H^Q59Z|DP)-`t zlvclu(+q90x%3b_bv1-bc#lKTbq;so@oju;$ZNm5izs!qHU;mpqXE^FkNIz}?8Y6v zhW!u#mBx1ucj|=*HX^|8(q$zff483lEL5CNs0r1hqc%2ct!}y-Y>S@d05zDIZ6%g8 ztfkWY?jU-DrI!13SVJl}-GvkwvC!5By@>QGKqFSRSJ~1Lp5_`M0A7^J9-g)CM;(dIH@q54btY zT68_Cxpo(0B9nJ?Zz%ZnS2eP1#ssf_a0!+MIld*i%x;Q%mppT<`Q*L2IU>E8F4kG$ zS%nVfYemKx_*`)=J~;j;7)E-mqn-Qx4AFIy$tv=mr#YWV;c=8t50>`4FH z9%b&SE+NjeSD768h4GKo?&OaP>2F0nGHVhkVhk~JWhU_K1YOvUzy8=LfB5?5y)Q2o z?tRJjB5G={%Oz7jPOsf(ckT1U z>1^kYpLd!n=P*HWI$FS+sWI-@cQ4gZ#2v&hRxD@>AT*FB{ynJ~4A{w-=DAvmdHLe` zr)vMFI&4>PE+sPYx8p5q{kBTyf8N#>SM{w~92WGIK1~&!kB`5&RgJqaGZ2z~3p|KH3Dzd?V)hI7w2CPw80=Le@Nm_91A;TV+UOK18~V-EkTNmf}NZq@ri$82a@a^m8 zyvrGZ*4mHx%dykDh7|xqhIZn;?DIS6^}T=HpFplUNJPDS(yg4_yo|A0?g61sDh7Gg zKq2pLzszjXBM)^_rHzxJC>P8Sc7X%p#rJR7^?GxI5Y9~q_}+;{Ui~K9_hDI}?*zx0 zbuB&yd5^+H*Kyy>5DJaiH7GNA+wkW$=GBHp59peGE3}_Wg(qku@j%weZ1!kkCz2%yY?YhSC%%TfNnjR6A>qGwMaMLkmurk`DYPo{{ML z2RSaI89FR8z?{;6{3`a*nI5av(?~4~&;*L@0_ z4rYH>LsII{3W>6wo0HjnnaZt8 zzqS8-WSsp7{=TLD8N*1!5#EuCjJC@*S#iRh4mSewgl!+ zfDUy#j2x7KwJhHQr@loZ%|QymBZgUw@?&M>8Oi+=gX61%r5X?8y~I8cIZ+PVzKPN{ z`Tdoqlm+zX7mNDKop)2_ZV!RwP}4KXVBO_JddQ@}Nrax8%U?(`mFI_7D$Sg!XcCp+ zWFN}WzMdot3{qE1nfrv2`bP(1O9=-&c<+*<^>mc_qYpMJv+V~ktKqE)2n-QM2DiOq zJ0uIrvPIj*7=7oOfE2%>WcY?k8(}^X5@4BVXxkx;yFmSM=(T%k-l2@{RQ=}QKUsdN z6OfDB_6o0c#~Mw|ceLi=GiGdSJa2xuOSMno@FY(TN*?y5CdD4mV(zEs#3ta@Z{+&< z(5Qfeif}m-MOAy5FR8Bp;`iy}VsEEb=#|2*_i@#$wur8xxbtX*QmW&L5YGnt79qL_ zV&(AwTvu(vwoHqJAUvb0sZGGXYms;r3L%ZA^7s>^+62_o-c>dJuMT)4^$0Q*4rRO! z0=RXie4}PC97Ss%lpyTs3(zWe!Ao)S|2W+*J(q_C@;UOJNN-}Xo-B`lOYT-`e4}uI zM&BCzDUlznb)BF#726^n!A)}q?qRQ|pS@wh*gKiWfdB^k{fCn`YGgsWJB+IHPr!l8 zAGF*jj^ZK7DbPEnq7fVct~hGD>{3I08em@d?4+~*+m}K4+w`e`>04)ie!_<+hbpQH z7Y$-sg1oV>4SF)elow_#Nbl)t2H|$Rn{|&o%j+XSQrReL` z&%?)m_zpgcP#P=y2`WnJFnSbOGrx7vajV;BORL*FrY*tBW?tH~3xeSO-DaD-m~F;a z&~|#|mQ+pIHkr^=#1d1y`=2Z* zv^0P3WjuLK3IhQpa_}-Pl){*m{z8sW-eZ0h(r&q=2cQ{}BpL}Y_XBYbkdOhG- zn8FH)UQw6mSXpnr8im$wwkb8+b?WI~m_8o2;T8Oc;=&*=lLB9crPrTQ1ZrrL zct*E96i&$;Z>UB~0BwNm9OmAac+gA|BIsAdd9$TM!q}-llmbX_>yh`PgX+TPtaKCXPV)A<_8lGEzYC8(} zj*|nm+0w9{GpM;V=ik#W_sc2h@z~V0mziOGj*%>1rJexs-xd z0v|dzZs~BwQ@{F$7~iZWva$)Daa{)qEcB%u*Vvq#q%e>%l#tl^!^u7v&5t&hcJ<{T zNh!Z;)J_I?;#al(pyfO*_c@y+j~YaO2@j5{jg$#es>`P~?02a++|UdKFekkcSe9Mf z)sKhUGq6Us!5YVH)yE-;2T~qz_ajroBWxdW)znXvsmsF4Vd5|e{h5LT-(Z;|rUB@M zvF&r{EKcg@VH%=_?PF4)rklKM$S)d5;Qt-xwoFB_7L-ddnu8?2p3{xKE~^*57Qp`=+jX!fujN1>y(9-z-WTGyAah<9Xj8VEkqciDcjWS zr82zVMPAid>el^bS;eUqeuQ=f)bOe0aZsBX4n}J*QZyuw0Qa|GUW_)aYxYc;HiVTE zN%Q{D&$&B~sA{k_E=RHL^zd$9(%;M=f=62DZ7X#Y?vSOp|+BWR1mtvUa}vm1_L?>^xxVS9vd=c2=FxF@;#~K}Q6i zi|g<(iGe(P^yyiM016n2d#sb(Qk2wUMVSd9+NEG=Ez_6ly&!HE)9cxwV^Ocyw8^eYJraB z24T~jq$U3opbOI`r?C#gr4t|#=;nn04yx3c7~#^jvQd~UC_7Q_!t^TQgvx(jkkU zD{!AK4*PN+$BLnv5U&JP%%`*`bs8f3Re&< zy_cim^5yH}a_j+&IM8{h2^-)Q*r4r!Y0uUYt&^o-oa$uPx%H9pZl(QPjE`=wK1bLV zevnD5k|{sN!I<9g2>$*xeESYLe;(yDPqZoNt2707%!We)#KHa^ohjts40z-{kgaQH ziVb$Lj22|dRtRVZRD?a@f2;NtO2>l}hB=O>fRo(|0b#J?UIua;G#wytHCD^L#b&cs zTa{hCG|YhRY#kvO2XUi2!&4k<$UBH|K>L}$5gm9uFG`~rgCwijIunMWC4O30I zydR2XINCo;)h&gvVo<>kw8NcBB?>TjWlHG9#cPd8)M!r74xOT^%VbnXOp{9EA#z3! z$p)q)OYK#s?S3R?2A>ro(Ff$lacaUU!1X)BrSnfe)Cf}V@a7$q>q^OmQaZHHgRvbs ztuULV&NF;4yPL;>g$JA8_R9SC{YA#GywzRU`_9f--5DS!IG^&43}FQB43bRw&$tsh zXwLwPd3_zwNO3{*FAIqsyISCLup(5oERkZb1{Yn08yt${h%t6`gl9W*>|^P6^+Uin zj&Z6PeyXdWuN~-{R3B^=nQ(gJ=)_m{TeLr(~^#e>^i&?Etie66q%M>N!^y)TXj;yEmg3 zz5%MJ2^6u{waRJKUY;IH!AInCm!Tu~l#x^IDkNxu*Lr2Qe`vZ7HhdVL;#B|GMk>n} zIU}+k1i9Rj2~~dLVd@_m5Tyn{oj40)XuSbiBo0L|ic-mHyWC^@^2C%QWWFxqOt3^( zNJ{TxlnK=q85K{RWZ35jlxK(bYueaEoNlFB7p}r3QcXA51d6J1Iw0mOb=dvw7h@8} zxoXd>grr_{g76OLWQ(9s0lFuX^Ei+mmw*e!4$dMYpOU#t^95 z@(qleW%v-}tJ`B;5z*EJYm6rn9xsV4$~}^_a!1`m-WNMl-8yyjJCm;Au^sKdYI{@o z18|^JyN2+a4ZvYsnjo{{&y(W~|oUKfahzppIlYh3&``z}I~`|bm%gkFT}W}(A7j`DKw zNwPI(-k}H!g0f1HMVBYYZ^Hv=?+dN~=I1A+5xi%@j3tR$rmZeM35Bgl1JqPr@V zKm1^=OP`?2ASpvJV`=@XNk)v1d+QdaxQBr(s`LA-B&&U14%VZxhkBb#L=aB@AqGtb z>$}epA&uhA4FI3!COr!ggujg>IN<$QAdzOnP>NVj3mE#{q(D|oakavr_C04Kex$nE zhC1z9E^CE}s4b_%L=jS}FK=gb#Rz9vRR`&UVd*dM4w4a8$iq`EJU-f;XoJaU@|Zy+ z)&wdit}0j8aJ6-4T#~^wL1}qDF1C_9!h>ucTa>L}w1%Rc7>-+7S^G?fdbdyemYv47 zTLIxEvQazTB1|H$V90q!wKDXHd zvbI}0z$aLo#fWqBw?f-CB%;)2-15%lhD>A1kn}HH0!U$x$6;X8FY;L7X&RgwLGtoxPzF(1qcq8Y%$ku#S zXNO{d^`3pu194;;gUB4H6at#zLV2GbvQSCAA;Lv9C^GaG7vW{o>8CC5Hda5nI3tk| zRx0znd5v2^Y22IQHM~Aoi53s|4ehEp7K$z+S+Apj?0^ZO(iEv+p$rfp%@6Cji5TgZO4&TLnZHTqN0&^>wU5e z`;ydL*XF_o&el5~$|$QWG-_5`pK}^9jtrdM$L?I9>fV{{!lC*QF4aRSk0rN=_~jzP z_Q8)d*DZg9RNa&IoUD*p+wSILHIll@5mqK-=t@qr!QefERS(vn zb*puHz~6V@0XrJC@x%qG)zOKd-oCLzfpT8N_NTU}N3*`6A&s?w)7C!JHDn!(j|SFx z`Xj@X_)k>9?@# zs&LztZb#v|6Q*|<^siDMi8&d_{gNoxnYC8qoF8fsHcI-dQP6QHZ*SxEk-25G|Ja0o z)oGaYHW&83ZuO)FOa*b&dV|zn84C`Q`t8U@**fK6ztL%W$X1m%PZvX81Snl%5?0Y8TKZyW( zphH~yoRI_&M)_?*4J~7 zW&@!u4Vu*fkE;9G`}^=gs~#?E7WCeWF=6InxBNer@Gn^jBP<2EYW%d+8@xWfsKx^P zU`{R?%&4gXxdTbMAden!gIb?2ANG5it2QVZ6^z{XIJau~4R5a{5rhgq)nnhA;`WiO zw^j(rkF#e8#mxEYxuGw$F?!>C&p1}p2S@H+tVYwp2!|h#j$jF&tApC{4oX>}$}54O zana-G;*)#6IDWaB$0Bbwr`B!^fJ|s%S8=uC%g_sC9cD&{+fs=qj2p25>WCYdGxMOV zm|fqPG7=ZLVxFIU0!dHdk0mD#;7PmYYwU~)R2MUi6{mb1z}WkInZ# z8qiu(Y_gA--!Nbw=)aGTIt+^_sW_2mZKJw8b5bUzg$0*NQPQFM5nKXFAmr1=N7ySTDs1N3%N%NYF!Q92iGv0qB>q4 zAeRq&uQ-J+x6mQE+pjyoRb-+(oHu?ft_^^H;dKjWYtLit+$~N&duv_G^6Jr(FH)WHR zJ;8;gUX05qjP+?MD6EdEEVS*23g-3R<+9RJe#1lggiX4XF(Kt(O%u8kU4552a|^NFvb~(Jj1EzJ^#s`^)*@|CWbXfjjA{Dg*I-(Ve7s7B550>qaI(^P zyO&|k=m$OYyHJN(bx@nx2yc43`+P}h{O}*sF>MG~K#6>R8OC166(jXN?qs)VAwYle zd_$PPt+*8xLoz?DI~##kUYPVEHEVl1_CglTKd0~)5kav*C3i;Og&w&wr2)1M{cxKL zwr4Rd`kG+zO`TZSeFm^ztnT{$njOyP=>Bpn^7K@dxDu8iP}ASZhMt+acckb`A+t~h zvqzdg@&+U$@)W@dElHtBf&MYuj`)8twLYUc!LlU$MRaYxvNH2yDw{ck%Zs0KiA{~y zhU5D(S0tD-FH}zD*~DY!~^$yv~1Gn+KI*M?9cps1k4@3r~55)#YyJM%1a zEccYZJq`{Q&g1*{7XOOAZ0Y;5pKlBklF{E!C)(OCwk){h1KXoyjHHA{yCESl5b5vY z8GOp?wkvi6snHHpcvJx5`qo~R(mkF+oSH8VKU9O!^eW+fc#^H}EsN21IMI^>I|-HH zK0yE1^yEvOFjusFk<&c(#@KogCr*MEirf;Mo|hP-gJh|mn+-_?(il>6MXx!T88@{g zv@p)7c6vVxXL+A`_sr4|yIkZn1mVmhiJfnoBZ*NwUSeXm<)s`IcfDWoJtmnw_>ovv z^mfJctzXZ22*hf>MUhu(iR0(_vSKnX*DCbF0!te8-^W;&%|x2qg=Mo*j0>etMeoHQNAy*0w9mj9yG4+fygIu(ZxVNz#SJM=Ia z$vdWASXX6m+;+hv^Twy^!%T&btveWEY0@l1%mkWoy~-0OG|4B|r8<&=IF>th9xTQy zu{JFL>Z=oYOKU?S0Vjif(?){8O{+vjjrresfh3hxE0DctHnC1)g9K)N=?}kv`k4s- zg>0v>x6B&57QdUqz`rE?5V%Ry2Qs1CVw!Y%%9eyXN}|MNB2bc3647~0K<Yi*u5N5Hh&E>Edj~VP#OoT@}SOK4i!o(_{X$we430pyF<2u4LPaM012HF zu%lqQP26%j>D%9e@xCteespgEgUq24Umid_JKC7>!XzQ(#hoTF_{b7>qBJ%uaKP$K zZIWJH;Vwu%N?9nEPDt$$5sto(WzuWMwF;GbeTJ*GzXr{l39L5#Wbry^3h?Bu=}GV> z>V2vQW|_$^O7iGra|nHN#sok}s*~y<(OrMSFZtiWEm+s$%TO zdVaejpb+1AdUUVzG?uaM-ky&zx{%WYP38Nxpbo6=C#L)vdB{nRYST>f-qy}AinqYV?=N zD$h#ay#pOOkPV=1d(7#7N31*Z`?sHUpV%n*eOnjGcXh>(nVZP&p1_o=r-DFqn#}UO zJ>H2?fw7d?pOKK&!yK{w!=U9a6hcqe_{gcin&j%p2wczt~ zF%pVk1KGQ(dH9QEWGjVBRow^T$L@jiD;C+utzn-*?_es|iJ?pVL`17V@tG1bwfJNOz@Bf@Iuz-QHK%;`@X2>ZhD$}CgEZkt0GsT>>JpdnjuHj@6eH^2WPgI`8^9N@Wkk&3Urjm_~WRnjlxtz(ae~y3R=+MR-M7GBbJ|b#gwJT*Qt5S?_0Vy7%&ggk1L}{!l^8_a$`9%&&y| zEIlJX#`m4NPK=X>%$h^Zj&GDZz)g$uk!$#k0^=v40f21pXqnSc^yuaGu%DV9wz%Nd zn!l_c`bpf*Cr@S82mS6MzL*;k-bM7WaY4CVM*TjsJ=Z+z!UhwPLj%E{p02-7n7$z!xtTg|6K_{oz7|EHgRdgQ{nt$0sC=KA0q z68Ov+L(q6dkQQg9UXcSg5i;L4&@AF9a}y&kR35C)a*~`nJ_8`gP6H}B;w+OpuZhnx z`Ri4!_kKRWWx&w#6g7YrDHN44N*@l9jWVVp>Bz#|c_eg5^j-+vyQC*PPBeY(DL1ZC z&B11X#|@wUl&IzHIb#$@j^~$&bb%*jRRNU9^sn7=cNy8*r@EZcJuqIaPR*QPn`?DG zil=+@dTNA7eKpe+W4KaYn*sa-C{CkaZKnN3Y*ZO39#`(PCD1a&Cgc|EN3OPWlSyH zF*wfG2)KQ8^+X?kb$=T(^~YFkYCJylTW}n)LVzzX%mVfZ+=x#c0Bs9ISGE1^`}GVI ztwpMf&3$AqQfJJ*Xu!r^FcFV?7JDSDdZ3~9B#=KpPG8Mr#h8s<08hh6gLEGxJ>|VF zJAn4>g4UtNT^3W1?bk>xrK?$OYw%+=j}26LE3duGN^&;V9 z3w_Com*aLAGVIcFp8nEh@)G*17oWc7DgH59aGH%IGf_4q3_W)oIR?*}nXHC-)ubc8 zuPa~jte*Z2HfUC~4fYd%(Uo${wIVVkLpI3m#4E?!z!3y?#^4}Y-UO=%4%25u?o6u* zM)zZJKJ35-wY>3I2g+pyWdwyaPk=UYOZ%ry=zsqv68y8PU#N)p*fQ1;dR2qy<{58E z=Gfk8k*>LQ7ONIoQ3~v1S-r%bDdmFBpN`pn-MF&sqnxA<17G%>tLTi^w}?fJd3Ke8 z2E%wE)E{>W%l9XF8Woa`m=MQ(Sj-s>t|khwtJQ{`XYq52>+(S^^r38>*zbk4f|$JAKh1hX)uUr|1`v(3M50q!ZEU^j ze5*Nkoql)AB%!J;Lbz2QEK}!vnJR-J^VoNM=dZm9cV-TW8vT_6Y)(npD5MGU~ zU}OK16Iy~U#r`PU^qS?_MMUZ>v`Q^-P`$@CPGICOUuu{SFDhhthhC%V;5NN{9UCBsjYo9Kgq&uagc~FB?l9%U1 z4%z2Brj@6*#I|&g>2}`j(_0t#eDJX>Wc5Eff;gdn6)nw)!Q(gz??|n}eVT?td=z(6 zcgyJXzW8XI0siO*jW~jUvlO^@Fmr?YOunVi{Ikf*&%R?qs zwVFje1y&;W$ki&U6Jk_$(1e&iyTGQ5-pfDDqsH`TpnhLY_YbQyR;+bk3W0g;f&+AZ zg=pKU&@bq@_KIx*<@e5?zeoVc*1D>4!UL@%CZCOS;wUtr(Jo`P9r~tL>_VW}rd1dh>UV9Lw=yx>%zwE#Y&t2>A3Yn=g88L%Sv`g8$zqht!wQR{~(-XD2u zzI_R}orLn{eT`)C=^j99(Wd;8S+&hf`ivF+m%Ekm^prpQ-a`gyfM9B99)mK>#9l)& zeX*>+ex*raXvMhNmJaN-q1E%1+On|++C@?k?!JG%VXi zn;+dhZDjlf*sJ7H{sMTZ`7rs#Wi}_#PZR14DX4O!y@~EltJTZXPCx|sdck!TbZc7~ zS2%pc^%laY90l~O(m#98GVqynK$6vh!T|oI4VCfpwj)OLv+$O8w)bEQ zUw>H4d9L5xtZek_J6P=luC1l_=nOr0&-x#yT&$kMPh8bQg&e#6{IJOEXxpfV3(A)0 zi<%hI`RS8{>W0e7BC5ngP>(=+1RkP7y2a0)8Tws1L2t=Fuv5C|(+%msqe=dBpb+Km zKrbQE)D%DU5Rrf5kJmGK_*?!Icj>Te$GR9aLnW-7I{TTbFn$Q{WW%m-bw4c6_c?o{ z{QPOll?P4!gUi@p-Fbf)N>Jf5lMqH{G}>~(wLDj8PTLe^xc9wfivDtpw&>9^1 zM_LlIJzYh0IPl0X|9b{kaH@YZAY-M5zAeP_Cm;zP z)N307bBTe8dSa_OVFJVyTBw)Jp*_T-`~nr-NfpO6V>M7Pj;1v=G@54_$`%Ti(n1}o zMV{Wn1yO<-h0+A&_zIn|Un^gi1VuK8ad*L$*&f5M;j=k)H61p?chj(AmfufyXIh^s(& zj4urL{3G+X}Zr&~Q<4f$7Dt4&yH!HP{aLV7((_07`_S z8Ccql_W$4toW)WU2Ntt5&bx+k4QD<;Xealg&L`fkpwfP{-UYN0;sqoYl{dU(W2h0k zJq_zu#l88L+0kM39plof%WM9*=ALfySOCPv)?5(dDAfS?}*Ghs#PbO+%e$_>+fHJoj0G3TmxGH zSA1+OTd~io5pKM9o6-ADkyLIRcLWETmT$qM*7$GDdTfXWeU{RG8JW02FnVg&w_MC(*C1emPU5ROeYSI*Y+{cJ*UMZKMJZuDY3|U|-6iPR%i|?~W<+ z%l3PPrhMl{QpiMa2)1cJBx+#4UBLeLmDQBa(D(gZgu{%(xuA+fXn8G8Yo+ASQt?jH z2Q?ZJA&Vcl=>z}N&1sU$TWx>)YTi|-)(0&TgqBiMGV(kV@eF#14f0$_ov0=Mi0DW$ z_M8yidmoM_UV~aAt8G_(fEw9}HHK_25w5E~`u$h%^S(AWYCBfDyOsYAwNp<~HWBuP zs4EbH!Q8fDtrX5C8kLp(A}NvIr8y65S!H}HDl<{p`trjKgEf>;Rx-4a=w-|4ZO$AJ zl{FhwSGoSML-p;)9Am~%kgup4Rp*7+l)BizN_vW0B#EZJrOU&>e(850{aI8wBxyDF z2uTN|s#zh0K|h48vrsT8>P1z_`0ReAYWfz4Bb^ksxgo!#yP?j$*b~~?=agTLy40faiGWqDKtaarVO31+G0a*6jq0p7dZN5XQ% zhKuihf>qWote+exu2~(zmAjD6=uc^o>ck}`o}t^jYXPvlGcOPMXr<}v8wBHjwrbJ! zYc~pHRBM{qHJYf2Pj#n8`-j zBv$$5)yGEhTJe9QVljLS>^B3Meso}WN0YbT%i`Jz8>e6-6+7aaLFv)Bl+yq_`lHzr zp~>mzX^_k_RYzXsb6P3US{U8GEz~>t%<5+fUT2HA#c(+~O7*(kLspe(3E^JniQ*Um zgJ!<5>@TbKA4L@>--v%t6)F_9d)gf6dQB<1>H!7vYAe1h`zqDlRKmjf;@=dJes^Z= z3*|(@DfZfq1b4Jq*YXYHy9yN!53N^pvPy2f0I`%_XB{$CdVldnCbra|EAB?~9tT4) zd>$-R_A^4gS;*pM>cFLf@A7(rWtT4r518Z{ax`Bs)A_#Q>$7@(-o`(C+yj@39}RB* zp8yd8?)_z}q);jpil7mMm@557d^xmZy)d z{;iK1F45$r8tPHV9Pc! z9Z(`=vP4SZaeYja_L6r1SbgN@*!5ha8K6{=b=(PCVelKx(h=RNV$kAs}shZ3IYp{B281t&xA%?VMsZ2no=J=(vAkOs=mSt&=koR0) z?|%Vud#uvwLQR8GC7B1o^tVsJd>`OIriRoevV67{xev%4HgLeCT5=u)uNFS8zd*({?dhuE*nlP}d8DHWjM#AXDH&7}vP0 z1{5)?cHe@`GKI+P9q>8d)D97U#p1>SnzCyUmSZqVW?XfyR;P&Q%jT6|2rLIepU@~R zV4g;nc)G*|@_v4L0blEY5S1N$9t@aNsuSTKZtCjAwl!oxcAy$m&G}-35PlO_{-x&G SA$+r2y&aEy@%#k<0RR6@9T;{1 literal 17110 zcmeIZhc{eb)IW>_DM}I%qK%SBksu^G6G21>5fZ&52!e=iw23ad2qMa8A)<^f>gc17 z61|K*7z|UGG3}S{^SuAWyVi5=T4&#N?%AJn*1r4fz0W@PUS?omsJO`ZulD+PJ^4r9 zICy$FyMGjZAS)>?`9S!IkF%@2u#BvXu(X`i0~sk9=?AjHMh>37&UOyMFW=g^2tN=u zdM<3@@J?9E-r4&f=V33?KNtpv1mOP=hIcIgOQ-)s|5yAO_pHt@(lqM9H1}uEw4OUi zIh;3}TwBh+?PIZ7{Pkyz$z9DX`PgK8l5RgF`99C;o0Ig3f`S6$=l!qdX39k{)DXw5 z;p|K#mX?bOUXDin00D8Ml+hs6u%hGpQTGs>MQ-W8qS$7Qc>tkZbav;slO!r1YTP9z zw3-AEubPn^S^*Ra055``3dvT6Q{*;ym^dCcij4KILWEU?3?uUXCTWwc7AUm8e{Z-t z{wUb4mXtxbbv~K`a0e@?3Uw*?fBHdGb&q2$?o2_DI`rXCT@uyI;$-#D{SUb^{4X7z z+pAsnd>{qCWe>;I*mdsWZzna);Km(ZfbN@cJ>BNZLNQN1a{HR%jlHJEcMDXkWZ*hk zeYo@0bF^dA!es7A0L8 z8>=3I;Pg~AL4D$R!0Ju6U2Jn}-%`TyuC$f&#-o{&)c(%qVZiUx40yCXoRw^M^@XIO z4OE^LeC2z~MP@yWW^7L$XCO}d8B{*SSpCiuBdxa6bC0u*=+Ctr*xekyX2sV+&_7IZ zUGZbaM-8UTXf1&a>ZI`l`1rb~cf{Dgn>io5Uy*u7T89RF+a3bD|26qk`kO9`T8!nF z_6j)q+~v+e^X7Ww{(cb~WaPJ@5cswTE%$nZ`CA|Ra#HKqMD`E!VUIS;X=m*3T5~gFnCImmcR5rTo@=>pHurXS^pR>0 zT(??|*W>UE+a+rq1?jQvfl*2fUpJovss2NGXI4(a-&iKB?Tq=9)839Ueh!ScXflOxs4=v6qHp3-77;Qm} z);xyxZ#rRLf`p_v3OFFWosOye3{96~#NCwWarJErDKf9j(6yth|S6{=U!OR`5TMN+6P zVNl8P!N}12!h|dthzh^k;nt5=LrQz3Gwv~yd@^XgNfNFmblY#udb=r>smd6bnPhdp*I zWLGmU_zxj*Kr(^FZTD_oukB-mN|TaWU=M?~B{&gnm@!!-0oV z{4;X?jV9Z!@uuLK9-L>mj%b2H;!QTCVuPzJIyT7)+%1E4EN)^pYPzX<(LPq$kVJ2O zWtEnN@8U`K9gMLnc?(f?8zXfO%|hHv^M)b{Rp>XnQs6E}!*v`ly2Y!9rRxKtKdKI$ z6i#It+^&#AJRFavIuSFndQ;+DA;;%^mL{kiZ64E*9~i-)=nd#M z-&l9|EO`4oKHK%X4cCJRp6Ki$wzbiIeB(R|3Yq=8OV{qcVUDrXRG4dxy%fj^`r@Z1 z8M8iK0KOwDX*!AZ{(6I-DQ-32_P!a!Q>|m`nnVW!5~WuFNYSerX+}^9sEmoYf z2z<|ivEemai{@1a>*I4q7QJJl5?d%rL#%o4vf!r+KcxFf+3s`}A@Gp#_k;M9Unxxu zZ`}FJ?YADPT+KTl{)_RxXp&&lhgbO{d3y}}-{*uZ_-SL}#--?O`(RbRN04PkbCqv( zI#=(0U6+dqxY0;>R>Qmg+}cKe*jr?vu~{RJs5JZyRKsB^RQp^Oa^LSre(4rHfsAS% zSMOV4|FNn+TQreh0r&eByXWC~{TIAsg}qMVI{q);^c(Xa2w3ga{@_BCSlW5$(BaCq z{pSXQu#0s%%VExn$vUH2_f^l&E4{UW7QFBs6;QY6O{@O}2GpH6%~ck#=s3SttACX2 zVQLoL(5@Gd$a>~WELkr6Uu7I#?@!LVkJVI2PE=uL`zz?}b*8@mq?+}^5l-R6&+W({ zS@P@>-xs%9F=J7uUe|=NHy)s0+;?rp;c4^rui_R>1nYW(eCxj4WuKRzjU2r6EG%*} zuTF7$;$}Z;t_NU0*5u4=H>_J8dDT_NP!hQ9; zL08@_V7A8kFRu4$>|amU)fdJ*-@m|Pk6GQsGF~^P`l0jOHiEqQ%hp#!9CY3_eSLqo z%zh1g=U88E>0^)d#s$5uyerts--rE^Bv~mrCw|Q6^|JNFC*ch#IKvml;ybQ&)#kqN z`k{)57xl^Vc9t$Tzhl${wyV6IehYpYu)Sc6SQ~gnzB$L&po2MNd-AT{qIz&LwL~`q zQlPx!E1;sWv^4;bymBu(bR%<+&`|sUxYVu1a{=OIeeip2Rn%T6{8k-YfTbTjzB0oS z5t+Bd@ul{@?}P%sw}rG|kGMjFXv3pk@9-j1<#=BE!CC!f!$>VQMDDuUvxehfmG8G! zb4OHncLvpcyX3G}X6^UYeCkB5V=I~yHd zANttyvSeK)y}Xy}C8vtIoO$ubM?H6Ojd;7$B3HO$^WdzRr`P8+sUDvT28>s}^JGk> z*Hr!y8;$geER}w>!~W;{hrnIyJXZs(-p}9{cI~(ysP~u{xa);`16k+J*@-uJPujkd zx-}K7w7?Oa;ito^x5r$YImCL!VUgpujjW-x#q@`}BhI zc(kE>A)@7(i&h?o8#iJ7TH6`#`x^^)Ki)I-eQ0iQEx?WYXKoIAfMrddm)2sz9!$&A zF|K)Pv{q!XyfFJ*jzsQRL&C!klShH(_s!o#H^lNe-Y+|EFc$mQ9j|ntR#Zxsaa+9y zsnBJiJ$*Tx1_TJ25L1f4# z<^I_}rNTq6b0(Mqc1~T~a;K^?3TDoRALN#2oG;hzE*moTPjiQY0-N&OW<4V^rwe;>{Q-cisKbHVBL<*(C9b7gz&)#wAIf4zo;0mMyuG^_O(uRe2+tI=xisVsEE1-h-&I zv3M$5^jQC<0ty|sr0)1!Mw7`e!|aT~DI%K?v$$ht@ z@|#)43v74aoiR-sms{=9wY-}6Sa5zTXzcmjdueTPQx5O9FHZ$NhOBhdzi?G82$(ze zbJ0qf=5X?baRl#V@#np;H;g0J8?L23{Tl9k zw?>5D)HyGhO z8TNBldTl>x7G9ymVwm~%qLTNA6eW%ucO|eUb}bDGCZDeksa_KPb{s;<`*@Z#dY|R^ zYMN97Gn0&4-}!=?CtFJ95>YJus)6L+^=qHJTb-UQ?+U6XeJF--hUMhDgv0-<&)hjJ%Q8dilyqGPKh*1$T%Vb@mHpPs!xv7KnoF#90C-t~ckPHCYhGG4?Hsy4 zvRwv{!!xq}@e|3*JrKLjjI7syoF754^vg$r&&tnxHjC1!m%;L@MDP@DpiH2cD229v z(DSTC(R$+s*!AwEe}g;>DOi#4{VK>YkCVhp$-Q7#e__JrNzkE0KM3In?xFq)%AhGR z5Dol;v@RJWCrD!<7bzT*EA^BT23Q4L7lzvJ*S|}$39F6|Jc;@)iM2UHiBnNSNx&y0 zN$YT_TORxGipnLImbt#bzhs;C5vwl?{i>?`Ar-rL8+IU-;6BI`l=eiI+f`C z6MONG)ln~P|IPl4+G)`I=v>;n(I}i1Piw2r-+J(7(|o0W)0t^*sgQCB>yYpUiI6V- zpDSo>?P`NItB84M@W#>S%5`=H{^qsnTy`8)>Tm~u56Nk&V^yadac=Q||AYmp6_XJI@v%Hk7??q$Wwp`8$PN0d?D952AsjUkw9YEbB&x zGDG$=;kW+o@j20lm3~RyQz?0Phv-Y*+ZoZan4aY-df0DpNp8iVJmUGk`5$9u)UaHB zb@T{+vN&&xxR|^g-)Y$^jV&@4vlI|B{aR?YCrbSYyk`fr=iZCXd(h3&`*9d8Z3Lo? zMXN{&hN_H>I8m__J%XSd9TLc>C{$Pef=al1)!%ZB(-78pnD!%lh<@*@-f;8LC-6v! zIEiR`V>10X4*W9%aw-!hZ@1s{!@G&++q&5so}Q0ZM_6UEo^_3L@45CEQ=}4KuW=A< zk{~c9SUg-?^G9IKDj~$3hn&4VqD7|juT|n&j#dU_ro#<4??|k1nxG%-oG`=(xh48P z6_A#Tx<5s16(Bn^$UJ9=d+55wIY1_VyvG~)t6wT<=@M|&-z6v#b|^~Q=XF<@_P@hX zx~P1E23G3#DxPSx0WHs|=Z>`5e?w6?ut8od*w&J86^q-T(H&ugvuv{C5C>Kue*?tf zdV`Dzv1ybE*?1u`k5lT0MFHujK79So$pB4J8i2zkCOLTPY65&-HI&%&n!IuNNsl5V zi0@Us$R9($ON6S9oUTd@(r{&HW^h-5tDsPg_7KC{Gv0(W}2JPQb za#j4OF1oi?--^M8zaQWZ)L*-!vaVDArH_7v$b8z-?Wixg#43c*F{niTBR}=u=?)Ac zH;{68b3^1Kf$6oZzS?%+pD**!vPT>-Sc%&kbT&NCvve?*H4d`|j^HGb1HV*IHl6*~ z+#`aQ(VLlQw6j?@D*2wiq?SQ+K zAFr^{FW3gJm$3KP%)M3VZ;9)H{G%~BErVjnE8DRd$W39|hgksX*0IN?pI;9H)=Qwr zg*+7esdMwrk&E}C`#i+PiqObS@59(s-^ap38r0JbnfjgoJYF?p{TV;W^3H~pbR-Zi zV!EYe?O|geEOav86_f(XST}itrTqNu&cO-aK=$Uo3MERMZqTtD)Ssll1>XGnpWjd= z!GpEo^Oz6oBQjV>$u!F9u;qAwE)k4H)*8^F@dMzRGhoo-x8pgO0gda|8D$wc7LvmS zEbM2v%1nTM4=gWSwOx#fSy|8=FPBtd=&3#zXpIaQz}voJ3Yx7oi&cM#3V^mj=p*727&_MTX| zLDHmQJ!&C>E^a&>{V~}aNo~qv{t4qG4>+1SD|wo`mR1tH93LYe;tB?VUscm1KLmYf zIjV1n{BWRsJ08SI83=a@e;D$OXIO&TD+6G&2Fvx!9{*7T+5T1%;&_*T@u`d+Bcuzr zz)gFee-?_~rNBF*;B`sGvYf%(Ev;AmXR+fVXmamt{Fk}aofbG7KKLxoBT-qKqXNvC z_i924{iW0sV!RdCIwx0R zJmw!$c1<}gw~oIDa83Rd77FZVk$y_!d%3x&-+XrMy2r4BoA7bg$9?Ddj#CoK?`?1# z$@PfKE$!t~nrUGa?Za09dUBq?(mz=VP46(JCG&F~#|b#qjyxSW{q3xRhzl@N-Au-^ z*{Q%dUHd0b(yAJFywPQ~`RJ^uAT=em=eF;B{b?T-u5Smj;DZAJrX#Z!gO)SxHE z6KfmEOUm)IG=PyUea(y+rv(ll9ySOn)nOZeTjyN;E~q(|lG45PwJUsV43@g9SDW~;tf+DsHrp6HIvU=%srRKa}w zzMapacB=48?^sTS7=ryUg<$$G3TTp|qaZ<=Y;L-z8~J~@lDb0JAc$4eKh&^ z^A;2Xlv|C|r_JX+K^Rrb9+?oF0cr?_5OI{5pB_zs^S0eTR@e_d&KKO`uj$q%X)u|n z4eg8lkjpd9QLQN^UzEq_U#!dr#C#`tw>BNm#lZ5zRe0`@j>IaORNG9exo5{%uo#(T zxi>`Mo6V!2QxOz$8*Nu6_vcNlt*lc22yKb0M{b1$#t)cK)Nd)km*qTSz zC@SM>v@sA6sPoTY4$pRATWi8qM0q#qMz4kb`QV_X82>i4qtCI_&D7)Y*;Jp7jDMQF zXD*1hTJRF34gPNDCV2#3+k*w90cVRCPa55X=@uP;8Ryg+{Ip zCmJoY+Q((i2*s%r^}FjYfIzUou?%<%3g|A$s?D7>BVZ1k)^7uIWla^nG3tVR-w=zB z3XcFRLhA#g8R8Vf_M2Gwn5-s6S4?BUvsq>kEpG#L>iZf7wqQKvi#z>Bp~q9L1!Ux` z!K(sq&m6UUK7m-~^r5bAw6Uxqe2`ra>_6A5|Aan6`A8V8LAp`wtrXg6^(*b;wlDI@ zz0iEEkYx0kBLx$_Z!*5-^z4gf4TRIR`3kxIKce)OG++wK_k%>}GnLb>|3dRRDz!e( za3walj_Oh=Z?W$cxhpmG^eZ>ZH`vhWi@nDbbJMa)6ufq(OcYkm|ApREQ!=Cb!@zzm zw10i(;nbHk7ovy5(aEZ1_2-O|t}!k=q3f*u{9+P9ymjmj0^C$>_sr!7h7TOS?soJ~ zJ!cnL#(7x#40q|eB1bKEKq}4ez$>o8xkp&%(UQ~PX8F!^|90PrT3gXQCY|<|FP4LB zF!ze?MYvtRi`_<3M>@!^Nq&dBbct_3Me`jrq~v$Mn80mLvBduzcSy4aSSP#(@M|Np zl5y=V*BOEJy0@29(4QZy*M-g+_>A!&@biyV_USA@n@__pcWl~nDTy@(lR;)r2vRe4 zAqkRaM&#G|*6D7+=~(Fi2rnbM;yaLP`;Avm#Q8qKB|wzr{9f|W^vfZV1ok9QNfaz$ zO{R;J&z%{Bxkb|^h^cAR$mMUbs#Mvuw$IGy?{sTz3hON~LI0&~64XWRv8?3ffq2+W_nRqhf76 zQYKb_u)VIe5ZA+&wp*gh`~JEQSQ7~_2{Cp}`-oBeQ4x~01HmoEu#Zu;=Y3B^*C=vrQI0* zqRUd7@D-S+d`SP(eQrpY6KeAiz$o3@reVg<`vOR-&aDUor*k58-jRZjToPGa$_Fr= zEV%Vk^Zn+VLAipN;&^47+at$p5Y)<#fHdZ>N8eYd&X7eJB^^0l$d=9%8{+O?sr#=B zXD*CgL>CV9j!jGBo4H!Qz5Jq5o6iG>fp1CeNVOI!Ob2+x|J|uy{EpUw8JEn|*j4hJ zJVWEn-wzYkte@vt>n1f>x4Ija%)GLeB4V$TyKz;C0rd+8mogM2XfO3$RKVlFs%oiO zm)=j}Y$$Ct2o!OVC{UBOGxDc>7~ywYTDHC$+1ZT|F}!#Fsf=WTXEFYh{S(QN8{QQ> z$7}`;$2UEbx&r^Ljl~akj>h5C|I9AEVYX- zyi8^X^?i|>qWTEn%^jXmePq2ok%jzA3U~yUQH3TH$_@%?@~e7UlF<(NO-7fEp|77g zF-fIN2T>fKjJ}V;$oo1gNCD2bIj#Q`?*3CQUtH;Vj7QmfMFcjKu>wRpS8(p{&p^GZ z#GO>6R4(M4|BG+R({*?OSH5Bztw;&qRV%d3RJ+nFj};Cs{lq`H1YJZnKDcZl*Q4|2 zru8g;{)67{b)lzgg6G#cQ$c>`yw^^+Q0Aa;7RDi^5p;6i<1j}-K)k)BIl|_xOwete z?bFru^3XHBI8Q=^&y!bOCUc2acX||>Mq)Cmk&}CxRBl>Ca6scYf|MRio4lNTL z%`V9hvK~swuj<6;ht6=S08&yZXS&{JtGo_)oSCdETkpN=qrS#jXssQ1YPq*?QDV)n zyx(4z{lp5HfFt4zEBCj+;Qp|>B{>FgCH)HqOo`DP{b&j{RV%Dph+J0F|LP z>>a1@wWz+Bn(+-}-e!F=<00ryjEXaF>^TxghP8C%IJ&Yxz}=yy0%?;jh6LIi1bO71V3cc z-H=^HD{yc~1@#Y&i{;imOY&DkT-tfz4^Ngdx zq@V!%Rh~Vc?B#W?(=Q!E82mZjQc8Q`&5@Ga#tnHBcS11tpEE!J@fkJk#+g&q7{5FY z9avz#L|(RbCruB^Su-!AL6%BtZ<8$K8ftd{C`)n}oL*Evfw_xnMID1LZ_oT)F*&*+yDVWrhoGc|E!A+*pdJ2gbG;ByL}i(@}za!wA?wDY_qhuk?i>f=AJSYmW- zJ`-wyNBW2AXE{J%* zhiGv%!_mJSmm%|=<8#G1JkOxDIMG2wjnxXY{T=o724q4EoEVJ^iw<1Afz1~bRo&#; zN#P`E2W)8kn-do#rbKY}uuh{$TRG?oURY~*7Ic|YI6QsDuOa(oyG$^g<*XFsW%_3< z4I2Iqh;2(^?}Wn;k}o3V1R1~nvAvP{GiTcz+S1y-QAjXn^JWFd_lW36_0D@a}P4|5s3)$cfPuA3S63XjoCo^o64} zQeF(Ae3{MyA*c*VBzE_fsRZ=6$NKXP%2jU~LNVe*1)Bqg-2KWGqpizfNMQrrPT&?K z=P>1KZf9e(I6Zo`E49dS_=RcY^R?P)UU?kfy*SnG4LA=F#9&JG9Si)5C5<&J-adf2%q#hReAO1=z7#Q;|EfQL}oW2(@t2^&@|kg@2(1|KTyMO9xW4U7gXdq%d~b!j1wQM zFEHrmzHgqmsW#gN*fN`${LUL>gxF1+ROC4Som~m!sRrh4n4HP@hHCoK{y5;e6U3%N z4ny#qW7=?kyvXVRtiwBj+Y#FjlpYBGkS~ZoVKfe{UvQLm`LD$Kov_G ztNm}?y0G86RonUzC^x7PNV|sjaUs$#;7#r-?Rz~5r_3qM4r-{J=x8dW4f;Lf9(X?j zF?78A*X%;+)vpMkdf@%7150I<gryzDUF4&%N4h;-3U|x&}sCc6L&Ln@Pleh>TXi zh5_)kHcHJvkSa4q;%~raHRh%&G6z{F_+K83R9*;TJCYld*SM}<&^T;LdJ6v3TfGP#x3a02+tY_wmOrC!u>E^p1HQH z_r;wL=vb&{7rad2!`pmDi2lwFdc&O1PFSvE$1w|FvL;+xT7`-bqwsyjSm+1j@eIb0 z6={FLvwDg8HtV9g<6nPttr1_`zI(9H=Z7c_(tuP3WTqH^AYN+Zck;mYvLtBa;x-=I1BHWxZW-@1v$0ZT_E%9d9|!JU=|cvmRRS5g9((T_Rv#p+QLHX4rFNvJ#1U+^!*I?=eT z3@Qmf_ksFYD8BrD>Bgq%#N2<|lj5(h~GP6$G>)qK*{ zHE2>{g5sA>w0m;X&U$eT>TBL$RB)aZO6@yDlqybqu0Bh57wsravLEm6Vh$p-=hx|i zB*XMA9$%}ph)n#w<*T_25P{4kqYVFj;lwr}xoLI z10yy26r)Q z``(U&;}iZL+_pn5+&OhVm$>z7t|7wXToseg_0TU+c(j_8Ug>(%@8t&iqD=!nmDf`S zqRCelZ(zM7AG{t$uN60YGwR&7$ihHk>dGl%(xbqL7zRBF+mCb=i`#6SY=fq8s1IEekA?N`KFd!x6SzX}2AB!IGXtK_I zg$q*x^q1dpIp0 z?`215p%HYh*hpz!`q>wig-s7-)p@YligO{@R9UyN<>cNn0}PIqeH4Bl9MbZkgs(*_ zAi00OB2m9(YWxn}b<*a-9Nm@>>shn3vs1ccN*3SFhjStg^7oNu-E_7(a&Vl%!V)hL z;NYz`^Y)S;J*H%dSV=j)o{I&p1LD9PGT#m|e_J6_f zID$T)pjk?lV<31Uh|RtOs44cKH>|45(aaOfvNJ=Sfz>k0t@>N`V}GDq)p}iivWs4$ zl@Ua-PSj)!WCZVU9rH-2V!P-8mdxf7JSA9cT> z+C8n|b{0E3fLjf`T_6?PRXYK<_|Mz~zyHKqG^&<@D0{5TJuYGgD_Ngh9Y9?=T)L1F zc!3!?NX86E4!+XR%J{&Fh)tUsif!g++j1<4(k9^T3&C0VHH>#u=E z)SE9FLcKaqDn7V(oEe6EhFxvQaJext5Pg)kLbdHejGG#7i*wcPfe4$@kM4bDr>M;(5)3O%K>}G8fkByn~}@z={)UU0J=P{K-$14Gl9K ziqi;G^i%*i?;dB($Ss@hrxK6#YNee?L2x>eOkQDo17>f9QKh1?TOktO4P}t%Yf7)W zRqf{8+S!nJ0r8Vm^dYBQJnO-k=~ckqHC{Q98VivLoAenJAdBhf@xDSxCAX*_R6e6#f~d< zns9S|Z#nLLTu7i2)mAu|Xe^pbHvB858eB0$>3QJN52KRh)$SMeexR21tc80k|HwQ(FMe~&i;!UK(6@<;Xd zb*>MTn&De2Ehw&RY~{bCEA1(F4O=bP=+TxwGCW@w4lTvKFGN0NJ5H(2CX%b#^9ef z=A;7EK(gPXw)O#-D3u+!kUxE3HaMoV6MUU$z=Wv((}xQH5Ajh#JJxq@cs&uP=XMw! zTMC^LQA}XlD3lNK%_INZjFV86p*+hW4J6EmJvY#*ER?P-OKUj;V?TUY)W8Ync4iA$ z_ArP!nIv)0^-zK!Xy$=B@5H;ui01!IQUq91%irbg~mCFfoYoa-aDW0UvZb=tNStd?uFabv2;}oSTJDo zfiZ zm$f32_R~thLJh)_J42p_wOQAfz^8^D(;0V{nCZY&4{iq?PjSUv^+29@` z>vW5EoO^LkpeNxCKlcHS@^uavc<`Cvz3qI0xe^Hz-tTU$Z2ygZ_7KSw+(j^7StG%& zmll@nKMmOE4xBG?QJj;U;ol(7k2SdUF5B29BBabN&@LnEprbN<3u)OZv|EW%+Ln*_ z-7gmC5r6*;;as)<=Juuf=gg|^o%R4rxAUi6yhcEVkf6TT**4L|zC1>}%NX+2H9&sG zrIdM$wB>oF;Ic7iM?av>ZIMS@7j7A^?I6`cx1I9-*a|W3zv-D_Dnt=&unS2_9Vu`^@9jj7$OfOvCHw*jz;V z9UvNDn)SVd8Kh`~@*YLs@34VoJZGDk3RFKv*B*7Bq-PkQ3f$sm{9N5r_FBc)QEtCE zNB|Z}{z^jD`4BcU=Mn9f@4a?_UOa~;)3a|4SHOD*%p)!D6 z&s_K8FZB3@;(=ypfh%UT#^NxXiIZgS0M+CAaztC=v1KP^aO}m2)QK8;uZm?GUf_=E z)L&mi`OvMG$6$*Kw)Bs{rvW1_8c$U0gz=(u{?2G*X9)0BO0;PujOXd10xs|yidEs( zy_rMp43?$60wI7t2Y1)Y)?oa#nexjT;6kC-45iB(Ys}`z!v+Omv*- zv9W@Umj2Z_)F_ZuD9|Ec1F|%8gP%qAt;fM*S}G8Od7185yt#F6tj0ai6tv8^*zz0o zM1qr~2-`4UIl6n^=B4F1>ZqR&Ucv1Aemm>2$|E?$DdGoS5IkgBo*EMrt_}DaORW7)~=2=uk!Ou^G)3IL?&Osb{1ZpQqz!*d%kSj;YDu5mB zZ$Ca)UB3lR6M8AWF`;)q=M;GJI>1os#L^S$r;MLQSJ$TL%|kzi(UiCyTEzB|9Mww~ zMGz$3i$N&u(mb3n=MYDw)qE(S}S%AR*Ag6~{^-MDGg)K&Wp81J;UujI#zQ!JL^ zc$Nfvh1Dh&4f1+(M6B_)muvq(#5>1dja$u7|K0$pKvDqIRGV-1>fi25AiZy6x);KQ zuPR?v{^lXw3=(etH5=qDC#6jjJFQ{QKz=LM7TO zl`yeVzvqjHv1<~sP+n^5?RF=;oK$-yv3U*s8&~;%jQ=N`|I?iRKdz2Xg_VvpP6h@# z-Jdbywbiq$hF9AQvw)khEt}%vf@Iyj^zmYsKS00t?jysMvb6`5QatK+sxo#vtz(5Q z+{ll}J8Kj0OXzM`K#Xu{k@lD8UD4Z$Y@*VKH>;FT2cwDTkKU_`fv9W@1(LZVPL7Gb zBxBcx2@m%^lW=!;B~RedFK1G(>S&iXM@tU+yk_i_rsbzZg5C~so8>}exXRVH4>)d3 z-52Y1o+yYCdf6l}_Wj42UA(x$(H;3xhX+=xdKrbny3nVuSTY(j6ok&|relg; zcEwz4I^bq0K+JMw?CikCP{w=RBTEcfutlv1xkgJKwRPV!zuNxMAe`A&q zBmLoQ{L32-WkoOVUX9JW9PwQumD=BVcWX0>Db($P8-IqTnLY%hNgEA>4!VN|^Aa#Uojaljqkqg-8!rBn6pC4p6%R7Hmyd5s{jpm*B`qPs!GZ%$|t7oG{?hQ?R z6u~srEYr_!9Gm`}jQqj!^KK18Sf)E5oTSzfs>fbxWl!?H@5!31?7H$<$7h4>ob|mB zQ~FuZyQb`i1!H&E3FqFx-n6>NYbU}&ENi$1YWh9CPjWmkuVm!{znr-kA;NDB0{p9~jAvo`JL6pFhnJ5~aqLfs ZFKkH}7F$t=10M;#D%jrBi~lX8{|8mh=d1t# diff --git a/cpld/db/RAM2E.sgdiff.hdb b/cpld/db/RAM2E.sgdiff.hdb index 37c83fc74c4ad273a36d4c21265cb4651d698bde..1a402daba1ba22756d85d0818e27fae1aad61632 100755 GIT binary patch literal 16505 zcmY*=18`=+5^ij5Y}>ZIv5k#wZ2ZTzZQI7iwr$%^Uhcj1s@|(p-Th71_{{Y5nK|T-kcow!k)DZA*u}!yn2?!;nUIm4fr*)cnURTwP}#)M z)xyYxP{F{+ijav=S&C5I#E?+T*uwcIr4lj7Pc}e6uU!8XKp}|#lkS55lWxEl-q2q7 z67J7y&`2O)j`m>43UZPnxLw?|VI-@|b5`1-A#uh$L`A~Q#u5X`cm$-ZM8yhPN|X|Q zcfdt-4{tC$mj3hW@fHtW`A8xx7F+EJdaDf|30OC zJ58`mPfShWr|0D42>8+odLT8|z1d6b?~d>S8Ky;n$PK!}HNW?If)znR|E07LlyCJ=!`Qk<{)!>^x9Z>RcHQ7vW(XCS)yG~5X zOgUdFm8QZ6?DCH`!^xT$7|n_V><1W?sgYBzO27^xNny*91EJr<9+rrP6r<6qnIEMw zz7!AAg~$OML}{2RGi8WQ8?zq^S+9g(*%$Erw;xGTA|q{aiCzqVp=Ek}4~w1!AW;q- zJqb{mKj9j44EMh%qGg8}?QBh6Y&6K`)ocsSo14NF*>T6ZEOFKdvC9wSvo8gLX>G~G zpxv^0^|99jJSJTg8%3HpE-fBk{wx=$dSZn4ta`NMZ*x3R>$qmJd-pvx*pN4$tdQ^j znU{z03B|VIX6%U(N#UH`ZF~crxhiX(JcMCBaV)43F+d(q^Y4xqF`(@P1GcLnp=8JD~fF zHTetjS@&Kr%;+{Tpv3^&U2*`WWt`?kuB@~`pNPe8HY&^4{+ou-c-yEp=3o|-dQi@^ zX@TXwT;WROsv`zkI6cinN@bLsFg}VsoFJot7a&y|CyWokMaT{IKb9#&42E&r!;v+k z^uh<522~*Kx+tQc-=4Pg!H^=}VCEMtzkt_t-Jw9#soWD`KygD-3788>bIeoJvL{WR z7cw`qO>4L6b~l%HnU0ffV(*$vc3%n#&?&C2lZ)fJ8{_ky*WzJt**+X7E7@*s^jR2O z$h%_6wz&^2sI%eqR(^aou8z$-q&x2C+;SQUBhw(4iS`-5wc7spplfz=Jjf{MXLxe> z7%_kDFY_^lqu=N0aMUYJ3EP!HN|lbbCp3HpqA$ODkptQx6DD`s*du+hf7s*YccKk# zE9^euJVWd@fux7g^TPYr%A3}s#i(0R2SJ30+%+4wr4|En8Y3X-nKr(3cseY`o0xyE zc!c+S_#R@yhfP2>Quq&n+mzbj@;}YxTC&{s5(i%&&GMT`4 zIOGhXF9#q(ep@h+uQ71_O~21N`RG-(DK`I372^ZgpK41lzE*GpASKp5#A56eIcz@u zPBO6RnZ~rdW{RIMKle7sPm%hBtX}-QXy3zaxpzNwFC5MA?btSzs5yzUJG+(@p9K?hSQb=~ zZvqgYrnfG>`a;E0i8C1zq+i>2ysYL#-zk0rVR%`}Ia&MMK81FgwK8xodyjbSBhApR zk0{IqJc%5pM9+6m$K(s760FK21X-0UmXmcZtiwyIxZ3JY)|-=cRo2l}!;{4V1HFP8 zs`9qygt++{d#}=VRLwfgpKb!qs$JF<()2On%ST9iulZkE%eA(ZwNmfUO`RjmTki}O ziF>=m+y5Fumf8DRRl>uT;6=L>HDF`Lw?;5ZPJGtUdk^hKy0$bf)MB-pbx|`U`VTCu z@;T;4$UR6_(@&y|e**DUVOx^T@m-3dThU#f4}|f+4(Zl=MG+uDb1E>(5}br?w0zAH>SV|H|Ij7e z9};(BB|M&w&H0a95N2}l4>drmwNOwX>fHzSyVe#Y)=qkplpEriXkC&b|BR|K`;e)R zo+Rmz8L2zEi`qsbdT!3g<5u%?q->25??68A6GKkRVb!a|wfJQg#;!&(ftrNK?N6z~MgtohfHnqfq~X5$gDD-IIPX1gg0)Tlmx0U2g2??R>u|m9rt| zoMD&*6+SY#L*ti=W#mJ13bTAEHjQRUsD%;NJJ@`1d=l~&O_?O8p;7;CsGWHN2)k{f zxQh5?KYLPwr-yovTr_>Wi$W;@Bfw4Hqh8ldOo7@ON^Y7`Q;{#|4fuY+2w{kvoE4hb z96>9Ehw@Xfm7a}*i6-khsD58){!_LZMqJHgDrk08frHEJQkcP%pB0+5`oToTsle4~ ze{T<-*S*@l+SFSjTFr8L`TF)WXLPk~)6;*aZVyHt1-HDj@7_dcFX|hctId7*DeDC> z-U+FGL+9@lEtZ6^^7El242cI4r3r<9t@l~_>gw;WkTKbHSL^P2)6TzA$*}@5;n&Uw zHQAeNEEdf2a@XlYP)XU5uuBRbdlI8=Aa}3K?3bIL!UWJUEmA9Wo((ZTZ)=8qOIh?Z z|7hm+Z>-vP-)>bw3(hIRtz$QO-Q6z=Du!^3aLy`%u^;{X>V{rkG>oFe^fFKjH^#1f z9f+{tr&P}qh?$^LLv6x|~OW+Q8)k5FKXvdDl@n zy2*~_UJ!C(u*TnWRHpLiPT%`P?*M`oJjR>RaAYK^Yib2B=qtRF$37k3zqo z2I8&Pk!gTo>cR?XqeT(rFQ-sGNd#>SgUAd>Wj==7$>kcy@EhPhG^2Sbtxi(Rgkb-VU?ov1l4_`C5jBkaB&g|k~ zrYMG5QGbE)8Wbs&Mkk_u1jYjC3q+x;o1RleenV^B&fx89Xogx=lZJbb=%-iJB8uoC zFl$iPI|#bb>K>PBa%uc766qLerUK#)ZWuGPDLiglHZrzrxjhjdq*l|S8&j>Theq2x zRB`6W%df}`uO?S4TSOJJ!}?}K%u?>POZpa`Uwk+sm|(E`WM7+F$`3(B7l!F ziquKwy$r_qH|R^cmH804eY^(+rl{|3GX#dme+u8cJi|gW=)->d5UC_yVE7OB{6u@z z`qAd)8}}gbetin}!CLkHQTMCPG5Bb_v7Xm=9y7XD+9O-J%Eztk8hz0Ti=XI-H!?&} zvwvDFvV!wDGKX_bpvAt3voWZBW`_;%2CHRUks$j4g$opdneaFHGYx2lt@=0v_C4sK zyFOnryFOb#)cr%*KjdRJjXZ7tnmM&H4&G|7GqW!k-qM0hI@F~T&cIZ!*y;B6Z`lKw z-h?lQ{X38dx{tm*_NF${^X=l5ia0Iild& zyY!}(=-eM8k?8C~JPQ4*!*dvVO^1@&HQ2C$d+Tj7@cbHq5rMLIN|0ka4vzOkGfb=7 zqEB^m-YVs1w6UA;d8!GTqRu<5K1(XdxDqv2k;}9)3<(?1HLQ(!;l0xL=Q;@;!c(Au zam6!w>R$q$dK`VrbD&NuU%g9f=dS;-+lBI)SEoJ>#ocE*XYYHHy?+bG&2&>~GDo=xuFan=KftZkM~sjE^oRj_f5e4; zI_E&XShnJPsaO1Xixhru$`gKP%m0x-#8x1@zJ6O0YS}7G%8ex5=bD04)b6VzF!WmO z_L-_!6tD8c4hbZOF>Tb=3$&%+qpq@fpB!( zU4~A5@@kcptz|JJU~c$kAeo_=pU&dQMT$eo~B7@$4L4_ zI@aBggv&A;b@?v)zeGA*jObg6WA?mN`uXX)MKha4Gc?k9o?bJZ3!(~9lRAqlY)ziU zVBf>8()T0B1T4bpTP_Cb6p$4J^n@BbrUln-lPE&&9KGISyiL% zUYePDr#Q@1V6waNe0oyY@qg^h~I@D^KuTbS; zHcZ!?qdO$dZO{R8b{7LO!%9`DT5odk1iij%HH)cR@8}d3p8_4w?5gy4+`71fVU{Ci z$_dZb(&$<%8LGGG8lc#s!sypMG;FQVf&1$|$VdV5vJ+AxeROjd!+^dGhDGhCdmeB| z1%wf45>T#_bAET`bRqh2@q2gD>W`9>=i5MrUxHC%6h}6?L$O*k@O+aa92Bq}gc|U* zi^o2fW29`Qc2JALkZJRqXdteYlznZ-T@^Ji&wha~3Gg=W-Jnt8&`M5 zDT%u8Yj6ceYR$`=?`c>Y@;IB5Tg)PPieDabh}p*Xzso0|PIG1Rzx)x4+iz*Imc6>q z^;)D6Dd=0_p;w156-;hYr@eI0*JqS`@g<4)8)=kP5_gGn0XV2dkCNF+9`-r;jrgO= zQi!(diAyBzl^*#FN{Prb`!QG(lUMQ0NJS~_uS71H-l&@1Uvg>j+Oiye)sx`_#t@uzlC91b|u7(H7*Ay zyT%Y_Wv9jvVGkgElAes7r>{NNn1o7WO!6Tu1qL#+a6`;gyjh}q^0GDZg- zlZwXG3^DaMz}doj?x?%uE7%t1xKq(6k5shQ^NVGM{Ix`szERoc+n8Pa$_&%;^b}Cb zh$npvDU1!dzQ41!UVC&{aX~&g+julT7+-TKy_xpS(d1~5dsn1b5-Gl+HbzK_O494` zQGPu=xj}iS=>ai#T3w$pa}Od^R^HziOTOJP9XWcoPPC48G3{KvXh-Yp{EDSIXipwp zzi=?Gdls1)y$_JtC5f|8lc?}w@7Vysd3^|yAzCihUNpI@sed^Rn32sHK~1)pMhtic z8w|Ur!ibRVGKsmxYS_4+dC!Zma%2wrAXU;_mBx#y847XBAe!H{^S9#!#8Gturxocf zk9`&FJLb%0KMq%0rCrLypd)mK|oc-9f2h|8k9um zD@PdVmOtTrTdUYVGug`TES0cPfPFhM}Z#BiN~sa8MdZ0bLyqh0S+y200+4i73a1XC>N{ z1(ckzeP*hmu1T}1AWfZbUbxZe3DXf2A}YzrG;PSXHzsDQcq?5UE+eB7bqdd?yMBC4M#+@ zRzC@(e1es;=@9^p@<~*zvb z_Z2M|UwI1v(yzSPf%{es6#V_Cf&k}zkX-gk?_d=&C*Tu$Mc&e+xZ5o6!pnMLod4DR z;=11zpS?2<$v%`^e$}Vo27sU!eUa%rGxWLg*9ZXlWVvCm{sn|%rcl_BS%Ce{??Mu^ zhw;8RB7=*B2op$2;yrrBgideqj+p!{5P?>$wuaRyllLO~8_(a7i9G4jZDbq}?uSJ(k#kF}=IY7NX7zGd{U^lsubSnVe?#h!ak=O9)!^2uZdeju$< znA8&RIDPb`WK$C#%>|N%KnX z1m#ic_?nW+QMG>eL@7ef$f8*T%chz(dJ*CbeFmVcr*vbHUChT?&8&ib^`ZStX~4{? zN6mO`j}{N5(+MT;BkuRZcj5O|P8il2YSZ|ZjHVX*H9Fep*5wW$C?F@f@Gt@SmDx&L zNo^oSAH8XF-0@LN-cv8743=#5<%A+x>`-&d*}YpC*K&6mHpIVGCc7MDzTSF5Z8dqk zH^yHm#BAX-|G_Q#=GL24B{J*IM2({Em$yJ>tRPK#Zv}3o8b^0Hwqm(pcArs^xwcW4 zU^j2r=y0IE1wGv?QFxuL)3bIaKi&iNeK6UpUFI3rZThK6dJmhAfPr_?AA#%~EkoR0 zz})Pts`g5z6;W!Ke}-7k5bEG(q>F~4Dx<Xq7k9{}^d!*iMbaAm^D5KN9(q7(r8Htu$pijFEP{?3Cs(erid7s`CQ=3 zHJ==dajTLuJ)y2@4zysJL>NfNO_W!9UC@hnFa%GO+6=OE<-xdJG~LtXVCuErIx(Fg zjSg7%S(>@xCuS1dtv8QM<$vYs-_H}K1qwurg+H)qs})|`Q(HCrOy1$ixd>+lBs|qKCNl!`BXZcYp*eH+PwDzvGG=ZVhuiq8QRQPKj){$ ztUX!5YW3uM0w#yzyTz@RYF6t<8006aJXMkfaze)jJbltqL&sjab=mdu>+`!E9j}_S z8u;IJ63)TJycAc}oz5{H3!EI!cdm?brjxKwwJ{&YgNYbQ#De~Q%07r zCm*E;oK38)@cy@z~K zoQdR&HPU=$B$~aGk8>qU?7P#kL>G?he!Lz^mwaB2Jn9<)?5Y9rcOP#QU%Hu&DPM(v zFBjX-_`9;=H@m(Z-3H0$>(90~l=pMlSB_l))-RV+`7wc1?e77Al~yI-=_BFkTOs1^ z{xf6YdM1(Li-+|M@%gReLpiD9Rodr+^vk`W;M;ccQXjfU`fD$-1v@9g`%CNWPW$m% z>DZ2~JH_Mk^YVC=^JnfUr}7INKLY@-uOHQ4hYUTc@2@qy5oh1&8@DWV44wjE=xuw` zs^4nkDDUa_G2>sNK0fdCxZg6i@1%F%eY5h}8&a|V~T z%RfYHdZYmrhgEOGgE=c0d<{c@5BF){TLkf3e#wapcOnUi*}+-{A*71pAX-B{H3?&= zy$PjIr1LIrVxuz7_cN$l%PzT*T3+-b83!8{7YCeVp_}(t>LBWV?@F;%qEK1lffh>V zyqE-kL%OliDsu?h21IPAVs{h)=0UX^ zsO9{2TEZuX=`MN6^8QmjdCZnnHL7`4BO`FVXC&2F(nkKA`!m)m+Nss$*W`_cWj)C& z(Lv^){R<0!u$rKVC_P9GaQ9(1b|D8mo+GuXQf+cDP za_GeB!X;A?d%>XGh+E`$cIfDoVO3SuVWgy~pMt@g!#MJQI)Ft$UmHL0`}5xiKWea& z@C?G*H)bQO(0ikhHt?Dm+!}bU_fjq&TH`cUhD z=21+(-Z2jA2S<;6kkC7;IR<9qv~MsVIW0aU20TQc)m$F>n%jY1rUlE}1;~G|{Odw$ZNY5Bn%T0PVGnQ(5bD5gRL5-W%Ka=8T8Ce&fL~M1 z?OMvc1iEyAu;DScV>DOye-aO1hh58nTT`2{Wi!VJaLo|%BsXutX)FnNatK(0T*HH? zf^7U|G~dkqOc6Qm5&i#ZpPr+&I0lj1nctXszVKx7TTJs2alA9TVU+WO` zY!>q5FfV|(6rVX0huieW)H0np66*gJl6hP-+0ixGv4ud`{v)~j6c7wJOUw60Z~T`F z9=mKe!wT3S;AH)E5EKG{b?kSqEXD3P~pa?=wFta$}?qibxq( z(O|Ni8yUJk)jb6AyI+zh)GDq0yQuR*X}SGtO4emaZ$1gJ7L*@6p{&xydD&%UT`5i4 zsPjaeHWK7=n=Ye)WM3g>+$YRQm z8UFweZo0IwrotWA^5f$5p1j_%=&gn;?cfyp;>7!3?-X6vXuTA5u`!*5v6z(u7|Ljd z9~ov_nvt!?In7;Nn78HEtkrblxPMoV?Wn{%_s4TuNg_@bX+ICvroB^^hKnQC)B;xs zPHc$>!Q>&CP*pf_`{%KhWPT2$$id|E+9SioIGLVKgJlV#-mY+@vclukxpOC`v@YCm1I{OR_cvGmTk)3mpsIW&Xa9# zBA|qW_WUkYG&@pb%WN9JbjRf#E`>{qnodG{_79~X6eV{6yGG$b?bl~*1YJndVC@Eg zU@8Zxh;@RrS?2z^flL31s+h=`2`tKnjkvH!lps(xjP4NOhcf_H3$D^4pbIz9u*U3y zGZbud4lzx6ba>8$d(VWN7zH5>_(@&{GRqQ~nInS*p6rV(+;(`_*bd1j>2832f4-j} zk9fqk>@Eb)A-vS<0mbsQ(5xcX(12ffupMtVIgeX`hFJxyiMZdU45nSJkv6dC%xgUy z?`n-6Z@`CcMTA?7bcNvg!pEK4K!{T6P(ISWMLK0Dg~905&H7a1%r>P+IfZ0&u3UG( z9w~-ELTO#i<#$WfyO;K3aN}@vH59jy3jZ5)lNa;12KN`I4zW8?wcLg}`q}^!XCht6 z5E~K+&G=+db^1V)GshH;uFccmD(lH^hlEKK?(_T1}pf zf<#ZWDVt$}!zHm7pned1K9q{<#qK*$(4z2wpcv4%#x-gJ6()s2K{QZRxc`8EO5wmR zz(N_?qYP?UNwPn2e|}kU#4p9W_dD#Z(>c%Fa^79Is&X2CvDA$3qwK(7hynL|k)eOe z=@nG4IrKt$t$TZX{0HT$Q)psETqK4k}?aPOUiRLqoiR;%uemY zfvh-Vl%Prp9Ke3c`8k7Sv_qWHqwjv!pWD%e#jkhUS?%9j@^$3}bJR%#Ry5!pVXL8* zk;?a%BO=o^P?|#{|9?b0%>C~@KRnN8bJghIVPZ^FOjP7#?1O~^rKyP;XbH#-hDwGQ zpRKl+e`H^iE*Xb{-36U+pqdKHPS}-@8_1M{V;6*pUi?(amY{u zstXk0-dd4_UWtYJQkZx|9`7I$4jvAfu>LKC)3$ZC z_Qv&|Mbx?ZDS`pqa(R0pB4Kk0(Pw8WWI?6)42da2asnzjF+*3v=U6-s>SMZ6w9oA% zmU^a(3+m0HI2rYceZqbfE^oFs_;X2zTTjw;QE*^R9ovf~CON!tI>ObRVA&M_(vg%`T-%Q;=aJarxt8{t1T92WFyX!;s-ttl_PhFMZ zPHANqZ6-^v6hR;=Xs80>>;~opp@v@NK3?^{zWZo##aplbt+R9O*u=XAqkMW?-&-%M zV0s!wuWEDuFf2(=Pxtgc!sX)jZds~EZv8UkuH5Kv-lHWDB`!4D$TW3L^A%-c5h{AP zQf}W{8B_i`jb(e+TJ8K9txsH}@@$w{jb4ddB!>ly_?#SY@VtVJcz&+sx49tac~nIW z%mO}v1}d|-dW{;XQ;gjXxEE->lh`ph&RQ`017b{c2Bu5o^}{W!SueQ5qiWdNx0N63 zd?0ZpmnT)9&w{CtMIf0Jn$GNMpgb?(#V033fI&6X6&Zz2)t zFlXkSHphYJL*^cK4wz_mHd3DnHzE?m_t~R}p@Y^es8^Wsp_MHYA9^VHAtEhO5%_yb zD-pv_A#)t%9m6`%71XehW*)%!8!NcTm=R@Mlk&7WA zkP=Ic2B%7`l*f<+BM2`7)88x(8wX60N%U2U2_lRr=}#1Oyv1<~Vkd z@JsUrxu%2Exvz%CU4TCLD+V%;+{hDgs@V$ZyyZkDV;id3fTEdc>Y(mIR1YZg;eP|` z?Osgw_Qv*xj`qfe&Yk)Zfhw*8bT$j{SWY5Co3YS>awehCEm74u8ZAEgHh-+`L3y^) z>t-KGmiU{t=sZ9P2Pp!h1QW3-=;_AoH8q2e6HQt>Y5TAJcN0hU14x`-23B^!G5g4| z)y%&2+>=M^P5EkQ`&HZv*pn9Pu;31-Vby)dXSH*#gLk&MIQ#x)p!fk$cI%C&sEk{* zp{|j5EF-^tcT1;WeeGq#Z+h6kihKB%B%>_WdfEQn1h{o_;tvhXb0c&k32NywGQD{V zh7ZSD`Q4;mSw87fETZdd_mnoyoNo$0w-GTw4DcO$g=x>^01=zwm&2clh@@EXOPc5_ zLVQU1-kRkMYzHYx&~EJqe}Kg91?T*Q%>xUeLIe#I+TLOxMhn<@fC{71y5#Bwy_am^ zig6T?a~6?v70CvI;yKkQ;AiF3oB1v8G|s&V@1BoyN6Jw+3D4CJ&ovLvH4e|U4$n0V z&$SHiUXOE!%5lIec%xps(7Hxv9EDR49P5+^dH>tVb-vlb-q2=!Nc=Ot*+ql9{g1a- zz{rIQ4h4Okx&cjp+@!J^!N)rO_sdGJRX&K(5D0mEi&OB)g3I`{*W zkT(vV0|H|C{Q9UQ{Vl~-=o!Sn$6uj!n(jc|*FFy@#U!fk*ZbpJjbFiH(^K;un)us9ncF+?W+$ekMK2cNi3+~%|a74bjJoOBAk^RoHBi=sK$PP_EDHN z0&5DcwGb2!;Ee+|xkTUYIAV{R>Ta;c#xIfmIBt&)O={frITxneE8_ zW-J9zpWjG3sTVd-W%Q@aJ1Jo{h^Xsp3IANPkFl3-0l@z8k5R6Tm%VK7ztto5Cpe3U z%_ezQSgvXKS3Hh`=OU_66s8+NYF&z7qX}MRhPa(0VXeJ3BeJ$5A)B!oo3Yv(v7fdh zRlcat(J?<}=qT800lsY${uPsOaLH$b?f^m1Ee*A1Hq9Y7THrMKk&5FW!uQ7ga&x_y zqGqMzH#EnyK1d|V<-!&pPq@8+gB35DStF!~N|lk=GgmRb%LkZmxUM@k604VSqs`-v zf(TRk`#f-fteD6Rmz?f#dl2s`JQo3y7O%rJ6G)+bXd`j@cK*z5}Rl zLrynj1W1YXrA3OR=$73h6C7I?1cVv_+YAj3Bt;ZnM-B>VSHF(dqv3+o7{2n3{F7J| zs-OAT@8?p(;X}ZUH~R|8aS&oV@?+eyY$f4k0oc@Gb>=n&{C;KQBV@B{pi6DR!E0v$ zM(MCht2UgD3lF!k^wqzZ{xoML>@6X%6XndyR3ML-8sDo}{NlFp3k*x7i9LL^I7-jr zalK5-EZ!ous5cdwR8H{?;5wi>51&kMi?Ke|H(94T4l0 z!a+f4t>Mh3`T5i~46wUp-*D>>c87#%T@lbDK$k~{t0Cx{J2d%xVwvLco3enC?G@nq zb?r#}wHoHefrOBA`B#MhEZFN;>>dnYlc7$UywgV`SitQYHY*TK4Pf1qd?g^W+ z%$Xq?x4P?Rz%}9A;cy%P3x;=1OB~=X-A#6Q5m{0hVj-a_-GF^M3NoshG9V;H8tM2N z6~$-18%qs|02$;=ZeT$RC7$M?Gp+4BK*5r#FyBOi5aPP#Fx4B`it`8s6hd>uQf9xi z@6=`H&9Wtgra$zX62X{oLuw%W#aTjtlU;gy<5u-su@cUK{$oqV798O>YEjSV7x)?q zhP2>kFFHhRA@#STi|0X_3GZoKyPGxBsLE8Dgdoq|B#M=6{vkcF*Ne&kqzx>zliMmh zI8!*7P8gZ3HxxYo6st*)mFj#W)~tib$hURR0ylLJJ>8yWZ70~;`K)artaT#HEqXn6 zoXps~%ccIU!?)IH|MZ{VpGjf3oc*+H{d;(|nk<11?>OpE#Q?l0pyg6lDEIy8nf>VnfNnR|e~m zh>_aKWk~5!iaDo&pS?U6LA^U(H^~jS$PGl?{mQE+hN_;HAoblzk{ihRF|&@udhmf( zGk_@gg27#@do~L;A3UUJ<+JlF;jKTv@?S5f>5wK@{&GHc&eI)iqKB#-0_p@=t-dEg zW-GmG!1I5*=iuC3BP%ho^}xsOCA?G6dNgta=0Ikt9|(S8=Y2N|vWn#Z2eMq}4FwOy zx{SPlC{)ge!?K;0wY{p-ma~4S13S3H*GS8l!r+qocN_GR#_nXy;WlKketSy@Prwq& z&S&|eavVO>3A6J31>P%vLZmZf)%H0cIvW0Wuu_3_51WB1J705OWWIHH9Dy4!6W{PSd%sRr&ajyn;xjDtc;tOeM#G?}cP>ZILClvBisKqM@{JKy>^Nk5|Gc=Y z&=k;K&%piwH;cIIRk`Ts6@q3!RH9jr?uTi~Pz&cT4yi!z(@#ER1Hix`sNf&TT77aK zR0;@s>qyocN3;H~>XjB>8rxtyo?qJz#NDu9wDca$JDxv=OO9{*FY%Ql@s%s_&%*1o zBl+EW%yY!2$HPEUHLleKqa~u#0Iq~%>nU`g<3+W)~qO#H+hX; z>91Q1A^*85lZ}goXHhuTPpH80{o>0z$i%FKiAy=LO`Oy02~SDHo>U*Y9#unO@~c#W znk~T1LrNHll_Ws1xTG>Nhh9+kM1aqvDfP>Z1+b4KRV9D8CoI0{0 z&IB+8D5J#59ZR%iwd8^9DPpSUmF4rqLH6n;OW>U!=awc)7!u1bMjnn12_1hbgxk*d@XH2m8P*uh;Y*fv zD=>zDb1PNua=us$k_*(xxF>4K=(Ckj8hupKMM>n2(~~Jw@ZS<_@wl74d6qxQEY(;_ z4!q6xe8SUm|AP|*1l9k*YJbtT(~~U>@X@iK^jA9yP1CT*Z8A!e+DQq~ciuvgD)UPP zyzDBEu!e={P1F>4hwuKFW#m;7?$)Je7z2`QW~y@BW6$7BbIQ8QxQD$&75YddU8<2P zOu~q$1Csh^B&v}shJn*8*DGD_j?WiQqnj+APZLu%CapKGnOY14>Z7o<-ORO4%h6RG z#m&Pptv6j7{3gZ*yDXpUt{`81Ki(xKzFkiH5qN@Ui?u}asWV1)+pgKRH_C0d#va1o z&KU3Et(=8b$m@Lq-KkG}IPNV_pOtq$AMt9H;4H9fKxM0?Yf189zrOlq)>@O<_0{TX zGUAM9!28khGat6+(XF+{iyNJM09w|ydO0r-+x?0#f8Fp0@W^hz8}+n-&>@#&DK7zx z%4EIc9K+tv z8!*|g7NYOpcP|-a&U_%eJz#p5NnJ-@V6rLH{klYoCD+L_C2ro&Jwq$vwB!`RDSQ3@ z7@}`$Rt(=PYHvL`iwRB;gH+##1EQzJLXJneHHJ?o`?R}cKEv2Ph;z5_@V?30`dvm5P7k0=-C z@8aU`xj^J4)Vo!t7+oOfL32Mx{=Q=3LLWAHPTYq1o+cGAhT<`&IIO$2uQ{2#x@J2t zieF6BdFw{=WCU1BF`Q4b`@lT$t*B^kL3m_0{z-E+=PxlPj0d$QfJcTZBT zgW;oDxxI27dGg$`rzwMbt<#!B-qR>9A$m7v)aZQpE%feR6UwiJ)DE{CfgjPtKl$OV z^;kC6NN+@8UuusuOxM~eQtV5;MmoP)Cl%5FvY_5@gi`8;p29gg!w8ODJoK_{>LJ`N zSoT?tkC-944i8TmE!2goe^*3*u3y;Sq8SaF!XAM+V5uuwb7s-k+zV%^kxxe`>37-^ zFgWUZ$tPmo1VMW4vz_!VX`b@DTyB(4e+&Fv!~Fhs11?mzvPM-!?FL2Ff=RsdS@I93 zL!~NBf+%sIqsdHt^fF=K#eK`6FLx|D+z@h8J3I0CLW+Djl#-AEtL<3f+`sb zOd!DeL8usjsA9N>sKn|qmDbjfMb}6s<9L0salh#_{l3w(+GP!8WIbN9-V=yX5fmp! z?j@Vr&Dao}GiG1)o6UOru{FiTqRv$NeF^@2oxq}MW9G-)+ZLGVMa~OroKHfl#~TJ! zhX+F#Z({VUVfGAV+&NWM7QhG;nL+$>_K7^5`${cOHqb6+?D`tiMcUu6SDxB%ahYU= z7`X4ooNmXtJFpx(j!qVf?oMeC(`WAj0Kv^lR4edpGQTgk$I~fcuDkWFLig3(<^>|2 zr4^24724S+SoH~Ev`YFMi_f0Qp;r5R?3P!0Niwk-RpRz@74vhVt75+s*lP(ptfhGVmb!2YaEJ14&DWpUvu zy{3Je4JPW1JX2biv>GjUX#^t--)4*Hqv;*A*9M8GeO2@9AQ0iK(8hvr zH2$-q{`6a{PXsz~yK$(g{XR?Q4?;DuKO`apjT3nN9S|FXTIg z5whbLj2R2n0k^s*$Y_Qi}o4$JNHTl}(381ZARwy&)~@D$WyrvX(XWsritrF=X0_w} znUIwu0C7#ls31RCSMN8Xvg;315J_S;?Rh3+gGNc=B4Tuahb){D-?I8eM>^aZYeOm# zN=;;@GVyjbn?2MX7w0E*17}hIti7fAMY{!V{EJ~De?j3R@#1nweBj{q)dvD3WQrf0 zeus3p$OearoR|bP_p@=55AJz~iWq)b|Lp`cu?r3Yo4zMRVZ@7E^CfTYS=-*i8Z59d zv5S%NRzs`&RO`OK`Hjk)lZrzHL2i+mGF=eba+@zuFQ1o-z$6yy`gFz`q>!0H_|IQ&9H!t&&N|=m(misj{Io!&dDQK(J}_?0<6|-TM@g6Ui?(_4DWztKc}~N#*~h zt zVPvj-s{caLaL+swkBsFETJyY(GppW9shoNZz2ghT*d>ZP^N!abST8Ze2)n?e#5P0| zdTUM=*zm(V1z28H??k6RrG$CiQjcLTuZ= z!PPxO649j*V|y@OF9saKXhEz}VLK40w!6c|2lorc_sd4XK+p@<2|Zs|Rci<8|Hd5W z3k6yH%c8)aVK1UWYGwW67=*q-3%)VZN|A2QWFLG)(Mpp}a}NM^u6KQ`j&>QryH9VW z(E8`lJ+>?7(m~NUa4>vvO$I3i3@HaC4xK4$wAx0oA=B`F+d8Dis$X8+MyMM6tnb=5fg8-dxZqUaLCLF2FV`v_ zc43h*AGP@g#JedZeHXMGZ?reFq)sb5IVOX^vi1H`@ieY{{eGator}} literal 15990 zcmY+r1yCJL&@N2y;O_430fM_b1b26LclY2w`XdqX9g7v46FwJ+n03txyGLrGK#`{6EpYyh zolYGMYd;$yP`7e23j|!z7X$~doQLmE><=(S2N%GOzkOC!0jjDx0hP1k6q$1m*#deW zOP@MtRdtnBRq1Ozes7OI)Ju0@H1^}sNo)3rhPhoI=5a0j*m z(}&Us1g{P*4Aj9c>uv`S;^YJ3tr}6>_#z6ru@_>j-|{qAz#JK&iM+^$(?P4n-PC#O za3X0wJ`N7E!ANM6Zq2-)g29%-Tl?rVwYx%{ie^m)ewalDPo4@4>pJlWCM=>9lR@3` zIFkj8dU!fiA;3wDp0zqh?%Qc|-a|3RrEnF4jTfAM`XlotvF5hoH9H*z3qQgn{1q`c z`jPV+4F=l>W^=FM0Z4eX)FgwejqIJXI@yZ8ZQgAIZvuu z$)%i)koZ(BTSJO&t$HlwuRX}ME1S&A{iW~Fjo&a!T`ME!J@vzHptZ@4it}of%EV#; zxtuQ$Pu_l`C)FgG+iDNvMRM+vxotoogRWPZsm*(aEt<>5Afe0qfJ`<(8h)eYUi37A z4f@@rAkV4lkd|M`yWn2vH0Uox35*PK3Q@h!E;)N`hVt6mDVSVrF2H-?xr2TsKDy;w zZIOm2{H}m*oWjbqzJrgnx*pKdM>;Jhxz%SRxf^pb`TsLP^Z(l9^WwWsod_@_3{03b z3Nv(e1y10OOQOEyY(brK=9Tg5luK!f)bpE^i$04P-$(wTD>on@o-hGm!((Gin=qNj znwX%$;~S~JpW*OLb*FM03t`8Qpb^8?zp#gtJPG|4k}m&_hD>&w=HHjx8Po&3xn@Nm zVsBVxr}Low8H^=xOPgPpg3$tR8a^v`HY@)Z40g>M_OXy}S)pWX+%?J@S|4-5ukS?{Ud#O2Ny*Hiuh9-!;06to*gLZ?1Z6~c6{*6xkTqrMf=ELFrZBgoIj1ili|GiOWsa@ z^PK5j-d5*Ji?py&qnuN-xBXo-VZ(Tx6tRo_f60H?1~W=?ntQq$?aDNmyfE7xGaLNa z*XXgM4PMY4iSHsL0kcmKrvZMck8EuYXPcoh{KdA}JbBj4=(fpe_1c8 zW>dUWJ>OOVREmr1J=o)L`$%-<;ME<4=qH?Z_R5pCd-5SJMTC>x6j$sf<82qJAJ z&udEzhR4;b)1|mQ!lzT=b{3}SEi$)8F}o@=rG!TZ9EHOcuOG$&q^}n$vwH|O(s#rC z4v`r(-ZCizHYNHmj|JKNCmvOusvZ{v{aXa+_Umq^+dr*MAdD(*pbF0k3|wEvS%1-g zZ=!wC<(gNi)TK9Z*G{t$z?aUv*q+7M9#qS)wR5h06_C7pg zxh;$ceh|SpE;#$npKG|j|FUB=yKs8QKf6nR5B+T&%s&%QY3yU|`@A^I7x2jqkoe^? zBo*D>!>d3P{&t|UBBYHEyjQVY2YNl{_d6#A!cp`ubl8laL(gK?pdOR#TIDuo0EAwb2>lX6K0cK8+#qYP?W*E29u|?eJ>OX z@A2I_^O)6>Qb6kze_{3gWZp)r*Nv7#=gK4(X6xbjCaT$Sdo%e6yQ+C!?nA8G${uLn zlct%C?!R9J`EEAE9=0-dCY1;dqLZ<#;*m-JWZ=tkUYu?)ufDbw3NMKe*uJG zN>w|=6tZYx-g29Ff1`!KCmzI9(R#6lGm_mW*@#G5zx6Njv1S)O& zhHf@x8KB~$o?tli#VV-VGCnl4ERQa$6YKc+*}9yWWa|*?L}becCPw2i-PKH7Ll$%clZG z4$Ay;-C-G)dLFCzNutr-2a`84G4o8OqCU5h;S_biou~ta+7$n{A`WTprkJ7!;;jx58Vxv^nNjykPu~GIQhRtC zxrsnLrEdMc(wc%Nx-*ZI;JAN4DFl)Zmv4wicQE9)(M!cq(1y#5tAof z67~?P>oI>C{xH#C&_VXDDOR9Nm) zusR%Fu38hI??QSPTG=1$2A7$J-K5CENUuq|*Bu-kN}jet5Z^AEjZyD3{-ePRsNKb~ zIBUWWCI5)PPoK%h^1ULYiB=>OCMGCjC%EKs^Y$;nY~{NoLG4{=;EN{}QkXNV?t zc+u?NrpgoIsAn8(tj@CmFAft1;LX*=L#UC-MHyuOWA8iy9`MgZtEt;sOosjy(ff&Tn?0sYy-4JK_l# zztNMviH@z$!)w$L?FG;h=rq~CcVQjyOP(P<4;DW^yKg~Nan%yAW=c|VDj1?jD`Y|0 zkoeyenGyu~w#GIvX0t$-i7-*_IaYglZzwa zYc}T9mckDIrrDjRQ92exM;g*dpE@W^{?lg=+_g4>JL3i+i}cxqCM&b)2wzT;QR+3m zj{LyD%y_bODFUhCTgezkdF(Cv5)zdTpDz0=5!|iyZ-$VdBuf^Fm(Z+BgtBh*e{QSE z`-`lX=0~mJnrhkt0j{@Q2=gmKws{A}^xqKbqly`j#Gm5qC(u54h0d|30aQ9!^V${f z4z|pLSTYjDP~1wiO1Neutcfy{Y*FBY5LrF(+FUwp2kC`^lkS@coH z#MkNO^cU=j=^+T}`nCipMA9oiwW|rLi~kntHLYWS5%z1DH7w5EM8|N|@|CTP6yal5 zWZ1OOs19%Ps7=w98Y>Xet;bYY)`^PiuwvS|mDi7|n(H(#7Y+~wX3}Y&DH&Z!X3}Nd z{c=?M`xe&DK3qsbWKM%ci_-h7?Dz=(oq3TNG9jQh@p_-`{VhyMx!wSl=+mEcZ`fvU zhdDGl_(SCS`RVI1efTe}8pZ{7@My1rl&3u-&IR4v1AA;fR-PT;R>A8+Po@iULoel9 zD;F;Df>N^AZwIBRe&?AUfNNa;EJ0kqeX@86eg`eF7L3^jo><7fE@AjAUdW zn!dqR$F&2`!OsWqt8{e_ldwi}()I`;7kN6VuB~`~V>0D;Aot02vFrxJ#&k$fSon!@ z#eJ9E0~5iY#y-S5@()_%jk501@94v6l9XBlCfz%d?j#Hf@;(Z5sSB7WYu%rDb4m zS`3tLnGF5z*$?jNhMm9J`~3N(WFF7?(Joe8C|2usr0Nao_I4*FZqC`^fGgo&CGx98 zf0bA`JBQI;lFB`}q<=yT^6PpcDQDo$gy<)o?{0DusWK`-TQQJ$aE?9n)qR)G2v8YS zSn7rjRbQm$KeGKr>b^)qB`wR&m8Q8z;SB5@TWTur#M#e{Q7Bc;c@T(deP>7P?Je6fAOIbB zQ=PUJ3WB&&{Vll&ry^aV*?`OUC8HC1(+foq2@RbPr5=xo}g==TXm zVtg6%y=B4F{QwtvWvkKTKRjj~GS^z$!ta7Pwh6TzhWEd+o%be!lCGCU!VgF9 zL-SN&`P)zcgD}&w@*JSu3B;DTqHJ|6!_!RN?hbt9tLJ)0HYLJ~GrbG=%SR}7NB~U4 zj9&MIw+CiqIr1=egD8DB&Yz6T69;dP*<$334~0dS@w6ZQdFlLa<0Eb-wgh_TMWXtS zUTut9S`Qu;hq(&GCN#PTP>lK}!U(g#EfhMmy*V^R+h3))iQ+!S3*SoXaIi6VHYMJ4USLnhxPA z1?eQ#n&Ch7OZ0Fir~0HM>#i?z6FWja8$=glrm6EE%!DYlcM%YBXV+G2w$+ zjY;R!86elYL`bYlTa9bh)vJGPbZMuOlT$T7ZK*JTuSbgub!tF5rUgkaCC8mq*X#ii zo-SCOeL6M9#=HW#tFV75O=Sc1g-4_*j#c-z?x5g(tr<3!WqmJljafJK8NxSWh8;UK z5M0OtuehH9rf798&&D?u5!>La;sUFyOTz%=P7N;O-dz|v=POBw-x;+{S=$IXR|8wy zp4vm>8m!P$ebKB1zq)ZXp}K-j;!X@%xVuaRwncGtz2X(gC-;iLU<7kRF8GdlO2{`#xMPi6=hdK)+PWld9Tb2pEh8I}*BVz+TP z!gRyeX&dY^F)pKlgko`Hoa-8C2ukQhVY_lO1zV^yWa_NW%Ksl-$< z;c7c74sV#L#F#cLi+|Q(C6`EzN;hh@m@bw`wIyjs-{Xy|6faO{Rl9vR@RuIKAu6C- zXG$Kfr1V8)Ty!RJUk{PegYdg!WZn*Ye)bUjy>Ru>`>|lFf0BpLQyzS#YQ^^pN&QXR z2?W33Qh%_)B-M}iJ{E~E(cNyK@k-j|p!I45^Afa!+zq?|>LjdHq5U(-{1>#2%sM(e z+F#x1Ai=r{xic@=;4?rl(y;rSfph)&3cPSapbIH7%Exm|{n?zJ9?AyQg-2(Nzfak& z;}aiPHucl51~|=(Z*OIPIUpjJQw$2kxV=u5&0CV|w2#osAue|Tdn(l%Efh#Gx&?uE z!9Xyp)_=qO-Nwf>OAZ@T5F3(<4|7rHOS*m0)GLwCFZ7_<4F7iJYL$)7a4^SMj^6uD zs+#yCeC_>4_S!kT&hs2MU9oxfL3ON@kMeD8?1Ii<~OLDF1FvqsxO>%C!g)S4^pF!e=PwTOj8-Uxh=PO$p7_%1l zYRb7DluyArT2Cy3eImdHl}@@)UYi_nl9w+NkPeb)Lv(NB?n`HbkjrfaAqjd`lF0FS z{^scu@MMOSD~9S#!F4Jq-xtzXV>S5x4EQ}})cYXe)`w=d$$@mAy0OcYOHIn7Jzdm{ z@0!t*INrb^BP`PFe~J_OsZJ*b{dD$MVYwMP!bnqnKX{2Q!mr?nzF$0xO!-gz@VDX! z1qwy2MtI+Ao;~0sGL@)F9||OJ6GlLj(pbkAi)Rd;J!ioSL_?0 zx<}_B+8au#NhWB*k@y{&no%59|Bo}nOBDf|6nr!MKbbyywp8%cJ$+qt8j)Ke9b8OR z|FFtPHx;)OO%~*yN}aylIK$p0WHf4i;JcaHUR*T(+wKD(kgA*F{mFnd>@HNZ0@T|a z>~Y5Ty=1+`hO(YGr#5Ce6c_aty@qBk&5f=<6A+ki#DVD4=ov#)^nHF-<4?JoDDICfO3J8JY0X4#&BB#?a&2Wp=6R*mT~ocj8g1po z$lM{}fv6~?XX@?+>w(z3k{7}@d5*Pl^=FUO(oo3ndEv<0eMq5V!s9c=dRrR7ic*n* zlqpo})XK(!QjzhOeNG)Bo7nk&0vdf(BMQSs!%ef2oZQeY4ucXr7K8T~L_^h%IX+DB zJF)zPrW?!OTmZ@-C;~xPo+YA4zbbW*Aos`zXacy({(m)&RnZ3wf_oKi!6PJ%RwC+psTP`WR=rVLb#wW$& zRbQTk#xHf#Po8lPYEy#PcU#c}+Vi(QUlbhofF?OX0c;#le*W<4>d1|x6MZTpHwgOQ zWP_mErJFwgr;4F|V4U|Fu|q#d*%r9EN{l=p;XChNbZu*qXY?}+XBd>768G^`KkBk; z%xAPA5Ey3yf68!j2Bslhj{h}zT+NT3II{g+`hoV@`%DZ`Afks|ArIraj!D`EfIN6@lhtb#JRQva)AjW=EjM-{G}zA&-90G>4&RD+mR(d5g9Y zmgY2XF?jZ1D8{31zu)(){ELjdsst;x&udOUif0S@Eeq1v^=KmXPGVGNbd-l$`#8RB z#?C6&(khp?&BXQSA6*w#u3Sp&X`Acv`cf6iYyW6lJ{THTYF6T@WoBn%cZ*k-nd_z4 zo!EKhFO!1<^y!)^9-R|+xhb8sKlOml=PB%1q-CSfcvW5B{{pl3 z+YjwUQXO;6-VK-ay^JY$*q6((z3kNeB6KZ59YTCY3q-rdy9vCd^TAL0#q4Z8N+{Q_ z)cebtM63I4QvS(G7ocg%Tw_WSp6*+KDVpEBIrGaI&fHRUl}Sg%OnOZ>XXbbJc>kw4j3A@*1U2Qo*?Ma`LjrRrgKg)E#Tk75VkgZn zY?;QJc|`{J$%U@*TifhgV{^I*5giX?ozg%43&KQb_ai|s#)`6V%`Fw#}BnuS@Cy z+93F6Y8#|&sja*FrSn<7OhIfnZodr%zQfv_;O=W#&5<;w$zlhY-bhbc6OoaSfA|+y zW(Kq-e`3Gy1v$r7yTl@#(5!uwZ#Q0V8t9sHQ`608)a6ndoZ7g&PsMC?HFjxE98|S2 zm%!-aY%7$RY^Pb|9(KZ!EClRb0_OL zv#0mw>U(AbZmz4C-dOLjJ!^pu-h^bN)mk^%IPyLam>lJgop5uf43aqtgxe6oGA23>G$R(l zN|pBj+xT*8YMy>nqXEonw9#x)`w-CqsMz&u1Mzr00s_uV&~oX1M>g^0AKl1{uc@sj zQ#Uct6LqT2U#gO_+v@Z@rxJ;Z`1wLFsHXwbE9w^*UCiTiPhE%@Jtn7W4fF%%PL?^O zs93`fQ&@uaE$K{;UwG;iPg3Q>a^uD^6f;mYpgb$U)kn9Lqzu2gs(sH}2bZ2?VKss{@*-NVh+ z?waZu9wxqCx1Pa=4}S7?eXoAXX2*M!=Lt`&mC?)BXszZ#tKx=|AU%GzI>cuRK&fi3 z`D75)!UJw%rpW>SX_|7#_ay1qUL!4l zLce#H_dzAoVKfhfH>w@5(?q*}H#z^@sMRF5pA&aYch@)WPq)a~&k{(0OK% zR`sIc?)&@}K@6(;a!xDtxN|cFI%4isertTekE@dyo#oH%RsK})07)G909JN({Nu4s zZwB*wdqBXm0MILbOFr?=RMqEE=7EK+!Rs~!qw{cn6(}xk^l|BgIQ^sH{o--Q>Ai}5 zgF5ZgUh>U!jxIQC!;;|FJ(RHW zHO|tj)?BaP=l~FznZz(IQNCVEhmAV4Fp`Lh8m|VP3ZE)SHk5**rbosY(+wnHNwjQ; zF{P1}MifhWUlWf^(dG(*hWTsVAls0L7fJT=TZBU-!wT-G?L7kymY@C*cCFc08yY@D zS4^fR+sqoZf>hJbhuzFdOvyka7M4z7E0joXt**F0Nb0vBD%sIOw!BzngDS&W{;`h3aitAn@^38h**6p%B-9l^2zH}A9P$ofJ+7d8u3!sn-3l7WTkz38 z=S1PUX*CxQ24o6x=eG2~Zyprt94+97Y4$-}FD%%ym_zyo^bc`ou}sHnjuGx0D$oO8 zABG01%rUW9)WaA{H8G{U^%Ccv>t)5J}LAT zBy0l(l!UIqZO+GOUMu)mEZ8%+g>J)XJ_&h*4{;~6>}9j04&*eR1EDv^K?C9DmhqeE zg|j&<9U$!DgneKv>o_d0z=66UkM?uwxXo3<*({b zCQ`a3fb;t^wYD_xb7jCE=R5f{4De4!`te)U--*dLis(s|j2jwjNdOhkh_%(x49NOu zjB%yUJyx<}l+P7YX;QxLA=-Vq@7#IefJxUvUV?azuh~mVP)kWU)4;7_cBq=@9z94p zO-*!(qa~ppplZwmNez@5uIOZ{NZjwGC^B4&PL-*2rcKMlo17ev@Us3}A0a>Bj~6qS zB2w(_UWu!+6c9~yZ{zE0pYX^ti&R+%^6kUk(S0FQPu!andj2gqJA8tPY5cEkbTc=h z{qw*^ATgnRAGu}akUS5tpJsE1O8oW^$5nzVpH-5pC)oLWS+ex5;1AcMq*EUT>9Yg; zS8s<*fy71X93!syv7~1zNoZ{mj{0L5H3hJkht~3x;g*>UO18dOG@h=vk|YGGG{RD2 z;hdII6h9W5OF`9kI%jZDW%mOQ+p-z#89U)c)R0ERggu&@8OSb}(k_|Y+XqQERFuf` zL3rr;BXVLW3_SZ*DpF`k#uXt2Hko~uHtX@ag%wE#cz7!%@lXeg`yMiuXSP{@UHONN z4=wiMv9SCSldMZezZ52euZ82i<9r3U&&W$Xvgn<-Rc0@{1^X2MY@7ed6B-W(N1rLe zFnd|-2H~$YhVVCVmx`tzJwlV%NewRA_L9vtIucPR!b&8;j}!?HR{RCq+q;jWaAjBz zwj@Ch>w82ci?<1SF&pt*iCU#XW^@BEI9S(eDVjRWPbI`S1ZD&m&)fxylQs9kxDaLt zDXdB(LjMuDUkK&j1&*#FyONbJWxuwGtlsg}&+2>PyE)XlDa7XT08VqpaWVb0L~878 z``^w}qqTiIa6@D}Ufu%z4}#&hboKbX)bHp$i&eGtzlj9@&HB?^LIb3o$iXnPkN%6Q z+zu6#NgV}{6y>1k+|8?K7#3)thwnYk*|5Y9Pc9>Pq)BG7Yjq)Rt5JP zt*Ss(N?JW5#WYO%|AO`bU)?sJt+eT{e5Q)6vRJfyv{ZvUDlI1+*|55J@oIG>#)h~c zlh)$G059A<+J;dgVn~ELd0WsN8W-l4gp6&gsSN7Awv?DIjC7<8$@hkjWE36d?+w6Y z7G_kj`f7IRf6Km1nt&FZM99Iv_Ke^Z1NF^1HUUvA3_wop04=}+6zwBkwq&BQ4VlVC zMm*dLFl>1#%4HuZ6aTJfL@!GRQ9`m~F3%mh`#dE4om}_Ty9D?c>)2{`| zCNh>BDi{;cwWk*&si78w(3nA9N?RAF;(L=qIQb;2J?+iK$6Xb`>7N;$N=1yG940!S z=5PXw9)VjGx$&trk?QDi%Qx02$!p0N)zY{_YA^j| z*pFqq)!nJkf1DI=@hV*J=4d@xR)#Y2iK+C{eEw@Gq6?$d8_G2syK+ggMg$vM~ATrC+YV9N^~d7OxbDg5Ildt242*2o-EDy?B~4nTk=B4rBu9ht5{eL~)2l->t9A7Uc1O*PN&dfCup~O2cl?+12 z#CGiLV%_lwU28avgGW9eQ#dLJHl|-^(nb6r{M!)6wNd$YF`4 zn)EIst{sNkLR0Ll!*7W&Im2}SSoyxQHT})X%ic`<9A8EsQt?lW zi~N>K%!4Wj9h^&G9Ajm?)V14DwU%g+kIgL*L-ly^Sv$j8935ADO zeQYiD+lzBOmHF)JgXfUHx8u!9_NS{wgnOqgWrbUZ@Gl+Glw0K^a~K>}xg&P=>xGGV zV592MQ+NxL-Vcz4Gtf~0%1~ZQ!scS&3w?sz#UzMHe3NR*dM!MQ%K|(N%VlFi#aee5 z?fMXLT}8t;6ODwBOd^qfATki@H@dc0_|#kA@@^0G2bFv*3$!dU;^qJJK%krqqTx`u zp3x%>#}voC&b>3no1vQstgF&Mg5%#_P>euEe#{9bwQVQX8`l zPf=RMqbVC}wca1rcMWge#eF$Aw7`SZ11F@N%g*ZkgXAUd8jpmpv@^>OTe{`v%G0O1 z=D%V4LY=KdS+G5CJ5bJ@N?QTfH!^dgO2o?UZh8)4ibe+}@E!ug_ciL9r$39RF;AVO z$Pmdp*Be_RH2p>s>|jQ7TwLeK%{`O-a`>`PVG1S2N-$=`q z-Tb0IbMK|vs*AqDM0;}yO|p|z-hPaM>kxlXX$uA$u^GQc)L##+c!MWZxD1)@Kkuolvxj>aEBf zW(y%wJ;+qQ1F?mKV1pDXRWU&WUVYO)eLXY{iBw5XcwD1gQrDPXR@@ylXmA!TOaZHq zI1!7ffY^|rGp1TCvN&+28ss>gkbfNH1%NruB+9VxGW?%!2+@=eV;*4WYy1yPaWg2d zJ%rB*1|p)jONs~b2tmh(;-+XEiFDRU6v;&t$wM?AEEFQiP5N01Oq1{}^U;z-0g|ly zT@~n{y)M{Xyu8#@P`13qvDn07v1{v$&nvrb>;b&n6j5*%&ASTk(#}E?M1?@6_$!Wv zDmb1_Gt%Gt6E(d9@g)oY7Ln@^kLysI>yU@*Fgx(@Dc+$Y%y$E^V;ixfAMpi=-DvxSE| zh-*h2X>FCuf8wc{z#{-&;HyFO9TM@1*iw6LK}R+A7(~-&sJe~f+oihl-JW#TMN}UQ zmXS!mp}1^PfRb<6YQ{FovPGcEy%K#MA#{-0C0C@@6~048Bn#L^_K8d)+0We7nec|A z7t+$B{pr}^F?1ktyJQc)Z4zYpl+|k=1X(Xr>HwBZoVa32&hevc-=2fKx6a#qfsIo0 zLNOr$Jja0W>8%G-@PS1#dZ>GHS(^c}gG68*EJ^a9-lD)t+Rbxb3Ef_wb5Gquap!MO z=^o`4>5ma|5BX|i^7cC$kSoSrgQS}V2B9-IsXVVIRsH3|h)=-+YHon5D3PP+rHkmL zyQucuz2u){oEWU7%E1i4Ju}h@QT@!)#)cXYcTqQSthPU?s5C+p&@M3)xaR5`tiy{V zDo~VpJeufa+N5TM%3~A+*^uIrbo63%6n4I1x5>vQ-0k$&vX?mcH>k9V+tG)hOd{$}8f-}bkbXLf61j-xfl=(d z`Le7UG46CtV*N=YGY1nU{i!%N3khjr_PVb$zB&oDszW#4u2^cy2Zi#uwwRj)CYJeZ zP}WFSji@l&CLRTAv_8`?6Skgm$txL?+B^<+jo^gs8DVc zVsg)Z?a$?QQrgT6=P#1StT}9m3@z!nJ<2{)4lNYXU|TVSat~n2au>X}k|Pr()HPWctUPhYa6O^{jKNdk9e6?fD2- zyPM%>rx&5$I3g$-->+dr$s{*>0i@*iV{7(fG$dWxqZH-qJ7xhB7E|b4mr>c*LpAq9 zxex@*8TB-lbweF_1L_deJm2hvsI?V%JxgGY`H&HMT7EBO25T<8+Jk&px$IJ$h5Eb%0ydgK)QTd z(+>1(zTr{tlTq*QG@=UIP7kj|;wW`&MtR1dJB6X&sFUc1fKoYQu_83gZ2nrmyOT7| zgl8psaEEm*kcr)GbTXjG&yMDJgC-ddRk0$~j}&!CvS{<$gqq>}zJ`xK__vhb$1}46 z@DtyEzr|tkYv=Y_1X;R)9N@*O?h?K#&rb(B9&hRBsGqkW;R?b;yJh)RQt`8Lea4BW zhWBEkXi#_P8LO!7l3=+;Afk)X^`;(Y0E=I)kkO3w!K|__p(%Q4uNq+I?>FHitJaY< zhb&v)$3>^|gU0JM*pH2}G2|Bn8%r#=k4BpbLgoaxq0BP=eD|V5(GuYpL*qI`;5uZt zAG2UYnWi^@q$m=}a_BWh{qyVJr2Ux2W@6p9fo%LqA3y|loMyT~&t=*@qL6NbR~*c- zHu994y-Uw2>9J0qX>-W&p#v}K3NI=b*dFZ6RXgd9o2b33sJ*jj&q??@7s-pi4^s3U zYQ#^}2wv3eKu6Cs2qE{#W?KiGN^gzw!+UA1yV(c?j{c=U0LV<&@*J|uD z7ya_t4Q1hrXy#Srbwh75;~`Wk>>`3jXQGp}GcnzLu`X2DZSsrre%Tn`S$ETd9Z>y8 zs!HC6`^}O<+?5lV06FSo$c6_ZqnWG^y@s7{={pF$ z9%P_hvCZ$03^P8hyG&e%_GNiKIgviOk#9MXZ@H0zJgCUrr~%GopbIg+e`4>$QO{NA zHz+9a%YLi0hYkuuO03|=qUjf>;b zLdx+C+Kqh}^mdF%jR$QV#ccMg=Euj<(w?*E(&L}hTrHfV`O^?2N#0JTskNkSB(p6$ z*CY31l}k42R0b>Gjj#2_oHu0@d|KE?w`fdD4ir)MNs?Ok#`b>p$Un&0E^Y6Xz`sho z!o1piM-?vlbD4Z2?aUG8XapVt5vCQ-XK|7E!^d`^5)z^GoaHr!Zgj*vKfiBJXit6b zHKu*)#|g=?cf}D8ql8+|Rd^1Plmvzqlff?5Ew<3V5^;WbY^yW$HROGo3QJNNVU&=+ zk@&F*tR<*QIZ_WK`WcBz6^rB{!c}F>vAq|8fVlu~85`CQrymF#$P8zsCAB3XA)Zun z-;Ih+xr_)MoA?Q?gGVqzv!~VTSeMv`4|KvU;P6yY?4d=wTPG!F@tU?`w<>;w!*h;1 z89HFbIp<4cIHgNufSyE^np#CHB~tPeP0bn+SQkx&lSQVkSCzvlS~7r_Wy8vuk|e3Y zMeN*eXS7f((@KGsfLj-nL$yGu;cLQFm+bzVzNsn@MkgH935xAAdK!LXhKjx4hsb z99hS+?p9y&US3aLdXR;oquAyNC4O$UcY7v7&gZTySO~oY0PB~}Yt#{sq(Mu7)oYYB z+Z>&*LvPi(-kn+DwCEtcw@u27>U8j#lIvN2q0|IOJHXAKS?r04sGqvd`a|!}xo#Q|gJU#?eof%J*;Dc^u*a;c0>j$I4g)3GZgB0>h*lM*Xe?MCNqE7k06s* z0i65>WNwA350kN?a=Fe`2+Ph1>N%{kLO<@M9(=DHhFO)Hr?1UU{dT43&q0rx7%`++<7usrn@?(duzq#r~Vxa1jCQIEp` zf++VJ4YLCQNcXIUzcvj!w075!w44P@`UChwW-^A4?w6UyPRw=^O62&i=;FsiJP<;D zAKhrgnyimF^C41SFHW2A2~zJr!*iF~j=-MJ3iQ3srgJR&1^egQn(^`z3W zpKG>7V5eziFs!>!V!ijzYWJjOWnQyb{EUtf1aptHL#$VO&YhS~{u(c}F4y2Mw+U?Ltj@iflG_(q z_{T1gX`WAi*HAGosf`Bkm~LVBp5gSq>fE~2y#wxeCXgHi9xL(~NXVS-L^P zdN>EV9!D1nb%2A@Id581(>O0N{)q>@@1`*g8#2m`Y$O@AG?4_L>2^%N;SwF?{_ulPI9XBGb)V>0F z+%5Ie-#}c0UZe3nZAI=-j5DgjY}Btj@jYVUJj%6X^)9Q_`;!M(#S84#n_k#G#X%S9bpa5Le}_wtaQFj@w4lKhUSG$_uk#Qf>`Y+EX>*9?*!EvEeCCy1C5TVO z&=`X1LoKY?<*lD)aJe(vOjloiL9e#!z;sWhQoV!TwRHP}C)MrU9uq8`@ zcyRQ8xl&v*@;?XEc20P#uA59IP8s5uK@?}pIdw(Q^EXUZWxAaH{)-Sjm-6!clePjx1gOgdd9qZFq@;LB`IO)c0_vAtz%u&BsC zwp&i#`mjSB?8dl5SY^t?*l4XC{`oCUE_Sx@=mjNCy!s>H(d>bq5Y|neP6}OgesZ_o zYGejq@7%1=?%Y~gKUB_PdzT&gh?r?8k)@!C3-TbpXSCGdL%QHAm>F|OP&oYsDF$1< zG?st!{RfpU9{x8{S~F$@8NReM8Nl*;eT_RDp27rTYKUqJLNt|9@VW@OJ~2QoEYgQ;2v2BiHDtLUJB!c8Jyh6=g39bD zd2UWbpovTljpeU3k1mWz-xg{Cdb?C=GS;D1WQJ&DRqii6^1v`T>}+184!%7aX_?>4 zwRKAAIl$`DDw~ zj}_QX;_JLh97A-$_jXJH)rhQrKNmOk`U!s=Fk^@9|B@kiXi3GM3sJ!Yl!sAbbi^87 z^>@|&F?nL~lqGf^-SYx0l7<7+v#kGBq{)9yU^XK(s&ecLRJz__Q6NSHQAA|pVIt^; z8i!o&=x8`d%P{9R! zb8U1#s%>=}BfQIMr_Szk>Y3P6a4Vzc7(N`mxS|Z;Ix!Xo_%Wu9HnSYz^0%}fxLp3R z*5Ex6VwDKL*oMCUL9a&xIz;*fBZg9_YPHf+rY&>5{`ZMVV?4Qg=EL2S@iHBFWNtwN zZDz62f0hXwx1_ej#$TIlL`S}Mv4hNyw*Y+W$IBqTe9o~devZk%^g7404CiFioqigk zn@z|Po6}Wxc^x$Q{d$7kg_jDp`4^%_O{p+r&Nq%BS~`w~UmvF-{Nw8|_~+;U0Yo}U Av;Y7A diff --git a/cpld/db/RAM2E.sta 2.rdb b/cpld/db/RAM2E.sta 2.rdb deleted file mode 100644 index ee05637b2609ba3d03241a4c8663423248360f7a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10616 zcmYkiWl&tr^EM2@VR2X-7I%lB!QI{6SzLky4Y0U-2)a0gKyX+jxD#Y?32p%b1Scd9 zxqtt+>a9~XJ>AoDbx+sTb3UAdkAQ$shlThu`n)_cFVeu?+sE0%9mLDe#lyu5l6mXw zY6s%u=L7M)=H}(&=Hubz2kF{-`#Ia%gS4z|T|m4bT@{d_y$wj-&e`{6=PQ+ea0mzt zEdL1v##jH70j&Qg5l`P3r!p*QH?;x+I$S5vVp7sCqML6uYJbX-LJauDzPOw@De}A2 zCE}NE7Uq3ueb=dIz5yezCwbUH2SjV)*lPH!S-GS4TT7rzgo9#1TUc};&s+om6OmN| zXV6$M_b+o@{pZ%o&ho5UdWX)&NBx6|;13U1R9QcVlPH9G0AW~ISa$>8`@h&?eFs>Q zz=F01c6rEAJQvP>B3C}0ZT7+XX|uf~M=Wssdyth1A-&wgze5nuw`u-F58i+4`Wx8( zcZEWzFd8$Ms#uKXyg8^(Hd4Edu=5&E;&w6t2+T%(LK`@rK4)nUI=0gSx2PoS+f)7DLK>xP-x;H9Wc?OQzezsd5dHl&65TAgD5` zR?himJ2PqKs0NsPWKCP($ak&OlYN=ocR0v8j6t>EXG213B?Ht*AkiWTD6R6xliQv6 z2@osYx_1JBks{U;pmM1oapEHd6=PRpV^fwp%kb|S$x+UoufbNONI z4CE4*E3MzK?@q^KCXg-y>jq;BOd6p|>gG3?(zg=|qq%+kbk@x?vyWnb?aZ|ATo3Wd zzqS6=0inQZlP<{B{S$3E%KJE()qSh$K3|W(PvS5A6Q#1)e>9Zn)2G5vJtw08MoMaA z8OklvpYlgQXqwoS$Kr0=MsD2?}D1b{n@jz810@dQAAMLVRNRpUr}&78p-Xf&HFe zz92gbFuy1LJ!{}k!GXD+_#4Bl{R+^hfqrfzEOSZvJKCskEs}7x|6MJ)1&*SjENFpg zbGhFC2~>f;zQQ_Gwo^}qVt(8avw?0dDABxeesdwZzKTeavIo_Gq0Kqnp?Xrh$@=Px zN$KuLa{r1OGU=A22Euqa&BdW{g1`i(#tRIlLZW~lwI3YqGLz!JtW&p?Ztzf|{D9i>(Da}oG~RLQPZD%KHD`+6 zb~B0mdYn%eVCy5e{n|HV4WoI#+xmDcTZl@T&0&wVEKNIB`krr0Q`y@RtBoP7Z>YQ+ zI~+a&5`g4wsOd;d*b{IZ9BTl9lo*h5=edTd68V8H8i-?fVxD31$^QI zvlA!uK`CFZTj(&cFq`D9;KjGIIddc8N6PEF`$Hg}7lQ3L76#eA_qaY|TlTv3-Z_td z%pC6C8<|b||Nrpn;eD9~zC)@PdHj;ny2m|6%!atv1iejrodiu3yCZyxutiAZXn4h} zA~mIhS-?!f*pr?_n(LJj=>+qjH~yYUD#a_`+z#43y3rPpP*iYsM=09=x`kX6ue8w)1KX&kivM z5lVKZ>@F{EnRU$9-^H>G5DwX|UU@&V<;ZfOz0Og;;(e;||3~oYgQ!<4WR|e;R5E4f`wVYd90t^1eB8{8L zbl~kxF8dT?SQT7L(}Z)gBrGj?li>X=9PpWu=?EJwC<72Xlwq7A*pXhaA?$w)ym&lB z`EX3f?s4Jp^$k6fcXLP9BwWQCWrBE8w$Ih^Q4}GNjNQLR{CYy2RfrK+cYJ}tkg%Gm zRd)!kk=pFq+f6@@!x_`vn#Jxie$3{(*fG5?nge4JyyNW_Ddy}eO1ne-rQ^+!`H_2A zW?oe&jF05I+D4{zPqTQGa*fe)$d^-DdJHqb6HS_rjWTR)|M z|9E1=nT8`zG_p!=;pfPeQc&0wwEe4Zke-1<%MVbR9aQL-Q6NuQ)(g%5XpQy z8mlsIEwde+EL-Xqyaro`?#L~^J#s2DNU&0BZapr9mxR`CmEW5iqw}LsS}rEZ=fup0}4G41yhF$PB7+7u3=dhkq>AWKe za;ZBnQQMFi9{NA2mtIuk??s-<&@C^?q-j5$93bGi?L4cip-`>|+8p;kOzp&p*-!V# zk1k@048`K);chM`wu<(dHvA6p@2k7fA|X^_;MFJ4m64GdH_X*!7tJNS1;CXKBp|_K z`4o*>EXCB;R9}YqRN=K9&CMd8NUbeV3EL+6{Y=#61JB66_&z_Hf;QlNp`@`C<%D;L z9Q-lkV)kB#;3pOIgKm=1!y4wjlorCJFTV}$RQ%c3qr{6}Da(q#!bnxzc;0;9xk1#% zdwJl1+ZabsqocfocUQV`-uhU=5w`4Nd!RiY!^mz-oJ*%f4d_sb%OZfw>nUt*QpWm@ z;p%qb*)1LmWh>69LgrU58&MG zrVTpcURRxZ*4H01i}CbMZlsn2D^2V{86s1j$c&#{%-hYT-P+e7&DCOkNRgG)?_X6p zD?0%oy3TWY)clp_xyaWRtif9ZXD)A|IMOpQNKX=e#^4TdRs-7`rR852r<_=g_cETw z&LmGpeBubdeJooXtx$i`iX6*JTdpXEq*^Yxn|z>OF!+jro!B!2{@}Vc?=)J5`Qvtw z1bZ}F&i|;Yrf8WNbWEjw0>k)V>B#XbLCeL1g3pfH(wx)F)wR)7P;K5=zpv2K2ca5` zK{bR>s-kX0oX}|?tkV4W%^@V!Ws#j?5As7v$f(-G2xNW zllji}#ghgU+aUYn z>KGROG>}CWN-$+UTxibVrEEwGnhf!z5>z&A*~y0QUb0;mgde}BJrXHX{Z2xxpn=_0 zR-J>U+4qGYvbY;%WgQ7WRHakxb!)c z6vr_xL7_)B;p8Y&k#{jKcatdzMd7FGiH|{nTQB8MivWqZA z(PQ(uSb^g`3DFAOv5;$JPq(I<*}KXP_KENeefYPB$EUxI zqNE5j31=^F&ztj`s@?3E6SD;C*kJ-58aZuYGj&RkI!8EF4TU0X0gia1H%UR;obYgA z#FjhQDL}OKEZRdQQxm?;7s22Nuqd!hG7u(Ojo$_c)X8y1^A4x(=SfF8u#Yo0#K{+# zzW=})1NSk+Uylx-&8LrIP~7rPD6j$SrJ631idM~NTM^jW55jLy*U z_O0qB9ahz_ic3Pi=^IcWEhTI#EQ`55%goy-N_6zTiIAs6%<-~g1!JH&#J-`>k|cuG z(5PX$Zd)XKltvVP^=w34*Ro|*HOXpsWL{FxN77h}uS2Cwurox$d^CtGDlo&&_my+z zh~ouP7U1JtN>$AwDxu_z8?#01tCJ>Q{`9CoE9Xe0*(tw1b8crc$zxY?6h@9qb;{8#BUF zDV`kRa~Pqx7Z<%#2|?RRa7QjzDKTO}!A*pD@|bt%;Kbhqsq6<0j&)LOYvs$rq7PBB zBa+%sbNVL`Khq9u#}W{8QPvMQw*;8kT;P3rHL-TT^HCTVh(QkxFzpRN(XboRb!NmYn^wKqxn>WfcvuN< zavHhjsNgakv46f*0)9Q$rpgzL$86~24h!H7HV@FUunqIY08$v1tnS-rfj3R-zMH!C zz3pI81)=fb&DgxdScjpjo;M;d+No16uv(iX8k6maG(6*A(9lR_+apG$`eV?(w-?X% z#=x!2yu%x6G|Gr+64~d>oMNjRt^K%+?9zVAIbo&F6`SROL7&IpVCF%Ik^mp9!#1nV z8M45%JiMUPF!Iatk7|sy55o3{U3iC4+Q1#JZ5}meW+at;;akhidW2YOaAQzn%o#^B z^zl8ydV)&uCe*l0*Bh;f!OpA)+LM**2>&!5+!s6(y;Uue&=8G1-L6)WY_J*x|H zaQptIOut{1mp@x_P^{CYy9trgekwn$5~RS6%Vwyq+McZW9c+gK_q5Op4F%)CN2L+_ zit>?K+#KAtM;w*vq)i%2tDY^Q;VQ}5JS}L%Eh$s)$!}m%zm^;o>x`kBC?ot=zb00+ zT?AM*nS*rUo7029P_Gv}CW}xBaWpU$Q|m>Eh*l{Vp3|Sf)2bE|qJy`@9=#bcT^9ao~%@ zEtVe`1+PA)uz@9uUM3IA{#cx};O})+&!?1CZ$4K&U!PWGB?x#9Q8`{^loWjuFd<-8 z*3q61y*g~%;YHGdU=Pxs$tD8;#9m!EmmiFq%?n8BfZkIi2jvhG%+}G^N)Mx7~ zV&_cgQ0mNDo^ya@G|R5s&ndIW`me#~W$jdG#Zw#LDO?WRi971)cYxOd4CHzvmSLTu z3tla(?SDB`#`dWio!~zkO{&saV`DhL(UG8f%Fb`N+@MZNyn4igY&s_s>?dAbb^MSH zc($W!`EWlb2VT{55GKh~QvZfxFD&MhOMc`3&W~xJy-crZcMJ@vnquHmF00s02OLJ2 zfw2p@1I-@NB`0fsekLM62*!|;j$bvF=WJStAp*a

    3MA!E7N*1nO2D&)PV+P)D_zZOH=K4wKo~PH>$}nql2$>1el*toK6vcJ6z#4`bb$ zX{BdaQgC1q_5()KX=-g|>>7>M9uD8$G$te*22Mjo%Y*$KOE;SZI<&~;RqOA+Axmd( zbpkO8H0f()to=0X5B3M{&2X7OfZRa*7Eyn>JQJqIOgP*IU)x1bDf4@MKxwyxmWU~# zn^S~^3K-jBj~=XU;k$&L6PKh4zF|VyQ%kC}>ovUehRmJmY&a~s0{xZ%JpUMTfMS=Ftg{WSR^ zbhMvRM6_V$+E4XlI=gXlUv9Ltf{UOoKf16Vj1h3p<((UZ3d?4<`+Mz1DLiIq!C7>W zta?LA`{mtCGBMrVt!?Ka?dUfear89uNxv(SiTO^ER1OZ%FU+#oZZP~=Hvedk!56V8 z2wDXF2A~wEf?IXi1>*e|Eea`IaLKl0rhGU}T8z20LUW7xDP zHDMo+0Hw8kxPECXhdDF`o%s-{=#VzmI+K$3G3PMJf`V+KAHyJ=Pq5YEqnjiZ)juM# z;I1Dzr|Q~41<>1SGA-GqtKf~igtz>+DWz6>hoqKeIOI0@RlB;X5K)hCS`*?E%-%A& z0$R>OyPW0|eI4#@ew8n8?RxUWf$7cU;-^8COeLRP(ZI42e6IRcDOt^V_T-X3zP@HB z%eMYSeAAF_P^(l{G}YBT4$=9L`Q9173fZwMz(#c{nrQ;hc{U)~S$pa8jh1f9m$g(H z&MRBF1jDVr8e>{$5wHCyuAoC{oU=A*5+y6zhX3jME%u}Q_hFyzb;^>REbW(Dt&V5~ zXf&0d?*LBv;|f6~HK`%bS~KKSIA6Le?dWskCP1{+Fn? z$|T8w7KM`oLYMV!16YILlSNz2LZQ0qC_TJQAS-PzdE7v1GMKuL&bNy!x|<5fx$=X&~j zPcb1eXElM@hnRJ@f7o5tq8V%e(AvIiWJ=p;Z*)k`nSii);Ldo&cA2NOhK=IFKCVVt>N$S7EkaWi(|f4m)&-;DE>%*!&v4}`2HPTg37BtQ zG`I8e`jss_zsF}B061ToWRxw~%>~697@VQ3q=3r;(hU+uCQJ_h8fdqejz(X2IYulY zvhz5#*t7zq`9=y8iuw=0hb(`SOd9x^1TITFhi2ZO>@@_lj-X<+ntwqPLQ=2PI znwHZurHkfLVra^et>!iJ>%AcoO-y`Fi>ofv*|}1Q|1tD^nXC)&R^QL*vK23WFC514 zz_%mo#Jwon{U@uyT~9cdmh39oGhT*ozqY>*Fi+myC2_R~lRoagMgM4VG4|D#26!96&YJv3>D3HGP9e|VyKG*%EL z#Qvqc62baY53-phex!pdr%qm7C2a}zj+Xk=O6h<=*H9Rkds3EJvK8(+su?|OgljkI zBPY5!sug`esa>wcmHvIkf=9L|AYFRA!3>)EG1&=a+kTXUdy%Kk_(Yufgw{k{?{DlC z1&zak@J^R{xz;`k5p?KdheWlLJ&UXbz}|!?^hm@9l&Aeye}9M^Iz++zS5AATDX3L` z1yAA}FieGKXCyStTKr4=?So?cVoak8_jH1Cuop?`l0wVvTK;k>iRF469;b!Eh->Cm zsejV)fA#2v$TTnC^<*n^{)VJiypSf?$@qudj0L5)F8eF_v$}uXCqH4vEMp=Nuu#u$ zw^RwA?0%z^KspD5UTd&^B&4|7ogn{_6^LW-v-1qO!%pCT4@3~H=*T>Gr|2paP zk80fyTBe6tSk8aVJdumWbKAHR40jIP`dxm$=wvvTg@!9Ba*ji5U++b8d~&^^w4}tF zP{AfO+TBB_BG*o(UjbX>*{d`di@o&!P;c^w%b4}or@4vk^Of^y_l62ww=5Jl3{Y89 zl*a_!MbXT+Y)XQhqK?$3;<=lS)cJE~u04tI#(d4SW7f6~&&u78FH3vD`u5;+3)G}g z>SWQ22JeDr%lf77WgL~z*DcUJ_+Yzqn<OY)cRvpzG)U$4hr}WuR}{tm%9PR^&Fn-Of%s2!i|2XgAP6c_taWFwMIU{D>>2YD`JC1X1M~WdUydiz)fI$}8uy|%MTGD$!@yfT!)Rae9sVWkw-Eb5v)OtQ@ZUVV1MJtz^e-2Eqzci> zzDiN{m!mY+qE5>DY&rK!9SqZkp31bpIldw!9Rh>zCEKULe)6>2se zq%lTteS$4zasx-t!b5X+p9o}z95IE9X%6mtkhP_mhsc_!X8!ZY+S3?EeC8RsV~mOy zV?|RNNQ}Nx>MUsnp%i~XwF-BRg_NLAjzve5Jxu|<85mYl4P?)Q1CLk?bD#`S3|5wPx?TBfmk**Xs>AB&T*FCczN zdrHfsD@VrW%%H5qx=g|~|ep4LT0&5x1D~>2IaFw}zty+CJ z%2k|@n2Ys?mkm7}kzOnJpxGx!uLOD4pVJ=(C{E>G&3<7$3ijo&=pN_`k`Rr?@U|7; z01SuEt9`Te4Tj@u1^Y_RGPe~V*<>-Nz;;Wq@4|H|M!;{k~2m=-X)9iaT4gIDu{ zVMeJsPzc%TYb?rtDPI3%K{`@}^(`qCMWlh8>q}LB&3@_VFE3AXWqCd$0HhKW( zsPe6IG&FjEpy|~-&#sH&;p5Y8+T{sB;fS}jc%#S8mp4CtT;NLVypy-AC%rQ~>N%?E zw|Lqzvjh&RkeTMnw=J<{2Gq#)vQ^Zt^C=5=IQCub+mvh;8*?WqTXxu|dwLh`EK1wX z8M=R9$aiIMrxIWa+0Rz)AIng55Bxuut7iOcZhN?bxOFC@#_MP`PiWS4PN2MOanzgs zVDWSyw8wh_{r|A+bZ<3G%aE<`Q*mqU=jU4trZEbG?e8uw+=mJkGiFR*=VH{Zkue@~X%$P=f~h#^1yo$bAIEV^Z6nD% z&vLC$NvW{9SQ7&?QGhJLQH0ngCxzN&)@;EBv&Gd{#@o%A67-v#E#J^9%kLG3J}4SP zHHqB67>t&3Z0H`b12sO-@ka4}cG#~t&zEK^R5X@p+A7LsP#H%bykbev8#(byWYJXB zuuOYb{YOHAiBF7*e|$dRI_s;`p!y~06c;l^qCrds#!@l{8=14kO;!}|TR^7gbw+n5h zo;eov)jn-&ZVvFr?suD35C6qQK=}JN0CC6G%D#Qz>sQa43y8XmlnGI6q*?xsY<|d& zEM-Bk+3s^emsx>qcs~FDmYN*XLSVRaESN-%3vVuF^S^zIsLW%8#7mEamYT@dtcDur ziNveqmg*87hBqk1RE&o;fC6$RA*>ohFJml;kB|)sp^Bu_UjI5e?TN&~Y1cjsDAPl( zfiEnLEes7Upq%I^aG@d=PadGHxd$V%WrWv61PsrAn_UvzTo_qcTEN{w4lPdU=o5vj z9h66|vqx9ZBUcorA${WV8yPTU6i2U=QNzK(xVrX;gE3dt19^_kaW=qyRW7Wm=AQ4#EgT2R^>}fGb|LxRhF0Deff9)rwKE_l=JoA{$~L zm213<9J(3`;Q&T))t3}g0VNcU@%7&!W@eFA;CoU(gngzBaZK;m;x!!7aGE1b26r0|a*nPS5~Bf`$Lwd*A!()mBZ< z^nU$KPj~NZ)$C$IKtR+XLxNj(@FfA3I+kwkw$4rz99(SdY#bC4p0*Aa6r5a~6zsg8 zI5Lsh!-~n>-y16N9#{+>RU@mXKQIcx0`Km8F4M? zs6Kr|PmsCbF-4$oaKVte38#2NhlfKsfph=7EhPpc`WzmNA%+2iLBg5oxS@FBpZzPR z`n-c{h4yoGV%FL8x$bjc<|nQnpP!v}x;-yIHyw?QE|7omRPyzGumg-Pu7K#uBeygm zHM=JCodBCB^g=}0tnv3eKh*7KEOuYAzx@QaPq3X)!GDOuZVP=se!G19aNc_!_q%o>)E;SKq!9~u$$^KiAM6CG4FjT=N?}W> z#gnKAZq6*6yM$=n!i=cXj4{4OCybp?gd`yms?I76NrI)jB6MhM*YU#`L*HAr*NW_l z?S@U#M{5eXu_W2bd$(R+-;FB+`^e#LSTQzpDpTg4_wL1=n~_U^7XHGYSAVNTKGFb= zrhkQYhH}LE3c*CaYR+R+;Z!OwOjoCJlEeF74SDqJWGl&1fG(m8(P};RsGRcYJ{LKN zqi@ls%h0mVt8vA=NXe2F%JglutdOLynm0CX3+9yxJG z?!dba5ptD(CU!E7S+K6ZZY%Vv3BpBkcf`LpPQ$rJixWlDSz{An;${h8A|Zv7Albu> zu#{8vAzQp|l{IovH}_KB6P1lSd~aOZx(>AiRX&cWJFiRc;3+}c0U%@-39mzw!nJH% zUazLE0RV_6!jxNO*}d8MFbKy*VmV!y=pxj81ie8ns?wkN-KD#l`w*K2C!?Ey?%44f*o)R9xOaf*v~Bw&PIUDb!>P0qD{n4*jY%&dG;m0_IB}| z^>Domj}fiW+?%Xc%VlHD;@cOkWo0+qWJBLL7tS4TZDPVNA);3+Vg~|}hw}Ku;bToc ztF8CMxpad0;%glww;~XD`wsK>Y^H)3`<}n@^9PIqmZuA*E=Rlkd5%n=xh2&OHaOhbRe!TAek_k%phm9fMLQ=XP%xf9^;hS#8ks-PzJ};VBOE z1`vnTJ;2RJZ6dE$2HIqhpLq_kQ{Q=7di6g(qF>r6nFRW`#SU{3b?`wwBiz%`#VM|* z7om0EMBISBCe53*=>BbJ&P<4H+Ae6F=rIK#@=A@Ehy?IqSo|_dpTX*WZA}ws@1x7} zeNVz7N;g3?*xTFuCQJ~1*uHs2T>wXtp=61?Zc4eoAVzpcPP4r>!uq*?Z*y^xPVL-W zOj#hG!w30}NL9t30=K3U4jHix&OvOg#}xmia(&sc-hrjTVOl~QAE(!Q{wzUYX%}Ww z@6y!#6~-xF;P;+Yu{7{2^sabRP3`F9?f40Fbg76;qU<64V}M&ci$&rucwTjWI0Lle z1Ys*L;KG1?Q4Su%BBo<4B&Y3CYYTc;hOnx^Fs6`Hzw{4}3U85v`lU|DKDL*Tr*2QU z`a}O6`+4oK$BjkHpcieICRf+GUJU}(O(6Z#xL`wspxSpGY;RSUQ;n)_FM3hn4^g;a z8vdW1=9LnBwtWjeiudg-(h06;&s7b;V5ijUMW%%hG5@4sC#VH<6BS=7%2Gp>uIi)J6v6my(vxeo zm(X76NrQ<0+fayNtT7CuaH>e&62-ititab&(mVM|p>(XAmbx6rcl(w+^1|-`azO~s zS^hF($EmO#ZkR_@A;6{p2^M_ude|;XvG8nS3AQjpWH-r4{OP0d(O&y|GY3qQ!Ap*l z#0~cmXbYadk#Xo3lmHfg=c_}s{5t3+$qDB!K{IHy!$Gla^(Q{Q8$2< z5{vJlal|)VhkkD+M#wqcBgCV7Z8q?p>%iS*H0j9EMdHTasJ!Q0kKQG6^y1AS1DRf3#0xsK(Ym*#7!J9?{#Ik($_^4t?u}0u)Fyj5u1L#GiDEpqOR0&`!rrPf zv!O@OZ~w9T)if$&Bxox(-E-!Q$z!!^?pPqRhmPmTHNaiWAWW3{8g;zl`mmiGBLuQdsD`u@P!JIz8Nb+z)VZ+JT_PRHjf((%rbvjJ z)fkG%OvyMVI2D$O0d1}PGX$<*;IKQEhQ0JrmV6K4aL-O=y~R4KM&8*m_!O*v!RpJP zrF%~w%!$8NVa)h{O8&0>eVW|f+S%;I=~tC8-*yTEl(xJEHMOqq4#OIRBWfT43P-0 z@%s}Do5uvf8)1X>cbQ@W5|~q7c$w|^_)O}zEDq^pN>AcUT3qoJa2Krxyj@JtwZ#D z;!H#>%i!Zl?WSC%3Vd?-Mh>PyWf2hL%SydIA@z#RAR%si|9o|}$HmgO$xf7umr;Dw z$qXs?pWmtr*R9#l3YE@#IKF;4W%+HNZ0}6WX+f56#Ohk>&}_h?w4|;*RN&$cQ3Vg9 z7)Y#EQ70>eZ8H))kbfq83QQ(mWhOoXI*BCqD-Nuho_WLMu_5t#YT;04QNgvvf57gX zV?_kwx#qDl?^$6T_Qla5QVYj9uzEF0WAv$_2`3C(Mfz@m|14m0_RuGN!Z`|AuT5#X7b$B9!}x}xb?`?g^J$M<9ulV?1Cc5nV>fGjEQ z7D(3j?~{1Dw2}GQ<(qf8vuKx%UkGOL>Y`?&Pg;PSSPC2>Ka~b2)|sB%9h=-49k1oQ z6nu@@UT7@l_81RbWc8s_0 zBO_|9tQ>b6SVU>xAlI1_K#f1YCw%v%8M>L7q-6t1z;g_|^X6SaNHSp_;h*d5=HAq; zbZ(RLn9naw06EFu5t$BdJJb?a8;RvYmp;2RQw`qw(E6bOcKD!#qg>8jsYdiP!av!b zKkP5L)Q+;-cvI&1Q*;+%Wtvi7Tu`~lUX2PYeODVBQVz+hD_ytY&(_eFO-CqyP<-g; zj#FGo=toMV-q$A^m>8IswZ~^JsJ;K0r1!vg80h-;Dck2PNvzB9QwIZ)YQ5>kelWkl znbBf2&DoKNK#BTP;61;K$_p{;x)$~$;YW+n57OO4fQWuu7_jf^ zd#ZC&mLTVNXVuq0yUycc0X`}uDRaau^b%u$;VcQotWpSS^;VKsOO@dA1XJ$<(2Jj( zR1vl%mJJnlItcp*8m0E&HD?Nq^<}TX08yJunLTqPms(p&8^Jh%WCk%D+^kO8cQf2L z-VP`(O|)g?Ow8@bkUoClNC@;O>^6s_Zs!l9G_CEXiMZyt9nynPF>}KrzzesxVA-gP zhC(7*8~(`Ol%=Log|N3hQ|g(8vyOt&sQaskJfrASEBcwpc$tt0-9^I0Ckca zN&Og|4z{~9^RO-r1BXCmHH6rX#ZtZo>*4Zp`4q_5N9Bx`#T0&D3 z84_qY#=}avhB3(yc=%ze_B8kvrEz?bBhBAlx6Z^Fkq@J67z4er8a6iKbI>~9eTPw} zVY=dD0x{sw1g|&*n7ab;Po%{jR?>8C9|qIkfR+&qBJlECRA_XwzRd4s97Yd~`Cr~! zbJ9he3fvno^_NI*Ral>hFbn=#9`lej*CqEw=1q#!L(YA-?FG%%pK+^XSneSgt_}T= z)XdT>NDK#MhDRO8L>^QEU-#miV9FJW^%!8El>J@Ujk+|@Vjp@Gk9)?Zx^wsC@+5l_ zPhm5{W?#c)hc6)ODM$9BQ*hZxoCj^&d<@NQC~BcVTYnC60TA+)`We=n_3cl7?~=a6 znLloAra_ss35qUhN-e`D=9YnVhOEZnHp+@VtvCS~nRL}_CUEVlpD3uWnMl}U?0Ig! zqBJQ|U`^$&!*55RlAj3Qw-EQa`tbdE>UTJep>FnBD|*Mtn~beoRKSa#Q+znMXZ9rq zwIEtAsGNO9F=`J5y2!t!>H|UCS*bN<#|Dd&R3-Rl7kd|+1tW-{`nyCmye5Z+Gvy}zPqsLZJRH@;rQG;E#x}4TeRB1K)d6ZhJs+WL~^8knGj0^Co`hY zbVAOW8qFO*dbGF|+jq&lutCHv8Ao1Xpo}&?f&F;b7*(1};?jCns+h|bA5`` zbFCPD5Gj6Bm_54F^cF7{rE0Qs3stb^Xg(BFGXrjQ`AaW^9PN3V9Szhf*|i}oDV;y2 z+wewkHW{oNq7IN2Xn@7`^m;(!L22Md^#%4x9zH>FJ0GGHVs~W3A3NT9I)zn4GV_Pu zQ8ox#C_8}k`)+#Gf*VtWmGPn7SJX;!o}`Z+=*4s<8uYlqG0$A+KGyRh-P~jhk?6TK zNW%#c`{6@L&uZu2`UqtBoBQ5>$?HY^_)v1v2xs2%a8MAWd#1=( zxiOH_L2jd3iHY+{3PAY#ArEKsOU))Y4U&j#f>|^;8FCXA&ft#{oXt8gweSZB!ZCXY zFNhwxRU7zt_FSCKgo^mv8R>8ZX|0_-_pZ6aE+LO$BUj=T9Z2Vg3AJ$ItVu8FuTorm zm_nXApq!6#HGo4TuL1?+HVkaxwyVOH61A!OA9BU_1yHlwLCT7Uo_u{n$NfS z8wphq*gszqv$bj6;k$6oy?;L=G^QVRxemeW zDFHBq&Mf?1+E|eKhU)j8Z3`KnC?13F=4l1P$(&604<$-gfxPUV_hnWMm(`t$Lw@e>Z*GJ>x z#0btlk#;E|yeY3f%!ee>bvF0Dg4bD2Z)A1;IuK|79F^$6TwC%?-|`$wxJDtf0Gk=l zPI;66VAz&EqhN=2(6(m@6w-dal~zbOnxWEQU2!^!rBIo#mt#d{Ah7L9EqcZyhFM;d zl6_I$1U;@iRI_~Ep52kdtskUMDB*WSnwD6(x{g7h(&2sfpl#TxQ><0ehwZFI^%m0I zto@_n4W)R|PQj_f17d=8SP$`c-1#(IBcm#yMw)#uIs5JBgE#SDg$hC?AJ$J;pZ~hv zSY>Bi%&x=BB3#N`NkGZ{$?usbRZQF3!*%g@saF2F`-x;36>vFIF5P{KEs$>e)2y8C zJJ^aX_F8NkR>q7e=)!6|71pB;D;VyZNnPWbuRvT_HnyJHL;(22R zE0|uDMU7EY$E?&QGne0DQB;&i9ZNLH7$Vk4k1dC%ey`A~=k$1&yQR^I>tMKMBs@np zAa+0^_ePnf!~|70=)`Qsk?WQZ`C#1rGs!2GAn5RE?UI+pzA_w3y{7Y;v88X_ZHF59 z%NgR4wMSa@L^1d9X(*bbcAMQp*!$jGuNI9lNyY3D4EV z{n`x=*vQgr#044@OBHQ0M=N@#$27mhOH-{N5UrdzX$I+8sAUl>r}X4FYnq&G=mLq& zhRre`R((xwFOuV>E0mc^Fm8u4a+IGZ8#T(=Xv{II9@IuQwjHO@K{lBSxY!xj&@CmD13TVhTFHWpv}2~<`cc;{ed4$?;H8P^X&2OcHQqCm z4Q)3vEyK?@95Q^ver0I;rgD5xq1o}qXqIih?p8dbr%!znrCnZs_LU7yY;)5@)3{rm z?*y{Q@Qv#53q!tYli|raNz)|mh3y1R)6C!AP@bf}0V_jh!GBJFCl_C#gHRU}{9Au6 zr`MtK9+wld8t`?BisBRIy!(D@fMa|$bjbcuPcg7i=4mDTt6s{-?6vw09>ST>hV?by5kXqt!Q$(yUz*%jHH`xpz#u*+iJbOkefSQQMHt zj~=usElpS!M||)7?YFDwSja9&za9MC4PoWUy-O(;Uah&w`4yGsRQ4@O+aPkgqqvB- z+QY{bocp(F%L|!o5924Vhr5i~orJ{w z2WWv0C-;pr{KE67*FkT6!*~9eph`necgK3eZ+G@dh3e(<-<`RAQVh>!5?&$5)sOFx zFAp^9BX{7x@!9@-dapJt)cV%WyPk%wQ)4sB&+P+0?T?Fhmqwo3qwH&Xizm)F0+*yY z$38f0&8ij8iwC>}S|-I1^`82Yxu>%Rg^Op@Oa&dPr7I>;IaF;dU%H8hj7A>w>2CvH zTT}9!jQ)Mt%iF1^+}eDc2oJ5N(A)UM*1;8x9G4#2==1ha%cQH{ZWy{lJMO2@HGiN> z<{1pGw;Cg-+j|^E_Pz%N2HgwTVW_qv+OZFQK>hI1|@3oRQ_Jq@aiGRiWIw zg^_0dA8~p#8qESB{vAxk|AsrBUwH7K{*1m;4>_qaY79C!h4MS=N9%C2@ZZ}&=W?|Z zG&pm0?BA}y2R*-I&Q}Kt$!l3wrrLXlu96q+CS89F@a{3K$T#zh%7Fj<>3p#) z#`1#lSCclCp&WY6Ffp-QWPCZ_r#aM`Q6oTK@L_}I(g+fIr$yIt&a#n0G-C$nQJr>G zvX1y=`ad^g>!N52td}m*ZOs-U;HHxK@a(|bB)D1vrnQevy3sPK>z5xfwUOBHo=!cH zpOIkg|Er|?(KEje-xisiS|| zZ_DN{FZ5xqMT^EM7gJDjzp5}0?#mbvq8cn=^y`l?jsLI&*gyBMC}jH|H-z?N`U&<7 zb&D)%)3w$?Gm=Uf4hX4So+4LjQ)71~ReLdG19m(tp(?HUI79ud+rjTFj?_g57#0JU*^@_l;3EJz-TSZf%Snib?!3 z-SKQTGFW9L8xB2&6U%A4XsBs?d#^8{C*=d2mi7|iD`lUu2Q9a2Ph2$8Nr*)Ysx4TQ z`Zf#g=|(d|Hz@cIyFvT?ZdYuF-AfI+7G@_ODUQ~{GkDhz8drP4bi*?~?Q-O%HySKP z?3y?y6V0Ax%p2W5<8#+Y*raD?fY~MNqG@&6!7P04ls65dRUzBg8m&F? zzzxvq%mZ1h(l~-{%LCJkW4n?d8Vig$2(PF2$LWZCsSvp(28LvMb=Sk|!Ju_p~J zT)!rSqjdSRd!6q}dj;RyAs0hixft^kh5U0}CiKTv#r0dnKx;D#K>m@h<||tu$&^lw z0q_@&@P~byuo45kd4HD&VSjfP4L`0Vm4>IwlF?(4-2@jw5@5JWbZvP@?>cessbi8N z(dRR7VB;=@7#zHz%VcTxyy^kmCIlhAIbkLeEBzpKs&TxceEc(t=?upn``K7035Y-< z+D!<75lPyQqkfb#k|pO?9#I+op&g6)r0d2yjZ?C5ygg#PY8mbW%(!&WM5x6~nQkI+ zQ@kP&ZXkrJP2#J3d{cs6VjKEf8_ccVGb>M~$^i=t%;yOMIczgg!iISQFq;oDq)P7+ zgrzB%?T{6w#Rg`IUGCHPEgn?mbCxq3;lGe7{Ayb2yfAS;j>L{+onq7RV($Mi635>N zwS1Mp`gROmcO!uqp12E5m5@Kdaq!1ojk}p`8S)(N zna#wPuw`FktrHG+qlP&59)@~_eK8!qB%*Q48ROgiB{nh+>6hFf{&JJxEto8hW}^CR zxftc#yB3Oa7sHYEg)Z>VxFG2s2$CiGQ>sY7VIUdLWCQ;_28L4B&Oe{2H*FR7YjgIH6Uk?|JYq41?rctR|tTy93OU9M~ zf0&wdzolQ=)Gg z|8ej#AEw$he~0)8&D67!lNJqRG1aCqF1t#fus&EvxjpIKo<~Sp!n*daxaTZR?lu-5eT5~E@hhdL^T)t zxS#hkVVX6En&Q8HyjB9Hwe&w&X-l-#X zWa0*Xnaxn(a~a#=u*C7%vd9^p5*4C`xc8do0 z-pVS*RBma2iO0Wgh*bl5!q>Cx=fFSb z3bj6_P|c?Ivx;!}qxk8LzJUDEd$z`6TihHg2F`G)ao7AHlzTFSxdl5G{>)C~z2u<0 z(zdM6gf$K>{cZ_zqH_z5@ZTr$#~0&eL}bm zml~9JhzeV73ZMxGLo681FMX!D$Z3E5V~PTsk=J+sJO&UDS(U@iy$J9?kq{I1t4c`J zR?QQ%+}4~J9{0SCkU1(f-;|$QjP_cl(d8lXvL)x26|_4jlNn(H=WlMgf=ewPc zO+ftvPwv`R-JqNBTI2CW=_vC3asN0}DcX&vojq<>p+?PNktHI?UGGbm?SYTm$E0!t z{~0r%GKp$|9M)Mbkf~wKR^??{8G9l%VRSb9q^x0^+f`^oX!|}Vwcl-N^wwg;Wy$`3 z5EGP6ezWrbGTkAJ{>L2hYKVZc>U51i|n`cRn)jvMWq^#uXamr5_ zY@aU1->2tBes1={R;1(SC#SC?{LkR{AA`HQh0>A4MlIVc+6&$$ixfSbKYQB$x}er_ zl^+Y;=0?AG07B)?Z|M37p2o_y@s46ZFg!oR^8S9_YLGU7UA#~2^g$H7EPnaKOJ$PI z4848SrJSh#5|V0eswh8IsuZUs=!S$_+l!o}ek_s%?gbK7L2eCuk(cSDQuD~!X_gO7 z=5O2!zOA+F=|cK4SxB-VIL&>VY!fCDR^(pgMBh)yENXwiCkQrG{<|P3#_Wj&fw_^N ztmJ2X$#E^qyK?_zWIs6`9Cy|+zD01b?dZPuX40PPO;+hl`hV8g?R?XlB^Q``Wx+kso2jiJo%kurc=g<- z6Q5wh^kDC3bH1;o3A46?zQ=q&Zj9yR{Hq{wjqIYHcO&hR|GT3d)DdZe#I-1r_;x`s zS_9i)VQs5+$OCf|%g&L(L6?Ucpt6LRK2CJFVcvmcUf_Ww zNq&Ig;d_3sVZLO@FbayD*vym~1kICm{tR49NNW+3_oFAIJi8t=2Q@T&ay)0N5?qW6 zG>4pHvVBM}#;6!w5eD)IEQKvT_O~g7GTP$UFv-9`(r_yE?ZL@87ib1ni_USBGA)?u z<(0Lmm9eoE*mDgTHaN(lnG^UervON%)R5{hpYf&d3u`>PD-$bgE9eI>K}AVjLjuc6 zC*|SW%#jt;Fck$~p=;T^CPs8=g%Bzwl+e%+@9y2Bn2${%s!hJ}f7U=N!x(}=Q~&l5 z--}`$;%4fQ(NDn>CWeBhP^M;WVFiG|IdS*ML%HKnib<;JDMg>@aWJ8k9T0Z6fMkO7 zC3T3kmqJj2#U4Q{`qq?Wz%2*MGQIs4XlNL2V)uu@3*wk=M+nJ{SE!o8^*aLiAI6W5 F{|9HRKUe?& diff --git a/cpld/db/RAM2E.sta 4.rdb b/cpld/db/RAM2E.sta 4.rdb deleted file mode 100644 index 8fd05629affc0dac4bca4fd19a6bcc4dfbd1d2cf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10574 zcmYj%1yEhh4=?Ugic4{ai@UoNcXx-2yB5DV6fIWV-J!U}Hcq zHu)u+b7$^B0|NuAKm`9Boj)Iu&sp8f$=S-@j+mL1fr){cSj5!|XiCh&%0kS~s%z**8|VnV<+P2C*#7c@%xPjz_L;LNw}vJ9x{BXvsSgh> zv9ED#bfa9&$S{FF4p7mc-oT=|VVr;UavUH9!o?81IW0lCal!R;*XRl?V5MG~b=Z`a zX8kGiu5fh?W=oo{y7gu>Fz@h@G+Y1Udb*IZz1h>#L-W++us760w>??#p#L;PO@IX1 zZ0+tIIJ<6sxOH3|YqmD|6T3H)5j??SdlQ@NuP^w;w%{8|_fwYV$F0N1rv3VhaB&wW zcy*(5%OxqRKMuU5UW95b@kk;;8Byi8>rh?hAL1bPf3&h0I^`p#RBIcy<54e zbMurFbgut+^ms4vZpa1~wBgpb_=pl%;V`vv4AZM$#ma^2;>CNeUd?~fc(oX`Wm(sX zc^BGhB#3j@2wF^gXX?^XC170b6?X7)wFNBMKY;Y8sXYnQWaT3a*jizQ?b+6dkb}PX`O)JWHsw!V^)5=vG2x*s~;fdC9^l=Lb)9#~!!qnFD8)mM zVBKYN2d3SvD&(E51{rnwnz5bT!?qA>o(9W-oq<-fNyvBeB+%7n#l)K=eRG_3wyT#f zkenn#Xfh!1TVN}VquG{l&d=FjVRPBu9}%8bPe9qI>7q;*O*xMCC@w8kL6@=qasVF` zB(#qL88BxhwDMQZ-uZzWT;JdaNz>h5aGKEy2pT;zV!ACFAaoAcS4P zX0hO^kWQIQ{nHX~-C_kWjrT9QJq~pg9Y|P@>Fd>X%eEIG0St_B(?zL63HpeKT*tBF zn}9X#X2g>|z<#F#qE3{6vssnr`uPi{QoxS}qKDOXz?^M2Mz)up19`;;;S(2i z2u#x^!39d7&6a>DONSCs8?N@3Y~)qF;|MUcdXh6p#HZ+DYOkUSzgO!e@lo{9$V`gt z=?o~7D@mPm+iGeD)*Cv9?GaWeUXo^$ZMgo)E#5tZ4Z@5mtOcF(I=|U74Ax(W>V*D1nW4Ywr(WdhCBKTbGZwT$>vp83?ywD$HT?r_b)TIJ{`PkIA{# z78vRg+b}rnY}lZtztwj!bv?t*yo%hWfrsH{Y*x3R|ArG9@E+&*a?Zfv@1r0X%o9+w zjwj`}bq{u-eQQVzBe1Nq)!$9D9b2GL#9T28Eb&|atUdOHUT4dNgo4=rvKP|eZShDr9ID@DyP?qEsVS%%o?02 zIp<*JgRE53H(8tCoqd3j#N6rIlh^sVL0v0z!2S6>Ey5(unm)>&Sr}z# zqzuk?MSli95+COyvihZ{5ka~xJDmU%;em~d*qOmgu|}C>4D=oH(2cW<7)1=LiEp&^6PYeIo0Uy zWKkRws`5&v7s*y@%K*x6GXn_32^`VduZlLfo-1Etwn$C@# zinvMGNGAx0?uayvt@5{_#oiwmaThbCQy)DZu^(#?q$q~+S|lWSvht~=rVH`hQDfiC zFBD$_fMP=u!TS zf=v4Rk~x2ihpluUcuU43YR3o#g&ISuV~NhB` zj-8R#MUFB2W^MJSiF+nMBG4H;SLLf`$SUt9n^~Yag3qFW^WR0wP)+l-)weL%31&%9 zq=af=c_6Ta(4_L6g581~*|}~QgBBA!ydt<$JRoABq7e^LV?> z9dr4a%;~{@^;?OIr7<;WZ0YwT z%@hMSH!%O2R#L}IpUC0Ky=;9i5eEaGFxJ2Xi(#6hg@OHxEp}vN&1HQ<@y+QPVXx&Y_x+R2kSDA-#85HY ziIB0<*f{)i-xuhc&jK8ab^oFp5S7zz6E_bxbLyJIWR4N+<0%0{5zy+1e_Y_OI=XrC z7j|y;4R$#A$|d`iD_6RAu{kE@IB<{0i_+{1slwY+)jV`z@HL(or~JsTfV&+5a<8>) zwtSFX+X4W*b?1_e@n6LeYYI9otPGeq2ppCeWfcTPY$aSz?>ee@ zLR6fB@9ZI_8R;yzMTTma84vEvr@x!0zi<-E9Dq6XOV__$!zwW*ixsX5d5s|d)Vrl; z6D7AFAU95|bMoH=ig>9%%MpLe8It6Uk(rG|Y@q%@fWqf4>7A~z5;yh-3cfw6uZc6` z1^O|(a4$BinMMugow5KID#;5Oj8{RTjL(>b6_-I9{!|;AA%zu#p^4=ASpG`!^2J0E zj2#dYo#Q~eoH`4sKybDjoF2U*xW#(FQx^}lR9ER{Wf z1g{;zYblrscuG&G9++i$W~F^ODSw`DBe3+?dIYI?RNCkfbY^ zZ*LU9!^YECARlnC|$Llm#ZMC|BX@9H%m58tdc0bDz&M_Bs>mpKg|obyen?T;`Y&cvm1pe4Rj zsvyeSFn0^^x#jX)sYn#E$%lO+It*r77h)lZ8Ua*RgPval?I7g3YuS{>ll{B+S_1Ce zNq{c}VSrr^525~@QeaoO)PI{Lp4JCi#WkSpIo^$iiwfJH$hzs4%$E*J>=t_DEF$<;^fR$b)m8TqcrF)k#X*pqT z?RZ(VR`Z(SvCt>0Pv+gp)IMM*<$KpiTJ-2^6nVgNBJoy+%*dSen5(QjW-BaXxeA$F zzqQ`nS(zs;AxWv93T!(wz zv8}avTIdw1zAoHy@}TJAH}&YxF%7{VJiVIG@;Nqg0Cx-6xApOnYa5VC(N4B6)U z;Dx>6uu8nZg{f}HdxyikQ`u!h z>R1IM5Kj^cl^fR<&b$Rf@WgbPlci^m+Vk4o>AuIMK=89aZ~Z{cql&geN4-|aWup}P zvO2!0h9Cb*Vj1ln3q7u4x6#j;8gc8YSpNq*!JeVJf!{U6lk>HaXY}s$Krw!~NP|pB zwxV~oq6gT9y6zbEZ7o!z5DbL2EMu^Dis7hUa#vb;IJVt)JWdn!mnV)zyU5^J!7j>C z2q~jgiV(2Tr-)$+pZ@$d5Pfq0Z@xAol?{>Q@|l>nKjC`Sq28OdNDF(pOV7z{wcL=a z_XCJ}XmV>SU7225XZTx_+&<})z}6975v{()_Cn4+yY_sgrIfyMJO3G7%`VDq-qMcz zVo2<*B=Zyzl)PtK*v@ z947=&)B$~Th+|uam4YG<;A3CCl(}HgM+4VJwR$w}MW#`F3}Z6M)ub8x^&;#I@*@uz z3^Bqh74c0&I@f1H2(B|UU9-CY5&x!f9BE$*xc5CI{%rxx9RY4AH;(SmrVfHQsaSpF zC)|>^2N$NxuQTx>c#RJ;PmCe0CCp?DLTRqW4tBG)XO{eM>lF9Kj+HGOKgK)&ZChTOYZ)GZJRYf?e?9*c*@f{^gaSm6)Pb9lmpi5 zU{;t>#ob8miAU z!+F^F=z1cOA64|d=7>j@uU}@;eRZyN1%~rCLe+5AF24_cA%W2z|Kb+`D*N6KqBzjp z)(FS9^qCmxzh73Zp8(`^EymFv0=F4e#71Z1 zy5mjRYVo?>Zn?9!`Skq$x}aEY_8KDoV1cdYq^*w~14n+e-am{z^l$9@9-Jv_# zKg@F$;_Wv?B>D}B#}GC&Ni>d9(W8=S2BY&7gfX1~S2dFzrr^E5DTjz$*o~al__Lj+ zp0lL!ec)`WRQx94*QOGLu_1$?n+s4yQ-?b|#f;3@%u;9mApzmM6BF;RydUr{2m7}b zYz(}KJo8}nU8!xY5VxrA!c5mhXICm^MawKj%Wi_HztTC|%yQ2)-Xn!=FMvkdkMgN2 zBY&+tU+tXjGrtAPEG5e-!)jdX;ZAC{wVGt2)*_&Ftsh-n)|*DigEEXvjxGDD!U7_j z_glG$x3rgK1Kzlg(%0(d!l}P*N9G=Gku zBX;of#FM7E+(oE*T(K&6OV%)bvZ?R&5v{r8&#%W??ghqAU+eu@rZ>x`{HVn1SsKKj zxFOGtLLOF~g>*i%nf^^XN9@+_oJ#N)p>_*!>?UUuW5sj65koRVvpzM73p6!CKJ5Cr z24w3xkq=vXHU3(`)8{_SO`>IkIDTG@RHZv#>MCp_4KW_p%z*_cS$^cODV=R0h20TYu6bUa6SGwNy>A1MwoNt|nIG2@kW$V$*7CDn$n-C);B8=shOL+xnjgU^ z5$w)v5c0kdTIDxWS+YM+3Jhb1-kPXkPKK$M_&Mb#Yc)KJuy|mvqHme>hj~ZUsIO$^ z=^+o{T*Aw8zZOk_6whWnmb$*FC``S2Ro!sXHGArgCmO(;eiLt6BBHOE7>Wj(zVkva zC`Ed1Jt1CVH6kYYGuP-TL}M$Y zRc8xJi3Y2>Y#Xm06hQ!8mH%`^>2UIBzSjzC-}aV@UrM0?>`zTgq#hm_LfqK->qRns zKygq}g+6?H>~JwshFTDx^cuFQy<$YT6l z5i<}_Ps5lwmR^Sf#Lqicq2MvE{)ExgaxIoor9EjUEWzR%d1ko-A2;Nr+9dnfGU+Fx zR+9x}GCFP?`y|6qY~M&eie}{h%R^|<^q%Bk&1ZyZC~||lAMBvUZBM}S^et*DI|sYc zYN_YVh!^{_3QZ%x-g}=mR>(t9!B07|<%9{9$*r#oqLhHnMlh8!4VMm)4G(f7XD=nU zeSVoSLVutUEv>N$!}W#<&uVym%@(m_95Q>8D_6xfZ~A^PiCiUp7c7mnL#-^>O)jUv z(%x0yYNwGoZDU(%T45k}yzl=FR)VQ3Q3&VGw@P~2MoJU|LoBbB@|Goz<5Ry%5g5>6S;JUw0?#4KI^nto%Y z(f+;6K3FZsoUj@$x|72_{ibJ!I-$BW_&(n{8m6vgH4$4qvklkix@L0_v+oeIbbP(g zryB2o6%ks~jcmP(?EEI-w;dZovfbQCKK_p~?cQI*hy=T;SO2i;x$>6yW9)Xl0jMs68G3f3O$FAFJ&NP;DmXN0vhS#Fq!C|H1uwY;?3aEuzHPH?;6l;AsK zX>Gp_IE8&2KgeoXWex!UE3Ff3P8V9FsfWlgQ@`7FC5F-UuUyWanO@pd6NF|oLY{l& zH0!Oo2Q`-W&;aq$s(`JL1*i0z>1R<*w!*iJ85d*==O!9KdJ|b;4cR}}oCv382xA9! zD*Bpcqa6r7iJXdXFFl`YKTUEr`l$0E4@|D)988xwIQ;`C7*+rUSD8o`pe0PUAUZQL*(M5X=_9q+taUF zMrd}|A4yun@R6t)9Xg`LbgdK|`?Hl!!#F8MT)X3V?OV4echw$}u`B&k=?KCGOMn`h zDmS$ua2BkeFWnPtHS|9;O?4I|D`q3XM;Zaxo-_xEb}@fy3(QsYS@w#kHAC6@#(I)R zQPe|vXxo!>)7aa6=cBmkkN6n9P6pYP`*ym*O^3$k5NEtxYP^R&UlWm3h{65WIr>|& zHF|D7ntSHPl@O06O?`zvD{3{ny~dei$hwT*IHtn>m0)k}z`k+N+OF(Mze0l259S5N ziCjGftY=XGH$YCunq!|r)Q>P|Rh-l}7Ur|N{L{z{VIRnSQUs?zN;3Ea>DfrD#xi^n z+)wC!F&(q~vuN9@$6Wo5EBj)N-Wz&m^p`&27towN4sG20&jLOp0BbBqVW;=pdW?gO)gydQN1{-n(9%B7j9gOte<>j>#2CAB$ zKNd!lN$fRHG1a}UjWUrDLcmz|0H_E>TL<_mHX1>75?`=DP=Yxt1(I^DAmB(*C!UYF zs`0#sAapi0%+$a5@nWHe@5bH}NlkI`NF=K23g!*`%}#wXQ=nC;0B!1eEC1#9+MmL# z_yHn@2I~Fk*MIl8y!{iB?ro4C_28vqJT?~NoMJ1EjD5qat)D_*zYgIGQ%%g7ZOL*X zHdfZRLbvPRRrmS6+Uo8hI0v=N7HMZkDo}*p=OBa1WKJ|WGcoR|Ex9j1HABhwQ6v>O*-+lLp#Vs%qNJIX6jCfAuzV%{&i?; zYkoFVsdfJwe}L|mok1y8Us8AY>bVmusN^X!Nxe(@Mdb+GB`YrErzcPZfc4P zhO=WE33Q&v#OO_oeqor@V0}C+OP#b=^Ym+y&)V@8@WOmQ>(bSJe0ff5nQ&uCSr}io zI9YRaw#xJ~>9OsRs~7*lR08@9caE~mHo1c3y#(HLl9JwQUcGRNT97gga=7Pzb)i>& z*$ZZ^C(R=nkiM&|2X|KG4-DU8M22KEPo0OF5Rau_0i3=2Q&KRyA@FRW0P5* zTV#!+HY+muEj+aNzY?cgR}SHpePaoy!#Zc!w~6gFcrsK<2ME+#!7ULdEbSnUx28-I zk6ISG&K_;)*~(HfW4gBcggQ%$&o=-gvJ+MIxEfDK;q#o8I<;ZT4C98>VWHhZ6s;h( z@GrfX3<|bMkY+&kZHEDtfwvt-zQ~`8`rVvR=Sp4B-8TNEE7PGVAuR&Yu*OdTpWRFp zF<$csqM&~gXQ6E9T{P=|^tqF1@9i5>x#-JP^do0zk8-DDL@IfQftC`B_N*~DMhMj9 z%+lBP$$&&R6O$cNV>LE|5;(<(2jg$H(PL>USgrNgrKQ(31?`%WtK>Db*V57{ z|K!PirMkHm9C|B}uJvYL%OFl0WxQ)*IOL5BYTsM_slD!L%jYgWt?o7B&NcVZSi(t9 zo6vnD;$)>G4F9K<+pp?DK(+9BSf(X&0cl0MV@2wJG^QUJ^kUj)qTsP0$;d5?zmy~Y zw>|OM)4H@Fw_TG;Ted}(Mis;6KRRm0#JJ*!eBnt?7fCL#!uiBJMSdr;*BXc+7e&j5 z>2^#$0Pk3Dr~>iIF~6LhuoUq!AU>no2~#xYaO@amVq(<|H*f_*LF!;-%BRJ4?&M@e zG!g!{xGw5g|0A_)l!0y2JOC-lEW# zi|S8qyxAfX1Iu|Y_cVIRrG%F3D3Weog2Fn>X)SaZ(M{R3B}5CccETuQ`6`;zLDq>Gvz^?rE{ zQTG|lK9f|}?7I}@jI+|lLYbNhbK%yNJ*;|4MnUq%QD%@^d}Ntm6DBUkffKttgS<8v zbVWG37bYu`c-Ir!F29(m(>`7Iu&^zKSX`E5*Zkz-aXSx~9*os1WbS4*Z1IVs4)EG^ zdnyybYHP%5pG8Cqot{K3 zY{syO5wv4WVkLP(NQa$NQmMMrc1)iheqX1=yfnd{?2BmnS?0(i6<{*8n`qm@i8s)P z%{BEIF5!2AuegID@4v`tzhvai<7mx0nYrYjdVbiEJ`!;BXF~>YkZB z5V*sm(y?~HqFFC3>oO>1hYkiso{B5K2ul*JnmpeiO%+TNqkE%peg3a}z;Ax+A5OG`Fnk24? za0njMjTf`61O4dPjK|(^a{HtqtAT#9lE?Sf!grday9(?iZc?fX%?_&0pJ2t!*V7RU z8-1LErv6NX8wo0e6|h}|JzwXC#*fF!iK5L&u_OZhkkpZhYNJZ(8P1=X1^itqTLtm( zP?@)71{h*OALM)#23~mHV2K>tbE`C>Ug=zjb_H(tusJrk`ssUmlq&}g8}1?7Uq%4E z^q$Hh^r?G0ZCB;E$8=3db$iQjHKxiKjJ-2dArEOkJDf(DWE$a&hx4gpkc$!`|1XD- zHH;!5*MnQ*;1SzK;(vy!iIZiOua$ju0i#zFnzWy$6F)f!$sQtt+w2^6xaPy!3iPS@ zJM02$Iq79pYb;zmtCCHBtjQopv9{4j1jI^Ojy^q5FY!KrATr9wyNSw}nr9{&mo#WG z%jM?L{tY21Graxn_ZuO%9;+|KiDhDVWnSJc&D^xIBUj=8D8ps^E-8xufUFRbm$&uF zp1!o=ib$PhxtxPXo8MBqt3S#KDf&DY6ocZX=jN)*rm|*PVI=PY?qy!Zb&)i z{`0`XFS)312Qt9|3ulT>N3XR6z0d zim4RM`ki+=RkZaBT8K%QMkw2xH^|sU$_yDn!Xb}8w_^l?_)DwiH8;US%L9w=Wb=a) zF99={_VMEb#I9a^^PYFf;f9t0>OxxYI(Q?{2z<1h;7?tLQ*o3l?6^`^d{c>JK$}dP z^kaJ*`1!KMmwk-4ghv5{<PN+5g~1iupr%x z9nlQm9Z`ZzAKl&e%r@OjQU4w!Bx|9uVMQ?VM~locm~j94Y+CmRS8!=2O-N=kNZ7b2 zmU>y3a0f_cDcd+3{~uragebGWAofBNTVbOY55whCJ0C`&NFfM<^#z^>Z)fYT=Umj-$a%uY@(vTw`}&M(fR?m+ov z$F_9y%*h@U1g+797Lq{~{{9JB&fqrKtAWc0S0o~ff&zbY=M19THv%g)EauiyM#=xu z4TYjqd>_?@WZ~~*1WfKAW)BoXLiwJrXklv31%`3p?2>_W!z>#fTh^L~I@Ss_q>$|7 zcQys51^4_0jIa@dlZ8g_h0iXoiPd3~f~Fr?d-K)R4KlQT!f^xJr(EYlaN^)AC3c*I L`~0@?#lX`?!CY7?6dc|Yn^+DP*6}1&rzRBkEaLxR2$m3d)T`;Gk^qn`FTMMU@v<| zYX$*90S0~%K9B&P06$2OLC?nB+uq8CLEFO0fdRyzr^aApW67XsZSVP{iLLt2914mW z`+pS*3)=tc9FG6hzNknklSJ#+I#N~Flu7+)oM`T^X??JZ91dqLjEU@i(2rD23AV1( zNHBbR_3Gm2*s{Dl^Boe2Zhw|r{Qm6jZMZl)9}C_6q?Hi4 z(!Fk)UU*JV6Va`MVgz5+bS;`YF!N`kG5`E)Z~pnl=pM?T-pSQMM*CQY)Lr*lBIRS_ zl?>jMjN6}Gi9ED1OwYY;xS?pnIK9`RMJioae@?*c^0H;n<6>@2jRfxTYe}lq%%771 zjmBjdc1;DYuyxxV6v&Qn7du3rh>-E#a$mxPKRSQf$cC1PgYO5N)t2aAxZHDK&JZS5^F=frGX zt=e~UArHQ_GIW?raAz^V5A1uXYs_P3Y*~i+V}dTY^w8b46x^&?VzuiKaRN$IsFL~h z8vyYyQ@znnA6;!Ir<@+pgaG?Zx-HsQ?Blu+=H+X2zr$LSc%O}Vy_2=k3LQ|pzJ6e! z!Ec@m*|;8B>bhhXlRhY43}(0rFWWqN?^0gyRZf@%RJkvXxtA9rm3VR*cbaD5Kfci4 z)%h7NN6B=m$9-i0g+Md;jTuiD+2CE;>O8+^AKuqbIltKtM-AY@<%yY6`K9lA2eYev zo*!oEPy6$bV?9q8x5919M|L@wvR4v`-gOUxMjA$MRY1L_>^~EPwi>#$tiTfb&=Ysk z97eE3#Lac;<5Re`f8=5#K-1RaerPspRPk7Ft}UG)er}9Th^QlKr(6Rb5G){lTm?1O zBU_;(NF8+wD=;jU;HEJuas@hW8@Q%H3p@LKT`GkeiqxjsD?j|cWWWfVr}^O4P8;X% z0UZwHxO)$9gdIP25T5dxpd^+@o3FGJSBQKf4<#E9g5GYZ+=CyhjDzQl)2+tBgG?C> zN`K+W#$d`|lJ&_nDB!+DTZ>tFe>=fwnxTba6iR5?1+7862kc&%v{p4QI2?t2;HhLU z(DLBWdWXo~DkNwg`?-?cjDFCQeI~jLj-RlrK-SSEh}`4yd)QN)o4Mh>i$D^Drp*Yt z=mW{6$?+>N)o9kqQf;7GzPLljwOA(W`QgaSjv)Nqh+B*1nibTUS*@dpP|cv{UM7r~ zy7zQq$=*Q(0W_)L&?T2R?}$zV*Ds7zYrZI}03Mu@IB}_?=wK$Oyci1UNo*PWyJCdg zUUgN(r(ysFwR)10hi+siy_46E&isy_zrJ*YQI8QKKd#58z0gnSbcrNukrK<}`Bq=L zk>=_tjG@c38F&A#7~2vUA|YXuA#_(4E~o5&EN2&40i<7a_GoDU&0fqjduJi6nK^^d|oI%Z< zlE)gj0)R_Md>0XUeb{CM18vqdzl<5$GMxwSlEfJtziw# zpAtxPgUN(O>T-TMX^UPmbR}0bNBg4FP5p>nJmeHIqmOA;tw4*Wb|H%IyVaRd>M7nB zdncUOfEahJ4TE>yX-geKZZ7aB;0W{SdYThyS?<1t+;u;dBWT^9<01c#v=FqJ0-q~Nb2fQ4PwD2MR<%M%?4QfcS0sK8M__Umkk@G@SvPF00C*42hTDue%; zK<~%#0xgG9tF^;qkIKi_DM~EHJ#=CXLePuw^#(cZl?#Zu(!7tc_{Dibw|0ZNE z8+GvfeCulO=7!Gj$4w<}@rcp)`5DlK-ba=*wD;5y-7HKQHsaq}ZHpnftti-@QZPmup;_n6TMX^zCOP=xueLOh|nJ zzQBF6C5n>S01V}c81L6Keq(b^aG^1W#!WlkhncIfDCGQG9^tjk!9o)%KV#Bc6!C5k z{a8GPn$T+kyJhZY^Sb!U1G6ygXE%0v!*}O@)-CTxV1AAKG&LyiUKh^qaog9hgP339EQ3m_-azd5m-Qz;X-{M9oy7Z;yic%S#@SU4q{YE@S$+0O zBY@Vybt}odt+?QaZZ+k|Au0|Am#yiCf-|ePSdaN{-jRxgJy6{E-^Gw?yP;SOJ?Eg> zaUU{ZssFTWv3<<%6R}9JEd^eE@&6ZSe?Jsz64@rY0i)+s^4CsC@SFWMKPmUPE(Np^ zR4^4d=ajVe%88Ed3WNoW1gdoJq+p7A5N$IBhgAoFxhdo-FdG?VBR*4uc|%N}yUPzy z44wD&NDAG6kwoSlNYJk4yhk^>wctZ|%+)-j7`MO6@fJS(XE`h)e$Cxy1dFB!9o?<5 z5N~+J$W7~2@bC&~c*7V}w;ktCv}suNceT0}P3&zF#rTv_BaQpZs)=pyy!zg4_ko=b zkq>K)(%UzQhuxh#p^b00y_1%Dr)(+sG2GWeKR6vWWJhPsUStV3C_<1SIVW#IQ26P( z<-RV>9(z5!z-RY(wgVm)w9*P?4fdME4n%3o{bu%d_>JtHKfady^PLAqpJZ|UjAYg- z^l^(0b(CqB-Oj@=6kbI!-yP_9xl1}1h51q`?b$+(U92{2Pe&&g2E1PqV${eGoq z={l>?6{VJ|RTj--te3mMs=z1XdKXA2!KEP~@DiNT)f3;WNG0zwzi!ko*SR*X(?# z7P)_X9HQWxSb!@yxcv1G+KC`Z$0t^FaP;U5&C* z&ND)lN-)uEIYJ?UIyL;fBhE-kUAByOq*Vx)o?gzdAHUF}*VSdZsc8XQA0O?ctc?r*pddkk(n~{w@k26gO-z%tLX+cCL^@6~kXM|quHNumn9CwOP7e&5L z$MP$NmrmM5z346H{%K3+7`xJ-Sf25Ai4E>Lp=wfs!e;tJ-79eQm?XQC^J|@$2!l709mDovo`d<+Wos zJ&-+{g0DY3Y!S$#PS-LE#dm$SwqvD!U3i=^^hcT}V4e8LLF%JvV(J*hL5zzZ!88wo zyg^z{Q6x73X0_R-h>RSMUk>@k9emkmfk=9lb(xD5;h!jugE11=gl_8bg5Cm_Z zuG8OA6FM*gP(^_LoL!+2MP=!VWBoZ0LRoPEzm4Dk4ld_~PPOaq6%FfqQn^(|U(r0i zrx-*bw*ZASvN6S^kd~XTatP~4z(CXX-oiU=?Q!^-bWL*z&6D2n&Arla63K$vD3BRS%b z-5ZjmMujL)!f?Jda$W9_kjo6%4HMs@@n>BY22>74%|(g6&a&gzw&L z-Q-=P-;AI8^TXiBmQwr>LGifn%AxbfoN5Ffc>6$P+q-HXFWJ+YM#MjVPDLR*4|*z!wH8 zE0^1&A4FyNfPb;?hFX=b^Uv1hq5Kv-p(W781f&x&zqC35{++Q8L(fA1M`fpA(=f^{ z!0QSLA?T0%0JJRQi$=1H&(VqRZa71-p7CeaSmB(wis=krLalCHa`O-3Y4{EZ{mT>j zZvbu|HrJF{M}wN~ zW?c$<)^An?77Q8Hl zY0Z8%><62CVKXgOOB|*g+~Rhf=ji|SZTpOOBqsPEivzJ4gUa>yxy48JHXIg*7_kh` zJp?jk)N`i$d)uYGAeWRq+@q2xdOzN}_>+GerPib3jxb%S;eeUEmbDfvc*+AvFnG|U zxdk=AYB}*Ex|0$Mf4OtYFXqGRYy3sMzVfawTZq?z0yJ(VIvZW5;@K}_BIvLv%*l+``sU`QK}tWS zlchgPjPY^=)5G_O*HUxftyK6szQ&lDZ;4$;4EY9MK1 zSRi}QqF*^$HCdnZ1y4-JTVu=;?Yo!htV^6HDw6K!sZoIis^QfI!B}`@48bo4WP(Uv zt2tTO8xG8`eSy7`fjes2yq{v4Fx+#|QMrotI$G7Mwky=lU(HT`$O88DW~8C!>@GT_ zoSdRszowAI@tY$gHtu@CN^u&g8c3kST`KG+W}&wRUidZ{-O?EKsw&)JtqCx@oe%jt z%b))`eCOSstWjZ+v(vg-EAQpbi5I@s)JGemKxK{?$dCGya0p_KANMvHID@B?>ESUz zoTGE`5`BdaX5c^$oJqdXX-$BXk;~p2jY;RZFw*yet5pP)&(dIduX$HLAY8_BB+q8_ zv{*Of8BGZiBi6A#O-OE(eg;lXkmpc;Gb}zLP{l>tvz94Yqz}Ld2J-EDY=Ev(<1XAr9UzbdC-poZd2<8T}tA)X;C3(;a ziR;2(+?bA1%h|Z%8khOoA8Q_)ByqR7zu`LI)H7!vde8Xns{Pnj$ici)#fGad!%+%Q zR=d0XNwV!CodUvn+b=r=_ul!oT?2D+pSO2n^As=Zr*8s{VwhjvmiR}m(P~%pD6ycJ znx<3!f{)=`z*cnk4jGPKr%M{PD@lFyM_?p8KLXZYhP!6pVEz(6=h>0E8O1|-kJg*F z)Ry;~Hc`H7`2+jetrNuEgpaP}_3N*51Y~Y;y{}-y;9hLCdy3zSpy0P6-bTue$lYUL zt14ba;l9d%IO{#b*}*jr1_kbpz&%w#)H+@XgD4leJ^AU90Vsx9#aGA-VVh>s^wZKPHjuzqSNoaqo>JLzGtXv zkhBbsr@c1@8=KJb9&A>dH5xM_%vUoO`vG<4Skn)q+TBjvH!m8K#wUDlvE}hZ)itw# zG)Edo;S*!ErJap43V3#AMG6Q*rrTQzdA#mqjgn53V$HTt&q?iDdU-rAzr|^3s}c*+ zmpm}9>9mRzRc7b~#CZc;SFK@J&o=8#G7lL#H)ZJ#}A({Tb7?T!Bnf{Q%^dd*} zO5h^NBisU7c_Lv0lHrgPtbGxI$1tSl_tioRkl&8Do{3=c-9DAJ|Gf4vw?HL%ev2^q zfXm{5WDN*kyL{kRUA1gmPrREz%t%t^IZGU{^U3H3$Y)mrjrZLp?z_ntl)sQ1>WAQ|hH0 z2Hs2`pD2;CFWHEWd{DU~&WwcAsSxGFkqTsizJ>IuKj)uD##)5CsWJ&ur(282_$AiA z3-g>*!lf7BVK-3&(;XRps4ksWm3K-rusWu&lRe7MyA;&R|1r+ZoeIp1rQMpR;^UBb zmdCt;zyQtS9U>*ox+i&sB|PkoOrNk7reQ2+Lwv%?citW>r|JVSkb?bl)D9Db8syJP zQC-eJA|!4xiurYUGM`^Up0}_%Y%~(rZ;Pt?H~;kNXGUQkN8ITH$>J*kc_%3enC0@3 zZjF_~VRxaK%7D5$zV;UTue9)j{-0?*e2g-%V--qYhZECpGsMjT4F7>4IO=+|Yq)5< z;}oCBSWnN_&tG>au@kp{yep}G+cU|oT4cND<23Rl4P}D8`r%A%f1h5B8TA%yHcjrk zt=>WfYoJgL64>TR%5g0Cu=7BtsMCg@weuKalLAi#4&DY-(t`S3m1)_@ddL_ zQ;EuibJPp6B~w46jT4nXw^m+%ViB+ObCh{rr>uuBuRy1!z)3=n!SKQY@7O=Q@<#427-d$6tlx@hdW*zai8Y4!xwH{pZdcg|72kR{o-!HMT#kg4R1|?z85NPk>vN%7JN0YWM(5i+l<+wuuNe?e;64! zAsaD=$!^G(>lFN5$3a|C;a-B>netSjr?xrm`~fy9F-Eshd_^`AQOLz|qP&?xLZ^kQ z(5KS^WwlpeX#vNxQQ^&URq@A990OIaiN)XUaoFwJIO9i7_OTB}eQdejtONZtYSkn$ zXX6HWuv9bb$~o8wXb+_!=BrFOCD*M_VLQ;)5pf-MFvK`Hea$ds9V4DTV_yU z{3wM4OMb5&$EU9TSHr5B%-g*bzioQDN;nItD?vTd&vMtkhLPWqsR?8S zshJ-Gtc`c@W3DYBYxdR|Zvcc}d*!3%OuR?ZwiUo;0jKYuZ^ra<42OrbCO#o3tDkcu z4#{r)SbATi5(5@nQlpyOlufs8kcIhGvRG6UPBU+_$meL;KziorsilMpt(lKv2pKK+@$*&M z^W%9``=sA1g3I&IpO*aYI=L-9!b*l8udShb^2lJr_`KGlA6EDu)j{LhuIiGNlH;qg za0(v$>`1=%KYfAHun%hjtdJJfd-xQzGBc8Z>rTAbzTzcy+7NkiVmjb3nLJd)wq`Q* zpa04^JXamlZr*nrdpGIqX}+zWLvv}8w1Niq)Mh_nNC(V3?QE-%b7%Pt`S7wHUPeAh zOWD`IWz~UFFsO~_tf0M9B>57BeeA|FzJIx}QOwkZ6!l%H3a>8oWm>`Rc|h zJnI`&&JsiyTR=Ee^(^Mod+xCM4D}~J((=j|vfNn|sJTsl0k$EP1f$-7&1zwzK=PAb zJ&WHW%XeS%IRE9xCkdIi;dgpMslIi27owjhIIB+&E@5Y4J1@e)hJk8dwcY2OgZX|` z(hJlg)g5$U#Aitss*6S`d!nwLxw}93+ z5Rdz<(09ymX(#Si?o9uZEde+IVW9UaCa1m|<2*)?4z(1ALEYZk*HhRIq%RqTIP+(D zbD4z)oSKPk#&i>?e+Z#XEOKOg=2u5S0QpS5e|Hlx88@Xj9*4^Zc#1Hh zfeHy@d$m&40p)PA(RYRRY0*9DE4Z0(02i5^G;R~+WrTOmQOcA5|D~t;O*ipxvg*oE z8@sn;KHsndPBT+i_RNh`|ChXPQqUlGWno8p0*-uUzAP*2`!_B^;$QLr6e_2Y8RfYQne3Xr~aCW;Dhpmt6Z=yYZkI;u?so z;vi%|;#!Mp)!dV&@@ysE;LP_HwQiR8hq1?+jBpRCN^2Z^00Hd(iv`)IW^H62pu1c3?e zCbjTau1OBIL`AGn^1~m{=H+AOx6N5@ORWw+?pOXU;47L*njG6o23ozv&Wei30r|Xa z1i+1XN0y8-WCTpuylsE*zU#Yxqsc2TKM?jgj~}~6*dt58hqY6_f|IID?LR@6EX3!f z*h)drpXW7rbVvs#!Hwh3PCm5@6z3kST`iI(@>PwCPxBNsG00h1UO2KFQ!wyz{o!rr z0s5(s;oXeX82CAF_i*9ZJg4Z@?Aq9q2 zv-GjG&+GhMkE9x3k~22Db$zP$_pUa4NB{d>11)}+nZ)$KL?C?seD&@?Stz!IT-{*k zO>B!CnB)phgDq+N?3TH$l7wN?l4(;nuk$r1DIWbDBQFb+cNwKc$8)!rL~fJ(u?Aotfr_IYO((n~F@=$5V;{bv1@xA+ctCNNmIlf2 zF`8-|+vWQ(YTsW|`P9mPB9~$vzHhc?LAeC0))D9v`h#u<_`gC$7uLjZ%P&UMgW9V$ zt!j(@5@QUEc7l0hiNF7@Vzh4EVk8nM*k#t!nSbupB1~KcELSJJ1k<`Cnhw8s#=Oc( zCCnBY(`8l{FRHH8tBTC8qB!s!w$>LOS|bZ<)$XTeD{vocSJ<)oryfXrfO-6MZvhD7 z&Y}U46cJWJa}VFU>pCCiVqBg4d3EF0+AriH^>wTmGdVJTFEFm(Ss&AJTSy*P_$ zz<*6lX~l4xpHDFQG4}4ViY0hYYBQGcMFFMcdK=v_#d4}G7(jZJD6@b*+)AyNX*ZrlQfrkuzxkBw$rJ3=Hn2vs=bhM<){wt+m zw{(VHpiWwXDDw!`WoPz5G%RU!s6#qqN=heeSJ)T`D7MJ)@{A)7di?xRj@PiI7KQC$ zQUatyNu`QgQSFG5u@%v%qoFg8?eX106JmE>7DK?@9dvC@hBWTfPb5bxaD{}O)_=t| z8e^JOXo;^YKOjMVNFUXYMYyDp6zB!wWrtIESxOnO!NM>#cghGQT!EI{)F`|9aOfv^ zJh_5}IsHh)uO5uJelJb$*?H3zV_8d)DlK>lT-aSk72W-*QKt*y*ux??3^>R}ek0p` zmiqbdzs8GN^Y1l=8EhABxnptjECjQdba+Y@^e;tIKoTOw{7*=>!XHD$({*MB_< z%u=GSHe!a=nn0U86weJby}~?A#a^|P5UJmvvNN`I#AA_vYT$|rjuhiS_9L}FbkS7y zMV6VBxZhEs-t+f6R4di3YmU$5i88aCcGIk-^WSbuzR0EDy>9z>faFEU!l};x4ZE_a z?lJv0tT5L&L=r+YPT&#n7F;4G>WJ}w1>lM(+Tfh+SKYDUXRb|ox#AM#d-xgQ##GT= z*)Vp~ejM!g8B+k8O)PycO%YP_ph+zKr~VE!BL%P5ULaXewB$?n6yDBk+US8&S)K+g zL~V3GeDfRZgKLI%rqJ0b$QPFul~&{|UUazNEL<0i?dWbAq%8Nb;Zf&r~ z+NP9|P+qVlu(1MEtI5TR5`1R9IsLt+6pr}XX<<)#Y2WE<1Ia6oC|H-m_595{<8}H_Nc79PUKL_jBGNip5q$5Cu>Tm&c6MD zv6xX4^ExbwOl%|R(qfS!;>e&DP_QlcEYCVIdd`ocUD3KS--ePUK?#Q?v`ma9wZq?! zo5`Pyr<;9&>EDp=*YRyt$Cv>##bJK6W5NH1X6Q(*h!)DBR7!(z%zuWAt{IsAp9sr; zhHAxJ#A+gu|A8HU&=wfz{i`DSpNRQy?t15o5pX!?68E$p$=6=XCjwP!tvk6Js-GR%o9FWMvRxe4f3PsV%S@n?9mzsc z5!PxQqBB3tV>yD(Kvq=ko+2esaxO*?yzDW}q-2I^x8oSwm6%SJZ9T}~|5*|xByljU zggAEUC&!gPLm@G~REoANd!zi?kE+(Q@&eaipQm-i&NcXPBXXY2fjb2|*=cSj_;*nW z=OG+Vl216^HK&YeRp}ZB|IlNfXly{{)mbUST9=uW0KtY>_pKc-6^QpPcrOZkX6Hid zW}!YfGF{li-)I_Y+T3$v8$x%X^_FT-k`9TSh=w3i0ff{Avl%>GCh&JbBBt6}GaPpJ z_;j!D@zED`)5_eYp}V8Q_2MM#6<8KR8X0Puy(ym^xG7Hq4=~-jhqsu*<%7Eb0GRB^ zpf(Egl^uKpD=N4)m(%Cc3ssfh7#;Ki9Xl~rpjHDb$`u`?;*{tR97Nb7%bH90yc?6j zo`R%g5T}qOFFHg%FpxHsNoToZVAK_zoyWSN4^XI&Q8qm@H#pPVJA-+ktHg_inme+K zz2qE#%9#>e7UJ7CH8wFPygJiAGdDx9iSa%+p|MkZT4VQX=rUJ$(F=^CoFw#byx#rY zMpTkG#b6D5{AXw99uZtS7AR%rB`;s=;ujKjV&LnPT*S5j?1J4b96z-&2#3f5@YM>n z?W}E|u0-v6cxD66KpIgA<;?{IBh8NHEXwUt9@eOwsD8AL(GChY8ki*A&vHwu6HJ6u kFu8}8?*dItL(O5=l-?*itSgeZ?jn+93~pmM|M~R)04L{P(f|Me diff --git a/cpld/db/RAM2E.sta.qmsg b/cpld/db/RAM2E.sta.qmsg index 56b32d9..6adb96b 100755 --- a/cpld/db/RAM2E.sta.qmsg +++ b/cpld/db/RAM2E.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1599607700619 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1599607700619 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 08 19:28:20 2020 " "Processing started: Tue Sep 08 19:28:20 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1599607700619 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1599607700619 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1599607700620 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1599607700695 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1599607700791 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1599607700831 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1599607700831 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1599607700861 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1599607701084 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1599607701152 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1599607701162 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1599607701162 "|RAM2E|ARCLK"} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1599607701162 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 22.276 " "Worst-case setup slack is 22.276" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 22.276 0.000 C14M " " 22.276 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701200 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1599607701200 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 3.130 " "Worst-case hold slack is 3.130" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701210 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701210 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.130 0.000 C14M " " 3.130 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701210 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1599607701210 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1599607701220 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1599607701220 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 34.581 " "Worst-case minimum pulse width slack is 34.581" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701230 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701230 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.581 0.000 C14M " " 34.581 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701230 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1599607701230 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1599607701290 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1599607701310 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1599607701310 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4528 " "Peak virtual memory: 4528 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1599607701390 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 08 19:28:21 2020 " "Processing ended: Tue Sep 08 19:28:21 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1599607701390 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1599607701390 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1599607701390 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1599607701390 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600299290603 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 19:34:50 2020 " "Processing started: Wed Sep 16 19:34:50 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1600299290654 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600299290732 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600299290762 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600299290762 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1600299290792 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1600299291033 ""} +{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1600299291181 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600299291191 "|RAM2E|DRCLK"} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600299291191 "|RAM2E|ARCLK"} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1600299291191 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 22.294 " "Worst-case setup slack is 22.294" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 22.294 0.000 C14M " " 22.294 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 3.117 " "Worst-case hold slack is 3.117" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.117 0.000 C14M " " 3.117 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600299291241 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600299291241 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 34.581 " "Worst-case minimum pulse width slack is 34.581" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.581 0.000 C14M " " 34.581 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1600299291301 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600299291321 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600299291321 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299291391 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:51 2020 " "Processing ended: Wed Sep 16 19:34:51 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} diff --git a/cpld/db/RAM2E.sta.rdb b/cpld/db/RAM2E.sta.rdb index 84c62b5f8df69c1a31d12f594376da61de9db9e4..67b78e97d3bdbfebc2117ec5ba45fe547a35aa25 100755 GIT binary patch delta 10512 zcmX9?WmFu^5(R?0ySuwP1lJ%71a}R#xGwGl0xV8&x8Q8B;7)J|E{nSa3HI{k&5y3? z>eJPAZ&mfonRVR(-DDjQp&BgAf6p!RKLNu4_kStJ`hV#Qdurm8O#cyZ9y&N&fjnOI zprJRk9(uImum4+iYre(mjfPO}FC{9s$qiG9v5+B>-MZ5@PO z%#R{@2SpWMdbyiA=WY*pwEkdV$jVN56RGW1#)tltXbxH0y!cJl?_D48jM1ef zMU2r`&_;3dP$x5uigcFe!hQN%G+alfylu_}akbbbb%PRqm~35dn(RF4#e8~|($wj; zKg6YS$MY8@W*DE-$w_Z;vD9f%!2rnJm`;RY!`>4sY{R}?iWG76OhXvC^{Gojym$Q! z20rY}RBG+$%UaUr`njN3F`-FY-!M(AdC%tRkYsykiYM7nqMN;MHn_fOmA(;~=-#nrBuIsID?-NyM6tj2-|FkX0FE{$oK3HU{uIm{vPb9j88lC8Emn_Dqw$1+uO3+T~ zRMER{?^-`2gsXfP1f-@@Gx z1h$w2ROlpRFPgK+hhcJ{;DpPjwaC+Ap$}hCU$IsqU)_}PyD{ImeEcVe5!zFZ(MGq|k$)DQ=FH;8N5h zyo{A|b|%Pf(A`3Hx7tOR^-UU8yNmOgp>i@Nko^(-GUVoiZTy}Khn0%&_;b? zbuc9nejx@;bJ_+D0Rz+8aT^s@2h=UaK{SGu9jI9<;2Sx!9cTekS!JjkO-%7W$ zg6wg1{fn6XytG30g60J>#dH16z!A7PmRq(-lS>3UwTTvm_c7-MDE-n@L?arArvh*> zB$C0)%P5+N$ycVu7zOJLh-vX>(G_^2X^YZ3bVAdI#!lquAh|bABeXn%HO?+}F|GwK zAJWO;X5fc-t^A(lRYaROcf>Q9I&_StT01AQO9Xv+2qK#d@CX=VjM1 z%ynJz!sKU)fE?`np?vp^WDu z-Q2_73V|Mgu3i1TaM*I@O3lN(LN`TaLilVw=O%`w(;27iV3&E&yv(h8>3W#O(ELds z)c@?v%p->f_IGUyE6UH~weELY1fF~SgEn!Q^9FLhAX#ydTRg*0Sdy>mn2bt1dZhhB z=0fPvy-|n6rx_Qp1*cu5@glNG-5jMFLG|iW-LEzD>MGyVB;4E}n}?WN?Rmj}lFLK; zQ%a3t6+b9lTNkKZQ!zkX`#46;-2D9f@RDCYmPAeN!)(aMArb8_r=^`7BZ9qQyAPpJkS6wuIN-x^u%Hvoy{%A&s+TS{_s_ z>9DPA+r;EW8?^BGhk00#6Mh%!V{Iwl`tpl`-=K%yK~h10xB}`$6t(m zB?DcFyvU!_U?@a407PpDZP@tNtXQtzexkc^WS)twZdZg)9M;Uaga5^yf{W&jccQS$JrBM@iz|xl?mFW5M2e=AK(sronYt7xFy(?(1g;f&vRy zJ!#BmUJ+}cIo;T)tZ14VW)}G}reU?Y7%D@Gp5=qAZiZ$y2!Z)SxFZ;!j59 zd%7#loIN##9J5oLhb%n|z6jiu#WJPqi+5gk$%tuL5xg`bkzsb(j6XaVlE~qMN;tZm z$DiMy-fG#7WC^7P{kf6HTNWFE*;$1dbNzF2ZMUJbTVWMnr)5yN20T28|= z*?iae(MZiPUQISDqU46rqclssIFQRO?e zF>G3_=Lb+Z$|saL0XdM6@>p8O?X0A>oJ$paS+q+as2M&bP;0Tw!Z#=;FxeT}QR?Qp z+}^tIu88A%k330IxR@rp+4pZ?DfjY;Uu`iZ%_A&jhslrAiM2A-B%SGFJ?ETjE6D0R zp>nu$3@i-vxZ2vhjzOAph4XE8T69@Y_#}2BLe`uabP`3P+KC{W^a|I5+1dRHOVlS+ zwKb0Tv*xt2n<0$B*~y%G`R|qCFWlHds7$6v2DX@BpSYbV0qfdGzYB!xu4|OXZ@?W! zuxksIYg-=&6qZ2AafblBdndhF7r&b3>roy4sR$KNNbMf;6uy4f8vG#*>y9+dPj|0B z1Y|_)YHuR-eQ~YwLgdYw zOJJ;x@N!1Z7>8i!uPEhw$6H(Kc*RCdE=zoG#geO$T6U8uf2^S_eF5%6%CI&gqiIyC zQu|TC6-2c`)K+3R{U?I8F;=olndL3Ll8#oa5v{Qi=4?{A_KQZ2w^sOQHczX1VdO~t zv0&}Qmtzp?RsVZG=4cgaK+$hdDWy{SA6Dts+Tl(-;(kz*(NWh35{*!ecSq--63iU{rn+_)H}aiugXn$eErS@q+wX?dh-%PpBWoV7`gC**r7zGuc#*y;kLc&K{ z5wm=F>FxkRQKjE!{B98lQM$CFTq}ATWY?hD1@{)IPeaplijllj!4Xluw**xRPM-6j zD!Ef(sTi=syMRL+^Y8Uo5{ln%gS0Gm=KHGoe>+}?YWzGzNF;28jMEX)n=q2Cn%afr zHK(qqMbzw2EV#9!q@;SKiPkEWHZN8xz_$gLZlp1GR6$1dw+B#N_Ik-)vLx5O&tHPZ zRIsE<%6ddLh>}3OL|iW#muLPE`58YT+IYy@<6(&pSb<)d>1Ku}Pi4PYv#*7sHc2uM zT1Wnlvc4#IYN>uc=R^Lye+ds~Hoa)88PHeqG=eGM5bsBwr4pNW0!mjG+AA`*Lh=9SA$2HWZnD_a(eXmed$dXvw z3S|PRl9^l|4@n6R?L#zaVsY^TKkRSa2_qU${G06tUG7*f2j;DpGOrTY$Z>d95uHm? zKgX87!f8EpyXj`!7UCg;_vvJ0!2KBeieGU%D2|ph2kG2=1T?=}s*#-;3+zEt2{c>V z_C@JuGXr<^y7Ge*KAp_(PxX>8;Yp|A2DjTpPLpwqm03hY`ArjcN|nr&;pBo2zu^oK z#~Nu?WlWq!Wam*P*#Uot$&JZgMQ~1*diP048B7#J*9erUcryYe= z<-c`CZWBv^TKu)f`uwrEN|)%jvyCU)k3x*{nbtmlKX6(dQbx%1YxpEgshn>Nb|UMV zX*%p(!07F9k<-y%$upA}mGqWKxY}$qmsL{&PnIfQ_lJS1ck#5b1BqFUJuV`4cvcBUA=IY;kM>oNegxLFd)BFBf?){bhw&ZiU z3w)$DXFePJed3C6W}N{m;^VPIz56HOY}*SVi^=Z7MRM|J z!(_r~8J|_rIV}kcHp(TGwM4Dd^8#Wf~BGugW-bl_3$i$RP60UxnxSDg5L+&>ow z9w0o_b8=Pg8ao*iy(5^1UDYxyQAi%Am1i%~=IJX$Z(| zl66kPBNm#WftRw+K1acR>Rnp|?Cvg6PcnsZIwcnz;EP)PFw5@D3OGzu%M{ z36a4c!yFqqF_FO_ZVuSmyQAcF7aakSF6oqX1Z3JTsxinl(_b>jJ?YCj6`A!0G+=U( zSzWbKV`5i=$i>HmD)*Kg22IinvyE|2&Ttv|?HZJSh=!zB#2V!^OGy(xDLngp)p#4Q ztnYjuvp8T2`_45^0uGna!i>;r6js^8BGjOWucf=F@F~|21jqpXZgl)m1t`o7pQ+6Y zkWC{}Ubuz?nvUjh*R!o zZG+kEe%u-|Zh?@6Z1Zw$LG)B~+BSoTlr^45s@GCMLR97pZ01Ej2Bm9}_|Se)jy!}6 zE|;-YPTmxdT|*`tCwNb%&mvX~0X3p1jSb&XQC_-IuCy+Vxeu;|(m6H~)5w(Yee_Is z4Gs#Fe6ff@j*Mn%7v53S)^tQq1P7?Df>f)zf*0C=bV0sDfwL$kADh0Rm9gn{n0I3$ zdKZ+Z@eD2<1;(jH*E=MRG1!^5U{Q2p?4c4cU?G91xlS!Vm}M(eWB@$0)E`8Z{FfLt zxd4D+Ycrj315}mlZWXowq8Y1gQmJhT|3!@BGfsYPl~r&pjY@3rX_$dS!+d_6i+MZS zRMDn$^`d}a`=f!cdN=1YE9UPsikwa&fe4@R)rWhHpuOzRXe7h*@rupKltgh5ZPQo= zm2;2|@Qp$R;X3H~1lO&QWb`GRQ4y`>`rzLrNFlZOMOsngJfB~rv4xK5{%1Bh2G{2} z<5-SkGk%fdhdEk(_DV`=hcd=;@2D`$%I0Ut*tTqN6>mB@g(+KX@@9%uMhKd^Vx6(R z#XjMj!2_Q9GQ*Z^FccMGF96If0O12=uQBb*xO_}~S{5J4TY$d0Q9^?aQlAe0&X%B( zzz8TS!NSF6{pgE-9Q4|TV1RjY9$IG)>=DNTCOHBxBxHeJ=PvoPa_7?#CjH(tRD4`e zM{)r+i^UsO$3MA@imGV;fuS)2vW8g$Wn#+MeCQK!F2M#NEN^iAiHw3lEg$ja8rC_MiS@osg#ah z|9~Zf%El3`Cxa9cSf}R}WZPA@a$dxEzpXn8ac)b~hjRW6Acq!mJWC8b$zFR(N-!45 z;9|LMMo@X3y~%nsF0G1SLhq&Z!I$Iz_O-U zT@4-l7=@kINt5Fu>Qe)(M~@SMF6<(^e4D97S&E|oUx&ej5YxUp$s1x=Gz5ZG`8nAr z+;foq*8$!{>Z7P5PB|CZx|5NWLG^sbTy@!89;L9<^=^jdPI;Mu^b(HfV%Un5LPS`b!c+j*JSz=2!kEeQH#&<+Q{K5 zUHYss-I`T}WY|bRzlN=r=apW5Lj@tAcC^UW7o=))NashU%CYxF6TJl}sekYoXti#3 zy@(rt1XtL$*c0hgb5O-F&X<7(DUD+=tYl#&!Gl>6`HsDvBUcx-^-I<@mG8zmZTdZ~ z_g|0+Q2Qg}SGBj}fBloCwB57cOHoTSp8s(N_~>3p0^y7I@(hfpKmE5pL)r#<4!Rl{ zA@K0}3R@n8aP5=FWh)rrSZCNgG_t2%H<8!>;l_Fq?LSJAxT{6%+~NOnK|qrwx#dym zuHSndN)Unr`Hsp3lJ)ROW`v{&&?7-a1G0kD&#V885#*yiBI8lCozaai&ktUnWtX>| zu>I}F$1iN$Lb|yl4cwcBAU}Uvb>L_2aR^Wy<-UyZKL5|y-f-k|`}hqd`JhRp#c@59 ztBVqL^&~TgS!9l`lGSVMFChyDDL%x(9ifmrVlkypiCq$&s^LpMY^tR`Ma%jydRv-AI1cu=@)r2JO+8K#efpF<0Gubh&M>V>nf5MId>H%UV2g0F{AX-Ip6Ggh zqBHvsZWIjAO8};$&hO7C{wP>=k>3`Q!Zr{7)nk&XL&uBl_2^C`b*^$}DwfQqr@LjR zXFhw&Dj&ds2pt27hrSQU+tX~o`tc7o+ocBT?10^|w^>zA3)?z-Mb7$f&I?YtdZRgb z9_r*9!*4}{I(yA@hOgQsEhYR)vsGX)g!ukt$uQMK!npRo8Jpf$0HvZ}3 zTgS4=NObzf4+e*Yk1ZRu5S{_!Q%u#u}8Bu61V-%$C?@&*e(H=uM5B~RMt#1JziCihoG}KEX~VLfrlus5pl2(|u!ZH6}Bz z^fuD)m5`C{?>f;G5CjXL)loCfc43k7MbHql}f#x@3i9}pz(>hhM1On4F z`(90|(dWdB?F~f!{SVSOWR{7uy^#C!_>R{J_CJqB0FP&jtl|BD?_f3fQ%fHjF2lQJ z@<4a9NBwLrbx&KW(l%1ob#ucZBXSy!y~YXBoGcu-e_)%3!O1ioHBthnhyR`XI9&zJ z^X;DSVA(gKR(IULtGXO^tLpMi{5gSBs#LvoEIv#IvOQ;$H+1RL+6Q>?F{-4d?+P_5 zNd?2-0o<)}P_Y&!6}woj0p6A{>f;lRfrcK3ju14zqrkLu+#@y&u|H#j=d!`g^IICn zfsy6Z6SE`b+R*VCj4say%Kr!xai^i`cI<4^yaw2P!04Agbk*6dJ|F5*Jw2Et3L4t& z$OW}0W0|R*KRCq-xpzv(b8;9ipY?}w0??_)r$2D(b24%AXK_)t*(f!q8J0=?RA{bg zC!+STGn*#8Li>AD4MYY$uwJU9r_g}rZf)01%l7{3F2(Wei`sou@eE5t7J0)vV^sSq z#mDU0`Td5_TqfC4bTq(%7bz8((rm;M#Pl(_IgUI&yIrW(_v;;5N3*Z#d|--A5v)Gh z-)a+5x$9(BT1pGbQsX|lDn;5=i4uQtYK>T{TNwCzc;~~8>p4L!Ln+x?9mj*s(Trty zO0$X|0q%1_S+ZpSn8Jv&Ve^BkOM(4eM7y>J4HZfH<2cr+KBVBmAF_WE-OLaAf58Ze z@Ny8~dh&BLwG92Ev}U<1o9#yY$GdDjI*IPn1`+!!-$x>W+PR~d#^LdTL5s`JWj36~ zm5}5NbU*W=mG};a;-E4g_kT4T;EW&0!_hxEGh?~wWEpa6FXE)V6))w;(~*ZB{r@fk z7sa^&0v5m^G9IJv+R$qI#K~SFq5M(jTZ_ref7i_56Dorl!N%(?r!(Nc5_ndK+%+w} z$*v_iAg_X;vO#v@?>}Z;C~KDhJa#rvhr1MjpyJY_)?~EDX8nc4055N%CM3qL%v#5K zo825_(=ndbTw0C*m#prd5Bs(&<4SYjY&1X6X!1LgN-_YW^J0%=5xD;^c++OzYqOah zhC#(kb7aMMNY4P0Cb%@e3U z$uUp!3)d3WVrDu?e;LvJ;_x)@O&633`tvtFC$yLhlf#LNtrj!S0aH;4H?VY_^jyWU zd8jS)bmL4C7uM)LwoVyU!?U)z<@RF~%ooLACioDlN{Ct!hcv8?hCQX$+)e*4Tond^ z6>2l@x~?X&nw)p`47B=i@L6lS_ah+M2mL}RLm=Z?CYnf-iWCvBEN5b5&x6m=mQl?i zd)7*#Bf`OQ4no|QJDL^5=COU=^8Ms18swmLJ!{#Tv+wyfa20cYeySVdcAxc#h{XuZ zL-X+vjL@8DJ7Y#q>wzG9Ol6C-WX%!55xKFaC}KN*K81)l&#B8#vQuqZj)QFG2QF8d zjTn`$VZOk4bQ|)nSNw)Of?Qs3WdHDlPT0;vl5;$UQ@^CH;5URH>Do;7@$1}X+1m19 z@-z+Gmbp%4XMItfseWD#eClX%*!^`BSoYXw#i{XWs!4v|AoGCM$Ai#Tfm6Od1%*%E zB3s?vgXbpT;H0Q&LtGv(8UcDdCD`}+==Cf{#3z)$HWg`Hmi5zga$<#ZuvI4@VBcn+ zQRu{Y;(0AFJi5BkVxM8+pp_#pToW2)tigoH!8lv28jxHonb`^mFL; zzCV6Uc~W)WZ%%2OB^C_(Z3<#$vuNGP4mhX$7&cMVz~b6^KAT;+HU;XyafyEUwu;UG zoCWipos*fBe(q+}9gn=u4pd>&XfYmrjTnT(((mh3&X+`p5SAk3k&N-`X_+n{+L49Z zX?z)kl}xdmMss&(-y!XuYU|c+l;#>Jg&#n8nwvA!2se!xx5CkPw+{o~48V0^>krYE z)r4wTqG0&b)P0u!bOh3ud+Nkq8lsK*ezm<4u?&}rof=e=(1PV}SaWd99><&;@zDUe z@N-)-a~1Pxr09kMGQa}GthbVDuIL-lu`e4=3q8kpIAXBYGMZOs9`o4*h~%CW2?J5E z`0EV-b#$mq+YH5LoCi)=!!%{lUi2evolOWDashX=<&cu1G9tX+;|Eo_oh+zKG-aCc z-}R@47W4{O3iz~SrjAenGJ>R_J$Cb6IKN{>%$))Z@^S zllA61D@s#!UTGv^FRUF-!UbIp1@POhHCo;>kOLpL5`F9%yZtm8a9~p}C7b%(ukqrA zhrl?wIJM}+W6hcu+@*m!>|D%N(fpq{rSBm-HiZWoF zwfr)+&$ME9QoFb@tVI4#glX^J8HWx^!4O^Rza7E?tVZwIZEj^LT=U@s(tctV6LAQm z2z2F;7jW%FC`_5NFn@HzwM~Md7^=WB`EWh+H+%5$p`cw(Ls(I0p#|4G3gJ80Wmr*^ zn18y}s5k3JCIj@n6#ZB#ct^CmDzC6XYNeet1h^K2fI^LL#G;rozwN3PN$+Iy?0Nol zvMEejh0@R8va?7>YwL@=3;E&HtL$58L_t=-*04zNaUMweHAa|4&TK#}A?Q;l1`~}2 z^2{jcfd~!xrJ7Yi%99SI0ei5W+9a0t;+B0YWLr6AIO=H40O!EWCKFqer~+*wlV>|n zT5B1}Bdod@55rmCu2(V>Pn=@%dRekREQp&)SX7Q8cVBtbr2nt|nf%Xuqkv>tNRkEB z1d2;tcD08n*+LlUU2pEz-Ur3uMvng+fl}#en_kKhm@K~fY-tdJHnVtk?Qp$egHX%8 z;`;_h+S~KS5Py(Mg?+Y+>yykJCfjfJV(j@I`1bKRo(j;5{=Pjd7nKi{36S}kJVorSn7~X6cBS6ZFn(`LxA@Plmc(wt# zuKkj;#1}OPjN_#%->~+trn0%!&vpxb{$3s=bK!ZKw$ic#^DLB4sm5lCT#)Km3Zpa; zQxr`_%F(f_>o_Ee_M(=jf%x6$O(ctF{c!NzC({Mc91Pr2_~q!k#3?ygZtz)|sycv2 z?8mX2+sA~e5ZVLP^5YdZZ7+n4M0lPF?ajmpW^Eu|maAHQ%Fro4#*mT}-zuAV-Hho4!?&XE&x> zR=zeHV2>r%?no-{ss07@Yvf#5qZ;vDf8JV~n}mQ1!yEmYwJ4T4H$@CJ%cYX8OlUyZ zk=%U5FQuwhZq-n+U!KHEwJ`%*8r&4xXijRJ^K!kxzcmE&ez`GaelDGw8->QGDK_uH z&t)lVrl{MIzDx~GgDxp}Pc!*NH3erKEO$Pkb3{n_p-c4oF|`^CM(L4Bi~?p8WEwBIddbKhkfhwZ9P9LJpQ?`~c|L(8Xc1Lxi(8VB z%5eM+LLQBO8q1G!t58m`rlAAfzm5pSOaPkEi=nZMkts6%#_UkmK<_9S>$)NvVusZy z*->hVGA~_8km*lqUi}%~gp^@sREF?d;uiL+Q3k{>khpYDH%5J9JAvimD^`EjM8e{U`s=<+L1Rc7W{XhI_CF|@$XnyaF}2YLU-FN!y7?^ z{{jJ2IthX*Nr85S6-$06oxN6n1KHtO0U2tre$3NVtX30OFdCiVW|^N^yz)FI*1E!P zZxs-y`1=m{8b(g{M*>Yj`@YV!5PvMbUdXSbK}*(yfWyNc&l28*M8mk&lZ<{}rJ!jH z*@WK+%jy(?umY=D(02m-!yX(ve7KC}e4lNsXu?QY?96pEKhuz*dwjU8%1Z1U08);2 zS~Z>RwO<{twVZ&X#wlw6vISUwST-bt;b14ex2j8X^GufGj_j=2Qb|Xj-DQUf!-oC@ z7q1hb-3Kt20Li}-tPzXv$1S9Xm-r^MrmWs#P=K3Z@^_el<$YF8FpaaEc(pD|F>IR_{s z{f)RS?h9POLa-~>H;ttdlJ4=Y!@R^q^Ddq>3Z*x78$GP`Uj`DoLy-6T_O^q@hX=45 zcb0wK@zz?J63Y7JS4Mwd9V>GyWOHltcK?S|QkEaEfYeo% Gu>S$+IBnVh delta 10521 zcmXweWk6j$(=A#YN^vVtthl?o9N^&Y?nMqzbW@z-?(Po7y|}v-x8hdZ>BrOe-u+`I znXDwURwnZ!=~G)%i%|vs%0ff^_o31LE1;-g{#WYL{jdBAed6=rVz#7SG{OOk&0-pH zQ8VeXgX}I!oB1?@uR5<{-Fm9hphM^GVdq{_rvg@4Rw>E=X(o^M6Y^MeZ~gT{3?+ww zwaCV?s}qNN=6jYfx+U`?qZS}SwddYwxdSrV1UkGu=x-9-nhd369wtVgCfv_k_A}Z# zT?~C6c9Vrex)Ku;%kOnt9F2F0{6gYk%(FWsUL`zgWJyY8tmRsyTptEW-hp$<;Y ztta4t>4x#j%7X&_G}IWSt7fYdKu;MALAcA?f;h8fN<6*fe z_GY1MG4!qwJ|I!JJMd)og^mkVl{RhAL6!OC3b4SqNJOnfVf^#$kFCRv< z3EFTE&^~SJH&k@8t#JNX{+;y_KrAfZ79pR9`Val5I|VhyGU_NUt@c}48dm4h-8Dg8 z-lMx#_o!{e4dh%~4?-mUFsAiWFgm#oCI8v+4+Bwx*7$=gHDA*0ccve%z9I(Gs9J?; zd=Ia(a-FwMjn3aWGiu!cP8EtMhAt-rX%W=xP*hnE+SIcP z3Y|rrmCik%A788y^-qPYA)!9Qnr*h3rk{=ed4m5nH}W@te8#X7fJ-TlP+B*PF0VW* z@q%EJcFRPAA9X@#yF=DCJa3y09Pqf7N#j4B=!cPk%jOSip2Uc|-%-C{l}$|7AaDj3 zEk0N-Ja%-v!xH?vR|rr z{~*?u)COf_D3i=@057y_NPk$!1NM-1>#tbD)Hjzvv(f)K8sUN-yxoWGLeGZ#GNSux$|T}t#^ubFjH!GfMe|) z1h*nw>>{!Wo!yFFBd9^S)#l@Shz0!MUU(itgG8UX0q2qGPgS-kkvOYNf)zx}4z1Cx z9d|^#IfVIKfNL{`+0jnK(~b%W;hxUqnb4d9aiOK`oxY^E-(Wps{4I6mf!MObZK#Yx zyQDyB7NvSRzF~j1>v~M{_|(@Q()Q~|T7t(qk1r(2nd3$?O&OO$P#`BRGf%LJOY%%} zyS?u#fPSdV?8Q{azJJy*)Xl{qzT_c?&b_xEgAEcq+hrS8+r92t^j{k%Cu+Bm7>WXw zMdmL*f=D^N95V(30>Fdv-p`um45j{T%sGKE|ZNoo4OA zm>8UAKhM&P(FG5;@{}dJha~t=pTyVD!Ia_kf=8H^U=*pdd9YBzkKgO$b$U$;h7BoH zeSlG{nfhav1M^@>ct-C8N@i|tXl#TX@g^>jt>zAHGOE7#W=*Pc@%^}FN-5n4R(~TL zxSK*NE^^p^ynVbO(f@p1ztxd%0L-P|(pZ^VlZl>Ut@1@{Z(2;}nfOV#4{Z_BJ2|&^ zT%q@{lF|(3Upz&NJIgabqBhXEta*bO2dzx;#;VvJ-O=S|{Xb>S47`icPA}@f`mN($ z2$Lt-Gr)7&9Piuk0 zBq2uup?j58(b+9dr)cRf2IX_y8w}v-JL!wTxZe9fKAc9`vrxfrEx zV3v;(^h|=f0p$ggeLc5#YF4IRMcgu9U&h=%3+6NUWTUZK0diT!HAg)7MV43n! z_#k`MkPUiTGEWRH2p2n8=^jR~pE9c9Piool=d{SYT?Rf3$-kwJAwm3ezByKy-*JuI z!u<%$(t_hS<9>7d<{3B1ua1P`H;wO8G|NS_n&;h;Bdl?x(p22;iP>>Vz&BPF*IxrW@Ak(R2dV0_+!mUF>w1pTk%!#r z)94pyT6*=MjRas?KUeaqz9dG8JeRp@p!Y5vTN4R$L9rEq@9UJO_uLJP7bDN%_4ual zFAZZ|L%qg^=7IQ@%}FFB@mjV*X;rPyH&(dG4i{$Ropqj{2E{x|gJD=MR3Za4dPr^_ zqVEA8zoCp!-4LEwR`^OU?5&gc*59|g1!fArra|$ zq*I7cX^w{H`9n1dKkW=phU$e-Vs3vTSETa4B#-%==Z}e;hv3gI+0ur$Ci-=DOTUj= zET$d$gQ@_lM2E{Z8SUy?2;v9HaLujYo37k%XQW~<(;vHjgMyeMv#q%@{9g~2{)mmd z&x<(yy;2SXX!bzRrpM#i`Z0YT;kF3fc~TBaiT={1!az5D_Mf5jb@Kle;nSB@c(z{P z0tUkb>i*BJWNHdT^KNX(iZ|N=}xx%jl+riGtbVK1I5uF|UYM5z*{xiQbJ{9a$p_i6wapDxmi+|57c7V*G^|caH zY^O`n8+sBQ_7j#_e~?~QJuAUa1?S<@&2R+4>g)Ki|R5l{lP*sDeNoL4G~OSt`}#x{puLAbJ^$mSydSSm8W_i&z25p6Exn)roS#Fskx*m&9l@_8aqR{N=YX|dpsxDx`Ol& zR$?fwu1|ErRL$$w(qdC09g)5Af;=@a;Ft+qb@giV zAqQvXK$~WmE0Q$jb8~z+m*K#%{)A8%yzz<N=5IKsv#P|>VShcFBwvh z95qwjj|xc4rPo})(+7N&QolB!MAzrbrzS3o-Pd{oad5Wki1#CnTA$08-^BJawXno} zdT_<`Fi|w0r`x&@!!WOjb4S5y!KDT0h6{82y?9P>{VYdk15)h8YMx2VbXk3Vzjgvk?B?#M}4_o@hHTROG04r^{*BbM%7c=ezFKCN!0+e>ob zzcT87jT)J=UPe{gue+pMe3+Zc2*IVsYg>O!ATuEqnA$V6-VnKbmHUHHNBjNb{A!C9 z`bj^_a;uapG|-K}WxQ@UN3UeOgk>YhAQjBN_3-iWL$eF%%prdfq$2>U6OTB)EtO0|j~7v$2X%w40eX5ltY>P6Em* zizzKwr=i|*e}BLXfTb}kw1AbyepnLenX((IyQ&k7kD`p^#k~kcw@h0NP_yFH zE6Dra9=?_G{7UkilN59%-kQ+K9=`Z&SEPU-@GP*!W$CX!&?lVWADsD$ub;5m74Csq z(wRC#*Y#0v$?&U`%r=NjTeqOQfd7kmHl(OL>*mTfo2zaH2;Xb@Ls-kb#=269V?fbA z3n+U;T6)uCSLf3Fz~lUR+nf?sGl

    $qk5y=>ik@CO_;?q6wxkA;r+ff=Tfs-EYAeopa&VR3 zT(*57cbp;2ybQ$Xz7)8jrZ$Sc*EjNC`>7pWuNDw(AtMNrD6>((w26M})qFQJ?r=AF zp}V;r4Pl=o4(sPf*ppEmg;|-n|EcN|-S}ZOOO-Gry2L=jh;Os0NGk8+t|g)-LUPXMx!0J80L}#7>1FejbSXh<1@k@JfAZ4*xV# zXcI4=EgO?D_}l9i=+DhH|21cWe^XICw$F#e6`tvb3!A448>+ITa`Q}oxZ*a_Mz_v{ ztRAs0Kv9%;NhQS9CN0U2OA4}inlq@bh!IoZ3X>=EJMEL7D_@p#WK{9oU1)#nGLqjc z?+s(w2To-#e{qpr>iK8f%I0H^g!tll&$gnlaaX$!j_3hY9Imz@w+IJjhTp1-4=5EG zZjbo;tW@oBYp$b~DRQho+%j?|5yx|AYLv)8fX;bKw41=>a*N`*DwiOYD!Q8w5e`?w z7-iRVKeb!bnCixmIyIPG%XXzpZckehEjv9A+3W6O-=9gV1s^~cPMhapadS!@)km_c zOZzlXI{+z#WIS>ip;GDUpTCK07ogQn84V7aY_bSW zOHzLm2urk5nC1#CcEsf%xvw%CWeOz5!s~7+Ai>1<80sWn!q^f}owqwA=zJbfofP2A zf&KLsg!-9jCq>J=J___|LK!}Dg0JV8KtXw^tOwkKQ8sKBW12{H6GN+>wDx4{pM)2S zHMSVrG6HGQ2IfAGEADB7!?_W6)J&qeg|!2d2)7bjaT*H1UA(f6=Ljs@#QICUxQFz% z#jO(ltX;UXoK({QXVCV@LSX|$=zj@+RJ<`ywBvZ19tGBJDtMr(kMXTi+gh{%9``AU zn>_9>nv$XKnkV32|L(DEuG?(-`VcrmplV*9kJvV=ke5ejCNZlF%<*0{-vy3|0N(o7 zr7YtW_1@zgj_u6YJOwk4JFxe21X~CA+Gt|FAix_cTjzb%aOZQQQN?o<^ewbE-TSJ6 ziS)R9k4hBcje)fdop;0v`iGOi7Y5j~HaPp;#U$vvwRdN#qkj1$sE=1+E`hdlE$KB( zR`kNj6nZV)X#BQC-&WWhgT~uO?3w{WsgXBQ5oZNsk`&=Y@6($1mK4 zPpK{e<%u?oXOqjVPY=XfW$GV~XpQ+C!Ra$Eb8MSdohpEkTb3iqEgdsKj5Pe+n0TCA zsg7F*av-fEV78fO{__Q>{g$7Z|F}cc2v%O3bT?rK-4(x!GEkcO&#wu@yZv`j!GHJB z?~>o09mAgzs}<^-leSujyoN*H_V25b_b?>uFg8`I&=WKlqpnV7(dY$$2ES;-Uf;a? z#T*TWPf{#W|LlQNXj?<_T1h)gMVXaEJ(rk0WbdMbN zOu*tJ+yASUDaSnj*UDmXd~mgmcf3*2E<#~kWyX*H!#G~tb5cc~2{A`RUsX_T%{F+q z$_Sgh;a9FFmsDl2<-6a8x(bZfLJ}MKr4GCkU4R7*lyjXQDu*p}i#&HhLZtycr@7mU2gUHy-bj;W_9rh_ZqoN&mKVCIF0ggp z6LV;r%QFKCkfTpojHtMt=6KpGjT@+jSNy2^T#ZKEkZ>g z9=TaDQCe{0%VsCHbhFeTLGavhVHv|%yV0f{?C-@SaL~N|ygd?>HPq-k6m{mw*tC3Lhdfxjd{i zKi~EKc`~%+6P26&%v)$=)G$iSjkZT%n zTRM`vkQ+DF#Ik;t5IfRh49@Uk7=vc#q~1gDsVcdJVtl9rxZ)cQAor1Jm2<#;KjJNA zyq&vYIm8wH;xYYvFab{+%}<0sr7X=B{ilSSawMs`wJu(6$Ho8XN9w>-_4Y_^HIsZ* zh;m(wLCg6hpL%ki@r~We@~nJL4$b|dHR>X|&8*~`@6XML?Wo+NK{^UYfl&rLZmSa=Z!9vpMnuyZWdqY}iL5^9zfkTXF2$n&gi2 zDs8(cb+l;@UQ#K5N!5Q22VQa1g&h)|?dcf5YG*mF-=jPJcz;DrA1R{^Ok zVjo8s=cr#>uvw)3FSi87&nR)zep%4 z?1xh6YQu%wu6iyA)P5DIthM>xahY8OaRSi8JK35#beF?1*88K)E zr_KEO*kJe}JI#=ZB^h}}>#MX{z%TghXJEu!0~No#_XS(EVs#n2-H#?8Hc}ny?p_%- zDI0aX{2gIAW4hbv_9!VKZF!pWW?=c^xbsp|9QD?O1xf-N3o05+u{x=DoW;%bMf4}FwaD)SX3HvQH8HYBm5rdQVt$qb@ z?J5`i6x(BsD$;}T{79$6(C_$$YJu8j|9Vtgw$Qq2|KFih^u7^P{IiTbqy&r?oRe?9 z2g1t7q<|cFgv!3X@axI9$!7q`!f;n`NencC7RX5y8sS7HjJ=+b_79Q!{<}-g`rdQu z0p`rlgqNi;k7Z9nWlW9UA8*y~>|2<`y;kyvYxQPQw;tngaQK7AG0K&1m#bSV{8l6r zh-=N*!bYijYf0I0?K%>x(S&gFh|pLKy0=ZTq@U(vkQ4{va!K9cE1&?U-M5YNAE9z- zL1K79E)2SQQwl~a68Q;D7sP84CVJ@1SvYAi=qYUe^EvKbO{OKyH@lLS8S5NhkVDC` zs=7!%%dw?wwNDG~xbi=MQcFQVx7JLNR+n){;+w|)HP2jy>gMLzzx-3_v)jNyMh$(? zN6x9%-=b3pK`6xGh0tQea&(0pvc zFFq8#?IZ9Ete@k^MO|7L{5vk+;$d$QFQx5DIk4YX2*{*iaP!k+(*F7={RXvb3BzYq zY?G(d%udvc(qhw^+ASM8rW^3nbndfx+AVV-eX83h2gKbgLd+*|#dR6lV76?tz(EJb z3WU;(y=A`^K}C8RX+rMV$UT>*<;z=4O_hbjqf~FtCdmX?OU{BO$b0`{em62Kt*|wP zNMguELgUHj?Z5@@PVhp38gaDYymsH{kuj8#CFMedBQ~AW%OynlvZBklwYg882Z&HB zfd56SI5B@RD9yS}!!BpyS9G4b&*Yc6{-jB9Zh7hCQL09}Q+nYwtNTX11s!l8e^{Yc}tAzLPR&tH(e&`>j% zx)tNz^4|Bg{4Y)abt}$CF9XLu@f@^MgXX1M^ANvi-bXYB=p^UiJTX+Mr;%X{#0+oTk zssQFVy4piXvh+hZA<~a9*>}Y+HpV3rzP-zu20lx=fFrUbO~ebo;3Hy@Q0xEXxoW!e41GbPzaG?;zF{FGi=Fyou~4NLXy zF{Gt{=!D|8n&Fui#+1MLak$M3aZN@?#dXn8!@Ajb#O|giuXypuqDk=U&w61oY@>al z!-7Zz#TFNng_#9a$xngLq7?+nnwo=?jT|r}9&eAu=-z7a$-qVDJFwuAFi%9|$x>Zf z>=zP=rJ5bLQP%Ek{tTZ)D_TckyY_RH%?f%wq%*UYLN8a_ zz4A85t$k==^8ppgY*s~c!i>+L^Z05fW_!ZS5}te#jOETbBm6}0^jDeYAl#&L#-m(3 zF?udIAjX(_kIhgyuAuPo=B$9o9!(nS)ck~6&uemn1eCCbV=}v<&_)T{5$n#s9xZ*5 zgJ-*E2D|teaOeHxl18mzoA_8%Boh}}tmk5&P6wd1pff&_)D`RSB)#bHw=O?KHP3Td8QxrjGC zW8lTk+kH0#*U*_gpuzcA|9*fbh*eF;q>y$1J+nV$J%@0$v#PnMwh$Knmo;7En@wZ) z?$*_#oP)EgsDI~~(DUQYDR2{k+h)H3DwgM=tZg6(n$`aPlY5N>lcN2csZchC+mM_$`Rg_Kx`yy=c!@q`tGZX!XYp(wU;|BGPSVL(L6@G75 zC4G~fE!9=~Q}{p82THXv)gNX~<6V|wH!WrP=ob^X+L+P8twA)ID8>g26VN_y_ZSm3 z06yGPj8Qn1Kz%E9e_sD$4-ZRjS-S@XG}zkHEHy5H37!=3<92Q^7iEMrWJ} z*))9Sg<@QVm>daNzCf2?O@D`>QtCL%TyY*t9xAx5>x?+@5XXr>vJjaX_C3lM-2XtJ zJeTtptg#su2;x3!G~7g%J^z2TkU%$y?b132{Qoe*{(5-R`{sAG!0=vnGnZ)z=u;`g zQNmBPl1brH+I)YJC559R=?UFLrHVpc4%OlNi8{2|anm2D+8M)jRUJEguRG#Mr=g;3 z2Me@&+BRaAwS^lC*-PGW%|#de#Ob^+5srF%e&=ZYZc@H@m@J2VZbgbYn`a)$l!&=? zZ1O?jKOZt6Fd6I+pr^FL%slwFM`K;l4CtrU7Q>sbOA~24qh&#kZ*;EgEPXU4>M|Q< z;0;P*1V*74C@1SOIh}A_uqMPp9J5XJ4*=xf}3t%m%wQ;xK)(rkgf8T}JQxLrw>5rQz8Xsu_CPbFILuE1J9W zQ?yxbr?bX)=6q5euf!;hukaOVnTw?mPUz(2z;V|=$b=D;{O;b`qij0MbtD zlTr0$OxxlsmKpv&YIXEw+o%^#2>MRu*&S7Sduu#dFOTKDPw@h7c|T-watSg?m7@bm zD%m@hZrum)CQdl8%u)nq1iNZ3sYv21*^(_S(^1&nFfIdG0yEOh`*~-z0@YzYN4ON# z${b?-K-$T0VCb>wMpl~{dUJ>dnKpB_-GVN2JHvr4Za+j5ZTAd&-%i{~Blq*9eDE;u ziqb^femmMO$`_6{BUstaANb>9h^<#QWklm6Uljn)8L@a#iwQ^UeC_q25(c;#8Ta{5 zngkuxhwpid+m0xUDM@;G*VIvH{+T8Yt8{pV4>)B*92MQ?d#qzwaRqfFEXF5RO*rVi z5@bmbs9LmwH8uBNmh!ze3I%<*5qOeG*U?*REMb5h7slp3Jg`V#->Z`ktpFn z?a9b@5jx zUuH@XR#xsqqFdK%7KtWa&^o~{Om~d%BYAb8lr{*7c5&mm&vLmLp)swC;FyYxEK_C0QU8(w z&ZBrPNxc964~_ZB5cK;u<5HwK!P39U^IYfIh!n`(g_M6T{Uq=F9o zh&WMaLu;6PT`1|U0~-!1c&jb*p>u3GrX_`U$Q9)>pjB-^0Pbd*Ib!6wq@;n%TFt&` zh4_i-`AZv|;+HYZ{B-I}Q?X%}q5Se4rcTE44wXW;k=QJ0&Hk&c1Dj@g*K~F-91Zp= z|NY+S`Ezz>wfqcM&2I96s0=duaGUC86Zte%qN{g8Z5pM^DW=R{=f?|_Z|s_OFjH&r zmiIrUH`z6w066AT|E*b<>|8p%J?}L-Xy7E6&T&Yr@8xvCNa}@?Bt2>1Je+UI+~Np1 zrOH-myN$z;UNrcaWI7G4vc{q8&?rs5#P%IMhST1wU&?_`Rzfvd6FbBFO&9}+9~tG% z#qI%@%g9UCk%|ZU+98jY(5+l)W&A&8;pkIw&`Dw$L9uv-0eh9WFvVaV zY@kjMs>1%jLQu!H4y(0VWyVoK%k5>&xE;RhhAOZLlI>j V*Xd~o|H8%p`8*9x72iYa|9^eL>x}>a diff --git a/cpld/db/RAM2E.sta_cmp.5_slow.tdb b/cpld/db/RAM2E.sta_cmp.5_slow.tdb index 9daa9445a6b9c8b697bc9808196ed40a8b879991..35a05e18302253e58fa6dabe696bcae9d71cd27d 100755 GIT binary patch literal 45239 zcmdqI`9GB38$VtlNq9x2Y||zwk$s;@o3d7tgqaFSLK9;hGucwsc9mslQIeJ$sl>$;xTb&jp;)~)-ZxE{Dh z0Ds4U`=!9U5y9bMyNyi^j~W{9K8^^!9kAQP)MWQj3nOC_Ba@@Xrn@}???wgt2kt(1 z%|B$f@oo>N-Clv$cb^Ifjs(8^gOmZttXsGE<$t$zBXa-uj;r{;_n7tn@CxIugFtDdNa*_xKy2dzqjsv@9u}E74~e^cXfL6sK4lm*}1dtPwvt%o3mpO zzm^XS3chHPQDi7lDzyv3-;V#n75pRC4~^3Q;fY{jzU|YW0Z!YtZ8Iy6U%1wpyFcRl zr!;NUk62d~`>@gp5O-W1sSG5k4;Z>j>X3ct}o# zs4gl{4{GWt91498YAeZm)_z8V_(*iLI|JjdH!PE$EZfehu;}*M0nzd??GVAj4ov8BF@A1QcawX)Dr1Iy(R&qvAXVeK5%Su z=+yl6Fkn16Qrrt^FnW4%`tEt^o(Xg%A!Ef@2bXt9YB_ee)Q>8Kd57QDqKbFsW@w}h z;|}`$wj7jV!np3#^f4g>-}s4I`>DQ`pgC6lQSc__AM7F@G65AR6+kj>b;dM`J9r%n z{)F1wW9rq}oI_I0PW+qEoLH@#LG^ZE3i3)##RPnIXM_he11BVR)O}jKVhhYyHy2Y* zjY(f{Ki~K<%5qjy*e)2xeH07_dEhb-(r>;OeKlFalCkzCO*;Cs6xSvF1{)1K!F?qe zsI^4+T6`G0EdJ0@ZH&np^s$we&<%QG@SotFW8(0xTO>?0bwxUa_8*h_h$T2ARU*QT z^27Pxm&F9X8dUHXYVLGj!~y*{R8h0iPh;`nKZuDU zt^Z$Mqz$y@@7*NnLZrhrheTB7l~dx=0v!<;VeGj*{R&8NbI(-TK$S1TP@H}PkFpP7 z{UbLwFf6IBT;Ri_6kYcL_gR&7b6hMZdfm6n4X&GJa*MoNK7i=#bP{{cf&3I}vW^>6{g<<=fDDy&cYtt5i`$Wv8Hxg>|7a0A6F>cCv18gVq^7eo2l~&C3 z&tG7|W{BcA(qfymX%38_{$kqcqt$UKT zBC=hk-bc#r7b+WI>K(3)o4bUGK4=88RwWEOFspl^(e?D8M7x+@5oPSQVUr63NV&TN;~2fzBg{JBIHtas;5Vtw#$5RaNj+#fE!<%B{~sxNDXZv?6HKCrpB+5X9rGbD1vl>Y{F8wY2Nj0EUF zx8Niwbq;r`h&;l<9TBAM#UKVuUR`2tO(dM06b#-MRW#G9EGCt~W=z-l@OZY$MzLWz zLA@EYNu)_4XHaZDRQ&Q&`AG27_d$mi4omR1bKD5=VhZZ&Ock)YB6cC~P>deoP=0Qb z@@DsdP|;>yL1MTQ+V(BzKXyaC1ggLQ@h*_aWmC9Ql7;Z_T23_T8(JtQZYd{_-V*dz zye*GBty7+~WS0E&A=E!5STjAmH5uy}+G|Q}WLs_&+t`M+ZLXGWs?)~353Jj>lFRd{ z<#-7VHB~mgu9`@O3cIKOWz5zO%YX1+X-V`l0}bISeAQ~pbAHoy@qQ-KUtSeitk`&c zJ@k^o7VE=y)n?_G$y~P4tA%=SHegRqisYX#w(*V+b&yl(qL$KQq>CN$j~P384Q^87 z#tRh7I)0R;sJ}V<4r>w0YflvNK=)w(S>0C|^{O`x{Rs<=nS) zXBsmj)Q|?Ef3oN;s7p!i_bkscA2Ln-p_k7wmB(kNbY`lkL_6Q4Rd$gyy*lQrjfnOn zE9l0R1Evb7E4`4})eLBIiR|R>#oVB&tPPeRykXe1dEoND?2c*cCn0%HlO!QS-fsBp zV7>3)ims7Q(brDaP)=za6=yutlocz`B`dEsVl`_qDn@M~dZM7_5M7=@OqMK0338xi ztbh2#o}NC#9#S7@JNt-lzHJ!byR}K77lVWW;<)6Mtqw*vL`PNz)fT}n4M$Ei$k&U_ z)y2gQ(#K;k7R6JBJkBTT!K|kU>rhvd(6VGm3nbCYg?Wl8Ru!4@zzQfu7EL3Ya8VkH z+~F~KcuveSNMt-_@->=5C|f+k>!?H-Z$)D2J8na`~1I?m=A*j-V z8qTSd7}bCXX^j7PK1-rXRp@~`tUf+ly%wK+<$g@0xcTC*+v@DM;}Y@Kgr7g;FIMbY zFXGb#%YWk6XV8LH#$?4>L({jS6-^))hJ-^b-v&ra%@)De@(FU^^G)N^^4mZA>lac+ zzqYG3?p$0@nF#h_cxW{lwm3JVb84rJ0R2zoOQ#-7MMW68sO$|!o&STN zA)0teML%Of%y{(Hb1d3o5t_({wYK~%yrXqvV4P0BvpIYtp61s_e%%Xtc+>5(USZsw zJff$sUCN_Z+H6H%J4*`Mzm>2NTy-5i{iQWyF~P>M=BiF?d+EiD-y0id>kV3rL@e7%agLecO`r8`JmDIx}GUD0WMMc}+?sy*+a32^#?Sos+ zev4NdA3d_v;YzCK$|WRb5cX47{jZN3w$KVsHvH{1a-TCg0kJA3Xra9J_Oyo~Cv|fS zgdNel{qF|#f}9*H^Ggi_mNto0HQjlh%rO~sa~940X3cwpG3SD&!vde=!fQYH?z;B{ z*F>PZVA30gI(xBzXvRjU3Bu-tvi2k6sDBQ{(UjY-sVx1A%3%K=A)43`eU+x_yBd!sYQ-WN1Xz>nGxl*?sr$M|9Ecz2 zooO7G&E_&BJa|yw{`BnUX89{!h9Le}FAgVp zLx_}FyyWz);Xmo-^9`CBX{YA6iUOZ;E96G99y26VYmR)K2Yn2wy{drBd8sD71%$+N zf5?8xlFf7@Fu90qy_#s0oSVbDFW_hkw38*LN!abo%0%ur)YhQfy&c}K7uT2zqqnE_ zpSK$=lcFKTjd0lhGWKxhgcI|B%0iR7&2XbpzWnC+K$vmh<)*oMlmmZYBgjy^nE?GU~k zesjF0Lz-K}I1U{<80su3X_l|BfNpL&aHo>a0gzyWM}>Gye}VC<2=q_TAR}P-gG2zD zbVQz5W;~EI6fw?BPLDqn;f4(926{bBr!vQ`nmH#!udqgxFxU-xd31(k+h@X}FB;*; zJ=Loc{XbyTjN2P7iGM1!yR#US!7AT7-Kf}I;(*C`ic&gq97{v)=!g@Xe;5T(&c9s_ zbG%T2DxeA83lkNSz}$S9G0)Qw$ZDcjD$$vFiy8gD=zDYPGWq@`#@~wH9XF|$v@y8r zAT!5i@&juLXKD!R;YVQeg&_{o&ttHs4{7hd3$gyovF2OdU3tH2{idyzB~D4CM0a;) z95eU2n<#5)2ijhpu-f&k2tCq{-YcN_gQkNwp$%Ky??Zs4+KNfHUjA)x9nEnR2K3Tq z^%e^s62GUoYt}A-mUq-s9(;sRlO>^a^~Hsqfz)%^p+f|y$u2xYN1aW6ii^FgEO4Yo zjV#&m_9>vi$cst16i7Y=aIt`KdbJ@`oQaCs6v6v=rxVE%HM;t1<+-%)V5Z^}SC7}u zjQ4kIh&Op;0j^u7p?=IaHzZ4pdV*a4b#DLu%|6t;WuU`@v1g+kel;&ENY6|L;8UXk zX51%rMXr2cWI|~w`{g(vEm7M=tIZi6K_ZRXZ14W~@{o#<4d}wgdCryqa|X zNS~Nyq!G%Eq#cU8{Arj0D%SrP=`yhcM~(0V2ueI}a>XYbqIWMmVfMu*9=;Bm?hAr` z;ty#o{#5|sY5fUm$N3@BY17mebBqOmrj8N7f#ZhCf~}iwBbPd9t<67Y1)k|KLn$b8 z+;RzO(;H<6NJj@ID*Em+&eVQ!^+Z`v)X2B1FXw-%bUG%eaaqL{U>iv~*8;Sn@-}=I z+rEH!eh#K0i11MJCb2J;h<^;X+i7i`};>|M6;wJ*Y8GVfHY#&?9 ziC%&lJH4Cj+{<|UfTqdoNsKne90xy5qnj5olpAk?GsjeBy5#XIvjnY#x@OL6bBR4N ztr-+8X?viSKlnF0oJSw|XyU-2!m@}_iL}BK`+B1D-SsrOYckw*kxm=&V7;#~&=cs$ zofsM4dPrSEs*H2b7d10OQcHT9YExoXPiKv@5Mz5 zAid!_>{|n)Vznl3iiG8zKsje{r}CQCoSI}f2$C~w(McjKnH2j$qv}xG9SMea@T7_; z08^@r;~I2Dq~?gl{+=NwYy+3}=?N1gLmD@cE>g7yxc?~d|0u`iuq)R z3O#{>X$OCY$tm}AV7WU-;EKyeo8zQ$pB_k_HYbSI((3U}^lqdB1uXf+h3&8Z!6hY&MhCpQl~sNaH+_>B7O?`1TR8C`gDpYyF`U3f%We|+)D;G7M3m1HihkPVjyy{2eW zPN<5G^TY}$W6`86VH+wsDLO^PiRs2nRG8ca-ra&t;kq+{^ir2+&h$|g*~E}#8Oj9f zmiXp)y%_fxtSm#0@rr_ciAI~tYQ^!UjqwzL3lj9EfDi8sZR z0p5naPygE{yXf)5Gu3kPK{+(PA3qagCFDE31l3XrH31Hp+^)vSd|BNK&|xRJR3}Dt$(IPYF?NG(&nOg{w&Sv~;z4 z?dN4P8LCJ<9!{Z25gD9BZf_s>>vAm1$9-cDG`gW!dT0(oh!WFtzakjqh`8*h@yyqL zPkN;(Irf&*9M4`Ow>ijZ;!?McWu#GDLc=SU6T?9cSmHZ_9&xYH=5Yo$o$0QMMDrXK zpbz0ZtIXuaZs{?$V$vk**PRK+p;~?vf*1=;0&PI+-T82*M|zYurXb$Rw7j0MhjcDX z6tR3%q7q?{U_Td;AC=t_6a}PI$V*8ed9-erI>v@MuaIERb2irNDS0rk8gHmGt#vZr zXk$V)6}C8Mg~Ra?@n6Z02&9*KkwUlY_u(ZdupkoYJ_ zKQNaSCbxhMTZoB}*A!X{=q!^p^;WfUJMtvp07jb;Xx{`j>m0W~?Ep`XDX$7vZ(%sk z86}dRQnaU%Rlx-m88tx(&za#^~c@waLRR;VJa! z^?%AiLE`((9EAi>3{I9HhjMMDS?~tGHa#Mp+lmcI2%7#?#Kq>W=S5~GJc!-h=#ivy zAGRZ=9QI9kdh24-sfOJBqj(iehN?uR+2{;Yeriz-xwFxgQWx_8E!*h%S+7UM^1q;r zA}-Zb12g+oMdDAPb!bUTC{dGrL0?CxGM$J0^2`hcJTEnBY9hPtU(Oo9Tlu?raMj!*}hAS~o&ValEriPJ~NA9p;V7 zk~c~sl5$g|FDYX!UV1URc)w&}J-l2+A$?sma(KO%3pkU1w?$noxq8S;)G9xwcd+oW z*i%PbSwwDG?DV5J%W$bxnxe84sSZSacqM* z&*h{NUQ>WVtD4A)cUS=`54N98&5xg2jUz={Rtj}}^%}RaJL_Znw~2YpVlieTQ(cA> zz4^AZa2uJZ&YtZc>kp&Npe!1Ha~~SOgIY6h;d6^ zv)+E5H?vb!bi20DN9>cojqL*!_*J~5TYhH?VaBBJ)GQZfTa37=z$y%P9I|)Lyu+d= zl+vaOj(ZVvGb#F>%2{RxMWB76{Z$?&bvz_(j7M*HGZOVmPXMLaI{@sZfpZ*W4N?TZ zOuVa-DejBBuX1J%n?x?`jZOuMH84d|1QXs6cSACp;;s_INNLh*^h)9;ab1{`OdW+u z`N<>9@fcNK2(MZ}{DoPrfU*^p$`WKIb!SuU2Bvzbv<9(xLBcym;!UIuTo|$qOp~9? zvB~p_ZZT46%!~J1Gt*i!L2WAN0CFi2_JmmnLS3VvkoTKy_lwe6$8>o|WAa{_D*Ko! z3&gMe<_3zxv~=!OZ;r$3RZA9d$8z@84d84NyO!^DsZ<{k~?#rX_F|7 zfhN+0X}1M9YQIZNw=8-)>UI)gBgCeK)|}(Q#8CzTJN=ys(xC6cbdU4qEM>nD*|w?- zlju@8aeyV5#r&ipta#WoaK*Ysy;;SDnKSi#0~clj6#ZFiDj55v?8Q3p7o;T$;K^S&0Pn0S9q5au{Ef#lJ!jq z6v2cxopdN>K82_e^GQ~!&=i^^-9WZ!L8pelq7d4g_w#IGmSu^}8M-mXt8c@U``QV3 zLkp7Kmhl|cC1!OZ>ykmVoq>YXxCNJtbptRR)`eNg+@2_micUeR(-rG58*wxRj^;@V z6;2+Myip8V;=Cc>_`^``5KkycJd+sfVNWRWZJhYME6eaDMX6c8dfC1~*w3E4SHZ6` zQDp(=d)4lSK|NE@s{9|<(6uOxb1dsTl<@aGIpSe#AWtNV-Hgel3{n^eYEc8H2{~#) zuQbAQN@pufX&l{zmh~kF^+A3oM(J}0d2|Jkct`I)S?qceL#ciFPa-`!`+M|tW9b#< zu0%9#^otVOddfo`e58dl=K55ID=t;RXw))D%Xh@(9$K`AOqyEb9#NevWLG)4Eh~ZT zBnp`S2T;cGToW0y9ee;- zHs{vEmjG-zH6Sx-+Y;XzuNz|&LzHDGF&{uZ)#dGu>69gCq5znqNU&;Qq`_WNPEfQ}kp~<7l2np$XPH}> zmyC^Opj*c$OJ)iiW(AkH5m#jKs0Mx8o;l3n<5&j7B8T(4!FZ0CHI|x_OpiYEQ?iJ( z*O@dcMr%!4wP5aH9#j6`^)j>$>N-VIe|@uvmm<-P!Ka{X8r_aVWT1zc$-5Nuh}h;F zMNxt#dLgJKWWOk=E$1wcpbRW~ zg4`nouCYjRNSQ=V+&x3^gM`b$06ZRl;-(XjaOLCN>!{KfOc;<{HKUcs8AjqGK($Y> zmTom}K?Wu<)=9xFYjG|nmRBiD-X(EOVr-V$v_z+&Us2*)31^u2DU2Sk>5f?ZBt~?& zS^YqaF0WGowNBI~3)v)bNb*V~U?}o!9B%M*jB+C3DP?dfTyBZW005(^;4KBK$1`S* zD3n_n%nM)JfWt4P#RK&OrMPpewPM;gmk$7Rr1ZaRI>3LG7-9>b4`~UKHO!jN*(Y_P zjP|grr;Ox*CjAjcr?$p40oYw^es7#$zhWd;zUTr2fz9s(yO8gRGJtOfKq?s3TC)$3 zM3LfJ6SD~o27U$1{O4NJG6kXz{2G2zGSXaKFb~wF)YdwuiU$7Ic{BRZT4xRZ+5a__ z(I#unjeNZgq^J)NAWp6^dMTp*k5r=jCSQ#KOI--Cvm7L-qU6W{GUh!7sRJ^KN0?s| zo&5z7KOh=w%{r#>T9fqyqPnI*1aA(|K+K^73nJw}KC@b++a=taWC{f_AQvN)i>Uk&3>rvL;3J9<<}y z6nM1?*QNlw7ajk%mQM&RwFBJy+%*}`p1#)&4MwXO+MBw)mAFF;mE8_O3n$w1b6CpeSr1njGg_V7ZPWiq*^1D)gCjz8?` zxLp}qt6hMfybKe1X%-4YF8zy$i+!n*+cd(hT$$=C@^zVXM11i2@6h33A8OMPbk^bW z_9rCi*!bv$u@_#VQ`L0gjkqr!ad6FjQa^YwXVUqn^al6-XmtXWtoRd#VIAVfw@lZk zJ^Mm|Jb(VDe979|1bVSS;Oo=$70UHsYa2Pw?n8H#^{kxYJ7aBvpSxf!JWGV>P91|E z7T66+h0b~D^4^by=c$G{c<X(J>QXKIWm3kHE4upIm|5nBRo~~Oud$2oNQc4O^pZ4@_gklf}yEEAJ*aOh4 zb~?v5r(68_0WUysQq#SaplXnQ2QX(URv_PJI~5c1OW<- z51fZJss`fA)vL6q?EVG(>;Bsdzte6%UcBqPXRKUsU66WoLeuI&yJS^iBq`nCb-19g z^4ACHv&z}Asigf>4NGnL$xJq)ch0uxg^QG|VYwI*tF5VVRC?lI$T&36IhH^=a(i{tO~y4i$&K(+FR>S@c37$x6Az|(0^(Nx9|6Eum~I2o3PJJI$ImubJM`> z;?eyXj?|Cv_`>%Osi^S-=YrxYHMMc3`8iGG_i)?PzY4Usbzk7|uTyUu>U5vY8VgR^ zA42K~z3?tPeU_{R2$GdLdcHD7;}NMgD=2soaNtvaUKOs%k;;lyz;`VAzIfc@7;%AW zaUUR2IRDH%Od!_PU852CF8m=t16Oj>-M{a2%OeAwMX#)}qc5_vg>cQ21@k|{BF7Ek z;MeXNIK|6LaB#_mp<4Uq;}+_~Du9Z*!jsQ_nmFNJd?cVu)_eP`_P?9&2Q25GfKsE> zoh>`XwVdJ}c7UZ#7|99)pED2hsvMt2_I&sZA0W%^&dYFQL8%`I`-%bb?Kk1I3jF|0QF}V$@(}gC(pYa z5vOhGNhmO0DR4Cam&ZV%w;HT>4d!H4l3Cxn9%zS!M`EPBlZm{J$e}+crxQjvhJr_mDd-mK6OFs@IjwKI!%7T z@ovuNo3j2QS3Z#FkxGBdymjDl{BTG9)fcRMsH8MLXKg|G*_MT+?EWd@fTy-DT=|+A zfwT@RhzPqDe0q{yzv?w%ZV(UwF2!->jJ?LL=TyBU-k6D2-ESTx3COT(I-&%2ugKyX zBriI}?(f<)lhq{*`E}%S;oZ1Fy7)=|CpRO>e}T)(2D5KTr{aJ4d%w0xkpER`oA=kc zgcMckachn}9TysKm`4;LZWA7@$P~BMLZi7=zW>!2qm1gGCa<0!>e$|;^DOOa{)x%j z>)t2pl)Bp7jHH{N$ya`hjEntPuBJGWFC{+n6ILq zJrH6$sINWGo_;MI*(@=Yh8@MfVB>tBXNDM~O6fn2Tae0oIHj6L&X{0AexhCcB3^K{2?Z;XXPp%n_k_p!$s=a3St8{guW z>*XCb_!|1JHy2yx+r!@*Nc1!K++_VB_sgpY0sUZE`Ssxrw%55!6TUlisiTAhZ{;j@ zy0G^16KeVZ`9b-Czd4sjpIBlFp9Ni*gsk+{HS9n*Uw-C-jS8yxw{;$I`&$$q+UYYk z6k%bs64PK9V-zt4l^e^i%+~(b;+)m3e@JS8*c?0k1_2g6_s}JeXz>+)LA^${LQ2)ySZBgS=-?)89OQ1b#o^CC%eApf`ohSnlI_n#XzTu+X`mo{7-Oj`d_aD z_u$>`-DxE6AG2&5w0eo}-c7DpD|0D2Xdf2i>cHxJGT-plv&rG((aAIu&sXZ+H8L8c zuP}I++~vjTKg%$9dDiIQvK#!xmW{LiaLLYj^0B=1Ds}Mas>=>tmi~Ts&l`KYvX4=_ z^bfm?5l}C1-3Cf_uM;^ zi2C!2(1S>4U3hWI(5-;JjWz)ow?Iw*a8JTsbOx*Hpc}r>18&&ixMTZX4F1~1z#Y>O z{~?O&DTV-H>lGRGAiZDd9<(!+)(Hu}(pmR@kf;Ad&ox466BqMG$FDQvj9>qVn`tuL z_3f5daCwGDoGtoOk6p-AF||~3sqzWD{S&pcDSDoNeX~vZ6%+i>3$KE|)g1@ua5FjL zksea|1J>}Fa9V-aKK%5>JoA0{Y@Czn+Laz6NiVT+;l^ffS$`#8Lw-VvQOjzJzKJtd z8~Q*RT`8?;92yQ7`{LeGd%e%<&juF+d|?<{zw3O%s38LS9|>VnmZYZt@$!^Pip%*iR~1lnJqC)MladU^naa`Gm#;r-V)w`q7p)=)86KP{yT;*pLi>r%R|8kMHsYS6gRbe* z&vF(FJ^@40)AB3&-Bn+bbv@)Q;qe!;u333PnT@bvq@z0AGx`*uZMbI%fi}MDrfVzXkSKTci>$`)^nY?5sDJ zo}L!^8-}&aI)Pi4Sq9Tc1OM?T$Z#Z`uvJJ25SZM2PE7aKgz0{;d6Adc!6`gX+9Swg zO!(!K+Ya7f=LIJeKqarGi&sh3c#*j@tu+iDS6N4Y6p|11WprH*dWl6^3VBdJT5CDX2bLT8Xw4x)s6kuzst#@BrA@moS(LheAg z;(!%9*>8y*2l)W6-C;32|8uru%A`cyJ*(}InMRuJ{@tmbtCPhY#fqFluTzgSHZj+!v(2Z9>O-|0wxPHjPs&O^2hNPnJD zezpXdRL7Cy2M-fuvwDoU^2PJ!;iL*4;e=s=l5AAL+sgU(o|2Yzna*YPtKX=c*LtP& z?eksdh7iZy7xbP0M+$QW5lNCA{8uwsxqIKzWyJ|AdOtOD3FSfeW!$3U_#u-u_g(J@ zejY7guyK8PRtYCwt^%h?1*UH97C5wfR2;lJ;*uQE8o!G5!&*efy*t$-Z!3W?kY+DN z3I5k4mhS-cPCn(e#W~m+;ePPwh0B3t@~wOh_c!dWq)R^}pK*UM0=|GJbnq_>N0vxm zRCaiyW$@VpbkTcOt;A4p*bU1i+T_r~--2lDhVw57sD_*c-+Tt}B)Q)Jkjv3qfgJ9~ zBps6gFni~fzZj+F7}K4euTJwCmCeez?V~|CGTCo>c#}udM+hp?`vcBp)SUjUZbspQ z-XbJ3g?oCFMd#H|+9P;X0wMegEFh zfexA)jinP;0ApKDAGX2VI*P~sA!kYd%tI1`?Px7PG@-Pin z_(sp5pUCgGQ7Ag{VmK>8kG3z2N*JFfx5KD)J1_Ojs{kCiNsqfZ^x$6_MBO#5!tA&Y z*txsUWYJt2s~1U+bHJv>hu#uQ3HJd`;?K<;#oG`a@6DH}TdU|8Ony#HmC$s|1_ak6 zR=YuzvSw)p!*2z{LKY2{OG(5mftYXH0EbFnEaIBH7w7~(7CKY)F-HoB7hiTnXe)^qkQ&Wqh3#*bce!~}gS9JB^KoZ8iPs-X zHm>nGeg$da;do{?;y`Sv30l=TSe;gV^sFU~;Az<7bJgq>U#12>;2c8bCl7S_AYOd- zGtR>V7UV1}a++HC560;D#sNqwp(Da)*rWua^kG?~aN2@Ij&F^5abaEdSWNjtb{zSM zhI{+l_9uUoO66Q1 z4zSO@3!ijucpaYB3XO&@h)x-s=1Q~Sf`;CZ@zT~Cw)iEv;PKg(TY$yDgHe~#y6*}M z=gcAGq`|v@-^f4QcEbwr$UQ88mmtPIE{nBw?)js@ryZ_T(dl>SorxVIPv&#qc%q0u z9Jw1jxu<3#^cG8(hR=|9so8gO4hhsgno7rd8TXqG{F7VAjMjY{^7mE6(|k!3yZ%zS zOx{1X*ISa6dz$&3IQ|Hna;iifM{U-3Lr3hyQLnlK()9(8LleIS8%hrMg^Vv0dp*HE z=_cmx#ZRh>2l%gqd0ZYHTrf*}_|3MZeMB3b{))zD7?7|~KnKlMoe05kwg!%NHOMyM z$jgo|>pA`fr~@ttqiIJA5{zHA6kzvk*dT8PrY1IxF^iVG##EilSJqq&wJC9H~hM>N+8iX`RPXPSj4bq6mEOi`FeJMgRT~BH*rKpyGoFCOg?#Ol7 zyJ3kZ*%Gnai>`bqI8It_Hay?Xk=XmRpbs6M2WKyh;W|$ItX<7m8dJ#;l(EXPoq^;i zK2VVVKBCnTQ?=y*@Ni^#qxMG{R_V9?fgh}S+=cJbMD8YfF z6SM_II)lCPHKhD-0o0e|yW(}h`)q^*V{80$ae%MzJn%3z#TRah)apqufR4@UWa@U^ z`VxP18aT`PpDDPCDupvSs_z*h#*KaNATOQi!*N{suy~4 zklWt)Ne>=){`^}F9kYC%@Q*icwvgM?HM+VCfaj5p$CF@2%-;Sn{!%7D*=_|0k@bat z>>tKo>js?f(|SF)vCG(HLL|YROVByRsGH4OLKmpbdlmcL(6j~ZNsEXz{#+RfW=wy# zh@bSz)2p{abicP*)_22xJwNfZf?5FWJTq9JJYcaSc_>PhS*Hytu}04?#y+p_fsGe> z#g5D)Cd!D~g^7bvaemx|h_t8IOo266Uw*FiFMGPa{Kq9G=9g1E6)O}Gr`D$66j8?P zdt1Z=`D?80V09VY#ZUS>WiWbi#~TvIz57QHu@_5HT`Yw;I>r^ae1U;=+Cz*xweoKN zi}1^@ZyH2UG%HT5J-vTvog|$^#Kney4|+z#!EKFxN5mC8T}1i~&T~eqS=EgOvn$`< z*5jDxOv;x@^m-ee!tm6d9!BB5ZoDJQx29B0INbgz>iAQ+=f3&VDbEItGT9c&+xOzL z+DaZzc3dN$!2r3(;Y$SY76(4O7tyu;A-s3lHQ|B@{!1$1?y{_R4Y5C_pvDVNdrdvW zaBXO)<(a>zIWmZ5oi7;1mHTO%&fp(Nqwa#E>_&_havLq9?7XK$(yQZySZRVU$#c8|$SyFl2^m%u%@Ju*y zP^3D~@y+TV0t!-D9CI|si~9{oP!x2W_I&%7unr$SnjA-rK2}04UM;4*z}0j+emzX^ zo=N7MracVHf1Lwm+`3yQ0RnP#>&{sh>){(fw3gNGd?uNZy9ti#-qXDr%sLZ}B}4Y& z@u}aL#II3sHBMFJu0k)-S=4 zsNpwBR`}`1#L9ziS(=`&R=#_W^5^mmf{lj{(cY3CA=$dyFV(>xrE%ILVn4cl{>+kY z`cOYzvAsvS?xmnnx#sNvefYF-UQC7>d zC^f4Q%1x;T4eAjG^>nN8B^jNJP9tBtYpj3O|0dnSg>d~*VnJcArA?2}29%&v`tnyB z7y%a8T{J$D?0E+`3+Fw@mT)fU_m5ejwdVCDIdF+xCTl6?U^`W$s~L+jYas#$LRZFf zB0SY|1n+F{(Al~eKx=sUEPHzJGWevhe1I;rPG5Z5`=~wE48Sm_1n`fnKI04hcPr|8 z96Qgnf77}&F^_2S7!xj&ecZ4SuV-0%hqt<6gZ6~xlkddYEAlrXK3D#n)=~;le)V5F z8tj5_y*aa!Cf(tJaH=YhPCawf6+r@oJN|fH(mOL$4mH~6JxiUcTQZ{Cn%x?R=n6r5 zLqodJe3u*~J3*80k|`M6{Gs0TVOb$Px3JyA&vn?j92U1DToz$^O)0*6#V#GZ2G0&mbzxaJl+DQcK6F!#qsoYhzLxtsXL|Tm+8QsmIv&!_eIK0W{?O^9A=PQS+4bSE2DhJOumYPbnmh_J#3>HM;)j+S7~4aLvi z_yd^}arp1SU0RM}IX5izmPoo zSl52LKd7nR5ortz)^>tqbrv7vJ1gP{`m1heUrjC`^pGsyfHtteul4Nv&=`cYOL~wU zhi#Hs_MH-OtONH56t6|w0B6_~`^YMEAjjzZ6yyeLmMWK!s*v`dY}ZT6@==Bo%L~!U zD4ebM+8&AHC+;$xb*7!XONGes{xS`^*IC^IqrT-~Q#cV44NyB71jJ$TX)MpSRbZ8X zV5g)1`xjS2qE)6#HHKZ?(EH=MF+NwpZu}5Qq$8tFWR4Cy4Fgmk`8MmWce$A5g>I3P z*(c501zX6W3+`S|95iF9ebwb->nIDAz$ z^LE(eLIgiU;p6k9yS|vNvM|}MFFn$&ze;`1p7Sd}N4zXNb+9dFe2HB-ShdN?%NqZv z3AP92_>QrY?YCE4^uI7F{^yAq!K9_pY|52SO3(ZuJ3ow%W-mM?g=9XDl+=y2C!bmp zEM8F0iFB$5<4N;~BX5bHuT=Q{W6xqaVz+S8uKKh$U~fX>BXQoj(B zg%Gz|3_PjttEloLMA|ct3T7)h0g!F?&ph(Q0NvIzPU+K#f}3=+V2FoHHirvF=X&0T z9R#9sKCQLmT$AmnQBLc{XniXC9g7s=j1XTdv6XfmwFCb9wxR?8JDGv!Z3gGtiKmSu zF7MDTk0S5BgB%8oXBlz~sdg}@1ps!lL254|mYJeBfwyM)|4cTaplNQpxS@^|ETf)- zE@+xzPuujEo%A8jBd~s7vvNiU@dryyT>IRj!9(TOKU0z4>K48~|4fLxaSUN}QlcSW zYNAJ(;s}0op}fP7b)F4=${GR6i9;Iuw7s(7%P*lEtS!D=<#8aIG<~oH2OknBm2iEb zLrZ}(&uiYRrP*Q{^rMrA>C;3VOfYWamCzut_~tP(LJdHF)X&*0)4iYx`CfR_4ltwM zO1_A&L3~S8S{GAaL<+h(wv}X80@;NJ%jC(HEwIfg=?INDko%^6wkqmYpn#Fsk3mr7 zNU%-VK_y4#;{kfz=d=g39WTTMP?AQya$Wu@{m+{tzZBcp6ILIx`l@Rd3h#SHg6oHd z5VQJ68K(EF;x1UK<7o?Jhgb^0#~ z3J9xSn(S!+jZ^Kk(2IF1ZdiDjYr$&w-4cd#tSmxe5u@A-dl!}&mx;!Oyd5HYpsb8Wb{Q}v^y$yefiII zFE@zSkK6+UhwesE^iknO0)O$VV(k@1%b3|;*!}NN zpT3=T>TP@+fN~b(h#$a;QPxVC5`uqJO$)?v(eL}H{=M|K66)5 z=Wh8S`rJ3Fzn-*zeoRd>gT2^LoTQqu1vUrUyA$Hb=49Q->^oR4&a~Iql4ZF`_)u*a z`O#!9J#kTxWUNkct3ZdATDHQba$~SvQ-z!RoJLa2GoIZZT-(-Rd0Q`&`Z3CRTa9UW zz6bra+bme|=U)ZGc~JMhzMdWn;HZq1=Rcc$@#z|MC^ZnLWuUB#^>ACvzLtE zQDvl7z8y^%n9h7r0Dym>3#;%>R5_s@_u^I#qMT6fZik1M@Sb;u*stPf4gI6G=0`!A zvEAaw^ZorD4a4lUEB`v?XJWD6M2%Fr0{uPOzj<3jlt7{qfxGnBV{ypWsqM2Gba}T3 zvFiV%RfTzlNvzBR1n=qFQGep7bGRymqp!vtfe%+!6HA4g8c6>&Zx4r*15A=hL(^8^C_=Z{t17yebY@B+%NSQ?%nO{ z!d5_G!L!S#9WL7%Enh<{Mb!UOILMn)i*Z5?WtF8j7dpX1N9_>=u5ElcBD56VSGL+O zwn@wKr{=Y&ZNW$8!U?t5cue?-Uh%H2bd+&R=SigUf2*A$wce*%1T!>gL3lLMLj zw@5}@vn-sjqK>KaLKo965f)f~siS~V%Fpp9$TtcG;@>~qFCu#!g9eNIWgE{OiPtiU z7`lG-)u3FCoiGBk&YZB5?4|8LoUQi73UDo`o`6wnlyL<*(BkA^xF5Y zOU7GExd_d_nx zsPe&F9hO5Q-gLIMOpW%{EKhfQ=xqE9k~AV!jgdC}02Zt{ixa3iWrgj_dvr|O3qry>6plOc_8y(QIS%sQ3jC738KJw&J8~j1acbCG1F%@( zs+`$M%#x2Iu7L)$L9{)*m3ucLi5&_(G~qdpSUXPG_)YvuyHb?eijR>S^J{AuI*?L6 zaay(94lGQkvM-sOfpFu0g4B=cxOsCWZfJ@EJlAyGe6F56Hfvj9PG4ht&s+QLra)cbpj<{%E{tp#M}0r2B)nbnkj30Q1!H z?1C%XB#roY!H55hph2_X^Pl$0r0w2vQYEIAH-xD8AO%PLImZafZnzqG$+Dp(?bxzU%nja zygOoRM7e&qb182~x(Dm-T!#DW0Yu|!saHf_e8ln1bokHGLLL3yn+gi`l~(wVANO~p zlbPpd$c}KL0hAr|(Rx&X&k^S7l=2hf33y|mH`i_DSS6t5jC-*?i_&aFVIc3aU>zn0 zvF$z3`vAYzl0xukSk3+)pt72M#xO<+%iF*y%+iffgz|_Bj3;GNkM8h}V&cwZfvpcU zoN&QSiWtIz-(bHUUal_!^3|sNR~hr3mE}EEUWKpD2mvpv6)a0JPR0v=CD(oZKfX)3 zUp@q+(R`TxIw#ruo6b1;l|TI`J#o$mjw{(9%FaGCf@8>XoZA3iNkmL|OQy!~tmBg> zkng%_c9>wrm+MlYN zB0ueSxRb$!D)9JUyl55}LOv%q%NYhIyX-3?A}wGReb~NuTIh^z*TOiwEo=FIp&0R) zWrW`pIXo%V`6*>;JKe3A^CKr=^JYnBZF<`O&n)5L>*ef#ctWv}rnlPooT|{|NpA>f zM+U&U9o_duT50*VEPyF`JPm=JVv?LKDso$(=gwII;&Xytgm1#c!7dl}O7u#@)8z+X{(o0HB#5 z*d7-^3rI1miZ{#bJc1$Vs@&Z|qJ972J9#Y^tv{<3I3Xo!JKa8y7D71l8ZAeD4sP_j z%dLh`uk%fa6a2e?rVdZQ`Th%R-A<(3ZcanYTyVNEzeb^Z@~U|O!+*Hk_OWJUIe38K z_iJOk5-R60_y_hz7w}sdWf6Ph{P|}4`;~$#-f`p?E0)rfaMylQbRonKfM|JDK6Q3) zLjB1~%v&lD9-?m{Sut;HwY`Kggyo{4c#ofl^w1o&15?Agl+!$68-C%t+1%$~~=cf0{ly}WdUVWFTo#X7W^UlGe3sIuyfF>$<%x}0~Sqs#; z!DGC5dbYE(GJU?>TzyW1^m8Z(r__Qr6%k`8fP{ZLQD(d%L2FXB2hmtOkxex>6QCAyHJ z&R;}koQxO)pO&+uvM08~FOjTO)(;QJN@LrQ327A-bn{BhIafFzEXqXjXyRO9(K7EiqbxW?P&0y1Am(`N^_VMthw_AHZp-EHd z?|j?8v?o;Zqn*(4Gp(#cD`)^$`elEC2{Vs0JI$?_X*(9+^RzrQTPxpptloQy*wp*| z^2P;J8>--6v_WjWj%YN%loZG0V%v4$=%w^c)GH#xY0{P!wo}+ z@*f+zGjH()6I-)HO3)YrMiJ3pE|xqgXawNt|6mFv+^M-_X8Ci8T6hipPwch`6?fnlf4n9hL;QRdCpFZ4CSA#x&Ge`kC2#GI##S$;B6C>#A z)fQ$IHi-_H^a$t0f^HE*4C|ux_A36-k09Qf0cVGOeDOaxZqV)XrveE0;<&J*=Yd*L zC#sEQ|JFMog|e8zqNI@nQe^DRjR!Iy&LSH9XPKjrgW%=G@dy!v4YeD5QB@1Fz}ERO z9Cf9?S<^3s^f478PYfIn4JFkklW*eF%J#SMM^GkG*d{zMobX8Cx9+(3-DF*qKS-A_ zSPkw(&I66SR9*&>=U+B4KCxDY9%lZ?J5IwBuO&@pr#mgHv-17L^IfMTe=bizHUrqx z1=Bdv=z_Y?Y1b&5t|oIT8O=g1+A!Yu8$s0U9l=fNK9;4zvT^bpcLS ztU=&d)vgtud0@%|M+|43Z31EF4(;IFo|cq0Yhu2?)glR4Ec`|kw!a1P6Tggsk535u zb7NqKsLX-qzydaLod1$-*49WZmnmp3|G!Uv@kbK`jhe)%WWF00mawLb?z+lTmD9ff zS%AY`%`rGQi2RQ<|ESJ^QjCRucjxwSSZk&xzBrTpiNT7F4ZK5%nHIRmDij0xGR%gL zp_26gBoJOgM|}8s2#5-HRyX-#3)k>nKW>|cUNaoEzaXa0Y7J+Oi{C;k6buCbc|Dt2=UHR5w4B!IW@KQ&50je!ya5THIbp7F3aaDdQ5aNV@bO z#s2B6vJuq&X8V*&yh0TE!As*GOM%3+!||_(E6TIj(|FH6sd4F}DQSDj03TaooiwmJ z_=NI`b+rl|+rQf|s0(LEu@8;}1^5&F7nb|51G{W6C^K`)LKhHHfSIcN>#o?Z;ZI}y zy=fN!gs8BJAkTi1KZS}0uJ-f9pBU|OyL}jNn!|}ulI%-{aq+&@5a$X$gRUA}7FqJU zO&dk_sOFmTr_QKD!h9dW`6fojrK?jxImW-}>UlpFvsy|}WNd4mvVL3B$n@Sv5^}5w zuky-79|xan5ovq| zE1zu0;OiV!Zj5i=v|?*3Om=4tkCT*PJ1L*C){xpxy0D(as;Q%dtvA?aQAS`I#FDPc zuj4U!BQ-wKJ-h^+SLM_;j>eJgS8TR_xT9d*p2^L*KMI>4wS()%#a%u?Sw!w@nzjxcG)H}^1M?M_6KbYAFe8KDfg!kUNE$x#P>)AsVYS>HmK6KQk^>fr zKb^+kP26(0C_k7`T#c`GB)v>O896cS+I=%%(jN8$Do<1splDo(^dOTj()u*vUw96| zI)#0TY*5Es2&1@h;i28xW@pQFANzTE5L4Cmq|`V?YoUL;Cl&S*B})E%wjB%A>NcpQm>mtWyc6X?M5+%;*Tfvl??)`9i zUon#19zJCpJJ;g4X&naR(^Ii8_e+K3Pqhp2s&YNNO&T*&y9HI{`ogor1@lXwpf;_4 zvS%KjI$X4CgMp?48&LG*DgE!b` zaB{oIzRw#a)p(cq{1%0}0P2o!9*;^TYhs`xK*GU3&*%aw@e3PqRFD$o;c*9O!C>uA zMjQgjq2uOqC>dyNB|;pT;M>82|1RGmS#2%{5)lV{Zy*vx%>uFv-f`UTEtvH;f{SK0$CMk{~jT10hv*lw*;1R-R!vKn_l^r$oSr-83ygWfF)XK}) z<3HsaKWjdlraSqAXmI|#oGb9ROMy}Qc84g9Wgx_ktRO_P`+UVgWqT<~^$k<|@8egX z*(JOIL_e1#ijCwwU{?Z~GP%%a24aii8%W_1Yx+aJw}2syH7@-A`lsXk*jM1pT_}PY z=SnesS1_qx}I&2u#ZBA{fyCY5l3G z7bfiJ_q_O4(*HOa;yK3pHQzQ73rln!j;SCmUQ`FOF`^ci-# zKvg{DGhiBA-Grn_QH~LX_S|5gDxgruXf4mUBVAv-~Qd(YEOk|+%-y!WOoO8FdxFR#tC+5erCu9@F>28IRhiUI_5e#>e zB?O?Zb9goia_8c_BDLQvRkP? zR-a;^*LK9V+TQZ)T@3s%s@!w`pJBZ7n2pVgt`od@w*r5z3X;@+(MIjP7;=&#?k1Ri z4`l;kR?Q`jCISySi(d>y;v?7GzMryzq7Q4X6D;~*<@ulGi%$_ z9zXHB&YhCssiY<~-60laL`LKMjBF2EsvrPC z4Xb|*aVg634=0hcp3N$K6ydzbd!{gNZRUi%)TcQ~%Hu0U?ooU5zC@`=01m~M_exsr zffOVpyb$fW82!4<9}udC@pp?_jilJ>8H$|C8w$E_5_4z&GS0)4I5E+miPH@|%Yme# zL5nT@f0TF}`j6-1!pV&>FlLK$BAJ54*Ck?JF z_~p3>_`ElqN2Dmw-t;cc_#K(^o!p>po-_fxZIsMNI?qb`J{FiRqo`?YO0v4n4!fh< z&BuFQ8myDrDA*Q9Hi*P&{!eVl{g~D^mR^bu%>=~Dq|jU8QIFhdT}P=A7PJWB%hYGD zUb?!D9Jk}xqrrmDi{4+bW-Zc_m_@(y{v>vdjm| zi0EdMr6-P^K^@|pmb166kv`p6eWq|y?W_A7Y4+LO+vxWjGWX8@hji#8JW8XT=V-un z&5s6g0Tn+|cH3-C9ia>eP<9zf-_CT&YHWl;8N3~QoswJ%mC=MB+mZSHBhlX?MtQ<7 zptL97ib~P@mR8Y_Pe~_aT7uML3Pd}iwJ2oWrl|}Wq5ZQ?!UC{_hP53_Drv1}Zv2*h{ zC+U+|GF_^>4E{VF177T$cHjNRrgr&L^E#27VA;4&W3x`=O|c~FUqV38?h|Q}CE&(4 zWD*rIga%*CG2Sjwf(HF=GWYk+HkK^$vwXZ99fr!lUB(qzcDr063DV#{u>*a)GKImY z{raPwcK2rg3{snKTjcAv7a*FW_#5M=5+!_gkKwI@ODJEgt&qb50>0ANnm@+=1p&5#}*AklCg;pbMt-Yd21OZce4ue8vn>5ulPE zC>ocd+Np?-=r^tJmq6R!K<223bTs&6&T**eVznoF5xpe`$Mq>1=maZsNq_Cac|LUbl2RHU_G*{hANZ!J;RV%MX z`i+GqqD0;%3wvvu?kfc~CC@hl=`kRk&3Gk#jY0!hKy_&5)8F5~Fjo>R4ZC zF~oYlr?d+sP`7|C<+?t#yEd<;{`SPjh*9BHP@KNmAc)=|(j(PJzkE{6Bu zAm(bEb8i0}R8dra2PgqihaBjMa$5cN)tN&KZUL##!yO)3uB#h=ufAe9;C(R2DEro^ zde)pV$x08ug1S3IFRjsWmet#JLxI}@H10b}aP-gL%BD&gqb?lF)`ANaIsN@iA69GL zk+BsX?J{OzTlo!?#gu}z;Pa4Bnn`X8a54!K-5%+H+=CbQ#rxlKZRO<0E+&O%(|p`j zKxT($yO~!r`dUzb^LD9Ua0_Zn_5%XNpnya^&A)Z{o z{y`{v|JTF(BaS=ckGY-^bdDlSAWT=McZVJ-RM8z-^1AL16PWx-O9kLj0&mILDHVn0 zg{P1)sS=bUwZ>J_bER*9lbc<*n+exBO!SWd(XVQ)yKsZ;e=P8Iimwu_o+V9Dl>~V~ z=s+yBcHQ)zD~jiP2x1EL__6zQ>FGVD4!rXKu`6M;n>o#unN5-*u+k+pj>ZPEC~74<{t+mN3={FtN#pY-_)C+&Rv@!IQY z(S24KwVWDrJ~6jdiB0B*TqZ%P3cY+D7F9OnqNX|ZlAitf0o75~m8a5K8i+CN@-OT` zaP>KvHA`653~RO)g_d~Yx;#2wCqGStVAaJ#tIZp!2UNH-|DuW08G@f$V3v-eY`bY| z1Fpjw%aTtQzZ!PDez(j1Xz*%Fwy0fYy|1ZWn8S=d8=fZoU(5ZvEX#cOw6$%qZ~NAo zRJNGBiqk~W-NCD0xF2Mp_{$VL$scx1V9)w|pCql;r)Xo|g6!c$(0 zvEap^D1wV|ojJ;*pzlX98x zBjULt16RNmGdSu&(tbRB_ou&Xlw~bV1f(BFT}^(eJIAt>MwQ)yey!3EPA`u%zV^@a zO#8L|`?S8G@I}*gk$-CcYRqjY9`{8CRTXpC)+Ya4>3I9H+y*>I+ojVV)I+|5!Os>k zJ?kEL*6HkCxhUJE4*vf3i)v3d?-%g9Z_e%?xbU&xem^A$&$8_>MXEzR+(p5UewV8? z7aZ@0`-hbj&iI8K&% zMxpJZCW(Cki<53{YJ`n*UEI95u@*yIentv+5L13fc6RqD22JHRFrUPQyrhC}MMKBl z?=lCKs%$cmZV}s?D8&gRUZU6_oj?0en+C{(a^>NqH9S#Vlg*>FxkY~I*P-?XC$ zTya|~)9hs&$RPU63X^BP7OV~y5?QuByA5aC-o2zfZ<(8$(Z+wAQ6WAf zDeQaBD0bw~owkOOo%n%X%+ovny7xh_hiQ69t6+8w#=e!n*v44AX9=^alk|%4@O64IfAXjn zuN}OS>DEfH^Zj)`|4~rbJS)szKtEeN=O{QVpKwwMGUqa@6Bf(Xt9q$NLYLU)!3-;_ zB~fU6R#=o<$Zs7nW?cz-5-;KYT0KW>r%L4w)wh$mWuVy)f?K2Cb93|2xppKLL_X}- zfR^t(T54Q!BrB;!EyII3tGhe$ycbq?zizj7sy;7mJ3;Nq1oy|r6WWb{bcu|NF|)T) z$A8&84x%{bIl~0jj&jx)oWleTp|hQ|IVGNs)P)paCoz8ik+h3Dr6 zBT|j~VS1Kxuq{{FO~$NrkmvZd2ctE;8Ml0f+WA{)+J23xWtTMKltVD10(UcYvxhxH)f~j&nT%BU#q`q)Yt8k1Re!_ZNH3R_lvZn_ zpBY$iio&LIO5jhD1mwV+{+B^eSotmU-|MTwE7l!LcZW{FcCdDXBVVaWSSauzpdhHi zQF4fbTbs$^E7GSi_lYHm{lz=Nx0y>NcTYWGJx7t_oUQU}_m$UmXE2p(=RIE0uWJdD zPNhnzgz@$;rZD~Gu{*+kb6NUd8pcNI5v{Gb8>UtfpA*c;hVJt<>zT;=O!smP>x|a* z-R2u03BzZi=G38s>zM6GNw}(-)e#~CGoowdq+cYp9H+9L)uxW00gWtVw96Eam~+gD zNrVl5D@_08Y>=Mi$s^U;tF_dnor6_;+8xz;Xn9^K-(7PYb2hm-@yWw8GNa}zie>Kl zv4sbCuoBJjamoz&{yB}l9QzPs5$gPYN`0k^VdcSrNVD~Hmr{DSvw@SoSAGjj8s}>) zF|u&ol|#?e8yCfHdZpW@kzs*4?R>PgPJX-F zyvgsE?z{6ir=&9twdvpUvUjP#PA_)Njv~#yl3PY{`t{N;^Mo;PxK)w4d!!K&X z9#AMh=gQEueW|vUxv!4;XJNQht9E2qe%NrCXmt@PNz_e!OBg$HF1)|}O(IO+AUg6c zQ&?RT=ukFJ$}3$+biEa`;A|_=y%o09uGAvGkNOt(jeSH~Fa4y2iOZ^$UrHFxza9~v z@XPyvP!aV_#_C8KM}EI0q%8*A$T0YKX4MvC-&BKeGh{=KJxl{gU+KUgwD&D9(K{29{HB~9E!rcTqa`F<_*$Z5F!_m(|&>U^{2*TL^_ zS!#1fg#h+To`#!DC$f+3CiaY%O&bjvidlj=3<&aT3)VvB>DjRN71a(kgKSNe^g z=G_m)ZU-AO6|Ze9I8~@;nb)eTnE!Cz2*m$ zDjJDRO5UriX5zP&ec5M!SLRqt&dp9FO8a(R&TU9$=GaTDq0n|xzfHKd(CF~GTm=qR z!9IgU3up&hxV_LOY>y9eCMZ{&89@}Znd)%%B(enCrHo0It7Zu zq$NOyfM$_qzP7gKNiDfy?bWS4ThdWs#g$o;xp46&Lp!r>FZ(02BSd~$qHGiU5HJwf zM>rbFh=EQMU2Q!$nE)9T)+J<)$lU;~3AT&t>*3<>^~3{x(67i`lD=yxyBQ@>1B{6?pl(KcS_bzhu*tGcye%@xPcV7;xQ(U2u>H77LUIha_{td z$8<5}-Q*;U=Cn+!_zd3y@@lxKfnB7B%#{hw!0O5p%yy?(*Inl<6^Em*>ucv&VX}-v zsybShE2F3@Do6(pvOJM>l76;A+PANmxVN5I-?s;SG5pdJQ07R)M~Y3}5o0!W*HeG8 z=ty%Lk8w0(I)dr=oBC}HeV7R$*orl2H!dbPY$YxZY3B@WZGXtnN!VHetr#L_aB|h! zn%DEgc5Ao6ne1VqRMY7pVW;|M0?Ieo7K9XM7Sm0J-HCGQS~Y4h@MNhLo+f zSc{Eqy5h*fG5+C2O-I*c*$Tfe2E!wYVs%1hu5$*8BUVqh*x5u(a!;aVD?+?pq}^v* zKQz%nl!25~Yck`~6`8g-w(K+t?No2+r5m@aQIOLr)Q;5de| zB-+=uLJYTLi*C@>oRkk~`{temvgzAaEr;kdST2@0ES64JT6wo6x^Bp=1vc&M#Tb*? zEq}n28sgH~=c?HWhKEP`8a0p)C)Gxz4_>)h|E9Hb^nL=J_5@tfF~-vILcw9mBLR~o zehrm~FmGT$6l1pLg@PxGQlEJuK{eu2)>gncQk9TWEuIyS3O2yk?5S)CNY%iOgTGCq ziK4v>9gUP?wlO4>tmdK7(zWdMoWpRvI(dK2h3P)VYi<&20K8k|rWo_Ou zx>+)r2+{O8s^K8tX}&*Sg=#Xhf{+#BR~QrQ#qA~Ua$+;HG_Ny-4R00fB>MjIsnkju z4%U(muDYRG=^$(^VsAD~lUdL|`(jN1)T+MRrY|PPRw>;Yq802l!9lm|bHIc;H2sjC zvC)fu^v~RQIlX*Zl7FV8Jymdb@&MkO^A}ZZWM;N|`N?7^U1h*LomSS$f^xbT2$9T% zWveX8u4q_{$*A75K5L;(4rp@o0BqSRT5G=S1UNcvWpfPg17vR(}b`#^wqtY zl00Kfk6@;fUdNlx1T(X%y9y#=@giBZQ;ypSW|0x;$t1nSDsEGwND>>U)o&rjEVx}L ztD%~!U|KJ_TO^O@(`-TSZqfJrHa`>Kr=(X`3DZpm0cKh}GkWJedPX_EMJB_s)ES*Q z(W0SuhWilavx3PQ0!$ zB|Vpsn^7#sd;hPw_j>b_==sm!40ElQ={9$F5ej9$lXS(rz)nd%dT{Hk?VqfkCg<0l z1RPDe(c}!}P?rMV(ad>z-QFU1Pi`8T%kd-~)bKn#1UsdaGvDM_#*dGvFvi*5mioB; z(zv49$otDoh-nos`}&PUkhY_el|{R!8SI9OR+)MTS-d8b*3USgDNs4pMtN>YvD=Yt z*tVLhXj-p01Z%o5^XX$xnZt)$>#if9#4BN-)3lGd-t_&XK9+;9a9nszD*)PO!)dDr#(r@ z0h1r}P5r!bIcL@fT11ai9fx5VvM}#iQ4ulh$9wiI?g{fHN{dnnqBHX?Mn`QM38L9k zb~o17WTG%aE1AE_Gn7oL{3V8s=qkgTpJ!x-sOlNEN`0IjDrRw?KR^n>>RvXaEC+h@ zUF58CrNR}RWu}C-g7UI;_^W1i8}B4dS+7`@UXy!RaqEVR!}VvS|G4^P$|`Q9GN;Uv zpsAqYa#j`aAGe{+8$Exx?P#=00xP-I&qfvn#yiYXA2*lZ3)YfxlMx)Js;zu7I?DSI zOI8ni;8dN}B3U02J}IQbYC(9Sb*~^z}pqC31jW@ZhG`3V2F_a{rllxKNmVM0`!E0gRvc)|>+N#cQP`ijbzA2J3kW5K>Q z+T0aMqTJHjoR1Wrwc0V!rAQ~<J^D79D^seIQtw_RnCfOn2w8Hr+Wsg}H?7K&)uH(~L;c0XOsyuU^QzQ) z@o2Aq&etln%BKC)Q|>jJ1urFQnXJmhLyUo?rA|tDxC>HSi1INvnx}>Bm7X5|<>hvRG zv`VL5l{8@Os?Dptm-;ID_j%Bl!m5U|#UJQ5o0X~4CT3mUD4${yUVplxt z*Vk)$+N+)+M2l7HlULKvHxqDd7`?{4ZhuGp{kgxWaBoJV{jPcw@72ul}{77Ojx_iB0bz$uG0Sx?M#vPUfE@6bh0uqjYOgszzhsK+Q# zsXv~p&SHr>$fdTtbv4!!y((bka%WiDf<`QeDaMk^;&^#J;i_4T-BjO)S>dwg=Vc{T zUY_O*wEe4h#2ad}uS-zvUdaydDthaX?Nw;o|lUtS+yE(3F1`pe>2C;9+pI(7m zy|SiJxz5#V(iyvhmRkOpO}FYY@S=yHpRTnU-`E7IlIQSnqE^cCqBBO9Vq_S+xL;Tn3{kE5s2qE~yH{P?%BuG_ngNnm+FzVr)(ER5pybEj6wFr7c;33yGG_efIB>p^ab0g19>4XV z@R!Bhf2PSeFM}EXFZWoVysMzmqqV5b2#gSP>YdY6t;m|Kc$dyCkn!RE7biY*{e*1R zo@Kf#h1Qmvx5cgb`5ZGIs)##b<{_e%dRwM78X1j)ue;19&9yVyJ_|Y83y58~5bu3z zYZF>5@N~R+v!{*rp0Al8{;1^YJw!uOt+uHaDPPNE@_UQ7o2$u^$wLSBie3-x?}M{# z-z9DkpIWAx+;g>l(3$3?r>K|nMOiB-Oat+XTZm?Qq|NerTB#7r<;YI6GDho|D^xC) zSL-U3nzWRx^UWIhIZDYbx~b!4_HGO8H4Q#mE}B&mr86v3(7CI05QnBo39m11%dh}m zG5?kdOC{pQ@K?6Cl_z%+1%xNH6qMUyZZcMX5>(XCK6FhT|Bv+wPz;R}lALvAVMYb=-`!75@^PHew}oi<$kb)ycRF+!F#!errPGhO zeUxLUSmT3B5u#6+w0a~<6R6A;tO@3`;eyp!vQJVbwp#?oSL&=A-|5|(5)ZPE)~Czd zQTrswLT_1>#WHl6$5x;aRZDpy`HwBzys4t$jq3FS`FIogt+e&`)Y;c-nlL=qSYyk* z7Q_;9{nx3}9}uz5Iv=khhn~>)A|$v@HOqcHH4LY7k~jK`3@I^tRt}I-f=}#K0wwRR zh>~N2Y0UlZX_c(T(ceW>RRvUPWqv!9)*TnB@1zzC)XEbcE}Hs%QDQ-D4KvX)yOqE- zonbtk{EA~%J8R~EVT4aR?8h~e%X*j4EY`yRB-k&%d-KWCNPER>9rDHa`X`ImTyb;} z{-W#mG?Sn8S0A}lPfKVI&`wKPnMrl~l}SgLy4+D6%S4MX3Io|&pNuaL_+ps;4u|G>u=`)=nbPp zfjZ@P0VB-txjWxA)q7K@Hdoz}xs=RTl3X{s*uI;zu@jhwq^{P&ExTDUWi#b-pA>?Y zikjrUKe!w50nJQTv@y$Gr8irO8&yCS4X-?I_~3^7y-${K!g+Jh9k(B3?Z zINru`IO-|olp?U_o0y`c*m61dtcv`fh&-x~UER)ee~JH8_WrZ`+J8jz-v7{Q=W5zO z_tg0FQg^WZXtHn(1Da|7a5GS>vFH9D)AQjBylf!h^UQr}L2WH#NKG-8NmogIBlV;Q%_DO0^dh9-a z$9v~2e~E-?K%tr>N#7;gj?Lu5$%jPLCnVxHOmzd?uFnjm- zSMfZ|W^?XTcOTh$3+g9LR+1s#$6riMliEejF{HUur!n#$4HO7Yv2ukTg3!(e0bRX6 zun2M(4m0TXwdZLhvtYNx|DEtCoo^=1g|z*h2SFy+tK&Mdz#mOlvOfYHXzez4k@6bkL;RuVH@Mrs|5QWo z>lFu5*6-r?V;~HOlkIh0gb?I5`XNX7?*8bvM;C=eV#7^c;0T$sy&ZA}RUx;Jds9SO zC|2`Gp56A(HLIuGn0|ODrN0Yg-`7DIk3Q!TR9ic|TFlWpj)N-qqgwG`H6ltw3SuV% zv73UbjYB!YPnln?lPAOKO?6o?9N`zyHy^c6P!=XBz(1UJ{!ySehoKJx?&J6PTJDfL ze^96{IKs)F7$~E06i7dC`s%*#M)A5Qg{x&*0|kv=J%x3s%@uAxW-zEKio1tGQh$~D zokW^Q`^Cl)zMae4k~McgbK2himu4v9E6S%d6uJQ+QZ)8Z+{2_>3?o5xV_aa|1LU%H zq|ehxo6S+bhO?&x#rON=x0X5Nk1tNtAbe&U(e{bOJuQC5^X{dOAV&O>Iu9s5V;9d$ zIl;87;AS@PvfzLQoFei70({K~E^iH!N%KAyb1a1%;-2bDULz_0APtsup>2N>%4IKmIx zubpR39Z(L>mNlfvIuD>}ZV>x8!sw5xRHS!6+HsxW?yD2s-GPIP+;dqD`PQg3i%(hN zw?>Jtgi*yr8D_iL+2dfojdk?Wid?dhJOJBXU0rqPN1MKvVhBumDD8AXN(qLtHKy%j zh_gT76ZSbAF68sn?PbNAv>fum6riE^x5H-cUA2UB<=g-$U?UP~4!Zn<96`Im3OpMw zXam)SU6wd(j$OK_DK2lMp=1Jf0s5ePfs)^2LVY)N84zcY$!9G^Be9s^sV)lF0+hNC zlUbgRez`_QkMZ~xEbX42fc^ZJ-6c+-#!ZywaonARq!FA=ie8w6!84L~Q(Am56|Vl#7(0w4flNCNv2W;1WW5?wxPg=X)Oh z$bIf*GIQpf^S_Ms@-GgBGt^aFJc2vk|?F^iKV4X zZks8xx*@l@EzfI7M0b@n1(azWNL{l#z;WIuMbz)0pUJvlNu7dF@>aWH4dBX4k|2+fMds(rG=BSo0qjw(J zz1Ujp?XLW%B=0q2TP|q$YDK;$b{QZX-5=IPGrqQo@ceKDKROKj(@D*L>v@bM15zNc zl*^YWKklXB(Kl5goG|dOu8d_IbOv0I@yqZcUWVeK#6P4RPKQJgHjGO8k-5;GqA)`t z=VlU%yP5)%34mDYLzKrUxK-~uj(de;Op=?G&ZY{7jTOezO6oG%H$feFxhOTx8=T+l z&>Rm!;h>ZPFR7JmDam6gX%)d!`jZX>lUhZBrBqCz840L)OZ7g}6Xqi|fjLtGrklTY#x$pBXfzE6VxZ;409 z=dhD4CaURuwVbLN&es}_^*|j=+U-?DbOMugW2Qs$*f{yfM?zvIWx+Y+C*oM|ByGV= zH==xdJgdYxSKSX9PY<+apqx|0d)})>n8(Gzh745e6j70)h>03#sT$@1tvqs9mXMEx zX9i{qNTv?+x0Aiu>I>`fpllryxsOXtNF{5NR#{p)d{~OA-XR~Z#NL3@5F@69V<65A zMSNo)+>?CV%R;nXAYczzw;P&Pf<;YZ;nOrLCAW$##q#V>%3XQZZaU1cGE(^rwA}dt zR?V0U@SBQ%)GDo#BpjHpmA0}}%R!>YY?|*5q0}2htDf4HFyud}(kdC6U| z83$oc2(GRMY378Cs-AH~?`ceX8jGH0#U+pP?>(R>%~8+KYQJn9W^ymElqnErB|1;Y z|C^l$o5dV~)(aqe2A~%KBRS<1DBq!?X_gZrDU$XMsFH=R(n~7dwIs60N;%f3mXYDx z6c9C8fHtJ`wUVM-b4Xm&!rHnoV=hHQ%7KtvQ{(BO7%|vAz?hXwM*EblRl^Pp5C>AL z$btcqX1S1mXEh>g1sN@1)kQ7}UthbKvDC#|t@m72YDczxB%ngK71nb8{e)gpeBVB- z1M{qPiv09pI(;wQtz&HPs>~>5K=HMtM^MlrWSY8@R@ETPL9(Vj;8&Hk+{eEejIm&! zkd1_CDcL$Jy4?89?{r2Ip6G+laFQ*d$eI0P$YPk9CQ^S?@E2pD$SwW`h_6~?(?j{Gst zp8)+b3^Mhg0x@R%xS{^?31(4jO-GR>C;otl{s}@Y`dJmLWrhTQQbXqlg6)8QNNuuD z4ap#OVsgAu9kEHA7T09&ugw`I=e&wnhzDaDQz7*%ms9l6#nFi}+OEzKFABX@BD!71R6EGh zO@c<{wsi{pf@SvuGPN}gzB0+)(^Dg$IQeY!Q}SyP)em_(ASfEa-@m-n~`Iy)qiITK-?Mt*r0n5f!|=7 z1X2%zz>%WRi>e~B>Q#3jg)&2_kOqL9LL{ViL)gEmVa$;_Sg~WuU=x3@ONA9Gd(q2$ zTk$?1wy@<6)`ZpNVHa;n{ExvLZ?EvCBS(NqGv2CI;+zNh=&L*o^ZtZCzvIqy@r!-m zau(4T81!NwysWS@*;W-;0Agn=lGww<7!~`agHI}WjHB-u%~f`^Y$~{2hS2SCR2QdZ zTaob$;UMS-aUwL%NuSJZA>QqLq=>N-Qf=E^G&8y?*ld~o7#8V|MB1y&VYi8Q`ZA|L zAb_mY)M1O+Ht&rN$2T|_8;i?F%j6~3aEiUi_KwtWzB5LM#!!;#pnufCv7)#0z6S*E zC<~Av)BGa!9SLe2Th-%voWopf=)|{?X-(_gYnXds(BEF}lC9MDxfVhhHMln!ZBer`&vm9I489bzIj>&h0UIGrvmNJW$crD!3*Y7q3Q`@Ai+B+#R@LokR?%W? z)xDXD7=0<1Y#z^HJ5=q(lmde>p(B0KW;nz|`)|>rB27_|=@e^sMV$EnOO0`$H`zA2 z^ULvWqnb~Hp6Hu8Nk*Kx5-kJq*22L(wN}G+<}nI5vgCYK3h^4=S}C=OFkQP@K>o!g2pf`<03Lp ztCPCr@ZhWG%b8B&OtO-w7BXL~1CDDb0WWohs`Uflw*h_?n+-_ih=DEFR5w3_QH{SW zDJBPGTcNxrd>rzQx9enIOs0pqoh9V=%aoKoGg+!DOJLL>!aIuo@rSRW4eaQ(`mX2* zu_NU}|1y$mOfu?g%a||}2w^cAXm6#(X@&c&H%U}>N1ogn(SSrM=3Q>YAki0IP`#H! zr1z_qb6m2%D-zepZD8#j)YEZH=aou<%og!4f?D zG0auC67PFd06e;A@awV*!0!-(W@>4V<%!DO}&ByL;5nt zOptrb0rpoRA)V$o6JvqWsNTHly)NMAc9v@&SsQi$WL6ayPS1}i!~65cw3r(hg{kjD z*~*SG7E*?DAf*XHc784apT7Q8k5bY@N7t$tyiFUD?JCr6T*q++y9hv@Dv zYB@>~*dwYGiEqe|(EhMyhEn;t8;z}3e@T?YtQJ3pRxKil`8_>k#lzT{E7>;8X}l2w zAwyBCAT||k7IJ7~Bc+1Ie$>5jC&=291x5gF{xvtO-#3qS+y>#M)&)Lna));!U zj3hfZ0GxTKZK6cBx*cX{c}s*W0p^c|!vDqbB!f1uyQ4z!g2h}HScH-fQ}LHQOoz+X z1ueRy)G++wBB9LvH6Iq%AVKs^19$u~lYYkJ*!nHmhf9DTyjVJcdN!&`=3F2{QGw9v z7WIS~b4cCYBT^EF@&?O|$MRyvk)HthlLR~92}w{PI4Gsq-(c23wpK2cW%H3M=w?_x zjPv98q_RZN1;M*q$~go)P)$u#N8H6vlVF?L<7?eW#?xJ7jj;T6s?@qp#BHRI!Y{md zi_d*ij|t%|WHwH0;u{1O-c9ya>8vMgw=>w=88bHwaayd71>N-9S>Qa@U1tso{RtQn zXoYIZOpI-;xg6)MvS2v>O?<_6!{;#^{|Uo=F`4T+pkISIG%`<^Aty(?_>4~#rwmtJ zmcdYIkZ$=`EENQ&^F#I!OCY-6!N&QrA;p0cxfII!B{QrCW$JMxl0!9Iqnb3B1%la1 z>1I`(Vlp3Ml%*6uhpD!DgG@GYN>Lr)Iqh?S^#-M5tm$QzU_nnJ3*vHRPLqGAJs^z6>=<8P4#tEaa6IR zyQMk-{3ywAol{x>MY2Wp>U$8RXXPY#bWykrXg8~_HkefqpNWfP>F;m`goo+&ztMyD z(cL@RMBj0T{V3BT0dF-LV;Tnqsmk!yX@U@KOkzDB;mby#FX62Z$1()|K($##fm8q~ zS2&{GcB!~TdyEAsq-<6@9vn^Xw`5 z3P6b)x!DJY5f>lV)C+i6ez_b^A_5Ns`d;|FgtKg#vv``5JDmzyHQm(#>^* zvRuqIqHrQ3P8G;g+iHk0_i2t9>=-H|()R&lCy?|M5>+MDXo8vAM<|Aq%%Lr&so;X9 zGHN%Z6tVbtEh`G)!yV&wg`D-?e%7}ID^^|PaM)%vFSa^*y*LLZ#Zm*+lvO&|1?43g zQJyYjLVzi<6~BdkALK&safbUeI~YT;Y4^ZW1FlZ$*CmjnQoO-<72XsTh{Vi*1Y(q5 z8FYjW_aXHdk?<|$wAnMS*1M0qfzK^z#VG@4$pZ~lKkSLMwq!{a5;cgL{3kWxnR21C z+TIB==Qku0@h8FX@EXiX?WT^0lJiY%ba>$E)|s1+Gwv zD{h%Lq9)Sgq?r{SwI>0aPXmT`zVK{J;cKuHc$eVwbWjwp-c zDbFtvwlYui^9!JuNHBc}M!F!!NUUyyq8@?~IRaoY30AB5vjF7xQ73rJpwDuMGO)nn zH=M({@hXy|y9O-OW0nn`Th4PSWeR?tG1na-tG$s=-i|;50qa!kv-s)9o*bCXLB;rm ziRo1wCaiG^k^MZEhsy~X#P_q%y->YzBvA_MVKlmgj4vK%{&zvfl-;_>Nt9;5P%b0S z*hid8dGAkve-r^>GnJ;IHIbP$hJM%pY=)pyLcAzltL9YGd`+p~-BvNxUH87f=fo$~ zQLE(!P=h0W90o@otK365@^B+6DdqYLH99dyw}0Z2#uOmS%hyP}Ul-EjHwI`YXb*T6kaftf|H=*V@ zs~XvI-^^9e%b!tlm=Pmslp8Gf1XGw|Ol!nn7?TuDG|pK(TBgAMo^atcC`;D}XG*xy zl2$=S(R!)7b$Ol4)05Q1#!r;z_`t%*wC{ubw=A00fZ{zQrR9o<^$f}-9O#TeUl<6t zA5PUNA>8gkl{2RZXaz9Jv*aDg^0!dI{3ZABuz(oeH$gi&(!?_DCke5XIP~DKgtI@1 zpI+?15-5A1T2rR*zbNCRPjhUhIbUF!^oHjNP)-dKA8DR&jU-u%1$f!O!7tV`Nzn@n z@~o#IYd{(4H1Mg49|Q1vMColp=mN8rgs+$+)eu*Oj70gsFccl+gRv$_tA(7ZrS|uA4|>_0!PG4UHYu^;-9;BF_@CLs zJcNf=$2}mY`63SYV#wvm!^f29a)^I9pmmA5S3}Oa%!faC*T05@_{VnMm(;=uvm8*yu9PCC zc~DV53(|X#wQRAV(d{WIzS`JYl2FE(d=AxKigbnN4bzq6*0yjBdie@ZF zS6!5XIMqc~7+$s!PNWc}xpOV62#vg%dh2SQD%nb*64>$ILPOYj@*~-V)qi)aS_xqv zHyox1DXB`1n+`@ruwj&`bh7c%DrC+K_a>)^z;-TGH#GJX?6j#n8o7apUpg~3RIA)T z%=q@Z9BP@kvUH(=Ibha6DThnEpGItzG`pFsK_rZkjnQ~wvI|);zHUEw;{-#X5bReY zo1|FM%n*Sl4(8(MHrxk3&2FZ6xkXt$2NN>^F-EFZ=64&Z@$cI#KS=XK^f*dnEkrX3 zzrBZ|Op?cvNZvZpqOaW^ZKwwoe#QVELA%uV3 zN}0YY&E|$9yhElEo%+BlekN#Hn??SyC(P)yFK5Z!sUL_MaBpUDOQqvW6jmHwQ*q)) zA=srx%*FhaMr74RtZtDHp<6}JbfoY~R@A)%t_ey!baSDbPcZdrJGyU*Y#)ivZkRA} z;)xSdi_s{JsiHjPEVll;4)qxF*!9h2n9OTQ=ZD);47Nef@-uvAHYj@;wlHc z^cVbWwgAB}tqUTB)flR$lGVZ57$5ur`lrEhs3JF&Bes+DTw^CpE@m*#trv-Bi=OiL z%&Z+oG``AcMK+C)muJdOL>YuQP-ETyf$@alFNArhv4vF8r6`~+Bhocy_%GF8g48I( zHVY3TP|V6W2@FP1JzVvaU-cr3%<%;$+R^0Liq^KNaup`X--c(XTD$XI3R=4PLC$Jc zr7DIcegvOQNj2}JfEro;$*Fa@P^=>H#2@hv^lmNZtQ{)X6mvTpDXT7uIcCjj^P2+F z!7pUNS27%LcRY^0D`QHRKuwayAa1g;Mt)z(t%!Ok3aCcV)hZ3BAJzXHeh^h&7Oc@+8%X^6}kL1EuXN=!wiUQ72QDpF3o88_u8URj_M$XqORfnwhK?20r$nu|AnM!<8z)eI@P}MgIrGtB64Vgon_)QS z^Hq344x&8}a%?zWC!J&*G^P%<8Js%Fjv^1V?d8A|?3vOUS!oT_ZdF-78e>0mi^(Nd zlD+9aN*Z6O@w?l`gCVl7Q$|qO+?6$O4NP_7ZzA&!p|}t6_bl+4=GChU^u>4L)&W;f zN>;u4!ff$Vh=P$+#2@#%GAUbV2byXK<*!}HwsH952y`B%75tlu^aKW=Qd0V3d^NM^ zD=aN=xUA5BWSW#Xqve!Kno1ev@sePx_B7!dxX#VxD+zf{8T0K@%)o7=mm;c26?l;W z4`u!sPbF>1O)+qo);|yFuU-QoILEFdlHeMayevr}+11YKbw_8`R$_>^?5Tj#)(;;# z4~m1gGxoLJu`X;Gq^u)8PGWE^W*yjMr+Ro*^1~J`aoQ6BU*Hb)rBF3WMOG-O`+@05 zQOh9QVe8fiH|kI0X}RDM(3W%K;e9ku$rtcI-Eu{&ZrEvGS0U{+o-CpGD|F9->0#Po z_2Ny$MQsF*5m}>3{Ko5(Nyfymh0<*B=QIhfSZ3G)K_dyO_a@a2wNS9I2n6#A!1gYh zZ@2)9$2I&w0Mz(r49e}02ahSgbr2pafmaHU*1=W@_?wKt*SJ?6Aax)=K|7QRMtHw3P)re@Y8E`Tq3Jraw6N!p+N{M3wueOKvrmU8nmx=;(g=!`jtr4kgxW z-tVt1mw6qfu590Z`&iw>k$6N3z!j}`iMtsy%W_-$|PN9gf?UGr;`E~PtV zH7L8*{NfaHIL=_IdV5Z9!3Vz;g4J912}Xl_ZEo+72D-xL9|akneGr)AJ|eBZn>4xO zPR|F!<2$eJ2@m@`aH^DkbIp@%DYE|ck)!Tj<0f0v^LsA;a|HbeH$`u9IUaCe$S`LI zRcm_fHK*297Mi}Blb3k^n{E5zz2WArhAI=c<7|i82-nW*J`F2kUe3S%zr}kT7n>;2 z5XXeyKaM`ku=$>UAYtTj@6J%=MMGMIgOy2>iSF)?+*=j@WE?{0O3U8TL%MEc`BA@B z{!^4M?P+`eEA3AQoj_K9*XKpF{I~a;^VSAy8krZp&VB8>nf~#{vfDSM_x_mg8}Po( zld9QpmSs=qE<`Q@<^cP2{vMS8U4~@bnID%rbo)+tEGtW%VoH!9TMjw<>~kj(k@KYBn^j-ST^d zq3f8A>u|xX+eJYKa`Ogh3+*28*WLa8V#oB^qUYD$7XA`#d-lEY+cdK_;}5HRZT7o1 z{!uENy6gJ-^nAx117{`}-QQh5hiEqJcNsVGUb?E&Pd5Hn7i_#co#XWUNz3)$I_|z| z(mi_n?6Z*r?*th($>%Ie%N8Wl_I_~{K0kH(Px0wPO`<;&OK!d87qYI3EL5AfA881D zF+aWVd|g30`K)wb`tPp1Cl9~P|6*Z&MB#UG_*2)n;f=qzWf~caea0i+kGw1}XGwwQ z`Q^n1{3kCyrF-Ah%qh-o-0j-Yc0J1bmdP%zzQsR70nMK_pIB>iuz9j!5!!aF?1`85 zaz&>t(XWs9#?2o@5)~L(EF%&aCm)sFwJ?sGsWXn zaSr{sak}CKtqylCb`J9hc?Y0f#6x$(B_NtJa}Oihc)zrtmgiTB2V z$^V-uypetOuc+X^icjoYCV0X>@vZhP<*%rSeF~qyb{XHEIzRX9!K(QOf642TCmvHy zE&B2Hk#yEk-y=JA-2Qr;|8}&ylbqs*1ysHo|5A8a)dKGc6Hbzom({#-TTkj?qAE^ z|K4szptZph`i?6p+Mmf!dIGg4KN>zy-E>`Rqg3$pgZ{?4MTxJy?caUpXw%o4C8e%z zPgv-`Kg`wp#Y~HG;_r3k*=rmX7_ui+TuSahFcAop-7r)cmd91Z7 z*zkGZBmtD@^bp|s23?*IpTL4czoID!pIJx1i#)XaNh2f2Lir~rM z-HLx7p+9e}Pj&2=IN$JVGxx=qXQ*%esy*Y^Crx$}$K~xgOa5oer0b4G#R;y+={n68 zHO|lIg8wB7bFV~_4OR9(Tk2z^M1`B^jhDnXbskF&D=)}73b>0v*Y-8D(^g< zh|4hDatFDrKGP@e``TB0BzDfx#UMB_x4XsS=b-qcioC^wf5^Mu_v<&OC3B4Sr@YAB z6ru4kCh#@zuU}>OSWErN5%3qrkgm`i<;`;f8Z7fnqACj(GnQknZEqGN4}^CYANhXo z_po=dFTC+G|1B&=m(3mYvN|_i9WfNe8Decf4{jk<9Cw%>v&)C$7P@BAcye{9Uhz)r zbwL`VM%E^kvdZU`<{$k(QYzi|)Nt{d=)=v(PaaqKm%}gdujXGxujF5PVt-YPhIwl> zuShHGqo1SmZi|`LKs#t}K#uB0jQbJ1yxy>g*;wfNpabl$X0fIt%$$(I;t8+j z?r>suhsCzDq}GtkTlQJX$TiUoH9I}_h&M*OVn<-%6@Sxnz2duyH}5n(T5;$)aGXk{ zPMO}Jq|@UcP!ru`bL(}QS|XB!RyoAV(c?FT4*g{&0`U{fh%Pr)kZ+L|D&$BhNpQ~4|t@d`RVH$>gtPw z_bIN1DS{pWJ*MfLCb!cC?$H~!d0c+SH54wYSg=j2V%9dziaGP~@M^Jzo%qt7-EaE4 zE;VT~RyK4!5NlXd7Vu8&C^NW2PAGeS4J0+F!WjYAPc6TI#Ye5Ir`&7+&Ycwh&hRk8 ziSBS&yx#_y=eeNlp{U$SG_^>vPA-do;QYSm$yl8=SyO}wkF5kS~+cZoXJJ~w-uL6&vsk2G|lT(e6rSC zV}1v`neXsfzN*XS?6aoPM_uCagfB=d(`CJn*T8sKsQskbA0mxk0v%72ZVuWQCb?A1 z68sBy`r)73LEYcG>(g5I^+uCUXVvR2=bz}9@xo(w=anl5`peQk93A4Oi0@c44P13D z%w{xw?m2+73p{i_Wn2-}hWZ3n>Kmdq(uQr1v_8vE!@8+P5o`m`MSWA*zvkikAZk7B znflB^spZ(u=hsbKestDP5p!-GrEg1+aw}Nvi0u7i@Uw3XKCcERK9!d3SR6t zYI#{kEc7;ev=~rce0=aQ>Gdl4)b?r5r9zwA;yu@!nU8}PjAyq|e#1BP?eF8<<=p>~ zD#;ta)$xAQV;j>=!V{;dpIdI5IFbM8{&0ynIC^qr+q~>KU&z~^lJB}060@sc&-<|L zS@}u6)vE^{MiC>7FE*8t9a`shw-hR&LtJDqitxBR1PWyRRB5bBW-P2PNhJnN^Q zegfdatfae6rq+@iGqzcG_-<=*B^Uayvr^uo5l@D867KjO-4pi8NkXMEAI@u z**aId@ZPGJ;-_Jj-y6?xGFM*Sdd5Q;z0xh0b-sP-&E21OAHDmg^=Bx(~adK$EJ z*v_RptH8WVA{g3oR3~}+1z}g}+|vFIgvxu&dTd6x69j{uCJCqx)9iP5k;z!5Lqjr8io^qhRY14o^cgeHJYt)qy&WBv6uD(~g zM}Db0J+AKE)N*`N$-Exgs*@huD(2XIx*j*`GgsU7MQ!8J+g!x^Beif*amR4UXaUvG z)?}*dj-lhzuK9~3qpiu+t4IB=BK^rHy&ZuL7VM61oz{8ZDdZ{mB0 z&Qujm1#G!UR20Bnj^5n2Th!v7A`3b5-AdqmZqBA}qvi{;vU2-O*z^&OW`)JsC6E>T033m{q2v7 z>~_b@P73tzzPG_AJBW65QNYh!kJs)0q_xz^BV&W=kBw~FE0B}EW>DzYS|3loO+B>O N|C2fsKvxFf{{S6R(zyTt literal 42968 zcmdSAi#yZrA3t7iNlA2cjJ-QZiY9VqdrPI9R#6hRN|MAv&N~z#p;biXFeQX+m7L8I zQHeRWB4$P#i*0N+JN{li-|PB)uiu~WyLMf>cWw9mJlyyFeBO`e<8^QIs#UA1H>?KU zqkz{Dpgk8985M#I|M!r^L9>I0{yh>Ma^uRs<`(AvnpuGlnS;&E4q5!`6%-j05*YOF zsY`*O{~r3+%k^KMpv(UryApB>82RF?5+G*PDz(!8HLHFp|DX0}`TuF-R{t$r|MTpi zM5v+TW=`>beDB-SE3fycesw>R6K`Pdrt!iYr(?d&-223l)Er}-l81W?@46d4-pQ1q zU_$ioA6UoF$cAx+1WsuhnX84co5^f=D6vKek^EgOxcu(C|NB$>{ti~kG{bUt*F;N9 z>qh=g$gF5LYJT`3kNVC$HB?Q3ZrYFc1FyxyNRMZ=>fbLYuKyZ+M3lJi`fpnAyRqiy zK!9e#U)Xl7~2C41g;kbF`QIj7l6v@d{x}Qw+&* z5gj6VublFEyX-J6(6$^V*({h@Is~DXhAx+metysPDvUyu3o`agVifZi=A?xYkLNj= zUC^xH(=vCqq5@l~XvSpBaulHgY(1@!cVFTt@b&&B)3k)2)weFzE33?1%@ z*-fb>o1uHVWc&Tfd8I+LgVQ0l!||jaiamseLNO;uC=_5n3Gp4%b8@6_Ipd74?X;qP zQbF62CPeT$WcUMJRKx`iq*WfvRuFJ2vo)eX&KwA>H!tes#da$Q_&UT-`S)QL*~>}y z`dD<(Z#KGcRuh=Ff!ex^#Syj_l&QESc@*}Pd2=n3z<0w$)9%Ibe)+_w%B;%B2q!EY25a&my&M^Z8; zFO=9m5xBKtgHf8Le%|PyxpLHLNJeEWSutmat9dV=Ws%>{DFO&fjCe~^MYB9(L{1)7 zC~`S3#WkkUN@vOzDl+q0;v}YNw3{s99 z+b~^9{N|J8JiO!ebEUIU#n;und+t=)p0ZS_{PW-c|G#{$B$m<6RPNixPjf#zM6=d! z@_tcFlbd?q6QAF%Dp_zj<>nu~Q+Ds_9n9(}SV=A>w(JM8H{funSs97W96?eztdd) z)k(4Lt$e$yTi6K>47jgy4i|KvxAluX;+eF*6KN&8YKq|C~iOlac<^98o4CHc~}C!PTI;bIrOG;zj(k z)9KZ1C!17I$jp|(7AoU=5{Fl!YR_swp%yAldi!AFJ?HdcEDt_YZfbMI(B<7eJ z^xzAVYK_0gV zLwy!tI<#lCi!ofIEJdMwK2^Sc5T+&@Q-b`CYwx2u(%ZLS1;R}YLZS2(8TYg`mc@~? zRE!{tF55FCA3;{~J@FhWW-3jamQ}l`G?APM2r;-PcN!#b5|3`ika?Of$b}P1TLB?9 z4ZX45Zy}a5oUt-;n>gduO&d2wNBM>BBlHuZx%GHx<#~sHFnK(eFNxUZ$K-Yq62KbxvcP#q9{q;w5MpfM&8J#;&!nnxZa4)!4e#gZfB-rMY!PnCVfe`yxRh)1F-vLk(*mYgkL zvXUjgAZrzq_wb&rn4&e_E&6X^930^0e_guiM{jd`qOQVTM%pC%Tt+_3um6Y8?Q$+< z!x1dF40UM|>;cY=%8Gp}zmND9*~+8;prz!)2IDO+}iWdf7r7q#-tw7wc=USOXsw)j82{Exocgs&?2W zx%#(&tS;WO^@twP{Y#2*W#}i--#%J1Jxzyrd|bvcBrboO1vAVF7ZN(7vu4GTFQ6O# z)S6zzUCu>+CWNW+5c)+l=tSNXHIw*fqd0J8yfct&kP`ca z1xl``aJ%Tu!418>bLLWG5kW_;*5Z-M_NUp=HzbZZreTZ*k(-t{*W%n5UMR)6ZK{J4 z@+LnVD#XF|BI^R2dapQXVME-Dw>uadv7M9RFg0#8AJfFH0JRVNj@zMl$Gxf}*KG+& zC9kIq#QjDvCh~*%oR9feL~G(o)UX<@CsSc}kp*5@U_6}=6j{7}1I0UT%)J2riE7z99p5-c`ARJ8 z$F4?Lw3CyFMRY{FPY)MKKM*Vua<^Nw?9`8^iS=;EntrM|+M%`Q4)wd}dy0>>0rRVa z$UGh1h240M!mP0Btx4FHVaG8E5pVotLkZ5lp04q_SikxFr)lynuELC`Xcsp}a1lom z7(BuIZBpvpZtU`e0zRpznbA{G=(4b`sCA@MTOmgD1%#!DTqvTL%W~VWhJ2bKWC5Wp z_W%TWaOy~|l* zd^WqQRjL}gs?gfVqLXjoYfmfs?$+5&EKwzFo){;l9U-@tRWm)X|FL`y@Jr5sE??US zdPWbMpj;7^au>6}pLZ0WcWr(g|4qbM^GCYQJXQyxT)G%@rRXq0lG7V&wAjFl#Qq{U zO5dq*R$&jf)ArW&+`z!o9!WSJe>M-|HG4xPpFrP4e>Fe_3#x<^Iz*0c7g5T<8c*e~ z%;baj${&1gFPn~2FT07K*$ut>i4@X&L#PY!=wZ(G5rG64CJrA5PrkXGSinw^TxhDB zL5e92e@>6OI)1%njXCj?954~9OV)6vB3%A#V;m~lO|RDjcO@(Oxucm~vP2VUZjKMP zXze^NkERjnKahAo-yM5No5{HsZ>R^dGQ};P+!k(zo+>}paBy%wLloCMnkuu;c}MEx z6BC*{mXG#aoeTey|2a&48)`hZ^VHZ9-rinD>*8$729w9vU>dwT4Ayr z#m{?=IUa|0Om8imF;d3Qvl5?U7!wx%phq)XRm2(YusVlcEw6??oBV?l+jlUHFM5fk zmyH%ijx+N-uYt{E*Vb&XAvPA%3|Zxy7URpXKLqCmSKFg9yW*p5rEhY!QqHw0_8#6a zVydZee6)ve^bY>hijoNy@5$9%vU$?Hpaa>CX>6#oz9&oQYK&?F~S@#MyT zb#HUnUlFiXM)`7KFA=h{eQl>})fuD8bJ8Ro=2g*qR|YqDr9={+^jDo#PNK zX7sL2v}u1EpORt&a;8B9rx6p)MyPkW-gl=Mh#hB5Aa^jk=Q9b=f>_J(1 zMI;%Xgv5(pD+NyUSfET=d{fD5k5Cia3MEE!1m$0J%cQ4GJ{BlmK%4Rt>O6#y>suE4 z`VcdcduglS7F)e(q$eW#xiI z(*my+1a_WyIYR9eb$$UHwO}+bpB2)~g;s7>89hPH6Xr?3vWV8Qw|%t1395GRpz^yxypC&NgfCcNENDq@$F>(BQn$(SHe8vX*1W2goVy^ z@*3BnH3nVeW+)KDEtNO3o)eD3XyffC0t&P9@&-z$D6ya)y)KMj=38N4KJz)GGs;$K z!gz;hrzdLTT~Z{7^_;6Mc9LI>^AUyN|Jw${W2z8hWg_-j8p6yP7Om)`vHS4_;)&R; z(lv}jz4`R6Ab$NP+*dA!TVgKtjLXZ!VnuWv*%-IoTnZQM)WH~lJGvXr7avIRz2v}g z#=f12#`bpA{DV%JVTho&<^K+L@jz>!{|?SObJ1B{5s7PBV~;ELwK#wKg+sKXp`N80 zr8d8C#CITp?Q3x<#Z>Di#BF^7dhM+E zXMq_BKVWUK04PEELUS9b-{D$OpG45))~uP(6dqQ+HJfJ>UP3p`$`06M#MavP_ZsTx z1h}o`FbFik%C*5bQSnjX2vZ{_dANb#2Nyur6y=5Rx zzELsxE1#kQ*(~cUL(a^-hpd-vEW_?(8NEPW6gMZ!P562Fa&9p`vlVk3YbA#0$hPqX z?nFpDxvY&1>=JXlI)Y;J%UqH9$xa8N)Os!zpAiDiFshRniY?ti%gZcq4=ea3!n8!8 z+4`QRtpM=XVBG#VT*en-)DMlWcywxQ%M5gV*K*^v%HUov=p@;HZ&4$6Hl#U|24FEA z`2kdg8o7`$`zXdgi?L`gHZ-4ur?>hYSEz_TTO>HTVNV=K^!3Wl-eL!Uz)P!_nL zGoZ=kEZMq)pBK05ncS;6Kjiqrw+t~zwBRB1@x)AUSeOq+Ms6ed969< zKeSPs=F%NlXOX0nVPk!V9O%!{X(7jcYSyN<#@60x>RAxZ)~iHp@Ro1#LPT=USRVQw<{B-77=qB`dsXN z(?dliuk%GweJF!_Z-%kBMe-8+C2mKW3=p<0mzl-6F?s$hc z8p*W6mBAZT@+J{K1e#dvV3f@P4iQLIhYc9eEtqCt2mB9OiEt^j%MP7bRjD0-ouhT7 z&oe1H+$wXayJ)-4xc>NH97@ZKuqmSy~x~lx>UD{yE0V(1R zGQVG#3<`2EAkL?PuA-7RF-ctK6@&zmUS#kb7FJ3BWw#rjBmC`4BVxu|A+@FKJ zwg6^sAo*10(Y@%=7SgS8b83oht>LLyb%cF8`8M$x9nt1P;S%XpS4H*QCl=B~(S03= z9{fN%^J8dPOH$$ydXuNH$xV{NP#pVPqFaV7-1_mK-gi1AEM{yE3wMnCX2z(Y2z@#& zBQs=&_6Nkbgaz^@%0NYHQk2`h@;63By&~|lS>7`o%#y*2E(f0g=SE63G~~^xB2F6u zVb=McAOC#+2OyncP)kzvY5|WzgJLeWxt11;6M#D z7;Y;1>kVs8$o(jE`-P8?+>Q~DJWSl)tug}$6<74 z@K)5g8~R@PAyf;nR#&<87Gl#0WNAU1I&n{tFrB$^uq*EQA?=XmZ$0E$`DF9BolT(^jeLkBYy+r>gJDvfNfT?DEUL=2GApV za~u8(*KwlV0tIV;;6)i&Ws2Xq0fghI{SZGwDr{rYhg1e-6VB$+|3t~1fmr)b916?6 zP35ZS1vk-LdTElDwqdp4K<6(V*{Z=jTbbkf`Nb>NqsJ+Rvx|fF9t!WLM(tdh1p~VW zQeQ3?q16$iqScs|6wpR;kLcmeRvS%B25%ukNyg*0>R@(BJJq1dm_lGjI!RVPIEFAFI*sEzYRKKukF< zBjgpD1cy@Q*O-e{`P+3!t)uTRT~)v{<)1R;TSUswY{1F*JUWTaeASW^+;wk|Nk`xM z58dZ5RC71@nS9fNq37@u(JeRh#2hc)WRO*ARpSmP zZ$Wiw{cj<18NE@GmxqY}4dy{%!O&R?KIsfdWF{)ok=vkR84*~=^~k(_s4~s69e=0( zEB6uihYlfF??RAhk*ldA--Sw3!|JpKq>}%kS+yfl*o~V~rzneo%Gl5~^9+aducw}C z#EjEM_;Mi22|WDv5oqt<@C4o#l(iLWzTzy2S%=6 zOK=3u&S7q2N&w^yy^DNG2eny$;o|7*VA0_?0Mn}@!0pgvVm6%;DgFKH0ltTX=&)6i zUHD)10hE2;c}s5m(5LXg35MC$)(d50$cd^eqFC+|bCgv}E>D#3Oo{lcU$KVxC~}E0 z(7};JycOmxmj(8lmr)lP1`49ci4;1%3%Vz zWCFEOl&6EQoe*O_BSxwx{5SX3hv^=b`Pal$XQ{>@4Q)|Gk34iKkay>W1LA)~T2*;m)PbJ6k7h+`RcUuJMHU+D~} zvu1mpb8*Mf6mlAua#Vel*DU-d^;fj{+UikcD&xJu9n=d3gLTn-g^HJA)a!LO!C# z?^u83uIC=tksJ79^G?Yl6rTV06mG$!!jh?n;28C^y0VXK9js&KD8MmhQjEAGI&wqQ zMn==QMILY}6Cy~|D7h_aE|a3gwX~2P5Y6g9Hnb-3Y&6KJ#On^d?JLA1sx^)HlwL!x zHAfksbQrbHSm31ARUGCEjpDL0ffWg|kZOqrbl?*oGsx!yFNZqYV+ zMo@$NT(!Y$n;5)!Q%B^$m}X-8ypt)`gv2kc*u1-nT%ND4+%0t_lG{T+V!v^pb8U6t z8wlj&+)g6-*%CUHxLPp~R{%ZGPPQ~)QYyJb3rJNv`5V`gj<_Nk;ZiJ6z@ro$xSsrE zDm4Yx!%es;BfY(~W47HWI8I6QN{yxsIn)kd)jWFE#8&f`_0qx|pPPi+l4zuUl4%c! zkSOpSwi(P*9MlnyC1DDSsfQFEgI#3BTT3x@Fyb)c-MqK+qNNpqmKfnrEHAHe;}1Cz$@hTuntNLwC0$@8YjH7*L3J@K=FG zQ2z$@b>-YMZbv5JAJVPcaot=1kY0&{icafbR9Zq)Y0A)D?J6JbgGG*9XA9K7EncZK z4bD@##wWm?Hm=MMAuBVUK&sA0E_?`;2n>3UH%H%3Rk4MkPMt{vao?()emxZ%O> zqL?yxSK4R4g-j*!xiXa}a2z&svblwu+gTbRTb%OKrp8PTkXO=`4y0)XDia$|^nz92*WM;kFFEzcrC{1y9`!!kqdsQ~45eWkA_CUm7Q zKz^AY%wp5_kV_-Nm|}ni)6VM@FuowefuZs+(bW~T_EY(QS^`J|c>@><`{`ys0@Pqv0i6yL@JCzktjN`%>*)e=v%gZU33L96%_yan zzN*U!E9OH}i7V#A3=z~7xrgKQfgj~t7iw1o!P1Dqz>ip0Ou&l0_%`Yxz+R{kxo$)K%8FnZ z=Gcnhnu!A|p4WV(ny*->goST`DX(m8^cdyRg~ z09AY*umh-uZ+ec)svhByD~q>Y#92}GcnWI)s3Nbxb-=8U1@g**Al5=CfGR|;l(HhO zs-3wq$(COfS5}7Fig2B!n|&%zVjciSqvAyxfFyW^^akMWvMSfNv-I?@>{g?aj?w35 z7Qz}x{v+TG*A+K*FT_>j(7s>|;U(9c@x-q#lx7MIIz@^5ZmoMrWe@N<{|$`3niI z+oeqTbsu4qgRKuflE;p^zFd}ornyr_;vzxuaq#FO;&(*C@X4yFgxU%_M`6{Je^{j_ zEhF83#NXk|d)Z?+;~>t?WF9nHaGbQ5vMj=+)7r9e0GuQl{QQJM6;RcAIj!05zBHxb zR&Lz6vNui7Os;hEssPFUL_vMo*j?0AlXdYcO3-eq%!qf~=rF_2e*N{2Eh=`+V5~&zR>m4494h}UI{oU17 z9nICj!5Kf2g067;3x*nII2I30yGkUtb?eV1?Lktz!n|Kn7pE0H5rYN&=l@n-JYj(A zJk40z*4=sspvLamQL_W48s@g6I$u&7=Gx2;i>`%-{!Xn~5n@{rG3xbjYEBGD4LsTk zO8MPD%JdwK$$2^j5oaB)yf3WjAfK$1Uq3w{Ec6FgM-AI*c@;i=ycq03Y{)67-${%x z_%vX6b6La|d(Qg9>IPzDEg#E*6#XpA@WM4G=NSyEhvF|9B@gwiKQl&;%RWt>F8tuy zIu~db577V6#-*xGx_WMQ668Vq#-T=yU#?wkIAoU!sK=@?tvDS2Cc^&zI2`bZ1#?-%>spgwr}Fde#(?h3G}RyMR-SS+fR=gG zh7|}cvJj)T(C2d%`_W}Tj6_xN!0KCdVO1>+OBoBU^Cj_RaR(0qE_5k?71u7tcA%N8e`|x)E~6c5NuT~%OvQMQtv9JxCe?Wr4)i;L z35(|{k`%uYU3X>1h_LOwwTQJf1>vJMoD@QJ2=dCD{~{tPcth^iul9NMv*WHPZ=xNH zK)o<`pVq#m5uWIpwiY|g*4_zuXgDkLryBRO{f%TYC*tCr-tDn9x75VW`>N*6MmuE! zvCmk5iEFQA`12B%JqbN01JaB)UIcI}>z4lb(^89gX28CxaEA@Q-ov{LhQ|(^h$KC5 zthC?L&n9KehUY$=5cUeZV2?uxv`R@JybTSuGdum{P8seX6b_x6v)kQfj~uT59@zFQunun+62s(fp2M- z0`#5XYZXcQAyzvT5){8CnRT1>NyPdH5LBoQ;{-}or%!(Y#Sls2Eeh(C=Z)5v3Ht%VKFD|?0 z%C?Z;Mv~kd2QPj80vx}v+obtXEGQo99*@kmPJ+LqmN?`FRTcaEwb*AJsH4eW=#wn@ z`7Ws?#J`@`)_gxLvZQ%ipLsaNyVmOQ!jod3rQsiSUFlniuWoiMiBg|yR{0H5N$IhU zs5PCFn9P^dKFD_6m`n!f4vjA^GSw~FR<8V-?+WKxe}(=H!*85-H?;W&yv~j7t;=G= zfOJPapHmP@W(&p-_tWnD+3<728`?%7myW)&KU2O~DQSUWoEz#!O>U0qoeuB;?1^ax z25UP#miQlm3q79Kpfp9FbF53HHr;kp0kq_W=A78zXPfy8$fL_1xwT1f`PO~NuM0ta zh!ehDesdgXv3^A$!58f_#QdpMxZ))!y}AsIZj2D zlQoqpb|5F;2zgn;KT+ck-cR~J1_lxr3noq4K05Y(-Xe3m78$X0yu5Dd>gk0TiXrUm zX^vj*YCDjpZ^XgXPqij*Xm}Nn7eT=Gr>fkKGPbx0!Yxvd5*e6-o`p)ab958e`6#>i z%KI|k>#GadBfb$oR()5GsKno2EPoZybLn#h?LY5G5N;5w)xWF<=o-I=J8bmj?vyzD zi`Utf^dQUPsDKRzJY`oeOXuuXJ}LB%Q90|q3dE+z44DQ@>yza1Fp~D(G_s#|!1E*Bk9oZ6gzE7qWq=5aegN_Bwhh3Xe1GVy7m(Nue)s z+8#N7M%Hfgx9Ay>6^U_23Zjjx!jFx13KH}y=0i0NnF(OCCrxL4t^Tp2;TOb1Jwg4n z_z1||1?14#;9q7eOGVzxaH|ZtXBJzYZSZvo0f7K2X&$n=4m-)KB0O2mypN#b zOZqr#Z;fv$6FP}K28@yvi*GpI2vIWVk=IYBF)HEavUmi(=E>OHRVRl zX?qjqTuKwSkd{i~m`91i&8|vYWd4J(YU0kf(|xn`t{e^VdNY9!88KqX%Ktb-Ltlzr zBa3?~uHw``HWm7GdCbM4LFc}nE0w*LL2UZL=^wj{W@`(P`H?qV!whgAE%Bc|fCTw( z(ar_p?-!yed*-g&564G>s>|~gU$^Zd22Qe*$+OX|Uc(hm#MW79w9Vg@hI$ie7F$Vwl4c1X6(+O(#9B&rx3j}!0{Ls@P0yEzvk!;t z`>|o-#Vw@ya^rwp7TKIMJ5)3<@Yd276#B8TcXt9laf88tEw#3Qkdf2$D3&15HT*{U z^J)xSJ(jTr;ORJzSY7#YeleBwu%|EfPJlkYN$X{keYOoTxgz|1?F&(kXVB>YwC0n- z|IH^NbOiG8OSCEBR?IyY0R)+7D7M>@-Rr@JAc?O0=k>0^d&D@?qR=g}wHu~V2DgwT z_@}HfMdwkD+br4*khYrn+z0gD|0wA$5R^ZQKTIbn3V_SL z3u4o&hRkoL&Z5@fM}bS2JIa>h_e`)hmFt$AZz)uSYb1~;L%i#;KOZ?M?A@u+xb}Iq zg!j|zrGrjjxqZU9(pT>XsMl^ezM&mC3&6`hK{_UJUt~bktoU0tTLAHjmuXf$j?%Ax zXB<#}Y3c{CUTT76929uj4$Lz^|4A!Kjsj(>P@Ltqm4r-rP1s*o*qmB5|$EC?{HGwWZFZkNUzy@x!dLo5zwpmEz0b zKp-rG6#-k&Dr**mQ{tH)KSI-CVc&jk%Ri&Ail>I+MVMdT>zp3NQ9uniu$xiru7olAQEtel?VjAh=rckOowyy z`3bx!v9P*YxD`ZFbd>#kD8_wh2A+Mct*dq@4Hy!kcA$m`oi12>m~5gX#uDOj5x<56 zr|N=aSHtIu5n5%uU+a$7?I<4)zK((%1w=21Zaih#@BWfS@%!;3r^AJ*|J?LPiQEkU zrO)jl>Y+dDt|Klm4;dW7LoJeVBf}#1v}(;29l?%2>zx#v-5HBIH>Qh;8iS%YO1u3Y zES~(Pi`QX2xpCG2U%N$iXk8@3qH{#NpZDv5c~35;ecA<(+~iXN!K$3^tvf5}+2>_K z=)guL!!JO|-Wmz^-j)-CJt9xC!@^g8yvX0H3=qm#T@9H&`&Q z`!H(W%qGh>J_Ydku!`)5Sr%o>{p=}7f(C)N+K;s;p)vy2qbDNT4PNkuRo?WjIZSd>iDrPLcKIVP_Oy7dsF$i z#wnBDKV$$|K`;pP@xBa6%LSwU&>cAtHz0VFEwP=y^Wuhx!`xGkiH|#-sHSrJuf2)c zUxE82Dd(Q+d9cI>&4vq4*e^t5KtaP<9{i@RXe05}A*zO~DJ{~6Z+R)(nXK*%d&idy z2$JA+kZ`Xg`0uVTMlo3nn>9M}HrdcvXSrtvp6PY$8i^wfJ?LZ;X>zhC`%vRPVx zwvTon&Zyh`H>((`N$Q+aIzL#PM}82Pb&04_gLpi?{SInEBi~Ggr zhHR;5!!i6XO{@KUw#^!R30C=s9cvo6dO3G-cQRbA%gnoEngkafKHMv=o@FM>_waRt zWu7j})}|(KsJg>;{(bA%9Sy6nS^1c%3n%Ri@p!ppxRf`*QrzyZa&!`3sQ>XS|`kGT6pvmQZapQuVh<+omO}G}e$B9_YPs zJsDIw$fMeD?xa1An$se|c06#IN`O`f(*X{HePG%Tn2y$$mHb3Tp>siAAK{hC0`2yu z;8^$9`yuP>cd;z3?0Rn{jcw=a>J4vnpY%3?K)>cn4CfaYUr&JNiEK<8!k=k;E+sHY zemZH$hGoF2b|P!(v1D)N?-*$D7kHGhaP@=;5;41!S!4*=W}Ai~a|A4we!?34 zUe3PaF4iK=JI|nbUv)s}@JO_I_--yQ@$|cZF>qh25E9p{@#u7+_~!8e#ISL|6Mig$ zKN-AM7W&qu535Sv#j3wE=P}kK!t3)jKHj3u#+}C(Q-hBuo~CM*S?$X`6a2Ge8{dx( zl$u3NT?{g4-d}igMdR!+!H9CbI_5K2E#f{K^K9VrPR|#P9*9S*XoP#ku6}mwQ_<&i z;37TZDJ#~3RCnkkebv(?p7IY{rfyo@&@w02p6Uo97o|fgsiYmSqTvzFG=F^rBty7w zKjluL{^Sj%LDI|dw+Db=ED?Zaz87l^$iTd+B#gx*_KquwVzZk?bE@AhhSX*GYJQ*~ zUiEDN=0!J6|8)jAijXiCygF|rE1@Sbpk$_=x|;Y z3nh2bQsX+Je+Qn`*5-?Ec;OY}8`<0Vx=O?Pxg8~mjg{=Y4q`-6Po(!tynDL=^LAf& zz=tOF7R?{FAM7`lvHz2`${rR|(MJMQ;Oe7bRy6)pbgCf` zIQ4R6Xu3Rp)&Wy3OK4Dze#90Wi3TVZnO9*I{-o3r8ts2QyJJyb@A(I_{UKCDZ1v+9 zGz7O%>>J097HYro?PLGiG+YZC8MgSRGI2~-C=z7ep5;pxk!!F4%@y;8KhL!xICSrN z^duMxz*j7wMg&~mnP;^40zO6<;90WguOnVkZK}p%w~`3#94ilg0)C(T+)FAuAS44o z*62ylo`kWjJ|p}3+3}Bo6y94E{miKLP9XQx0bxLC=4D00735q@D{ey!Q?u#@5>7r)+X%;tR9tb?b1735`j)X#D!qF8L=%3ezn zUExpSjl^q{Ue#`u?HR1<9SwLAG5U02n*+cA{NDS1sC{?jnDy^_VI9X)W18D<(Uv@O zf4mrTGaEvW9!v+G6IZ<`{Q7d+Xdy19_9#MWY)e^ILKt(jtbpql(RFP@bhY3Jis4l$Eb=!%=Dp%l;L&aCbQwN6(Ha;SJj*S&GiE8 z7*Pra(#)bq$jk&7@|jOIOu$3k_5yE<$?|RDs$~nUbEYg}`RX40tXXaKQcmts@@6)t z|9~x3x_mq6^HK6zJE%Iq$9A)uf_T?oVg>!t-5|A?sU~3+TN5@uzh0EHkPbuqYjtdH zs#k`17jPO@f;5!N5rRDV0G8Cw@&x@>zC;g3~Nyq1TmDF80fEhL>JF__Zp3eTbL(-n4t?zuh z9hzLbE{+eL_Gfrl)jW+?Bg&QYB)Emn_D@l_91Z% zh{QbQZvQD&1^Dogvcc@!bi~871|v$hbM$1QvVv|lTzhxpM)};O*~M!=Apj|OeVf}1 zy_lM~j)s#MCeU!sTO2F>BZI&Oe~5{wngufc#KN2}IYOu9r>0qJ|ujw#mt9Rg4tdN-f5>0_-E zcAt1XE8onsT!l1mYgyOUWXA#}Xnz)j{e9K&x#EYrZVZz@K^_VCl8^?_j##zG;lE6hH{jcIL&@R{#WFmj4=~y^&z&P z_%|eS;GcEaOMSTHnW*eg--GI6(|zoyY%kyaNpe-Gpz&+I$Y+hrSL9PXwgvlsn%B>B zqHSe8?Vvr3)Zh!fuqU}xCatM%_J~Zmjl6n#LqF}LEXWD0C}Igq)bY)eZ7)$f*t(Ov!0HEsvC1;%8*jH8fVNUMJ0W|X^tvb|8TigPyj=ci%ZeU9 z{XBD`sF;@bGi@*x0)rf82}l)7Lu1$Vclxzc4I6J3MO)@sf>v`AgQwWSn%uWMpm7HFj zH+R^5=~y$YkNvbf_$j|78K~cBpS{K`O`MZ)MftOtti3KM5}oy7{9Op&E&MSB}e_6ATW;1diEHO1*gQl-ADGU*I0>rcGe*$Fvu}*NHuH`VAz8P z=SxmD-w(i7#QbM(CHk#qpVm}9OX zN=o2E#?>Hx0`{WoY_1cF%)F-O{{YN!n-h2U)6W!aGH{Cr!4E_jAGxM!w5}ANc zcp0E)Wx=w%s`V0@98_COeH!3iSj3j@W!1tGPL-qIy7pDQ;pHGQy|5OpjQGQIE(Es4 z@YwN|N4re}n$wLd-n^jB|B;(Ab7(vAR&T zU-CuaHL)RXUnBX!BK!T{dq5FXb|mb6kLuj4?Xg0#`fcK-k+5VqljwBAD@!Rw5<15u zF8k*kn`aUYlQE8U)I&1s5veI_dvmXg+un#-+ntVH&DsX8^F#~~e);Z?*mmEE^j!%3 z3bCcV4*uD_A>xG(&Hnei=Hkd0pu$Kb=W2*gcT`~XkI6Kg$Xj`@5wdI8lww5kdvUGW zjdOk2&r7pZ2Y{-@f;$kDiE}QX#!e^Zr^a;o!I813JAANsdp|K5*3%KJSxMnOOQy{3 z?5)2R8^9l{Q0(t$G?e3CQYEh}lMO;HIm%qbyI3h@C0Yo3md|C0oi{;E;=m%il(WAh zFO77XY+wR6@Kmc_;`|wA+htS$4~%n(1;5KvYnzWxmXPyb#E?6JWt*5GKM3;-%#O(x z&8XTc^5(ZreN}~m>UX##I4cmKv-Q@>B1ek82`+-x%3v(W5vYDy*!TCv%-mV8*U6B` ztoAGX!7Z|jlS6{HMnc~$=rC2_UrcDq|3lK32SW9J|9^UyRFWb@p+a_33Rx%nzLO9W zk`R(63})VuvV_Pk#=bLTD~yS3*=DR$wkhi{mN3ki^>^Rj-(T(>_dfSo&Uu~loac3( zhsGCC_IpCQr!uU9IrT5?^tI7^Dxk1Jk3SYTYRo`PcTS)`^jSpg7eL~-NH$+@J$r~_ zzYRD;i@WLXnNjNf?M^^$0Z{XTpm5L_Df@b2t`wH_`u9Vpbdxl4$)=fQzutHt8$Y!?90HFanQ--yvp3 z`>?<~cE5eCD*0II0ZqE7<%e{e{zMyqa=^JJ55%(AS7t`8zOzFuYuEnhNOVNZs9o&| zQ(74rYmVVQ6SVN{a0!?EugFDmU{}jMl&TQV31-I4DTO|`=3U}c=SJE#7i?E`EK`<> zU7ACNwv=^ZFZ zO1~+8=*Po2=zw^W|HEx(kq<;DJMvD%YZ|s;occ5=eDBtzbMQmP^7*x;`g!-@OC2P= zPvPI}9X}pD_r(nvK~3=|(OYAGWI-lUJJ?oT zgV=kZ)=A~pLEXXy=dX2*o`ofLX-&Fj^q{sEeH-w&1rQP2^{jjsJU3xV9 zc%Q!pIrF?)#pgBLB8oR$S-8Tx(_tYE)?x9+^{NFMu2k(}V+ z3EH4}bJG6ae3pE^ybj@35+L@I4{%&Lwv6YMPPDt=kbb+v;{X1vQ?F*SYSoRdyO<^v z;^7b>=0q1lE$fh8O$<5BqJ?wM>;SooK$t(fF!%r>Yb}j*0&`?*cYQ(n1fw(82 z2gW}Sb=Y#|_^DcV&nP%QFm)IGh6%%w=>IU}uDrqgE5i5fVLL^Fl9 zejmu_H`;#yg<(pUcX>(~7imKkNMXi-R$>b=-uluPDj@vJC>4u+z^{!w?6vfE#2H25}gIyqYrX9CE#rzg3FG!w{ z0U{ONevRnuW2Fp7avLXEWWlr!l!w8g-h?cx=PQ-4zkAOuGpjc}ywi&|{WiJMkQY1X1!1{RYIqOky z5vFQ?xkH;d9wf5@d*aq#3i$~4iFm2$WXh7D{5pl)K5M;EOs{r4Pd`!p9KDU&$SF=~ z-ikN}jG3p5$V-#asQ^_nhWFVrw+|l07RQH3Z-4XVJxF06BbmA$pQ#k;^FN){sdt-$ zd1dDBs{;}4$aiI;G-p6DUJ~6RjQYG^;n?Ri@*nJ2^%=ocL8n$gyRl;4p7k&Oq7vA( zlNSB#+23GpwkHntj$NgLqRX}xBF-@JI^Yw`F=B`T28OGihs&EnIM7rZ0c=hjD=9T6 zJT|VgdflORE4}kk$F_&-@&5wE$wu{zH%7}ALLnbn287penNek4vH2Z`Pmo1BDZuD% z%#NW3?wWG}c9>>NCfq(p;zZ|9SOZzckypqtP+ePK>fI?G1k$o)4iv=hTzK0BnM>Xm zJBbJaj{>6)ox4xFN`#&p3kp|g;*NG(km~X-Sb>Bs46@y_KWLxPUVK-;7kDw^;!tEg z^budw0@euG)s)!cIPMJ1zm7jLj@bW=+JSE{!(D%V`3=rt7U6g7e`e^Q)`X!+N2yHe z6CA`ykLI=}yxCtH?Y2c?c8-&DK%JQ0V)f*G*VQ$>H2inHA75A3I^`#JV>?9lZ-?ZS zkv`Bb1f)}m>LudZfxjCgdDo6B-9V@Hgnj7!3W!|f^wlOkp?88&a9I{-0U;sisSufV zj`)b2+hxd!?i8;J3cYODGDm2=^zK?(Zn0zhQoR`MR$S-tr4Jg(M40VTz20Im$t3Z6 z0(Db(k#VUksDdY4w#SL5EGX-&pCH*Yc3)?s)BQ^RS=v_Y+C6gh&2#NQubNGNxdze{ zCDRM=Uu;~TiIHh_ReiO}n+*l1;}c&J-=rucV;@{@RUwMw8Dn3xJ`yRY$8&&9Omvy; zF`@)20|EVC0Dgp32hn4bxK9VEbVHU}jx9aEhqHR)GxsW*8({g|Oq}Jbj|RLR$Wj^k z9xlOeu7~FwjmJF)9Nx9fMLT&j@w;_a%Wl|#<}L}^$^(;JW3`3f9TT(t@g=d}WeIm{ z*XN&!yK~9d3)d_oGo6;|!JBpG*ChI!mTq2}Rwgdpox1?!MJAnrxk7xdEO=wcT>p0^ z{22oHB&UJW3+r8?J8zlyBf}p(9$G5i@zFH@dK*@HH-KIs_JP@h+%ye!(bjIb;lWkN-Y_PbN+0k5R(oZ2PkR=r&`ODk(N`1sFe9gK`Q^dnFn%hw2+ z-{D$IFaRwmP}yfEE{SlrD^aUaRqYD9YjvkhWE=KdASj}L)fIB?tT(?_Ulf89^$1eH)CBbBrXi@~oJ zCxnnPi*at4l_IqSCDV}t(M&}VD)Bo6%mf(k;-VW&;Lh5i8xyv z_?D&qGY^2SuFiP4@Y1v-5r38CkHfpq(Kwl>7!W;z;Hywv>vsqugcy41*B<;U7lJuo z=z=q<3z`*XHpICRM#d;ET4As164|Bq|2!m^;HgOL3qY5YR3=@Hr>N{5^4CXzH$BC33UFBh!2e!1rH&&=eSLYvbo75pTY3oh0Bb`v}P{a zpU|`1EUO~K$@zwQbQC*)7I^ibm>^DN zA8Su)`;G%&Ah$WeWTBKIf&x*nSlrPrL4e z0=KKyPsJ`oFnQ+H8F|`VoEzg?4`j`6Gl8cFp-6=!xzSlRKP|f;C`g%i!|`V?amn|r z9R3(S7y@Dlg0CWX^?z~!=GI=AR{luiBoG6X8Fh898C?3C(+lQ}T%LWvs2xi_%~Ic% zVI8Yq?Sk}c^%8_sRESV*TZZXKl-pWJ@6wNbii>8lE1@+XVt$k z)xEd*4)5cv^iiStuL19*ZKq`S+3|1byK_gw!x%10%^I801?&rlpZ>Y#fAJtq zOJynyo|VYHt9czy*RP4sUD~zzi}=;YcKCU+3lV;q?f*!OlL;(j?IMI);5d}Q|Ki;> z9z4TihSbhPF0VOj*5a&qSo8AvYwjctcC~!IKs`2!C6LP#*gbukwuJN3es((d0-5&S z5(V(T{5a6s!FG(?Zyukt{58P_01a0|7z-W4o@JFkU?9KW=VIYq7=GV7Ps2p8m9i1X zTqKE5O-F`bcPAGt@<|0%s_Xt4V1I!M>SdsaRwo9iD4B670l`TIpFqshCrP`vg`Kpi zgnx?H7uByI5oc+k5f2oJP;?b_YIUvvul#w@u}T!6B9&6L!dOoe*s~HWxBz=Flp)N( zHte#6TkQYt0IVF;8M+pc{*#K}ak)-Bn19@hxxSfPfDuJ6B9FUh0_D|a`E>)G59vp& z5Ojb^XFp;y!2$;w&_B2y5(@jOzFcCu%235(-Imywm-b!% zioJ1L!bs2@q89oQva~?p4@QwT6~*#pD6{Nv*t4|TfAQXC2dTT@ljzPJO)+#Tg``48 z6`|h3Yk#A{c}C7N-@pz3BHs8PbQl5pdO|C8e4l@hB~Q#Otnn7s!`%30|TE{l(wDAy){=I8;3a z(<`yzN3je>zITiV`ow3hdo zeZl8=V81ht4O0zZvy&m-_3r@7-_D{qDxSHRu9e0vM4@yZ6x>Ip6bv z8oqqEmGl~zFDb;@;TJW!^SNP>V1GrL;3NCYehUKU^qQB#nA}ll6-512vL#vU&(i+Q z4XCTSAWnU^@^rQ3ym7=UU=r8(8Cf|idKemOhm?_do0gJF*LL zszwVcCB?GH=J{Ufmr3>0-t5|w5Vg;wm+n-{gC6~$SMRuzw1d~)TNy>1l+dOn)o$;E zy+GFf9b>*x6cU@a`f__WJ1%)!D)a?%YS*UI@iU>cAIJw-dTxG6bv_(NqMReQj=!Z7 z7mkB|csP>#IU>C;-H#C<2A+cBXeFDr0_W%~0O_A;E3%jSU9 zM8vD>7=h#X3$?2m`!>V_x*nw%EJr0~wYHqhd8r1i!{6EoCNHj?K(j1LH%wJh|;~Bk5ggf8%u;dW_PuwD_AX3`>L}Hb7@tv(^h-%s-P5UNTKXKDh`_ zd8Bv>#+>hH&i?YwT5LAYEbOF?ydfh6e-D#!Lw%QWj^%h$8&a@yOhbx_?4{Pkv9AAI z(Ls6kWfF7$AnxNxZtXN}6@Yc3D8JysPI=~lTkC$nk1b5Bj>fPuBB3R&deD9l9F z-`w9J{y5T($TbCWRGu)I7H(wEkBxB^BOmu*q{48(bMUKd2eVAt72S!3r5FSiC(6Z|Vi!uM` zuycDM+{}3$c1$lqZnGW;maGQ!u`WG$57B72P2W%_r+`jg{+D%;OyOWpjfq*#N6K?@ zva_iaBgD<2<2ope)yoqz`Tu#~2q`+hTQ``vTxD5q!vU5Il_2P)A1?z*$Aq1&B%7!H*?#FcC8+wS2UXw4k! z5RPXLfOcU+H!Neegvf1dfG&;i1{ir5u0w&99AdgAOL4iiAmAJg;5ttXrvyz#ns>Bw zuw8#yH`H0N4NoxV-(x)d2eX>FX`}BkG`jN(%`rl(HNwhjaV*T5iB^w;5W0~6E`00< zC*RdXsbd|Dc8&&?2uZOFp2KiUj6l%pm%4-(nylJ%BDj_}^1CVHKwEMRV<1Uul^at< z>4RH%nU?89BS#>Nj(hk?Tz0G#rH{h&r|rifxa5-7>P^XQfgktR@5gDgYI}(&>_o73 z8!BrF4zUq6t^3*l@KG+e-`JqvF9QE}UA-2OgwjWRS6%WZ}tt~@oR!nHkNN+KA z{l!BhX6;KpWN`OSI+0SNk6J5xp*nLfgS|6OlxGO#&oi}oI1(Pu~pEiyA1YC zPJ!V)M#uem_E6;e$o&q=4?Oz^YyIb#gv#TizMB5vxu-p_fBdvg(iKT>YY5)xxLT)l zgu3Ywr_;HTPRtnzbj&!?eykXsn|`WQZa}Wuh5Ggk&xe%zUXlFkGDC!3*uPiTAMDYB z+iOhAK}o5lvwR&1vhyeZNIPBehK?H{(s!m2C7!sawR*L-Rqfu#6eE>Qeht1<&8~A< zl==j#vI1U{(4Kd5*vO@py20p`FLTy6@iYx1%FQVK~dF3Oy9CshJahw3@Kkq ze3SVCyQ)*{`A(6K(Om3;3;gve$R)9%&w182pZXBT8e<83bc?)izPMf4Os*NcRc$fi z>63o3IB?A?@_m_+_%h0KWONznwMAc`b0|vTd(^!Zgib2;C@<1r?~H@ zM;y2EVO>MdTaMl+NtJraf|&=UlR(JJo6lQQ3&9@eq43Z?Be8q4*=lRUKDRYA+9`!z ze4~C9_~sdv<%m0Sppk=vhY2SKA}>M9)x|Og=@&j#TZf2M1l6hC+jrR17#-R$qWQ@G zP=yYRC{2*E z&p_uOm96?aoHF-DgpdsWuu4m6Yf*&ImfdTwoOS(ylr87gSGqGd%&;ozJ$$>tiZfZ% za(TJw^oBXNPQ{5H(&3CBNyFgnS-)9jtG?p7GAuvq-S!23DG@K>UIoz=+DzN$b6)w* zZ4Uyhq?)V!Wi0*qu^gQoqo+r)N7wOz!J}Q!%{BhPV7nv=Z|#Jk4d-%;eE-3Br@W1W zbpy>sn(fNx#9cRM7u475qZhnrdz#imc8d6M0}cIRPm|F-XQhmxSIrmpl4;zoaCXyN(Is8gXWbN5xsT+$zcLsQ!`>dL;INq zv#R%_Km~sCFMgG^uC~QgOh(>mG594*a&FpnexU7PndzTW7c_s-$MdTye~38h8Fp~; z2VqckUEJv&>G-Ex$#*!b>uPdC)36Pe$fxg1&NhjxXnW+<*HjN`sX@Wx(60)sX&cbA zS%H!(YtJLkonO-(TgP3Y`j})2RZP$}j%-~g!?kDJ6sHov8Y1CX$`Z>{=lBLCLYS$Ol7wvn7}$Y4KHP&jZv!pk|Y3(vdLf%}SUZ zCF$q=?e-ME1S_bQTY7Oo+jQZfl7)Z!Gn#0x_?Cn`gP%Dq+IihOsORu5W~016+?t@F zvEyx1?l*$fx(k-zX<44M3OH9(gVem6^%?%cDOiukvQV4jvISECmrk0}{&!zc2+88w zd(rMDnPA1PHT~)qq3&21DgIY<)a}zpopzOSx9p5{jBel$Ay+%X@%&?-#Kg;0zwk0a zJoAzdGmntb5U<)e$+i5{E4w5sC7v=?tuQkjS9ZAGfD)`=q`@iaRLKw+_1$Y{LIr}U zpS%(N-0;e`W_Y&|lPD$Ofv)FgzI~mO+sii9V8B)+;LOL*vLWDO#h(|yUN{wQwsW?0 zr)jy%u^^uxW{pBtiJjblcM6uD({HUydiclYr%Z=Nb@B?>LSTlDD|@vyamq7NpGp3( z;aHs_xX$VI;-ojz`WZ>}&~Zp`DDU=H@Z%R^!-IZaF#V(G+eaUoegN8=m2l z;u+$Goz8SmwT*V!v!5N2O6glkG)u#K_TcM&$?)ye^x{u5EDz>ib3(Y%B=W0?Ta(n_ z<^j*AVxei`C93*0QnesaQh`MZTB&UXuuJv3sT= zpkEIcp!%88I@+@;zuU=ew2C;l$EZxbX@@=Iim)qKc=7a$kxR37V%Q~9Gt-het@4Nx z-PO+nj7Z&I`Q|no7%6v2y`ALy1C#xhw1#g{2MvP8S?YL`lC`Z=z`N}z7xS-A1XI( zk%bbbTA&kj{Yq7dLJKYVdoG0s31PWZ!!ZHPYuaI*zwPcsn}?aGjk)dY>k7?CtW15f zMT2x*>aA@1mi;$e+xNTn7bo1{ABt4Awgq!sQ=9xPT>dsw6)k3(`0PV<>33s>k9_19 zfOffbIX3-xtM*$?lWN(GPi*Nv4B1!N{Q-*Eih(?a@XVZXbsIYJa49q!yOG`4#<8Vz&f#sYog>^dpmSYrY^>>4OaYo#~?fA^QhtKCgb zEfZl0FHM+2un$s``6J#B*H3BbgJK$lm_j8L7Dm?$wqAou?R{)UJr=wQQ-7T=eY4;x zvjzIw+k!(rHYG*z?qB{ORC=-PszjrX5){kbR?*X~^06}YNqrN>-gK-ik3Pv4Gra$S zmkPkkH$i1HEgR!sr?U-s7R|yzjL&NR28bg0l9Iz~pSS!SgHW>4PG=K25rciYWl!qu zNs~o~P17!EO$rB2vWq)Al2`-v38*vBvXAnt5|rX-(=giFKl^xE#CseYY!7^ZymmE3j% zr3jP0$MSIT4G(wr^S;{*YL1LJRHWa*^D}+kDw^K$)_6YlR?9wpYWLl36Pc$svU?*X z_xNp0`pdR+V;*dcuvC zD2tOtkMv{>+G={8dI}c~?M-jpo_me5yKFo7D0~yNRk-`>&cJ(HTZH8$nOpF!BRf%Z zrY+Une`%s9Xi9RmoWJ3xz-B_}q5Qa`u#f#2Ke3w26Ea>oeD`@8Ld>v}hY!Ysts7bz z9>;&?@tz5v(R2Uq`jxxk-6Orp8nh^U{y9(E_cFAL+a9gBJx8dfKG1(A%D~2y2+9~| z)f^eL_!$V)IGA| zBK%JriO)2$+?H%)w?b~@J<9RKV ztKW2k{KlX7+{hMo$hg?No~jlXOBM0li$q8tCYe=#B7813@6^4;}wG=af!$(hX6gi^Cj)CU~M2m(ez3=lZPGnYY`B(%; z%gNa|H>4|WNKUCYSHy;Em3l>2yu9dU3#uGW12u#E&5q8vHnnE>&R>EsHHrHMAbx=# zo%W6Prd3Y2{;BG-A-q+9z5ZkzZXC{&)2k`hltv@}q9wxJ~Odc5eeAW0

    vtScGTHaFG%+sE1-E$2lQ)*s%VpiPil2L2c<7%Z<7VR z1Xvy5<&qm&aZuNEsU-n*EBo`{xI9d? zS>?bx9Hw&PHZ3@U^!2Jh=`Xv-dy`?go7D(g^V(()Ic+T&0i}aJtpokBlR+}@USL0& zvE2CUEwpL$Evs03`~$MLub!t;x|598ha#BBR+w&^eRHW&wX|JrLXbqYt&B{mq@Ct? zP0(P&md-ddNdeODClG$gapcCU&L>*nmP0^{M*hmYoj#RmE^-ZJP%8caAWWOv4}%sJ z9+yd)nDm>5+h&#c-d{}IHEBOI^ljrTw4DjRm1}Am?!TuS?tdr!iF;(b1JyudzEEOa zy;`Z{Z$W;+Ws((QYCOx_K=Pn`<+e>?py@A#Y#prfmq|oO2S_;~_%l5^mptFSdfoRZ zX|`LhV7qM2;OLQW*i6e)KR^1r8UK&thyIcWpHHlT?jEs{)FZjRJaDPx(|X$4{&Yex zpfIF)y}bu_Lnhu?r?NcFnzyu*AUo4isz8*vd~Re`LK1!4F2$y$=-0{yoEtLRhzysGHjuprSO_`v8khf~ z+)En-EpX(_Ea$S4LFIuV&Kd1Da#6Z8J3m4+S5Ji(V=C&pH@uURY-2Uc@!YgO1K8=TxM})yKy! zl^B_Wi*)%`O;hhP{KY{{i+kU~v zgUIn3qU)_Qk_X!13+*Y2dCEVA+jCFlm)kOQBkWBR8W(+RkvbR{M{ z*!1Av+e#yC-=t)^@bP0QO`Nroc9eA8!LG2}#~WwU7ALCY(j?5_T#^UR9j>p0&P18- zCJsVvo}m<^FJOEW4czdM?i1O0h4S0X)FRBqAF%Q*9<|aMg_kK{t3esb()hiL(ph=0sp9zi+2#CD@4_QWxSvwX?th(&4QPIgJB9*ao}jO zEJYabh8nmfoBgw!_-#`9ghdQ^zE}LHS*fZwR>BT?4GN!e;u+kFvSp4KSrA>Fu4+Y_ z@7AWY{+J1q@nY&Y_1sUJ!OKwNMJLP5M{7z;K)PIm%9W@67svJuo=ynEgnV^PoC*$X z$L_zMtb9tp?SDQv)(S!wh@h=149AZf7`5>JJ~N%Fc+phrnMF9xJi?bta=j=vM%2T_ zCRN11q%q|8$0wu}|I`;o3pZq3)e=}GPBy}pGL5IInqSDoBc~s|whe-S%F{$SN?~lh z(Q?+yliKT_14hd)T5!-1ocwK6qihYMM1a5B35o)9_hN#eRpHiy@Yo^OgKA>Uw-(?RJjtxES)GY`ckrpiExeDjj*{M7j^QI^-Bgr_kA*rM-%b|Z1QePn!HVm+qj~BUw`99cR9wd~VtGI1WUZ*-?f#`d^27*^VF6mCEze4kD?#5$mg!xo*_`R=_S*WPr?^&LR2JkqC3 z9XZKV4oWNV8rFDPYgZ55Wr=&X`|9hOyzeQqw@6zFfV!%NW$a%!O)%HkdvMH3*jGrp zdr!!rQd}{-NS;Zb5pwdC?iz|#Q7SN~di&2UPH&#k3O|t)iG$_$w))c0H+l9yQkLuA zeiati>uLJ~vb?ZSX@-wW`&eP!>SfY4U42R9bVGi{q=lwIh26F3F~uOKHlMEx?_;H% zuSnw6++2P5c^zW!BOZ`mUI<7vNt-mdD+%Sa6p5?j9JkH*a`S|&*u6+s54lh1yOI`W zLg@#jKIfZNmPXfIJMHz3E`HotQg}TSW#U>rJ78TNm1@9yT}OXv{Z46orF`@7OTX1| z?t-xt5=b@v7OxS*p%yc>rDh+0k5;Yu$u17{Iq6}QL|^XvDDkL)5=ItS?zqgyfHyWV z10^q9ZB2F(&3aPS|IFxI)y+$}y0|#GJ^;NRf_SUJ`&3W*+J|1FG2zp1=y6fvnYNd| zK7EtboTshzuxcXZrjL{*?@G&nMA{1Wwfc*b$`J+$*5-)nDF<$vrB0$c&+=r7*$1~Yx{}=`4(qlO^Pf}r|h?uSeG}-_FRfv>a_KkY;by` zUt`x36fJvgGEFq@&Qh$k>+u+y7ZY;!eTv2U{;wt9*fLI3TraHOc6S^6qteKlWAM{#Ee_;(*B2#Zb94wAZ3~ zpu+{c&@~T-X;X)=W6bX<)rJ42Pg%E*;(b3l+j<^mLivN{1762s?nRvv59(?2x_!sr zbs+#6#`DZZ$~~=!{lnELAol%-sj6gsFT>iXm&<3B48LBC6W>UGrF$t4;)YCP=AJi8 z%)UG1nu(gKPI|~KX_zK(I^>zS%!Rn296r%XX!fa{iw#NE<226~66d!;g3l$| zre4t>`Dc2-at4i`I#S6@DT7`9u+ zWcOm>sG1tIX}#9a#NeLGKRji+`c?S;pwkV)%lz|X<=;g{8=BXOZr?U(m~GSiurhny z#5LXOLVRU8>(2nQI%?zXl(U>k!dQ7p&vuc1I=%8+ikWTL+OWrk;qOr0f+%6dhBoJn zIuBJP*Kv(L7Q5Aag_s)mZCaZinmDHheA+22p z+jKcjD{1hjMOtX1L8x?3%FV^+8!wk#t29ajCC*pXh)dbFst@V6)ir+B(v5t1BJ$eU zMvGix@Ud7(dCm!}TebRo`8F@D~lOxpE8+~&^$7_r(P~;MKun;R98F&{tfX+Rri|jy?RXj#gFUbLZzoEfVQUV7YmP+QG82(_~xxB zLbd#gd3$Tb@mBm3zw@PUsY5CO&1AfdH@>vnJ(HU(vHh?9N@*Q-HEqZ84>G?X>7lR7 z%Zk|XRTRPT(9}LL}F@5pSj0VhAO}C@2x!S zgf_yUovQuss@7kh(cZ7{laoOg%hfN>+JQ_@-tVQkcoTHa}_7**OVGwfh+!f&`*T>XSMJ3>bdk<; zT%CJur)M2u19JEOI=AW?7^PU0ow={C_%iYsG)K~=QXvF-`7_Nr@1=%Jv11M0&h0D= z-Q!4K{S+Q#8o)E^85XJ@EU9?+$ph)%d^N$)DbHwYMLgncac9$X8D@FoOO9V8sOi+o z3{52}9&v-D?|GwqD>^{(Us1SZJ!kn0boHGZsAK3zw%qXTC_p#*O4RzJd<0_=9bGaj z-KY&R{oKxl&a4qE>nH7I2y!K~rU^G)Re?@W`;28fyUc!%8t-gtcQG>yWck);HGPer zDaO%Hkpp?SOz9gQWWq`2tX^*Q?#$FuOR$D~`0XZD1iJaa)a}5D+OZlRU!1TY>39YC zd3-$vk^jv~ASnwIm0czn+VblHbJPgTrNwOXwXC_D;VlHF9cNuk72)D)9jDbVhJHBO zb6CVj-*qOgQ|{76uvcnUa%0Sbk(I>G70(eozY+GUv$M9lz^5S5%UaA1YJSv~)FOtt zi;U?f2^B1c#*QpkFZ{uN%pO!Alfy3%9AX27y0qZZdz{w^x>hW@R#i#k!>eHIRWRi$ zSb@B(nu`=KubSRD5fI_ncz^z)I#=~q7v~Nt^X+=%h2>UkqgwoS8zvG z1nmm@2R=##1|%H9ZyZt;1{qAn{Se31KrStI=MEz6WGg$@W#$B9|AdAJllJ^XTv?y(0s z7diiWjCDu;HJjRHg^&|jE=8CakvwpSgN#uwC1R)7D6ofTO*03Y8si2WR-)a=hor>B~t{dWsoO6L?diHz`M=Eo|= z#v3>@cE`U5-<>p#4cGQNJQ|!ubfhs+YoXeZ*tK9TsV3$ELsL9VO^6PrVSMGu3<_|J zw!AJp=>}Ng)6cD)7$zzh@hO*H9FxxkA28!w8`!>^go|_qK!gva0EMMIVQ8zBHACZK zo{=}%m22$b9tdRD!jPfB_&ST>CdIpiYP-E#yTpY)=vs>iQ3iBbY8W8^Bjt)}hCsa# zWYz=1?TBc!=lx=@zdLT8y|75U^#gDv!n>}r~2J2{g`nX{I*kz3P0}3nUXz9c%4qK{Cn2_F6z7)pk!_)>rY zP0WNX09)D#WwcybT65p~)*TngAd9n&8o-~=(!~KyoDgEOW7$&uz+*>^|Ac{sjwVc5 z4~Q$+u1yqUfT5-q)KtIm%g)~oe$WlJ`-4R70vZSx8QveUd%x4$Frf>=Jn07EUm)hQ z=+!X`43<_{WM4uAduF09P791anjgY0{V*e;4I+?#R@Tn-Y^g0`&hG-^1p*-guOfED z5ss387%qn&(IqLAW*{Mo+zQ~U${{wun_Uf}Lx6hGkMAIjzG2QV1V($?N;g73Hd{E* zn>MZPi$EgmM%Y4!4k8m8bVm^_cn2k6wt#K68F;v?*3&IJJGnXnagD_~5ATHBOp+`grq3704Lt!3AM6@RlDwDwD47N`%nFp(y3Rw|>Z-ih8R30Cb!;Qs(9dbB`& zn8n;ZNI{7IXI#QrN1XfPVI73oxHWSwG-G$Ve1S2Ryq5cYAo<$zyO;$g3Pd4rXR!kw zu^Q`(`%$f!%`H^Ybriip8=Xl10z9z8J6%58jkv%NfUyYY*;`nu`UNdOY`_obmzS|p z%m4MS<>szh?pj~86OtKokPSfID^Hf%yCZMGRk8oGNa6oub}lh>y%#_ah`oVY(>%;D z_DeZ>gaGj3ktEj3^(T^%!8GAQQ)@=TXEj1QUAWYM&mr^H-pTzX2vD)QJM;@X^a;Kn zNSzL(s6P0=7qf*hEuG!0AfC%;Dp_1Rgcqrt0vJgGR|ETtDji&Y%kuvqmXwRl!qf%{ z_7}HmQ&Z@z%Anq(!FKi#2DwC7|BC`z$QJy2@C4qGdoi8 zVwd&=o?}o{0FyR^vddd577?PDU1Q%`b|In>zuq4$sjTvB9e280xU^K8+~!F^WP{7x zS@pPt9jEtnt*_uuqs;$szg-=R?Ej5VA3$;rhym?nOs-MX;;@cA-oA^Tg@VZ2aagyW zwVj^+?k7vP)2KD(5!+JQ0<1Y;7r@=4D5|+x1faGPne^|j!{Epdo&dC?KQWsrM|AeD zEN=z!B0U%)2K|mAoJY#U{bzHYxHInT(|H#dDsOfh&n$VLSnBUa(y7_`RP!zd`S||F zxKRpWVLwI{uDxex|rs-QK-s$B5A#i&#JHR_emdk2=x;sZ}0@UrrqhcPc zm;ek5YTaMh4D2AVzyG5GJK8_F)X2GmhxDEUx+3(bS#p0Te;8AKo9zr}a?a+?vlDFS zUzfWLYyGb^F~|f&NFN5UGTGU?Oqr$dlYOlKknFfG_+JA%|AaK+_7M;U<`@fejO{{| zrPCbx4LAM3I&jK$41mT<<%CFN9P=o-;k#}iV)-t$hO)RD`Lz|ZE4@odptAF*F2oTY z9==u`D&jl~P;?As9dnt9(fbc!TE($D8Xwp>QVKPLBFz??N4wYd*P=G9G_jh1DG^(s zn7F|^5+SO8m_L*|z<@Up?yru!v4~aIdJlC=Aunc zS9elAAt|ty)mie3fX~Js(Y2V7M`Imq@F!>#ASbA|fn51wb-#}QA%zcpMD*VRi}Wxa z7iO|S6FU|?2(86Hz>~u6@08Dlta?W7DUr?s8ZG*xL+K5j?mkBVkb5?pp8Wp`yYhdi z-uGRpq(Y^|5|g(|kz`BOX{AWogwUicNs?qAbCQs3g%Xu{OYv5O#+L0=wqzNSv1P_S zYA|Mo+2@?^)x^Ldy4ZiIzcE_#SL{$rH~S)?wKy&y;tQGEcu zol3WFVm;CP zi2y|XAR&cO#H^V|{B^k7m{*~0kTN$hY)ESk#sy-^x?V!RSp@;DLchHf|E=L{3z3K= z@zh*E)g((;%i$cc;A5W&pp&;R|FY6WDVnIL6iZMcAK4mw4+&!=BoR8QLep8L=}A=a z{2}HxZX=pM4uN=1qd^fFtbu1NA9oVXJERO^-raP|t3G8|M%3A0xlT2h$2_(mO3GEl zwH>Gj*b8kzAZbZ;4l$-fi1N&FmsCSIk+Ylyc!$Krsp&(7?7V+(Kr~16k5ISiLo#G4 zRxuOznToMI7&A{Xriy1f$ZcaZh3`acDt7C^moS#cUw=K)A;`Rg({P89;#)!}E6Jtz zdBHeXRaD^-dC!EQ0isEtC`{R{0bg#_1+7H} zQX(qHjlz2U@ByyN^Z=?&@y_Sq>om!IfS!_DCF2{Gp8ohPxf4#N;(QOneUpOuBW&MQ z>^9Jyy?57BXgV#hH+QUNEr+>*J$``Qa8$QmMS*77$lE{W>)!kOZAEf2Y>drr5*FCB z?0qf5rmTJ@u5pmbFB*`z>7up#;^fHac=Tx_?c4rej;ge8F1>_g5<&cEvG1!nB#xoW z1G#~_J4TmC@+<3A8-&~|w{H2PUsW&-uV7R>rit+0L4h+O@PwqfI}}}P5Lz}bB0G#< z?|pAI7#if(3g?c)Ui6H*?Lf3xHVqcpJT$I1_5#(-H;WJZ{>_V?PZV*Yw!y=%1@z)h zn&!;ReDMe#uGV63nEf!b-SSZk6&YnrSDFa|b_?9y9p(x;+F;r5u+$UOal+-$Ui3W* zkz`&&Qm+I(H4yHLa4c{PtIDdpb6)&m94h6Y(mGN8ADXA7_;r7sevRU&qqqz)f2ESa zQ9#lVPa8_H=odY~9Xr z$;6pjB7h%S@_ffP9u~viemiFy?2Zo!+AXeW@CVx=e<00lB6(aeaFi+OcwL)e`U<5n zrUiZrGtgs#t_SLF#>D`Y#*7{2d_k4Lk8`o75f?Z@5t8rjft2g*2NclP$*Q0d86u{L zANklpAlG`-9yJQZVvShnTC5XzVv1D&q|_=d{f845lg5QL=R|Fuox-ZzzP>(Fj>z@& z$Tm*o^uhKE0GtJCg0NMB&#MGJ?$%6N7$Z))pIA_RL}#=RYh@~~drfE5u*etq-T~t4 z?xgXMqoO_+jJe|^esF3`@aLMCB#V@mIHRY-3B{eL7m)@BPE{6FK_T8KS9VHiU7d&? zU5it0=gC{MCDDK-;82KPy#N^i^cY5o*O1@O5ON+UUWxS^^xQmVIlx_^9Sv*W$&13W zv%7#ovlWP*x9|zj-g;VP7YW@=mB)P(`uI}pm&)Kvq~|UnbRPkl0H-Q~Jth4yKm}z> z=+{t1A@0Th-X{tqQd9ZPnM4p(%n3xbRgHd?Y7a_^1{&0;XmPKAFnrV=l1O;oe0#|H zc1}LJrO+krDP;Bp47RYixk{8Q{&O1e<0gMUwztdzv-vVun6!`JIEV1GM_X-xB3pt2>|rfNxJ(K zjf>ghVJR?dMY_fw5>Ltg8{)dN_E;t}!pZ=vOXdt8Yc~J`6{*W;iFRHoSp_(qpf{=3 zHB}t?N?g)KD$ebLL}nt+2Ls*pQ#>@;waZGk-n+yS$rh9=gk8(=hPTmzlqxk8QShMG zb!LMQmG`+XEyV0DWJ(mJ0Tw*_wJI`t@k9t^8(@o^X67dT>_r0PK@7VE_-PJG=;WX$ z_z+eM>4VPBK_@xoWw-1Q+)-qy1AJIHZ8fLMNXrM*LR26^H;G|m1gu{GKBF7VLnjHH zM+ujw1?ZtEVu3R;vPszfjaY*^PS%Up^N6?r6et*Uu3a8(LNmseK%61ciqv?^^VoWj zfy!8*5j0#F17>_@zE;H0^(;ZJ%dZS2ym-0T$7mclN`|k9kxo$zrez+zzRzR4(GnZU zz|){0`Fb%Zv3Jrfo>%U6+zQtRhwTrEbGgzm91QuYmx6Dzf zkwYR#4}iWO_z4>!!{Jcc$p9i6b~i$@*~PJG)?0%Ygf#;Ko`)FqZYC2Iu^`B(3W0>s ziuhil8m^EXQ z41lY^A%m5Ron#1+*4Kawl<=Kd$6v!?&KLj=Eg6?YKB|NR+h%ty@}LXe2|Q;bo%R zUo63=kr{j^(Nq9-xQ%w~#Wv#3dfN@azhOy~IDya<-6fLPyVzU|iazjD z{YiI@=22<{J2=Q>X(5jjf6oKmpH`63BePcW4! zZ=Mvre+N|Aat1KzIvJW5pRNVGu5GaBkw~u{j?-VF)c(f8*{?hB&*)O%;Od?+MROkL zO*={}_%Y^}>hSe6>;2|%QntiRDa4j#O-NyFzX_1xTsziS0S=0f1_ww*iPHnH$v7%I zMVxB~iNG}$p}a7WJXP4Mg8Gx{r;{Pt7Z{8@WW@fvCmT-QVZU!-j)>u0nZL%huW&~j z0TIP!MIsgnVa&5L*ww%c5o3*zN^a0Nq1f1R0W~JJa~K43`0{j8O2~-WMhUn*4FrvK zAdIBT8Q;4E`QV;jg!wOIya4P)SX(@#54#;#1J*9Agg|Lx;yN=RlX)S(iN+HM-h$`7 z&H|Zq#|nffyj)C22V+fL5AdMeEcwvKky(ZIYy_mG=~%UCAG2GrZIS;3I7|5n?ig>A10HN z`i;~1>vviLFfn<^7azvw?O56t=!(GP$!)*7yY4D47a@N!O$DVTp*k}+P};OOlK;6- zn|2kM>lFLBTQlfIFy^WxOozj1{$D9_!rO~6rO4~dMQ|8|P+CSfLtA1w`WcTQ888Dt zaWH8tNyEijNRVY--u03O*}?hqNGOhq0h)$s&lX3J8AU}ONM}k*ZqA*1SqbT3IDK(5 zxXy2Z)gXN!D#AOQ4Rd-;;WFY)<^IzZ~vREiT z@`am`q5-LzhfFa*INE3D%8RPkooK_I;-QQ~PD@jaXN=SIA9@qrgyKnm0@W3&kj6#XF=4M=Re&Z4OUvw(~S z@^1KqB}m?x4B$R>y~MSY><>-hlg|J^8RP65XLN2Gz%YDrw-Mn3OxaP!fAY6pA++^VcS1@C${FMA;7~Ml%PaP`nf^HHj}K?P?C;YR=|Q+g zp}Px39*lL(V@ZsTGwRkU1lU2SDb*zzA@EAjPXx4tVroKXBht{4cx)Z?mu2>lFNx+$ zviZ)_O-$3V%Dt?btp9B*W`8JxwG$x!DFM%EsxqhDUkW(i+b8gtP<6a62am;sJN4f} zslpj4iVg*3Y(-&Xe@XcY4*mUa%-nUgvMv|MEjQAH!jyhW2SZmp+>HjYy>GKyKv1Mh zJ`$fxzUp>0eDjhp7anr?2c!C z<5zUmK*63@EE4&$>Vzf7hQmcuyr@<264GKn7RUy;a$ImVm@7}c4myYk&BO*zrGyQ@ z*{=nQ7E8G%ki6e7p4%ig`|6()0u5{vsqIGEHUd;YF!ax}2e>J53nkq(Fx$tLv2_|+ zC3KnogUTQ-aXdz4$wtqde3%#cBm*NokJmHdBbZfW~3nA+J3s+<%x75BePZEX;d@I2zOf3H4z!cW^V38&UuQkzHtGd< z8F9kVCb>9E)h&JkkBhLSVa-~K(f?);v`btV98&w3uMV9u0n4w z?G10>@+JiAT@)7B1sf%axQZ(EFR@1sUvi65T%(mD3ut8~3zg!uY;MOawFfhZ*e?3h z=G5okB&329N?{G@6%yq=SQ5S@+@F?+{kh& z?qg$M2~dSy361{hV%~NjtA|jfcAmk91_&J0rtBXLLm1^&WMa>RZZv+Kc1+LoEJmjV znNpl8j>&|9)hTgfiiqCdiycZ)%Nbx~rRaQ5G5elU{vDX}e6`c~zIW)$KQv(L!*%?M zd@bwhQ5sJPCg}l}Q_R`IX>Q<$n23Ex8|N@g)R?*ft&M}cmXMb-RMzf)iQ0_kPI*fr zCl4l)6O@Qs!)e}bE1}-*8PO5RnXq-^xvQ2?6COZP@=#{Hy{53TBv*t+iSid~@>#sI zX5q-pH1E2q4lM$@Q$?kfoT}T+xCfdtN7cvViFo@Du^+G-VNb|MqnQ?zhy|<>=6;)V z9-txj>E=tXYI(U1feC})Svw9bUbRqY0>Ay#k}1lV#fGyMxtLnS*j>z^VS(Ac`Zle20QCR}*|W4(=Q0WI@RAD<;w}*zvRo1Y`u>48OM)i_ z$58o4VCKqzE%~v30g?l9?+>jIg?GS3XofKY)Dwy0NzhH< zYz#{Lf`X(acOc~F?ZgZN=rX8oBhmgkXWZ|ONDBD(xKWix4tYm5v~PhAdqe?ZD4r)?>NRzndYM(>BXQ$=a!2U?~6zd!TPM3kO3 zCqU2JmigwGWbV6%+ir#F_vdbOP%|<&5I(4<4Jdo$S-gGbv-iTAqPw4-AFQW5S57S) z+EJa?@&4BRx4(6gPntjdsR4>SFD1jyrMp zj${QzsZafDb3IMjQ+}*A++7f=*jMr2qP^|CXXV{*4CQ=$wihM6X?a>_!wB$eyO_1d zP+MD%;%pgzB=A^M;h~R8*EmUi$;}^14piMLYOx=xdauI&5g4Y><3Dcmb~x{y95H_E z#hDsATL+uB=??F-_R5y6>OMETT5-jxj#Rzz51XD3b{)2M_@>pbZTDwQX67-ii=U)D z8>%l}PUj+|w9M*{KNS>yag)19UWuzjH}kq%aQ>}c`9R5(!iuJTD)dh)OL6|s9~ciwcmmp0>L=;to`QlGVM-R<&@Tm6&Q z{k{HvegE^J!f&1nmf2)Nf=a)KbI<$Jz#h^Rg1Q)fvbRy?-i*S9R>NH%wlgZU8cw^9d+)D2 zp}G9V{kJxPQ+_N){i*C16Y(r=or|d?@0|xf&)N8jbYR-I=B&sgi^h;-toNquyULWQ-rZJmw?0!{N(g z!#Z2C{8#rMxM&G30P`Oj?6iMdtGvf}-Oj$1?nmyntym7ruh)K9(In8@m~0zeLW?0j zip<0*<*)~K%(O16S)CbGKl$|R-%SX)v-iPt;cVg#(}kYYuhb}Y-!>cX)j!&~`=g-8 zI|8%LhVQsR(Y(R6>zc{P@$)EUIR5 z?%y`tQTga&LpD+Rz6^{OX3b7BX`bt58{dZ&n$hVRM?I}tQ_TNR4JY=zY4U(fD!o228EF5R;FxA*5r?!PB7%N>_t zR&F`ly*NJ8zSukOcUU5Jh1Yb-%snWCVy2S5Sd$wa1ID6$^=E_X2K{Q48$-*geG1@Lj39&RF#YN%rQx75zm=+8`WAi} zQ4EhV3;n@kId!o@yMKF%Syz4pp^0A9#HlBzv+=n&yrt^Qsi8roVZ74tSEb>_bmO;n zdfCcY)#G(D1ON0)2LBcP+#gx-<8Re1v#A(l%a|U9fA@RYbEPW8ux9Hy`yaaJsN2j} zxh})!{8HOFfXcmL`hc|&Ukd{xwZpf|{DoGOn*1DW`PXgjAWeOoxL}gS{zrD+a=BZv za<%P-x}a7BI*+&&;MFH*Z6@8*L-sr)=hj{*z{^d#*?8LR%92-;&M5q&^0Q+6z+h|_ zSM87)U#?#kk9nmt-im9mq3EkZn7$A{d zk$;@-xQA>Tw!Z3)Rc^gp4u0Px`O6=Y(7%AWB84Ei_dd1m4Wwi$DgIs@#kn70VE_90 z3DP6$fgnc$i0#Lk@X|dT}5Y8kCjeZ zb~+S9D7xMX#;I_`e8c#pb zb3QRY^6MsayHbaxQb$4=**-#hG0h#@^j!LoT4XhJWcrS4-Z83Ebrq5EET`w_C||kS;y<(KYTmz@77Xum z_rfZyGX4~Z3iEF7{%e_c`_jDB9j|$fI|t__iNRYxV%tAvyeV$EDby{n>MhK#8?Bf`UOIkN1G9I_@j8d065FQXmg&po`|aXN0-XM%Z6@+ZyQkI$;Tzn=Ii z{pH!0sKmCMMnwHb+Xc&4?Cy8?cyUTs{k~c2pH~pt`m#^m?6+p&b?0*Xw*GQE^7?t1 zW0cE-jEozXVtItn-WKz+y65GpXC&Ru68SQ_?lal7Ylg*Lv+-y0y-l%p!`FVz+7HJ& z58wHFd(2!;kf!o!u63|n%}IyhXk+Kqm3*Izd(~p&nCn)Nm@+OaoKD^^RWiPFCN!Jv z^D1AlROuyRd9=AbGwFJRqiuxr7GHPt^+?uL+*pX2<>!RE#8lkD9N5=JQgB|rbOwBi4tG~wq@!icIX7Bz$fhKX$W`?PUCo4|`*Ix(+P-02Vc z7tMopj)iTWoctR1<+x%@c>x-73Etl~aO~O{N=o(64V*%)g6pd7=QQa1{tIclcR7yh za&s`yeW2-H7yil-(m&NH`+mRU?|e$S(wVlY3!R zZ`<;^T-{O^mCAa&%g)`_;4eQ;QpRJ>V-wC{JmvmH(`+Oy!qO&t_ZK;@3CYb~MLgc^ ztM$$stDhPP3y%*=y{mq@*s?TGW^Kb5Zsug?qt;y4~3^ zS<|`v({%ws$WOJTH0xHL{VW%%P^A{CQDqrwQKcTLQ>FKxB--wXMX|q}oVu?3^?iTC zB5Z$zpFwm&^{Qk;H&*F{F0Zl(wXD(z-Ct!8daz3S&}I{C{we}b>JB10OpJYyARRmN(JbjC_l3ao#+)^$66wRp=RW!IH>IoCD#pvvG2QNl!ja7_IN@*> zWufP$%LVPn;Rf4$b;g22vI+-g8av(^U9npDCsg6_1L;*8*RQ=|zU_$lqa7F5sy!S# zaoqOE%8fY>)`qjkn$rGbVg>z;d0kPJX|)0gt-Y2PJpRjW{P?fSuG6FOW4C@}c4wPu ztZ?Y6SP0ZAamD>foOC(0@&23L6>=Kpp~!d3P^In?WiL0J=;y{M{WUf%gO9R4 z%p+49f*B(xb(<7JrXVPG{p8`sn)0GF6n=k`R#r1vYS@z zD)TR~NjIp$Kwlk;cq#%I@Qf4tAU_F=2MoN0rA zdP+y!_W89_T>j6TZq`NUU)F1OtyNW9%~GW(Y8ABwwH2{q zuNXn?;`Kbw|HFIk>pJIm&VBa%?WP9+0ADGA*WB~^s9sAGq=%=Yn=4pCN>p4_0<4O1 zbVh(Br6j@PP%#NfF-dU=DXV?oPkSN(OlvDn2bL9~!fhakIUMct747rK6A^M~*)F zPjGTKh(hGm9MgU!FeG}uYG`l0s(Rh$Y4n@cyGp(0Guub~RDA1;NOh=^iK*#hQy-M) zT+^%LovZos3H-&)OaE7|nzGv_N_^P@8{_uTEsruS-na8v%mmOQm$QQosQNato0LMO zt|*nSK(DX9UMzqv4`zSh4v+kmf^BZ8Pb{Dn^xyh2Z^&xxUR=ieH|2Yw-gi#8QS4t1 z+b+WScS0t70zK*k^F;VG9cvy|RYZ*egdYABFjE)VM>;!dx zu0hOiSlYs@9G3RIoc3E8gL;LgJ981{4+xB6agpxt-nUn!i1pn0^@f;2GUnpuGI8Q;UPNwyDz^#>8K(c^70b&vgscFGE~*aTu#^dg7U&``ci)%l8^C- zU}7EqvUX6n2(BuSwESk54ioBZzVl?n&ObX0oG5_oTtiXJSZr_7GoX{fDKt#PDIcNIC%p_LQ|NFq_f&P5n7?%1f zeZ7SgtKiqPn*F4{-z-Jjw<3~PuP*Kd3|8Lwvrq|Wzo3<#>9a^yo1Ot>Y+kUi@?N5J z0J2D8zbjAK23bYkqMWOtBR`dL!c_%pt1WHZQ8S1P&C{E7-+HC>&*+zSc_;31`Il}k zUt6DqZVS77R#ub2QERVif-j9%4v8T}V*kLSO>g*7b!+aB$GYi+QuG4KpK4=~bZdPRt zSe>o9*O=4Gshe_1k|`>Y-4^B#Sl6slG2AQaBY3u9L5V@|+=lYG z7KA(#rLMOcJE>i#{vge3oucpggLzvKznC|+a6C*ZMN8&qE96N{G*xoT>K^ZCLkje~ z6Uy_<1DM(aU_YFgknXT0pOT~c!meTHs) zlokh`pkEGlI0~O5ouFef)J-@|h2U;Smgg_J5XV#a%|4J6f-CFFEgO7H`XGX5S>?0a z$P*cmPzsZI7XFrmTl2sPBfPM*Z(av*413E6xAhO>y&obnxJ5liX$Uc^KWzXE&;GK4 za!bO)J_Y3X^8h8(x<1_~&+jHiG*a1u7m0;i7`V-e6;%A~KT0WReQ#Nsi;$7)ulY8P z9;4?|A=o89T#T+(zp*Wr1bRv(^^$CZNr6o&+AmWcxXvK#uAM7dt~^_CSZr5xCvR*^ zi4=eWD@S&r1kzR)uTt|)=mTy=s;B;p&O>J!ktE;tZ=wyJ59sf+VKN%sEfm?l5Sl5n zS0te>y`bT~2ozL(M%VfmKG$kQZZ#x;N7Gd|-FOzlgk~bTC7SnvVtVN3PyT!F?BaIF z4jL!bpR$0LjKA|V7iv^gjFTuZvJezlh|tdgHNR0McwA=L)9tFM z+OJ2C)e5tT{FntQz#iJAIL6k*?@~_o(^F}`b7x0#zu^ArfUQZ6?=;$t=5`PBmWpuB zz5IgT1x&mcaCMAx87wcqKWs6t&-cmd=DJ%jBYo_5gBXX>OLLw8&*2!G+`7<}P6`ii z8;-uO3`(Oq$}lQVPxU{3K=KCG8jv-ud6=7+5ul;tAf=8crtx@vy;C#gLUY%eW>Wzc zd|&=xUoQ1?7emTvfW{@T?`moAyA5O5{Zf%=IT(iS(yZx60L{Mp10PcQWS@qd==!1r zrcW9DbD?;J_ROUZI+(3W;pO1lE8@F>EDuL0!UKAou9)Ps#{2pvB%GTM&JX>()BPt> zZ|`~rcR!anwbo!M8df=pTe6bhYvkHOqdjGv3-0!K1T^x=#qrqt>nZ9gT@h1Q2|wQ9 zB~$OcnYi4SXp2Z&$$SQCH{yM9QXBkh1-^FnJ-wRYoC{3p+coPlA$T|~d}C8~+hVmG zn&fx?hv8!Cla=ilxd1-DDw`jUrmvqiJ)~LJ&>NqcgE%;+rXZ8zlhWUqd~8K7-EZp; zs$RL!jQ=^peb_HSgbEvc-iqsXnyhIU=&7<_W~kW;w%iQ7@%%5bdtlLS-EupMs#s|7 zSnKsk2tH8xc*gPp+idRH&G%=b^(RcH(*Anz$>c|*;gseB*0+Sq9KnOF_7$V4F7iqW z2XI8OZO!zdv_%)CB5UhKbY0Lg8}3PrlfJJ6dH8DJ{{An@v+|jsD+e%r8X4GrL*WS_ z6Q+2~XcCeW+Y$+En~!9&5%`7_W!`vt$QhS9u%vJ{&p7^+4raL+bz?7s?=RKSi^ZVF zq$(-9QL}NMy|<*t*ND7}%TAkcBxjt@0x`8}dFO`AaM}4iY*t;sZf7}GqAQ98AC?K6 zZq}LE8>1|ARc>sau)hrrXMfvr#7tEhlr* zFKf$_!X0GP1njxC)s3outEL zJGx}wo&zee_gOKmUb&q=C`Ma3)&J2`hsW^XekQZj)u0ywNU0sZK{~TkyC&>?tZv~B z0yYT~tr71RwuF7?AEzf-Dg?2XD;>gyI4DPx>{FFF#SdXGiphwVyc6sJShLiKpf5&P z=O&L3_fcSs(w5ciIHI9S{3&f%s!RQC;IDZAj{z>-_xkPYUmFQ0B)KHB(oHgbZoSX7OLE z9Wpo?ELFoW2W2RT74|$p$IaUS+Ftx)*g5g~dUVUJ;eEdb1UG&6H+4+ya3k)ntBCIV zpCP&3JJG&WPGG3HX70xIf{tW<2ypn)vlT#Prf!vO#)cQK-t#emvJAiZ(@hMO@?E;S zm=Q@;<$lZZ>)1g1{8OWiUHp(`O(b8Sy98tpStLL(sunXk`DVJmc}gOGAwWPaliJ6$ znP&SlbRX~4zFi1q4J^W~xruDgliyf?wF6%ROOF7{q_6KXH_wNPY2A;+fd&@YEtUFLr8wOXSVZo0M(6C-To% zAS-f>T+e0tTvaJ>@_mBlm&8E_DOv4j;x&ViGkk|s5U?|dRp4s>?Q0|n{X-}P0~WDd z`IbEzS)z-P-|PQQ9CA)N?=Ef_qEpFK;RN8Oj=1wuyN2k9s>RlHn0rE~$E2m1T$W%Q z*Nt{2;baXFj+LR2#ZvfM0l1@6$fdptUOT9P2qv3QNkF_pXKfu^J6%@eToyXj-H~?k zCW>h!OlKPulCf>O!NLt?LTtSL%Wc}uCtzEI^VL4v2ZycN1pOb` z8^s@jE=h2CnUNK@MjSHof&xogi(LbReza-*7q0lm?F!AA@=VV|UvYk}V?Pu`aLm|6 zf~eqM{!&y@yH@>t#K^3t#iLh8E3ByD1bf-a;tt%99!Ip_NK^A;aFv41QZ&r5lNe{0 z)WU1gWind8d&^wte_*XP@ZM@y+343Ce1B!w;-zuXQ}pgR#IFsBuO>f@OHETdwFxf24v%GKaA+_c`3Fed1St-nv}@7O zCoxK8H8%gEUseX;q8lbd8gKUm9?OeeBfGb31hd#a7@e1}Lw=`o!NkdAA!~Z&dh3JG z&2+}8j!KC)t1W7#AHvs?s>vtmG(9KZMGc^)h@D~z;lRRi zBsqJC%-g~r%Fr{2e$`f@YkSo&SL}H}T|eGn#3iAB4pYv1j&==o_R=7Lo(~xl=sj4x zI1u?_6kT5q>*3n9D$s_!kW|>BLdrj80g4FrK{S--DmUM$`h=F2gS1}orq8@?7|*7( zNr6yZS0!-*KC&K`2(MLfh3d}xi9~2|))(ku)*r_Q1rlAglTr2x#oyG3p4_mNbwPJJ zb>GUquRf+>(RuvH0;yP}-NAVbVc0QaW8o>w(fgYR&*VVaEZ4PF7h5q-5yv3O!5Jvk zU-X{u^oeIlPie9ybM}Y_`v=%NVM7Tdvk_}{_S<(nW*-dn52Sxdy*XFx#qlvC>z?|Q za$0!iKVW=W!O=R1s2*!>gy=U2yK;cUy1Vp-*0B2L-Szz_4WZ2nDcLU_;{UioN8>zn zk9|QyH)Tjec;>TAEBkHDNS4?#c=7!YKU6acRF>BB?Ix)+;wxXdTKNdioShyR&KiGe zS$jFfN9tU^It131YOV{MoYapWVC317p7I)(90lyIoVW?HpzMQGHhl}$iN@4c+w zb4185`)9`+2vUdJhdW1F>>kLy=iQ&ccw-I~pA#DOD96tOx)3@1syQko*tj+S?7?@P zH*_G=JQL>utyPKD${*z%RW;O3JDdfdewI>)vcH*sR1y-6e1T@fA_S3d$q|a)j*&CY zg5X#^j)Z+@$)xRs3~KdZTx@|gH0fM8%ULBq*Lm|nab|x++lK}25#Ok#$x7j|9}kW9 z3ssntzb~255pmS-jLR~&K_m=2_uODqc~9(V26vST9Ax5{@=soMTzHfk?xv88U6?0h4qeT`xYwn;@3v)Y zCf<)!EKOR{*6u6lnBY~hG=D&{$2QN5^@GUZAG3`@cZZjZ`~tpYDy^(ZyfN^(m2-Bd z1nroxAfFF3wXavw=H5UO7gW&F2w^H^pa0|uS$=1!p-j;}pMWmt1a-4u{mA*^|40%U zdU0_YCREEB&n%6W+|>FvDs^PxUoQc=gNgr{Du6UXT9z{`_~P3M3L||tv?QY2c}TS1 zo>wfN?z~C4F15}rTL6|@*4kQs-`E3bqKdHl{mGz5Kn$KLI$BwQv;K9=pvCA{kfM^D z_6vyq;L}#{yC{wbF6wMi-2-Zl=ADqTO=22mI~JI2Sh{S|M-tVUcf9B zpD$way%kK1IghNIpX1*|_!#2fpncG0!@Jvb8(PbCdGio^=|&x{50bUXi??B!O3QtD z2z)POY5-CsqIOTy)lOyKyH=dw$E&%Bft1N1)BGRwTRu$Tbzi2}6zLm-m~4?8O*#+e z+lj3l+_vBU%oU&BOr3rBmJQyA7-{co=hvXP8@Vy+-C`_I{%wrrvXkwL;*C4#5kvm$OR{kbWFDVaTx zIq&N}xbH<;CMU~Ym$d23{r_{h0ElZA<~(QAQF|r{Cf`zCc~>1qU3W!S=5RCuwCS{j z6{D`1O6jDIQ^yq<0C08X3tY6bLf)`KSk?KTwDF6Ql9E0G(|EYQp>;osrgit)caJ^x z+4n%v04b!z2_Omib|P@S5*`6fu%RQeFzCo)626Bb+KALI4bmah&;%4^ l)@S|(T3Ccyy{Kc84SvBttI!LQBw;Rw9!47j}L?Y()#L{0K#&SlS7K_FDS|b{ZmR*1dO|H}J@ECT9W$F5KLk znfGRP_HMoR{=zv|3zu^<;ab4mb9nCkmv`0NUdGdjB)$*)(|^0dApd=2rL ziv0b#1>5%P9e?y^4|AM)qx}GUkM*u2KGd7=XTK7-&a=&1&i@ELtG5k%oBXrjzh%ES zU|W5v|4H&4!{74X0MAtC{B_{={<;=i>r;PwfA-JE_{4na{ONptKu@SL#-G79y@${r z{vxja>`#KfNM8edb*{%M_^!Af%6|m^HovFjPt3~2B9&b9}LhW$|Sgn#XKuJ8-~56Ev<^IZez@|E%I-vS=jXYB95m!AKCKSD3&e+s^6cYwPr zWIp<^w=}*k{BLwVSU(qEo8s5}M34D4;UD)W-p@}cejcyKLC>^0x}h~`u6|; diff --git a/cpld/db/UFM.v b/cpld/db/UFM.v deleted file mode 100644 index cbbdb89..0000000 --- a/cpld/db/UFM.v +++ /dev/null @@ -1,269 +0,0 @@ -// megafunction wizard: %ALTUFM_NONE% -// GENERATION: STANDARD -// VERSION: WM1.0 -// MODULE: ALTUFM_NONE - -// ============================================================ -// File Name: UFM.v -// Megafunction Name(s): -// ALTUFM_NONE -// -// Simulation Library Files(s): -// maxii -// ============================================================ -// ************************************************************ -// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -// -// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -// ************************************************************ - - -//Copyright (C) 1991-2013 Altera Corporation -//Your use of Altera Corporation's design tools, logic functions -//and other software and tools, and its AMPP partner logic -//functions, and any output files from any of the foregoing -//(including device programming or simulation files), and any -//associated documentation or information are expressly subject -//to the terms and conditions of the Altera Program License -//Subscription Agreement, Altera MegaCore Function License -//Agreement, or other applicable license agreement, including, -//without limitation, that your use is for the sole purpose of -//programming logic devices manufactured by Altera and sold by -//Altera or its authorized distributors. Please refer to the -//applicable agreement for further details. - - -//altufm_none CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="MAX V" ERASE_TIME=500000000 LPM_FILE="RAM2E.mif" OSC_FREQUENCY=180000 PORT_ARCLKENA="PORT_UNUSED" PORT_DRCLKENA="PORT_UNUSED" PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 arclk ardin arshft busy drclk drdin drdout drshft erase osc oscena program rtpbusy -//VERSION_BEGIN 13.0 cbx_a_gray2bin 2013:06:12:18:03:43:SJ cbx_a_graycounter 2013:06:12:18:03:43:SJ cbx_altufm_none 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_counter 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_maxii 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END -// synthesis VERILOG_INPUT_VERSION VERILOG_2001 -// altera message_off 10463 - - -//synthesis_resources = maxv_ufm 1 -//synopsys translate_off -`timescale 1 ps / 1 ps -//synopsys translate_on -module UFM_altufm_none_a7r - ( - arclk, - ardin, - arshft, - busy, - drclk, - drdin, - drdout, - drshft, - erase, - osc, - oscena, - program, - rtpbusy) ; - input arclk; - input ardin; - input arshft; - output busy; - input drclk; - input drdin; - output drdout; - input drshft; - input erase; - output osc; - input oscena; - input program; - output rtpbusy; - - wire wire_maxii_ufm_block1_bgpbusy; - wire wire_maxii_ufm_block1_busy; - wire wire_maxii_ufm_block1_drdout; - wire wire_maxii_ufm_block1_osc; - wire ufm_arclk; - wire ufm_ardin; - wire ufm_arshft; - wire ufm_bgpbusy; - wire ufm_busy; - wire ufm_drclk; - wire ufm_drdin; - wire ufm_drdout; - wire ufm_drshft; - wire ufm_erase; - wire ufm_osc; - wire ufm_oscena; - wire ufm_program; - - maxv_ufm maxii_ufm_block1 - ( - .arclk(ufm_arclk), - .ardin(ufm_ardin), - .arshft(ufm_arshft), - .bgpbusy(wire_maxii_ufm_block1_bgpbusy), - .busy(wire_maxii_ufm_block1_busy), - .drclk(ufm_drclk), - .drdin(ufm_drdin), - .drdout(wire_maxii_ufm_block1_drdout), - .drshft(ufm_drshft), - .erase(ufm_erase), - .osc(wire_maxii_ufm_block1_osc), - .oscena(ufm_oscena), - .program(ufm_program) - // synopsys translate_off - , - .ctrl_bgpbusy(1'b0), - .devclrn(1'b1), - .devpor(1'b1), - .sbdin(1'b0), - .sbdout() - // synopsys translate_on - ); - defparam - maxii_ufm_block1.address_width = 9, - maxii_ufm_block1.erase_time = 500000000, - maxii_ufm_block1.init_file = "RAM2E.mif", - maxii_ufm_block1.mem1 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem10 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem11 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem12 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem13 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem14 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem15 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem16 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem2 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem3 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem4 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem5 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem6 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem7 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem8 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.mem9 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, - maxii_ufm_block1.osc_sim_setting = 180000, - maxii_ufm_block1.program_time = 1600000, - maxii_ufm_block1.lpm_type = "maxv_ufm"; - assign - busy = ufm_busy, - drdout = ufm_drdout, - osc = ufm_osc, - rtpbusy = ufm_bgpbusy, - ufm_arclk = arclk, - ufm_ardin = ardin, - ufm_arshft = arshft, - ufm_bgpbusy = wire_maxii_ufm_block1_bgpbusy, - ufm_busy = wire_maxii_ufm_block1_busy, - ufm_drclk = drclk, - ufm_drdin = drdin, - ufm_drdout = wire_maxii_ufm_block1_drdout, - ufm_drshft = drshft, - ufm_erase = erase, - ufm_osc = wire_maxii_ufm_block1_osc, - ufm_oscena = oscena, - ufm_program = program; -endmodule //UFM_altufm_none_a7r -//VALID FILE - - -// synopsys translate_off -`timescale 1 ps / 1 ps -// synopsys translate_on -module UFM ( - arclk, - ardin, - arshft, - drclk, - drdin, - drshft, - erase, - oscena, - program, - busy, - drdout, - osc, - rtpbusy); - - input arclk; - input ardin; - input arshft; - input drclk; - input drdin; - input drshft; - input erase; - input oscena; - input program; - output busy; - output drdout; - output osc; - output rtpbusy; - - wire sub_wire0; - wire sub_wire1; - wire sub_wire2; - wire sub_wire3; - wire osc = sub_wire0; - wire rtpbusy = sub_wire1; - wire drdout = sub_wire2; - wire busy = sub_wire3; - - UFM_altufm_none_a7r UFM_altufm_none_a7r_component ( - .arshft (arshft), - .drclk (drclk), - .erase (erase), - .program (program), - .arclk (arclk), - .drdin (drdin), - .oscena (oscena), - .ardin (ardin), - .drshft (drshft), - .osc (sub_wire0), - .rtpbusy (sub_wire1), - .drdout (sub_wire2), - .busy (sub_wire3)); - -endmodule - -// ============================================================ -// CNX file retrieval info -// ============================================================ -// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX II" -// Retrieval info: CONSTANT: ERASE_TIME NUMERIC "500000000" -// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX II" -// Retrieval info: CONSTANT: LPM_FILE STRING "RAM2E.mif" -// Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED" -// Retrieval info: CONSTANT: LPM_TYPE STRING "altufm_none" -// Retrieval info: CONSTANT: OSC_FREQUENCY NUMERIC "180000" -// Retrieval info: CONSTANT: PORT_ARCLKENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PORT_DRCLKENA STRING "PORT_UNUSED" -// Retrieval info: CONSTANT: PROGRAM_TIME NUMERIC "1600000" -// Retrieval info: CONSTANT: WIDTH_UFM_ADDRESS NUMERIC "9" -// Retrieval info: USED_PORT: arclk 0 0 0 0 INPUT NODEFVAL "arclk" -// Retrieval info: CONNECT: @arclk 0 0 0 0 arclk 0 0 0 0 -// Retrieval info: USED_PORT: ardin 0 0 0 0 INPUT NODEFVAL "ardin" -// Retrieval info: CONNECT: @ardin 0 0 0 0 ardin 0 0 0 0 -// Retrieval info: USED_PORT: arshft 0 0 0 0 INPUT NODEFVAL "arshft" -// Retrieval info: CONNECT: @arshft 0 0 0 0 arshft 0 0 0 0 -// Retrieval info: USED_PORT: busy 0 0 0 0 OUTPUT NODEFVAL "busy" -// Retrieval info: CONNECT: busy 0 0 0 0 @busy 0 0 0 0 -// Retrieval info: USED_PORT: drclk 0 0 0 0 INPUT NODEFVAL "drclk" -// Retrieval info: CONNECT: @drclk 0 0 0 0 drclk 0 0 0 0 -// Retrieval info: USED_PORT: drdin 0 0 0 0 INPUT NODEFVAL "drdin" -// Retrieval info: CONNECT: @drdin 0 0 0 0 drdin 0 0 0 0 -// Retrieval info: USED_PORT: drdout 0 0 0 0 OUTPUT NODEFVAL "drdout" -// Retrieval info: CONNECT: drdout 0 0 0 0 @drdout 0 0 0 0 -// Retrieval info: USED_PORT: drshft 0 0 0 0 INPUT NODEFVAL "drshft" -// Retrieval info: CONNECT: @drshft 0 0 0 0 drshft 0 0 0 0 -// Retrieval info: USED_PORT: erase 0 0 0 0 INPUT NODEFVAL "erase" -// Retrieval info: CONNECT: @erase 0 0 0 0 erase 0 0 0 0 -// Retrieval info: USED_PORT: osc 0 0 0 0 OUTPUT NODEFVAL "osc" -// Retrieval info: CONNECT: osc 0 0 0 0 @osc 0 0 0 0 -// Retrieval info: USED_PORT: oscena 0 0 0 0 INPUT NODEFVAL "oscena" -// Retrieval info: CONNECT: @oscena 0 0 0 0 oscena 0 0 0 0 -// Retrieval info: USED_PORT: program 0 0 0 0 INPUT NODEFVAL "program" -// Retrieval info: CONNECT: @program 0 0 0 0 program 0 0 0 0 -// Retrieval info: USED_PORT: rtpbusy 0 0 0 0 OUTPUT NODEFVAL "rtpbusy" -// Retrieval info: CONNECT: rtpbusy 0 0 0 0 @rtpbusy 0 0 0 0 -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.v TRUE FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.qip TRUE FALSE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.bsf TRUE TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM_inst.v FALSE TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM_bb.v TRUE TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.inc FALSE TRUE -// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.cmp FALSE TRUE -// Retrieval info: LIB_FILE: maxii - diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat index 10766c4586f4f2b86bc76f014980d72d05a6293b..873c6d67819f7516f808653c3a679376f00a45de 100755 GIT binary patch delta 186 zcmZ1xz9(kG2RX(q6B)G`w*raDALQ;Z?glb8pONQaoUEgGXS0dY8dk>FAO&wg3LdEI zFunuv-h+q_AR!(N9mY>U#%2o*pq?3;I+H!LbT%*0;$UQCpS(~@n~?)da)L=NFv$%j fdB7wunB)VK{9sZ5ObUWYAuuTnCPl!cD2N0A3P3r` delta 94 zcmdlJvm$)M2f4`}@^>}|C~z<`9-7Fg&3G6{OpZ{z!*~+N*leP-hIO)qhR);{8akUD mG=YkKf)xD%De}uG0001Zob8=oZyHG!#oy;sw0NJGTR;Pn6UjEV#+zitNrW)4KW>?sZ-~|)E_C226L%CRj2CKtzS30*Z0$ltIKROxGi4SPWRrM z!&kS1`-{uVS~h>{YcZY-??$g{@=9vi9}k1^^kK4k^~2(Y?D#m#n?LUlr&)jTRwj*C z^;eSZKMZfKGSe_wJ>L*Dd^{%$y$PA(?JG@Cx&&zd(bJ{RL+^r@(2zYmM??4A49wVm<<)sJMut66(%Papa+ zoJ@=H^516vIKS36ryt)BCfDc9|L*RTe=lO}JOx=B)S#ey=OR?Z28j6E(fb}7vk7AM zKD_^hiPyqcU8fqDgMbHT=Y|T{#wwl`e;kenlY$A`fn#WYFuFc(QElyFHMv14b=2Sg zIXwI~6%m=|=k%!7oC$&Tl zDr0iJZjgbF*agEN1D%m$-5~!Q++O{9_b_G05wcJ@A9c8x$V0Q~h)iOTe-^VQr)UFN zXmtAVkO~MLG#{DV)ZTD%P|n3f4qDD}WFNsrXgNSRBQ=nbW?g?g8cut6BP#W3AU`da zce1q(D-tG?ABj^PcA>`X;i|e0ArGbT&mg%&I>+Vnxzj?#IkSySG*6Vc3vVL__4)O(4L$Vnthk{fI;3;tbtCi4 z(yqmnbaX_;MgHmYIZYccMF;QRo;=)eR&T>X!&_=s+;%C_aTktjbmjWS$=PvSin!Uh zI-C=ojKAD+b^Z>r)4cDwM6rWcBC_9+jb=T>?O{3&`=XQa-Iwv; zmI{lERL&vXa@28Jj<|)mi;Tq9QoD{vt>iJ8LwSModKY=<** z4#jRZB=XScfZAbp9ri?IZzJ>cc=L3TdHQ@VCv~?{H+h^_hjoPy@859|VO8Ojt13v{ z@xYavjiipN-DOxV2a&o1w7_;fT!|JdJ9~QXupI3VrO;MpojTxj4b2wf=X zD#%=@@G61i#j>i(yA<*l%YB0)GUS-}qdtKUh61<}h+rs)D}nsQ!P`THs1C(OwxLeD zJk1Dz7zWFV2*faSkeEOeLqUlNgfYrfI)$vrSTuenkjc>SX9Agw<%yL-0w>s(TnZtY zp+M0Df*C3nO(2`0gV6-@8H+6s-(`@}P;zbpX$=+VCT_rn(J0|iS<#pRq8rOII7MWK zawR!8V1{E)*VKOiClp9=^tG;lBnQs`3T8Q2WKST+QQmW-xO}(TCCLH`q&XDeoWeni918OUsm4){`mfyhRQBq`!LE?ZpwW*nZ4 zp&;pu%fm4g+lj*)~bXgTpdw{SFlnbPe{QEnH_6kL&&DJdAyVqAeU6H;)+s79b*C&hWN6_bnGDb9l%8fUu- zc2i6UI}y!;l_Qme6x?t-zvYZNMHhEt+SYYaT#G9=@rIYMQv6Iv!gfr9u$!WSF=aEY z6b0OHGrwI08=G}!s2b~L{l4XSFvU$t_413#?TqTNC^$ zWo&gOKT288-{VoLX-j$hD3zz7R7=~;?J3oHx`rj)TB|HPwIlN=fR$R_*vP_bLJD=Ct@wG$K#XAZ?c3-r_)FhCH$aDMX3lT-!$rz)_V&) z)soU!(A62*_LNeW-F;8#!IiS!LmiJ&-SBIly0bhh6~2;NPh;(~-t*}x_0%RRp11ws zmCCi12hTHl_$l3}vtQ3rg@*_lX`G|v5h#^LnxJMKwx?7ljftuqypk*G<3YblsSh!> z=)N=(Dl1y+DfLWao>H?8+Z$7foQ#{``IJ~6aS@MF>PcdYN~JMR<>AZ2>Vs6zN`-fy z^`7>4lnOtgC$jD9grBCmX_!yKAI=!-BgW#9%KGRmPnl;LwFFVOb9+)*Z;ZA|^#ixq zblV?(Gpjzz@w}i1_lc-G>xWM^?)~8Bjg=pHj@l=Ac%P_mUhzDpf+s1WKFITY-WYz5 zpgwc)TpkO)MG*D9E1t(xaG~1jY3j#vzUd+ryz|vJ?RwrHgkR0oH-dYfPr}c<>eS0K zkrsSR8SBs1#G{mYh1jA}Y3$C!mod}_lb#dA;6kZS+dPk`;7S?mQ%mDfsu}+HRDEx= z=anz`@u^Wit-`ZX!83HDe*D*u?b+ssQo##8CXw6F^57|=sG$(gN(G-%M9n&Qz5_pe zHm$~yJug$ig;M+9^I1pmY+BT5s^=jU-hAqVDbLeX@H&#T(^&efzc}HkJd%d{tUo2} zNvSlJKI_B#J)I0BqEzr*zo<*@p4}H*DE+42Z;}u^oif&6rHV%>_3fA28&m2^vS+0t zn5NR$A4>%Jl=U}m}J9Lp_ERB7yH!XKijAHd*w+ZTMEGU{D|=Za_eQiA%#%lCmp m@O__2#D3P_lJ=w27Ez1muS^#II{(o0;_C9vPk#aN&ooxLs0^0? literal 2883 zcmV-J3%vBK4*>uG0001Zob8?4ZyMJY#^3u_jCgNg4R^_jWWZM4#FYa*&VyB1I80Jx z8@qs`S~@y^`xypoCN`aKe~an9NO?4u#b)jOt=s$RU2jOz&oIEAmDv(O(ba`SM}0ee~n{f#~EUYBhfDO_$O6`l(3jZ)$HO z>OD+vCQ)3EqgtyfaW$?mz~X<7PY0~c2CzB!c=`)t zuZh2Pjapy}80?QOV#;6}dvTcjbu=F@62@!?o}u1&c74&L*4oA1HpIn9L8FU0XAp^}e>?g8?qNypPmJV~X|luFL;^}B zNv;wJXdO97k0J@phM$fogN6x+{O(9WPaDr2&^nS)>e7?hbUC=2QAxONSjmyAMRGc3 z^;AcCN&^#@-s-TBTkR4Tkn2cFtIR=uaipalTOZb8E=tCeN6I2r(&`Y65-Q5qk&@0= z8`R>8cLNy>$a=1UB=n?sZkrlNLjyjFG?0oimvRZd0d>SD_y*F^tbaJ73?dbs^SQWz zbTk+aPG*!vq@!cDIBvjtZXMpanA9{<5m_QPaVE;rF(>$@!BBy;2s6>|XT68T<1qP3 znME?nPAHe+nn*_JKGAJ^6G@4!7Mn;%>8U5T2yUJOOxZO`MdZj2r=tFc_h%0`oYY%L zNB#Fy$JH{>5VuKfq@hiI+1<6FwCQESAdqmEHJ;u3KO35lK4bqpun+(GIf9i?ZJ z(|QMK=fAa8JrTLvNIU~xJY6K7bH0}ABJr%d zEN*+caH{a}^aEuOX=gU%TJ;|*0a~fk*Ws# z&_ZfRR0BAq%>9`WF4<$>KeYLX<$OF_tjU-h_440}!pfJs`E4?JZDz+e#3EPVGg329 zIgPtW4PMYm)#{tNM7{cA1Rhcn2bOKBfFHuyA>iBG=C$Izt8|U0vU|WWfg@BaL=be zaRlNRoAOk#U6qmSZ() zR4msht!ZKyWI7aRlt8Fs^#c^eTH(}%rJ2M8>|l?8VHzVN41_y0K$AegV?`|UI|UgJ z6(}WUoQEbJK-%M6+e1R?BfDU$uxoIsO_LoV`;q;;LSYxCGZm925CG8tWCAge&48Ep z1H?h-P70zRG@K@o1EEPWfglKveF?-sXhusQ1ENH#1d<>+{z)JZLIXh&lUrnv7xH6FavW+V1~EiA&dtj2oovuE1~gs) zMQcE42MzT7%bq{}`hMrlc%Gi$yka?CCed^@N&bFYspX!`p3c7Gwq?Da-~Ihqd6%yB zK4+!+WajyktLu;6y^=iJM6V@R zMeR{Bll!q$Ko0aNk2FK9r}u0EO3uVVYS^p!YKVEH?b8|Tgs9@CPnoz8VprVssi)iX zl8vKG;*kSuA%;QmX+Yfyajekw$b&g@As`R#8M{j^s6$L7xqHC4cVQf4Alx(dlw8e( zn2TFJ^(5^OXZ&4{0%49^49J5Wp9;cmh#uS%5-7PK3^5tUK3yfL2boEHn$5%^deEap zlKZi^&Vku;m&@_hO|r4`Z8~2*jBhH@?BVwE^WDuN{gmg=K6rLp?laOCJ>1T63zhB1 zub5Q zg&4U6yPj%kmsy^t+F^LAQB-`{@l;oPtnGTLSu``Ubf$_X2L5=eQB(@p@l->*S#~`o zMN=0`p3-iXT~EbDEsh;e6;`-~o}#Lu*R{|;RzlyGEU&7dT{X}@S3oa~y?FDB+Q;(l zyVps*s66*aCruALr7bD!da95EvsC$LH|s}4*?0H5+ES{--B;`6$L{Z2p}N<8Gmx&w zeSg@}ZkFXU<$mTM>i+OYMA^5RaNqN`)zyUEp4YvnB#a8R@H&vCT0V?3r7opP%;!I1 zue0fZdz}cCfG>QHIBLvwzpVs)2jIi$NUJ)2?3&;4{vjk;J?pZnQ#;hlf{ z@sxTnTw>)JMwJk|uRPTNhh_D-_ml+E_qngp<3Rd8_ns>J)w(~PD!em_B~OJx4!Va? z)z{E1A1U`0df|^O{qa=C{h3nNDVB=__sxu&9JV~jb3RFs!k?G=B(cO!$@LzrS z<0z@QcU9rP$MDBhYQDNWPZi#-(jQNC+>boft*7NG!FfFu>k)6eXUhG^v+%}D{&-4F zPn77XFscOgeF92UUl^0T!W*esis)g)DfNby=P3yzPD$at|YiSF;heL%RDyq41{V9=Xc>B|kMn zX<2^mJ=G55Ocmaa($d&7kU-_!QGr}@P@nwrJXQE_l0EX2d-17% - + diff --git a/cpld/output_files/RAM2E.map.rpt b/cpld/output_files/RAM2E.map.rpt index 016f2f2..bc0671a 100755 --- a/cpld/output_files/RAM2E.map.rpt +++ b/cpld/output_files/RAM2E.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2E -Tue Sep 08 19:28:14 2020 +Wed Sep 16 19:34:46 2020 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -45,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Sep 08 19:28:14 2020 ; +; Analysis & Synthesis Status ; Successful - Wed Sep 16 19:34:45 2020 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; -; Total logic elements ; 193 ; +; Total logic elements ; 208 ; ; Total pins ; 69 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -147,8 +147,8 @@ Parallel compilation was disabled, but you have multiple processors available. E ; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; +----------------------------------+-----------------+----------------------------------+-----------------------------------------------------+---------+ ; RAM2E.v ; yes ; User Verilog HDL File ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v ; ; -; db/UFM.v ; yes ; Auto-Generated Megafunction ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v ; ; ; RAM2E.mif ; yes ; User Memory Initialization File ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.mif ; ; +; UFM.v ; yes ; User Wizard-Generated File ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v ; ; +----------------------------------+-----------------+----------------------------------+-----------------------------------------------------+---------+ @@ -157,34 +157,34 @@ Parallel compilation was disabled, but you have multiple processors available. E +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 193 ; -; -- Combinational with no register ; 87 ; -; -- Register only ; 26 ; -; -- Combinational with a register ; 80 ; +; Total logic elements ; 208 ; +; -- Combinational with no register ; 93 ; +; -- Register only ; 34 ; +; -- Combinational with a register ; 81 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 87 ; -; -- 3 input functions ; 42 ; -; -- 2 input functions ; 34 ; +; -- 4 input functions ; 99 ; +; -- 3 input functions ; 36 ; +; -- 2 input functions ; 35 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 179 ; +; -- normal mode ; 194 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 1 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 106 ; +; Total registers ; 115 ; ; Total logic cells in carry chains ; 15 ; ; I/O pins ; 69 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; -; Maximum fan-out ; 106 ; -; Total fan-out ; 797 ; -; Average fan-out ; 3.03 ; +; Maximum fan-out ; 115 ; +; Total fan-out ; 854 ; +; Average fan-out ; 3.07 ; +---------------------------------------------+-------+ @@ -193,20 +193,20 @@ Parallel compilation was disabled, but you have multiple processors available. E +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ -; |RAM2E ; 193 (193) ; 106 ; 1 ; 69 ; 0 ; 87 (87) ; 26 (26) ; 80 (80) ; 15 (15) ; 0 (0) ; |RAM2E ; work ; +; |RAM2E ; 208 (208) ; 115 ; 1 ; 69 ; 0 ; 93 (93) ; 34 (34) ; 81 (81) ; 15 (15) ; 0 (0) ; |RAM2E ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst ; work ; -; |UFM_altufm_none_a7r:UFM_altufm_none_a7r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component ; work ; +; |UFM_altufm_none_e4r:UFM_altufm_none_e4r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -+------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis IP Cores Summary ; -+--------+--------------+---------+--------------+--------------+---------------------+----------------------------------------------------+ -; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; -+--------+--------------+---------+--------------+--------------+---------------------+----------------------------------------------------+ -; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM2E|UFM:UFM_inst ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v ; -+--------+--------------+---------+--------------+--------------+---------------------+----------------------------------------------------+ ++---------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis IP Cores Summary ; ++--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------+ +; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; ++--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------+ +; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM2E|UFM:UFM_inst ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v ; ++--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------+ +------------------------------------------------------+ @@ -214,12 +214,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 106 ; +; Total registers ; 115 ; ; Number of registers using Synchronous Clear ; 1 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 56 ; +; Number of registers using Clock Enable ; 64 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -246,7 +246,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[3] ; ; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[2] ; -; 16:1 ; 8 bits ; 80 LEs ; 8 LEs ; 72 LEs ; Yes ; |RAM2E|RA[4]~reg0 ; +; 16:1 ; 8 bits ; 80 LEs ; 16 LEs ; 64 LEs ; Yes ; |RAM2E|RA[4]~reg0 ; ; 9:1 ; 8 bits ; 48 LEs ; 16 LEs ; 32 LEs ; Yes ; |RAM2E|RWMask[4] ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -268,32 +268,31 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Sep 08 19:28:13 2020 + Info: Processing started: Wed Sep 16 19:34:45 2020 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file ram2e.v Info (12023): Found entity 1: RAM2E -Warning (12136): Clear box output file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v is not compatible with the current compile. Used regenerated output file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v for elaboration -Info (12021): Found 2 design units, including 2 entities, in source file db/ufm.v - Info (12023): Found entity 1: UFM_altufm_none_a7r +Info (12021): Found 2 design units, including 2 entities, in source file ufm.v + Info (12023): Found entity 1: UFM_altufm_none_e4r Info (12023): Found entity 2: UFM Info (12127): Elaborating entity "RAM2E" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at RAM2E.v(99): truncated value with size 32 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at RAM2E.v(102): truncated value with size 32 to match size of target (4) -Warning (10230): Verilog HDL assignment warning at RAM2E.v(504): truncated value with size 32 to match size of target (3) -Warning (10230): Verilog HDL assignment warning at RAM2E.v(521): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(101): truncated value with size 32 to match size of target (16) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(104): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(551): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(568): truncated value with size 32 to match size of target (3) Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" -Info (12128): Elaborating entity "UFM_altufm_none_a7r" for hierarchy "UFM:UFM_inst|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component" -Info (21057): Implemented 263 device resources after synthesis - the final resource count might be different +Info (12128): Elaborating entity "UFM_altufm_none_e4r" for hierarchy "UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component" +Info (21057): Implemented 278 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins Info (21059): Implemented 39 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 193 logic cells + Info (21061): Implemented 208 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 6 warnings - Info: Peak virtual memory: 4577 megabytes - Info: Processing ended: Tue Sep 08 19:28:14 2020 +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings + Info: Peak virtual memory: 4575 megabytes + Info: Processing ended: Wed Sep 16 19:34:46 2020 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/RAM2E.map.smsg b/cpld/output_files/RAM2E.map.smsg index e70ff3c..1a16445 100755 --- a/cpld/output_files/RAM2E.map.smsg +++ b/cpld/output_files/RAM2E.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at RAM2E.v(37): extended using "x" or "z" +Warning (10273): Verilog HDL warning at RAM2E.v(39): extended using "x" or "z" Warning (10463): Verilog HDL Declaration warning at UFM.v(72): "program" is SystemVerilog-2005 keyword Warning (10463): Verilog HDL Declaration warning at UFM.v(188): "program" is SystemVerilog-2005 keyword diff --git a/cpld/output_files/RAM2E.map.summary b/cpld/output_files/RAM2E.map.summary index 85ee5c6..741a5aa 100755 --- a/cpld/output_files/RAM2E.map.summary +++ b/cpld/output_files/RAM2E.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Tue Sep 08 19:28:14 2020 +Analysis & Synthesis Status : Successful - Wed Sep 16 19:34:45 2020 Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V -Total logic elements : 193 +Total logic elements : 208 Total pins : 69 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/cpld/output_files/RAM2E.pof b/cpld/output_files/RAM2E.pof index f2831144e7f92c27f3af47720bc8f2ba3c9814ca..c1f36eac0d84a2623242045d9b89be31bfefa0c0 100755 GIT binary patch literal 7879 zcmeHMeQ;FQbzj*?KtmAB#9wJ;ZQN=4S3s}{#0CMi$8J)Jaq41^or3BZi88UI6c8FL z?>;$WGo8thnqkZ!<3%AejK}pz8kc|y;_X#C*tHXBIo{`bR>cP(^6A{@H+^KWcks+m5#F;UE4myzIN* zd2s8F@MGIrw`_Z+xw&y$_=(1C+qbs1gqK7X)h${Qez0xpbDP6UBTK_|%N8$Lx_D{b zl1TXR#%(*dKHC_6?3rhO8eS59{BOcfHvT01@aC;Mpc%R?^mQ2d-nymVt$X_Km(e+xtNzNvrVA0M!j9ucY4-IIrijO;FtJQ|rCo+R>K zI5eWb(|p@7JPEmWho5EI#xFJ zeLTpQ=)5}oY-jMRa>&SO{Lk~H=i?M9;NOI=^?iJI>`V2dF5}6(<&iPtmkj)Hl=esR zKEBL8=wLuU$8ullA3zzm9-k6EyVYo;WV$6jV3;SVcR%=}WZRywVLw&E z!)oDKPy+lT1&4AY6zw@et-YU-eN4HTn1uhHufKt!PEO17K81*qa#Lh`Y7CA*pZ$e> zPm$F4miC+TkTFnaSPxEmPNpxNaU|j|l`F^-1GYJp2;^6g=d>fAW3|bQv3|JlWVW~W z7nb?w2I55&cZ;c<92V9&WqXcIZSER&CmyLR2>*u^Kzl{Ld)uf**!nn(0dkqbNSB(Cn~Rp%!&_wQFWj? zbjPl}7w!!$%+@T}5$HP~cz4})(R^jF`uIx}s&r3$niP~b#nC?2R}avjS9{xwX6FUX zugSlC@t3bG%-8Ro*858vLo(UE^Rsu($48o)?%i%q$M^nnd;7FyoeTD#8QT5P#3#+k zd83)3#QpKZ|1pq?L>}7H)us8Id9Opqd2**i$cCTCpkAUgN7EUbI$@r&)V5_X2*I2plB*LKejGN^8_Jh`poaDxwJ~hhns)R2f=Ow^b z1=c?mh$m z4A=pGA1bKeRU*$t*a{;iA=}iet-4IOw<0~4rQ7%a~}ToeJ2lEM@|l;Rz%kB zIdPEpi9A@!a}BOr)H%lw{$nlopTYYyTz}tW2diraa=Pve+d)1x1;r>mnb!52(s)cq zJ>qvDm-S2iV>Q^oZ{>PmIoRh_QW;+X4_#<7{Vn(fL9dVVlmH#_BF5)lOf|6HIPA!2 z02ci74E#Q(`*}^-(=toKO`yk>lL*fJDCVx=9_xnOxWqnO$2-LH9(I5q#b?GZ2XQiF z$~DUVrorV?wbXw&|Dv8T20yS{==%G?YA}wpxRH))y3cQhM9DwMJ83e;Qyp*d+|l}J z5IsX^{T$~(t?p0ka>QcX3bws6i>rEs3}&%a<40{~*7udEkuWe&Csq@$tYn z8qohP9anvemFkFYa&FMZ;CwJwvs}+qwx48@eq6L%(VOT&{3n}&{^K}Z=RDw?r!z`g zXL3*XJLUsI<6CXO?+6uNy=!Fl?!rBxm-81cE*iUDTvsuu=gUR|{AlRLt7Fq&+x_$E zIWOlgzF2j~)vFs`{LuFg)59}P&tCWb)sc5+%@0-Hm>sH`TU|6OLi+qvKW2XC>~(W# zWcMd?7l6MI{Hyhs{uE`#@fsd_cT3Y}mWA`Lz4ej$={;Sm+LupS!%xM0{*}!B%U7EA z{L7rtNALS)B>v`sgUQIg$MzB-|++Ug%f!ApZM&VF;Y7PShEfa2V$f=A=!oMSSmrA))=WRm>A!gF_~+ zIT-+(=wkQ z*Ey$@T<$p?tRKYB-zV33tlfAX8yAQ({7;qj^|=*=+&J-k$W5GWL;s|;{*eOcaD;b} zalCZBbY)6PQldlG7y7DtlZ-3Ea&q|7>t})vx~b`W^YE1CP{JU&ULc?jOWsgz+oCG5EErPFmGQ z7jNu*Y~Iy7LLsf+1AXwR`MLLMzdiA29s29;s(s>G%{?`K{{{LFZ+@?~YWMt=jo!Z4 zvV8}x*4%S%kl&&6=)Z~K^Dm7wO|0A=&+m;Vj~W-P*Y|3CRB>|uy`Mtb|9al5=F!3<3LXC&zY@GLlA%eT*jR# z$M+`2#cV(uHTd>@96iSc&QX$VSI>c4`0YeVrQc5-oR@q)Po>}k;Cy@uoc?b30ez2f zjG-%iK33Ele$Pamx48di=+HNU_gL;f8dcaI^S@v}3c1vHi)Se*v9B4vV0jEQDCNj~ z3+LZ0_(A^|O5pw``Z`9{_Kriqf|*K%89GJ?sdH($Z2M2^_4`OG#aW)|8?h5E}7Kf6(_oZ8ylE3@4AU(M0| zmxn(5G~W3ys&4tG?^i3TJ(PR>^wq9s7I=DJ{`ogCJbY?o=Z=+kop3Gda%A;%yvx$K ziunA?WG-!g$9W(O zo@cE%W;``e>c5-gtgLj^Kn4-+k zxIT&gP16H>1Nw&1q2pp=I+JKlSpt2k%SP+-+%m^)I!jK0Un3K)M1KY6qwxFFM9=ek zc2)_@k$&i%=dy!8xGWn+6kDcV8AadIXPfep@@OR9SE+yWdF;S0Q89)7!JHAiPu_0~ zAJALz!siSVEoNZVhj{w><@v=PrPToCj4ikaT+#Y%u1o9n{@^!WCGi7qpfQrp36rL9CG1e`LfglE$ytS6?owp^wkF|@Qb+VSzM717 z^J@mt;lkL5zkasSs~>z}_U<)z*G2zl&EZ9%P;qW&XwhrnXKC!ii7m_@oL?2XyKdJX zDnj=?7&=w+^H3}SeotZYlZnaAUUaay_|DM0NZXBX<>%LRs+x*BdsFNemH30TcP^L{ ziQcIAR#ja^@t%s^Ma~cMXtiwFIl1n9T(;o*mp#+*6UoT(sd(2x9e+j1q1``e%3MnR z?W>nFr|!3K|2))nbZyVTQ69M*H!7h2P)`n@dr`_T-5KUUYkaOhKMx*OmcJ}q$`AN; z%kMq<-1KaT?;%7R&btD>2QgdGq>$zM@Nsx-S02(K1VMgD7^8X80vQ z-Mx_3xHFB@Ni(>X?L{Rs^q-DUXBe!&X7|c=Ob3s&>eO{K0>8a+Y@`~o@5z#PAG=TY zo_^=P-IWyk|Nc<}tNZRf=X~co=bm%#TeSV#TO*N3O=O|{*@B;s9e(P-p~DC3zxmDj z_4nWV;J!ok+YhwwJ@C~2{d*47@7#0X;J)^@`i91@uKj95{ey@1eQ$UDy2f?&YuDe` zu1XR3>L35J`dxdzTmSIxeTQHfnHO0CCl72}cmLYI z`16LfYaeB+9ec&Je$s`RC>1g-Z86uHCX2{Uc z*x(qE`I|FC8Zs;QgfI$v9lo9C43CbE!N!G-CNTxM(d2h$rg^^R18ev61Qo2jjDu&a zE9es-NC&?7wA_b%Mc=8|`xeixvs@MIyxsdOd#`FA&>VT*+UH4wqcK!+s(!3L9ojK| zIbQNB{%zc$J@cN?|1^K#gB9(6nT}**u9s^KIXFDu#LQi_m?SwQ!35uSDJAH!a(Or&w2&f-mbkdkv83Q zSdW*oelkeiN?ZFKGMg;WJ5#z3*;88TPU*SG1@Nss`(>UEK8A!rcx0ff$t%~dCFs1Eyw|w>L*w-*l5{Iyg>T|B24%lLg8i%e$pDXG+bf z2TJ>0)9L{~-(m9u|E?!SbB}pmt0&Pp7mD91He7HL-4;K$`70+1zu$jy)%O41P+0Ut zUG1@z%daeNm|7B z_{;Zmkq?L3B0rmdXYsM$F03t5Dj8UNz5Y9y(S`jd2P6HoH1dO=pVli@u3x@rB9;77 zXiozwL%aRK_Yy;`t??D9Dd$I-#*Q(^89`oQLp3LHRGq*Oqk~sU)#xq}Q zeCUO)E-Tk1a(x zM>v(6b9~l^Tw+}j#R&ZcRp3LPO65KWTfy@@ucxWdp$#$B0PEw{UciI$MQj&Q z9PO_I#rLKNuARx3N$z%szTNUF~S#d6%(zkDC+(^sKf^m4wl9G_3;x&LC;ub~(c z0-l@)+b^1~+dN@^JB=Uf#eND-j#d&Wz&K8}zIv#e{d!ume~566`v(7ll8@ zTiR#5Cr0$$?EfDG{5U`4_-JHj=zpqnl*au1$ah+iykVmE8EPjz#rj11OK-ku?ua~G z`!AV4G4CvjCiG_Tj!xwAC(m>LCFqWRw>Gk%_OGTMIrYx6XsRejTw3<;`u&rk{|A2l zrrG=Y^V?2*+`ZtQ)szTYUdm8(N>4o>_TT2n+h*^U6HB&Gck8)DQK!iAWfPEF`&2ME zbmc!-1vCU zrH!HgqEe>Q<`s3uBiB1h9naw$=Z_RQ9hB=mpuk1Dq$JlH_o-{_{Xryg4kl9c&TxTx zP+ziaZ>XRwKG%iRsBo8XfB5D8b-XO{IR*TRKkTo{r)0(6Q>b5ckw~SD;S$1mk8=%k zyFWs}&NI5B!1aN;p<(84^`M*LiFM1(SztNl2*B3?>WH*#2d|EnR7aqmRMu|TU<5wv zk%sSZNu2ho(j+k`_Dgy{xUo1dZ6>*!}fywf>2myeUu;ovs~Z3HqXeP z06zNJ%ITl;)N(jY!=%w*Y@d@t7UGq7aF3}Jxnq5Co%PaL#y8qCzCvI6o`D;jcZIkx zlmepluT>2B39wEDIB_%$88n9uBzW-t8JtI~ZR0`R`vKdfge>P*a{sBAPZH-$?+zWL zcfRtP(bFFG9sY@Mj+Ho0Y3FV)_a~pTp?`{M%;7drMo0ZsnGG+r?T-ffcDa6~8;w)< z`FtNmT?#TdDE(jbeexRY!~PTc4R`PVPz-nl={4notMN3_yDHjg@twn4BBOu%#ph0c zacJSG($zce)LV|O-~RT*k}b8i|C+xZi4>k+_}uB?soy;H{bl}PYMDN=y?>% z8mSvyGcU63>cpDS`R1Y8+c#D&cxm3pt5&WK`|nGU$mp6#-L^%vZ1lsgJ+f!|o|m`& zblwEy);=Yhe~{U*I_WqG)!Gr=kSKN~G7XJmiNq_y=0gmO{_A&Jx4!WAb*J0D{Po7f z*+1^eY`pN$y!&Op>pPSbu4va9&zSGWh&Mh$ zKb8A4T&IiZxhL_=dU5>Vf42XmOd3T~s3X30RLtu8D6xJp%NiuoxNocW)%%3``>1o0queiZ&I^zcAngnTwf&cco>Jz z9gDa5Am0m!PaMbCRFQ|H053^xn&hPGL*Kzg1iN!3tmA?CfG_8XDU$BZ+xp_ZvUBCP zXWbY%)YBVB&pWuqs`k)v@D~yG@%IPBLHeMu^|gaYS^I#b3FxCd$+veJK2h?!!uu!0 zzj5B9>~ODii1%HXrdjc`{Y6;Bh2rx>;Kt8urJ%=tt-ZLRuE{g%*=yiCIeJk{#^{!n z$3i(d?IhY-WmAuiE?Z5LI$@~dU&W!5%IB2PS;X}w;zxDWe1X%#`58)s%iyNz4GSW5 z^|iPEZux?b`zN-YSY64_5cEXtrvBvbMC$(Vo})Dp$R~H4SYz#bV9z*j-EC;WH&#Y! zOJ9hbs(GW(6mi4;BP$oRcV}qzHoG+D zvvM8<>Po3ucqRSzq?L#G_}&E}>SxAZdx%^0-wAxP)s@Zd|*;kxog+RAA> z>_5iw@jZm!3)PIhpPgmzbu`1qMITrFVP4^DaTMpnN8yR;dPQzWqV`m=d4>C z-#>@*Wroj8UTyy|UtB(X@8`T~Kim4KZ|Y55d8#e760foo6nIx$D2G8h-O7M znGfW4{cLHPE~Dm_O!oT=>g$&8H<9J1Ao~d4kN*o}o~AATMU(yGOE>*#r1tj78kSe` z)&qO+r@L;yCFUKyT^F0U>*!X@fp7Cuggx`W_meBM;OK8GuQey{V*3hzO@jm6f6nxC zLt77RY8;`FuA7aGA0#T@@937x{dYCfUyDz zO5ti?KHzWthH)wu^ZAJS%Xi>?gsR_Ph>})c5?w}0DtW1lh*PpWCxfuhu~%G275%S5E{+f6?dI?denp?{ZJda?tXJV@?GF>)3n_pz47St$A9m3RDX1vcpde7-^&>DG`#p% zds#m4!+8DeXmNxyZu2HCW9%u7Egl`)ZJJ&m=#H;2QC&nNSO>4(tR1WJe>Ah?;2*|I z?>W!xJu)`>|L19<;*KN@)S^fROBjn3JhCa;D4XX_g{vcz>A zkY5t)mv0&L3qEBYR1!FaFyT4$O&EXW{`2OZ+gc(%M{7X=>)X1*$axR#WED4*do&42 z1t0axZ3KP4tj~UZx`fZQa^IBr{R}#xUiChJe!0KO{>t()j!)@|oa3$XA;tJH#w+87 zJgfK>KXdR?GydoBoW*O_df2yf`Fs}sOk7SCpYu7h9^$Ln{L9}PX7E0(KPTR5JY_!q zr}by!ORLXyat%Dfx)>=omH+<(>!PGkAK~`}g)bL#@$vhCmxuWG1Dm_~_XAh)J)k7e zN7eX3-oW{cIDb9v&*#6MxBtue%E;pR)!zu!^KXQ(iJ$-ZJOiI+;Qwg`>g?}|zw*Za E0^=Sa9RL6T diff --git a/cpld/output_files/RAM2E.pow.rpt b/cpld/output_files/RAM2E.pow.rpt deleted file mode 100755 index 992edb3..0000000 --- a/cpld/output_files/RAM2E.pow.rpt +++ /dev/null @@ -1,89 +0,0 @@ -PowerPlay Power Analyzer report for RAM2E -Fri May 22 18:35:29 2020 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. PowerPlay Power Analyzer Summary - 3. PowerPlay Power Analyzer Settings - 4. PowerPlay Power Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-----------------------------------------------------------------------------------+ -; PowerPlay Power Analyzer Summary ; -+---------------------------------+-------------------------------------------------+ -; PowerPlay Power Analyzer Status ; Failed - Fri May 22 18:35:29 2020 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; RAM2E ; -; Top-level Entity Name ; RAM2E ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -+---------------------------------+-------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------+ -; PowerPlay Power Analyzer Settings ; -+----------------------------------------------------------------------------+-----------------------------+---------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+-----------------------------+---------------+ -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Default Power Input I/O Toggle Rate ; 12.5% ; 12.5% ; -; External Supply Voltage Applied to Voltage Regulator ; 3.3V ; ; -; Preset Cooling Solution ; No Heat Sink With Still Air ; ; -; Default Power Toggle Rate ; 12.5% ; 12.5% ; -; Use vectorless estimation ; On ; On ; -; Use Input Files ; Off ; Off ; -; Filter Glitches in VCD File Reader ; On ; On ; -; Power Analyzer Report Signal Activity ; Off ; Off ; -; Power Analyzer Report Power Dissipation ; Off ; Off ; -; Device Power Characteristics ; TYPICAL ; TYPICAL ; -; Automatically Compute Junction Temperature ; On ; On ; -; Specified Junction Temperature ; 25 ; 25 ; -; Ambient Temperature ; 25 ; 25 ; -; Use Custom Cooling Solution ; Off ; Off ; -; Enable HPS ; Off ; Off ; -; Processor Frequency ; 0.0 ; 0.0 ; -+----------------------------------------------------------------------------+-----------------------------+---------------+ - - -+-----------------------------------+ -; PowerPlay Power Analyzer Messages ; -+-----------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 32-bit PowerPlay Power Analyzer - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Fri May 22 18:35:27 2020 -Info: Command: quartus_pow --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E -Error (215048): PowerPlay Power Analyzer (quartus_pow) cannot be run. The required timing data is not available. Run TimeQuest Timing Analyzer (quartus_sta). -Error: Quartus II 32-bit PowerPlay Power Analyzer was unsuccessful. 1 error, 0 warnings - Error: Peak virtual memory: 267 megabytes - Error: Processing ended: Fri May 22 18:35:29 2020 - Error: Elapsed time: 00:00:02 - Error: Total CPU time (on all processors): 00:00:02 - - diff --git a/cpld/output_files/RAM2E.pow.summary b/cpld/output_files/RAM2E.pow.summary deleted file mode 100755 index e0b1026..0000000 --- a/cpld/output_files/RAM2E.pow.summary +++ /dev/null @@ -1,6 +0,0 @@ -PowerPlay Power Analyzer Status : Failed - Fri May 22 18:35:29 2020 -Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -Revision Name : RAM2E -Top-level Entity Name : RAM2E -Family : MAX II -Device : EPM240T100C5 diff --git a/cpld/output_files/RAM2E.sta.rpt b/cpld/output_files/RAM2E.sta.rpt index 0e11b8d..1404ddf 100755 --- a/cpld/output_files/RAM2E.sta.rpt +++ b/cpld/output_files/RAM2E.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for RAM2E -Tue Sep 08 19:28:21 2020 +Wed Sep 16 19:34:51 2020 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -83,7 +83,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +-----------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +-----------------+--------+--------------------------+ -; constraints.sdc ; OK ; Tue Sep 08 19:28:21 2020 ; +; constraints.sdc ; OK ; Wed Sep 16 19:34:51 2020 ; +-----------------+--------+--------------------------+ @@ -96,13 +96,13 @@ Parallel compilation was disabled, but you have multiple processors available. E +------------+------+--------+-----------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ -+------------------------------------------------+ -; Fmax Summary ; -+----------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+----------+-----------------+------------+------+ -; 38.2 MHz ; 38.2 MHz ; C14M ; ; -+----------+-----------------+------------+------+ ++-------------------------------------------------+ +; Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 34.54 MHz ; 34.54 MHz ; C14M ; ; ++-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -111,7 +111,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C14M ; 22.276 ; 0.000 ; +; C14M ; 22.294 ; 0.000 ; +-------+--------+---------------+ @@ -120,7 +120,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C14M ; 3.130 ; 0.000 ; +; C14M ; 3.117 ; 0.000 ; +-------+-------+---------------+ @@ -150,106 +150,106 @@ No paths to report. +--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ -; 22.276 ; S[1] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.323 ; -; 22.276 ; S[1] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.323 ; -; 22.276 ; S[1] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.323 ; -; 22.276 ; S[1] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.323 ; -; 22.276 ; S[1] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.323 ; -; 22.276 ; S[1] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.323 ; -; 22.426 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.173 ; -; 22.426 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.173 ; -; 22.426 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.173 ; -; 22.460 ; S[2] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.139 ; -; 22.460 ; S[2] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.139 ; -; 22.460 ; S[2] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.139 ; -; 22.460 ; S[2] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.139 ; -; 22.460 ; S[2] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.139 ; -; 22.460 ; S[2] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.139 ; -; 22.542 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.057 ; -; 22.542 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.057 ; -; 22.542 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.057 ; -; 22.733 ; S[1] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.866 ; -; 22.735 ; S[1] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.864 ; -; 22.870 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.729 ; -; 22.870 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.729 ; -; 22.917 ; S[2] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.682 ; -; 22.919 ; S[2] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.680 ; -; 22.986 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.613 ; -; 22.986 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.613 ; -; 23.378 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.221 ; -; 23.378 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.221 ; -; 23.378 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.221 ; -; 23.395 ; S[0] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.204 ; -; 23.395 ; S[0] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.204 ; -; 23.395 ; S[0] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.204 ; -; 23.395 ; S[0] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.204 ; -; 23.395 ; S[0] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.204 ; -; 23.395 ; S[0] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.204 ; -; 23.822 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.777 ; -; 23.822 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.777 ; -; 23.852 ; S[0] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.747 ; -; 23.854 ; S[0] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.745 ; -; 23.953 ; S[3] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.646 ; -; 23.953 ; S[3] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.646 ; -; 23.953 ; S[3] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.646 ; -; 23.953 ; S[3] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.646 ; -; 23.953 ; S[3] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.646 ; -; 23.953 ; S[3] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.646 ; -; 24.064 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.535 ; -; 24.064 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.535 ; -; 24.064 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.535 ; -; 24.410 ; S[3] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.189 ; -; 24.412 ; S[3] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.187 ; -; 24.508 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.091 ; -; 24.508 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.091 ; -; 24.602 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.997 ; -; 24.602 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.997 ; -; 24.602 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.997 ; -; 24.718 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.881 ; -; 24.718 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.881 ; -; 24.718 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.881 ; -; 25.554 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.045 ; -; 25.554 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.045 ; -; 25.554 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.045 ; -; 26.240 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.359 ; -; 26.240 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.359 ; -; 26.240 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.359 ; -; 43.660 ; FS[11] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 25.860 ; -; 43.812 ; UFMD[13] ; RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 25.708 ; -; 43.847 ; FS[10] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 25.673 ; -; 43.869 ; FS[8] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 25.651 ; -; 43.924 ; FS[7] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 25.596 ; -; 44.239 ; UFMD[14] ; RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 25.281 ; -; 44.508 ; FS[9] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 25.012 ; -; 44.688 ; FS[12] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 24.832 ; -; 44.939 ; UFMD[13] ; RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.581 ; -; 44.939 ; UFMD[13] ; RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.581 ; -; 44.939 ; UFMD[13] ; RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.581 ; -; 44.939 ; UFMD[13] ; RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.581 ; -; 44.939 ; UFMD[13] ; RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.581 ; -; 44.939 ; UFMD[13] ; RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.581 ; -; 44.939 ; UFMD[13] ; RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.581 ; -; 45.366 ; UFMD[14] ; RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.154 ; -; 45.366 ; UFMD[14] ; RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.154 ; -; 45.366 ; UFMD[14] ; RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.154 ; -; 45.366 ; UFMD[14] ; RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.154 ; -; 45.366 ; UFMD[14] ; RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.154 ; -; 45.366 ; UFMD[14] ; RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.154 ; -; 45.366 ; UFMD[14] ; RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.154 ; -; 45.570 ; FS[6] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 23.950 ; -; 45.725 ; S[1] ; DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 23.795 ; -; 45.909 ; S[2] ; DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 23.611 ; -; 45.989 ; S[1] ; S[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 23.531 ; -; 45.990 ; S[1] ; S[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 23.530 ; -; 45.991 ; S[1] ; S[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 23.529 ; -; 46.221 ; FS[4] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 23.299 ; -; 46.324 ; FS[4] ; RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 23.196 ; -; 46.503 ; FS[11] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 23.017 ; -; 46.641 ; FS[4] ; DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 22.879 ; -; 46.690 ; FS[10] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 22.830 ; -; 46.712 ; FS[8] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 22.808 ; -; 46.753 ; FS[15] ; DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 22.767 ; -; 46.767 ; FS[7] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 22.753 ; +; 22.294 ; S[0] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.305 ; +; 22.294 ; S[0] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.305 ; +; 22.294 ; S[0] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.305 ; +; 22.294 ; S[0] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.305 ; +; 22.294 ; S[0] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.305 ; +; 22.294 ; S[0] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.305 ; +; 22.365 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.234 ; +; 22.365 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.234 ; +; 22.365 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.234 ; +; 22.488 ; S[2] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.111 ; +; 22.488 ; S[2] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.111 ; +; 22.488 ; S[2] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.111 ; +; 22.488 ; S[2] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.111 ; +; 22.488 ; S[2] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.111 ; +; 22.488 ; S[2] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.111 ; +; 22.559 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.040 ; +; 22.559 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.040 ; +; 22.559 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.040 ; +; 22.805 ; S[0] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.794 ; +; 22.807 ; S[0] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.792 ; +; 22.825 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.774 ; +; 22.825 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.774 ; +; 22.999 ; S[2] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.600 ; +; 23.001 ; S[2] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.598 ; +; 23.019 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.580 ; +; 23.019 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.580 ; +; 23.370 ; S[1] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.229 ; +; 23.370 ; S[1] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.229 ; +; 23.370 ; S[1] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.229 ; +; 23.370 ; S[1] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.229 ; +; 23.370 ; S[1] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.229 ; +; 23.370 ; S[1] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.229 ; +; 23.443 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.156 ; +; 23.443 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.156 ; +; 23.443 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.156 ; +; 23.881 ; S[1] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.718 ; +; 23.883 ; S[1] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.716 ; +; 23.903 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.696 ; +; 23.903 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.696 ; +; 24.036 ; S[3] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.563 ; +; 24.036 ; S[3] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.563 ; +; 24.036 ; S[3] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.563 ; +; 24.036 ; S[3] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.563 ; +; 24.036 ; S[3] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.563 ; +; 24.036 ; S[3] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.563 ; +; 24.108 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.491 ; +; 24.108 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.491 ; +; 24.108 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.491 ; +; 24.541 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.058 ; +; 24.541 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.058 ; +; 24.541 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.058 ; +; 24.547 ; S[3] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.052 ; +; 24.549 ; S[3] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.050 ; +; 24.568 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.031 ; +; 24.568 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.031 ; +; 24.735 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.864 ; +; 24.735 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.864 ; +; 24.735 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.864 ; +; 25.619 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.980 ; +; 25.619 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.980 ; +; 25.619 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.980 ; +; 26.284 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.315 ; +; 26.284 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.315 ; +; 26.284 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.315 ; +; 40.888 ; UFMD[14] ; RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 28.632 ; +; 41.955 ; UFMD[14] ; RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 27.565 ; +; 41.955 ; UFMD[14] ; RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 27.565 ; +; 41.955 ; UFMD[14] ; RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 27.565 ; +; 41.955 ; UFMD[14] ; RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 27.565 ; +; 41.955 ; UFMD[14] ; RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 27.565 ; +; 41.955 ; UFMD[14] ; RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 27.565 ; +; 41.955 ; UFMD[14] ; RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 27.565 ; +; 42.894 ; FS[15] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 26.626 ; +; 43.480 ; UFMD[13] ; RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 26.040 ; +; 43.730 ; S[0] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 25.790 ; +; 43.787 ; FS[14] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 25.733 ; +; 43.865 ; UFMD[9] ; RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 25.655 ; +; 44.355 ; FS[7] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 25.165 ; +; 44.387 ; FS[13] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 25.133 ; +; 44.473 ; FS[4] ; RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 25.047 ; +; 44.547 ; UFMD[13] ; RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.973 ; +; 44.547 ; UFMD[13] ; RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.973 ; +; 44.547 ; UFMD[13] ; RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.973 ; +; 44.547 ; UFMD[13] ; RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.973 ; +; 44.547 ; UFMD[13] ; RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.973 ; +; 44.547 ; UFMD[13] ; RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.973 ; +; 44.547 ; UFMD[13] ; RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.973 ; +; 44.844 ; FS[15] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 24.676 ; +; 44.932 ; UFMD[9] ; RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.588 ; +; 44.932 ; UFMD[9] ; RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.588 ; +; 44.932 ; UFMD[9] ; RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.588 ; +; 44.932 ; UFMD[9] ; RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.588 ; +; 44.932 ; UFMD[9] ; RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.588 ; +; 44.932 ; UFMD[9] ; RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.588 ; +; 44.932 ; UFMD[9] ; RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.588 ; +; 45.011 ; FS[8] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 24.509 ; +; 45.082 ; S[3] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 24.438 ; +; 45.186 ; FS[7] ; UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 24.334 ; +; 45.257 ; UFMD[12] ; RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 24.263 ; +; 45.419 ; FS[4] ; UFMReqErase ; C14M ; C14M ; 69.841 ; 0.000 ; 24.101 ; +--------+-----------+--------------+--------------+-------------+--------------+------------+------------+ @@ -258,106 +258,106 @@ No paths to report. +-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ -; 3.130 ; UFMD[8] ; UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.169 ; -; 3.143 ; UFMD[12] ; UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.182 ; -; 3.147 ; UFMD[11] ; UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.186 ; -; 3.377 ; DRDIn ; DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 3.416 ; -; 3.448 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.487 ; -; 3.565 ; CS[0] ; SetRWBankFF ; C14M ; C14M ; 0.000 ; 0.000 ; 3.604 ; -; 3.741 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.780 ; -; 3.751 ; UFMPrgmEN ; UFMPrgmEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.790 ; -; 3.779 ; UFMProgram ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 3.818 ; -; 3.802 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.841 ; -; 3.811 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.850 ; -; 3.952 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.991 ; -; 3.956 ; CS[1] ; SetRWBankFF ; C14M ; C14M ; 0.000 ; 0.000 ; 3.995 ; -; 3.964 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.003 ; -; 4.013 ; RTPBusyReg ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 4.052 ; -; 4.201 ; UFMD[9] ; UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.240 ; -; 4.292 ; UFMD[10] ; UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.331 ; -; 4.387 ; UFMBusyReg ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 4.426 ; -; 4.833 ; RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.872 ; -; 4.839 ; RWMask[6] ; RWBank[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.878 ; -; 4.904 ; RWBank[5] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 4.943 ; -; 5.216 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 5.255 ; +; 3.117 ; UFMD[8] ; UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.156 ; +; 3.158 ; UFMD[12] ; UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.197 ; +; 3.742 ; RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.781 ; +; 3.766 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.805 ; +; 3.801 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.840 ; +; 3.810 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.849 ; +; 3.814 ; UFMProgram ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 3.853 ; +; 3.854 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.893 ; +; 3.856 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.895 ; +; 3.863 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.902 ; +; 3.971 ; RTPBusyReg ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 4.010 ; +; 4.268 ; UFMD[11] ; UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.307 ; +; 4.271 ; UFMD[10] ; UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.310 ; +; 4.398 ; RWMask[6] ; RWBank[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.437 ; +; 4.447 ; Areg[3] ; RA[3]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 4.486 ; +; 4.475 ; RWMask[3] ; RWBank[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.514 ; +; 5.029 ; CS[2] ; SetRWBankFF ; C14M ; C14M ; 0.000 ; 0.000 ; 5.068 ; ; 5.217 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; -; 5.242 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.281 ; -; 5.244 ; UFMEraseEN ; UFMEraseEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.283 ; -; 5.249 ; UFMErase ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.288 ; +; 5.218 ; Areg[1] ; RA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 5.257 ; +; 5.228 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 5.267 ; +; 5.231 ; RWMask[7] ; RWBank[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.270 ; +; 5.241 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.280 ; +; 5.243 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.282 ; ; 5.252 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.291 ; -; 5.267 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.306 ; -; 5.270 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.309 ; -; 5.308 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.347 ; -; 5.319 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.358 ; -; 5.419 ; RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.458 ; -; 5.432 ; RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.471 ; -; 5.434 ; RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.473 ; -; 5.442 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.481 ; +; 5.268 ; DRDIn ; DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 5.307 ; +; 5.280 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.319 ; +; 5.283 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.322 ; +; 5.305 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.344 ; +; 5.315 ; UFMInitDone ; UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 5.354 ; +; 5.317 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.356 ; +; 5.317 ; UFMEraseEN ; UFMEraseEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.356 ; +; 5.326 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.365 ; +; 5.328 ; UFMPrgmEN ; UFMPrgmEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.367 ; +; 5.397 ; UFMD[11] ; RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.436 ; +; 5.419 ; Areg[2] ; RA[2]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 5.458 ; +; 5.429 ; UFMErase ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.468 ; +; 5.429 ; RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.468 ; +; 5.430 ; Areg[7] ; RA[7]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 5.469 ; +; 5.433 ; Areg[0] ; RA[0]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 5.472 ; +; 5.442 ; DRCLKPulse ; DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 5.481 ; ; 5.452 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.491 ; -; 5.453 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.492 ; +; 5.452 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.491 ; +; 5.456 ; S[3] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.495 ; +; 5.465 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 5.504 ; ; 5.466 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.505 ; ; 5.473 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.512 ; -; 5.480 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.519 ; -; 5.485 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.524 ; -; 5.490 ; UFMInitDone ; UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 5.529 ; +; 5.483 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.522 ; ; 5.490 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.529 ; -; 5.514 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.553 ; -; 5.616 ; CS[2] ; SetRWBankFF ; C14M ; C14M ; 0.000 ; 0.000 ; 5.655 ; -; 5.626 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.665 ; -; 5.628 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.667 ; -; 5.632 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.671 ; -; 5.727 ; UFMReqErase ; UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.766 ; -; 5.850 ; CS[2] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.889 ; +; 5.505 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.544 ; +; 5.507 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.546 ; +; 5.507 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.546 ; +; 5.509 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.548 ; +; 5.510 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.549 ; +; 5.676 ; UFMReqErase ; UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.715 ; +; 5.815 ; UFMPrgmEN ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 5.854 ; ; 5.952 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.991 ; -; 5.962 ; UFMInitDone ; UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 6.001 ; ; 5.987 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.026 ; -; 6.002 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.041 ; -; 6.005 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.044 ; +; 6.015 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.054 ; +; 6.018 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.057 ; +; 6.095 ; S[2] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.134 ; ; 6.096 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.135 ; ; 6.131 ; FS[8] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.170 ; -; 6.146 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.185 ; -; 6.149 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.188 ; +; 6.159 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.198 ; +; 6.162 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.201 ; +; 6.242 ; RWMask[2] ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.281 ; ; 6.275 ; FS[8] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.314 ; -; 6.287 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.326 ; -; 6.293 ; FS[9] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.332 ; +; 6.278 ; RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.317 ; +; 6.286 ; Areg[5] ; RA[5]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.325 ; +; 6.292 ; Areg[6] ; RA[6]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.331 ; +; 6.306 ; FS[9] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.345 ; +; 6.396 ; UFMReqErase ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 6.435 ; +; 6.416 ; RWSel ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.455 ; ; 6.419 ; FS[8] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.458 ; -; 6.444 ; FS[11] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.483 ; +; 6.429 ; RWSel ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.468 ; +; 6.433 ; RWSel ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.472 ; +; 6.444 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.483 ; ; 6.454 ; FS[13] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.493 ; -; 6.455 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.494 ; -; 6.463 ; RWBank[7] ; RA[8]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.502 ; -; 6.466 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.505 ; +; 6.454 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.493 ; ; 6.475 ; FS[3] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.514 ; -; 6.487 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.526 ; -; 6.489 ; RWBank[0] ; RA[8]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.528 ; -; 6.516 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.555 ; -; 6.521 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.560 ; -; 6.529 ; RWMask[7] ; RWBank[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.568 ; -; 6.564 ; RWBank[1] ; RA[9]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.603 ; -; 6.566 ; RWMask[2] ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.605 ; -; 6.578 ; S[2] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.617 ; -; 6.583 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.622 ; +; 6.484 ; S[2] ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.523 ; +; 6.485 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.524 ; +; 6.493 ; CS[1] ; SetRWBankFF ; C14M ; C14M ; 0.000 ; 0.000 ; 6.532 ; +; 6.509 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.548 ; +; 6.515 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.554 ; +; 6.538 ; S[1] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.577 ; +; 6.595 ; S[2] ; BA[0]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.634 ; ; 6.598 ; FS[13] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.637 ; ; 6.619 ; FS[3] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.658 ; +; 6.623 ; UFMD[9] ; UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.662 ; +; 6.658 ; CS[0] ; SetRWBankFF ; C14M ; C14M ; 0.000 ; 0.000 ; 6.697 ; +; 6.682 ; Areg[4] ; RA[4]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.721 ; +; 6.692 ; UFMD[13] ; RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.731 ; +; 6.708 ; UFMD[12] ; RWMask[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.747 ; +; 6.720 ; RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.759 ; +; 6.759 ; RWBank[7] ; RA[8]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.798 ; ; 6.763 ; FS[3] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.802 ; -; 6.782 ; FS[10] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.821 ; -; 6.782 ; FS[10] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.821 ; -; 6.782 ; FS[10] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.821 ; -; 6.880 ; SetRWBankFF ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.919 ; -; 6.886 ; SetRWBankFF ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.925 ; -; 6.907 ; FS[3] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.946 ; -; 6.929 ; FS[9] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.968 ; -; 6.929 ; FS[9] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.968 ; -; 6.929 ; FS[9] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.968 ; -; 7.023 ; FS[12] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.062 ; -; 7.023 ; FS[12] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.062 ; -; 7.023 ; FS[12] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.062 ; -; 7.047 ; FS[2] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.086 ; -; 7.047 ; FS[2] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.086 ; -; 7.047 ; FS[2] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.086 ; -; 7.047 ; FS[2] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.086 ; -; 7.047 ; FS[2] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.086 ; -; 7.055 ; FS[8] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.094 ; -; 7.055 ; FS[8] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.094 ; +; 6.791 ; FS[0] ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 6.830 ; +; 6.795 ; FS[10] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.834 ; +; 6.795 ; FS[10] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.834 ; +; 6.795 ; FS[10] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.834 ; +-------+-------------+-------------+--------------+-------------+--------------+------------+------------+ @@ -368,6 +368,14 @@ No paths to report. +--------+--------------+----------------+------------------+-------+------------+--------------+ ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; ARCLK ; ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; ARShift ; +; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; Areg[0] ; +; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; Areg[1] ; +; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; Areg[2] ; +; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; Areg[3] ; +; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; Areg[4] ; +; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; Areg[5] ; +; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; Areg[6] ; +; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; Areg[7] ; ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; BA[0]~reg0 ; ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; BA[1]~reg0 ; ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; CKE~reg0 ; @@ -377,6 +385,7 @@ No paths to report. ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; CmdTout[0] ; ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; CmdTout[1] ; ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; CmdTout[2] ; +; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; DOEEN ; ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; DQMH~reg0 ; ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; DQML~reg0 ; ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; DRCLK ; @@ -457,15 +466,6 @@ No paths to report. ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; UFMErase ; ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; UFMEraseEN ; ; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; UFMInitDone ; -; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; UFMPrgmEN ; -; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; UFMProgram ; -; 34.581 ; 34.920 ; 0.339 ; High Pulse Width ; C14M ; Rise ; UFMReqErase ; -; 34.581 ; 34.920 ; 0.339 ; Low Pulse Width ; C14M ; Fall ; Vout[0]~reg0 ; -; 34.581 ; 34.920 ; 0.339 ; Low Pulse Width ; C14M ; Fall ; Vout[1]~reg0 ; -; 34.581 ; 34.920 ; 0.339 ; Low Pulse Width ; C14M ; Fall ; Vout[2]~reg0 ; -; 34.581 ; 34.920 ; 0.339 ; Low Pulse Width ; C14M ; Fall ; Vout[3]~reg0 ; -; 34.581 ; 34.920 ; 0.339 ; Low Pulse Width ; C14M ; Fall ; Vout[4]~reg0 ; -; 34.581 ; 34.920 ; 0.339 ; Low Pulse Width ; C14M ; Fall ; Vout[5]~reg0 ; +--------+--------------+----------------+------------------+-------+------------+--------------+ @@ -474,37 +474,38 @@ No paths to report. +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; Ain[*] ; C14M ; 6.638 ; 6.638 ; Rise ; C14M ; -; Ain[0] ; C14M ; 6.238 ; 6.238 ; Rise ; C14M ; -; Ain[1] ; C14M ; 6.346 ; 6.346 ; Rise ; C14M ; -; Ain[2] ; C14M ; 6.638 ; 6.638 ; Rise ; C14M ; -; Ain[3] ; C14M ; 5.468 ; 5.468 ; Rise ; C14M ; -; Ain[4] ; C14M ; 5.467 ; 5.467 ; Rise ; C14M ; -; Ain[5] ; C14M ; 5.452 ; 5.452 ; Rise ; C14M ; -; Ain[6] ; C14M ; 5.335 ; 5.335 ; Rise ; C14M ; -; Ain[7] ; C14M ; 6.291 ; 6.291 ; Rise ; C14M ; -; Din[*] ; C14M ; 27.902 ; 27.902 ; Rise ; C14M ; -; Din[0] ; C14M ; 21.596 ; 21.596 ; Rise ; C14M ; -; Din[1] ; C14M ; 23.617 ; 23.617 ; Rise ; C14M ; -; Din[2] ; C14M ; 22.540 ; 22.540 ; Rise ; C14M ; -; Din[3] ; C14M ; 20.056 ; 20.056 ; Rise ; C14M ; -; Din[4] ; C14M ; 23.422 ; 23.422 ; Rise ; C14M ; -; Din[5] ; C14M ; 27.902 ; 27.902 ; Rise ; C14M ; -; Din[6] ; C14M ; 20.352 ; 20.352 ; Rise ; C14M ; -; Din[7] ; C14M ; 26.876 ; 26.876 ; Rise ; C14M ; -; PHI1 ; C14M ; 17.415 ; 17.415 ; Rise ; C14M ; -; nC07X ; C14M ; 13.673 ; 13.673 ; Rise ; C14M ; -; nWE ; C14M ; 16.647 ; 16.647 ; Rise ; C14M ; -; nWE80 ; C14M ; 13.238 ; 13.238 ; Rise ; C14M ; -; RD[*] ; C14M ; 8.566 ; 8.566 ; Fall ; C14M ; -; RD[0] ; C14M ; 6.580 ; 6.580 ; Fall ; C14M ; -; RD[1] ; C14M ; 5.064 ; 5.064 ; Fall ; C14M ; -; RD[2] ; C14M ; 8.566 ; 8.566 ; Fall ; C14M ; -; RD[3] ; C14M ; 5.071 ; 5.071 ; Fall ; C14M ; -; RD[4] ; C14M ; 5.077 ; 5.077 ; Fall ; C14M ; -; RD[5] ; C14M ; 6.634 ; 6.634 ; Fall ; C14M ; -; RD[6] ; C14M ; 7.173 ; 7.173 ; Fall ; C14M ; -; RD[7] ; C14M ; 5.048 ; 5.048 ; Fall ; C14M ; +; Ain[*] ; C14M ; 7.377 ; 7.377 ; Rise ; C14M ; +; Ain[0] ; C14M ; 6.262 ; 6.262 ; Rise ; C14M ; +; Ain[1] ; C14M ; 6.408 ; 6.408 ; Rise ; C14M ; +; Ain[2] ; C14M ; 7.028 ; 7.028 ; Rise ; C14M ; +; Ain[3] ; C14M ; 7.145 ; 7.145 ; Rise ; C14M ; +; Ain[4] ; C14M ; 6.972 ; 6.972 ; Rise ; C14M ; +; Ain[5] ; C14M ; 5.239 ; 5.239 ; Rise ; C14M ; +; Ain[6] ; C14M ; 7.377 ; 7.377 ; Rise ; C14M ; +; Ain[7] ; C14M ; 6.200 ; 6.200 ; Rise ; C14M ; +; Din[*] ; C14M ; 28.839 ; 28.839 ; Rise ; C14M ; +; Din[0] ; C14M ; 28.839 ; 28.839 ; Rise ; C14M ; +; Din[1] ; C14M ; 19.557 ; 19.557 ; Rise ; C14M ; +; Din[2] ; C14M ; 26.970 ; 26.970 ; Rise ; C14M ; +; Din[3] ; C14M ; 24.844 ; 24.844 ; Rise ; C14M ; +; Din[4] ; C14M ; 21.873 ; 21.873 ; Rise ; C14M ; +; Din[5] ; C14M ; 21.507 ; 21.507 ; Rise ; C14M ; +; Din[6] ; C14M ; 24.111 ; 24.111 ; Rise ; C14M ; +; Din[7] ; C14M ; 27.444 ; 27.444 ; Rise ; C14M ; +; PHI1 ; C14M ; 19.269 ; 19.269 ; Rise ; C14M ; +; nC07X ; C14M ; 8.188 ; 8.188 ; Rise ; C14M ; +; nEN80 ; C14M ; 10.690 ; 10.690 ; Rise ; C14M ; +; nWE ; C14M ; 11.021 ; 11.021 ; Rise ; C14M ; +; nWE80 ; C14M ; 7.374 ; 7.374 ; Rise ; C14M ; +; RD[*] ; C14M ; 8.565 ; 8.565 ; Fall ; C14M ; +; RD[0] ; C14M ; 6.593 ; 6.593 ; Fall ; C14M ; +; RD[1] ; C14M ; 7.115 ; 7.115 ; Fall ; C14M ; +; RD[2] ; C14M ; 8.565 ; 8.565 ; Fall ; C14M ; +; RD[3] ; C14M ; 6.929 ; 6.929 ; Fall ; C14M ; +; RD[4] ; C14M ; 5.109 ; 5.109 ; Fall ; C14M ; +; RD[5] ; C14M ; 7.262 ; 7.262 ; Fall ; C14M ; +; RD[6] ; C14M ; 6.928 ; 6.928 ; Fall ; C14M ; +; RD[7] ; C14M ; 7.016 ; 7.016 ; Fall ; C14M ; +-----------+------------+--------+--------+------------+-----------------+ @@ -513,37 +514,38 @@ No paths to report. +-----------+------------+---------+---------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+---------+---------+------------+-----------------+ -; Ain[*] ; C14M ; -4.975 ; -4.975 ; Rise ; C14M ; -; Ain[0] ; C14M ; -5.878 ; -5.878 ; Rise ; C14M ; -; Ain[1] ; C14M ; -5.986 ; -5.986 ; Rise ; C14M ; -; Ain[2] ; C14M ; -6.278 ; -6.278 ; Rise ; C14M ; -; Ain[3] ; C14M ; -5.108 ; -5.108 ; Rise ; C14M ; -; Ain[4] ; C14M ; -5.107 ; -5.107 ; Rise ; C14M ; -; Ain[5] ; C14M ; -5.092 ; -5.092 ; Rise ; C14M ; -; Ain[6] ; C14M ; -4.975 ; -4.975 ; Rise ; C14M ; -; Ain[7] ; C14M ; -5.931 ; -5.931 ; Rise ; C14M ; -; Din[*] ; C14M ; -4.891 ; -4.891 ; Rise ; C14M ; -; Din[0] ; C14M ; -4.891 ; -4.891 ; Rise ; C14M ; -; Din[1] ; C14M ; -6.727 ; -6.727 ; Rise ; C14M ; -; Din[2] ; C14M ; -5.052 ; -5.052 ; Rise ; C14M ; -; Din[3] ; C14M ; -5.127 ; -5.127 ; Rise ; C14M ; -; Din[4] ; C14M ; -6.998 ; -6.998 ; Rise ; C14M ; -; Din[5] ; C14M ; -5.193 ; -5.193 ; Rise ; C14M ; -; Din[6] ; C14M ; -4.925 ; -4.925 ; Rise ; C14M ; -; Din[7] ; C14M ; -6.270 ; -6.270 ; Rise ; C14M ; -; PHI1 ; C14M ; -4.606 ; -4.606 ; Rise ; C14M ; -; nC07X ; C14M ; -13.313 ; -13.313 ; Rise ; C14M ; -; nWE ; C14M ; -16.287 ; -16.287 ; Rise ; C14M ; -; nWE80 ; C14M ; -12.878 ; -12.878 ; Rise ; C14M ; -; RD[*] ; C14M ; -4.678 ; -4.678 ; Fall ; C14M ; -; RD[0] ; C14M ; -6.219 ; -6.219 ; Fall ; C14M ; -; RD[1] ; C14M ; -4.695 ; -4.695 ; Fall ; C14M ; -; RD[2] ; C14M ; -6.152 ; -6.152 ; Fall ; C14M ; -; RD[3] ; C14M ; -4.686 ; -4.686 ; Fall ; C14M ; -; RD[4] ; C14M ; -4.714 ; -4.714 ; Fall ; C14M ; -; RD[5] ; C14M ; -4.770 ; -4.770 ; Fall ; C14M ; -; RD[6] ; C14M ; -6.781 ; -6.781 ; Fall ; C14M ; -; RD[7] ; C14M ; -4.678 ; -4.678 ; Fall ; C14M ; +; Ain[*] ; C14M ; -4.775 ; -4.775 ; Rise ; C14M ; +; Ain[0] ; C14M ; -5.895 ; -5.895 ; Rise ; C14M ; +; Ain[1] ; C14M ; -6.047 ; -6.047 ; Rise ; C14M ; +; Ain[2] ; C14M ; -4.801 ; -4.801 ; Rise ; C14M ; +; Ain[3] ; C14M ; -6.614 ; -6.614 ; Rise ; C14M ; +; Ain[4] ; C14M ; -6.610 ; -6.610 ; Rise ; C14M ; +; Ain[5] ; C14M ; -4.777 ; -4.777 ; Rise ; C14M ; +; Ain[6] ; C14M ; -4.775 ; -4.775 ; Rise ; C14M ; +; Ain[7] ; C14M ; -5.839 ; -5.839 ; Rise ; C14M ; +; Din[*] ; C14M ; -3.466 ; -3.466 ; Rise ; C14M ; +; Din[0] ; C14M ; -4.971 ; -4.971 ; Rise ; C14M ; +; Din[1] ; C14M ; -5.127 ; -5.127 ; Rise ; C14M ; +; Din[2] ; C14M ; -3.470 ; -3.470 ; Rise ; C14M ; +; Din[3] ; C14M ; -3.466 ; -3.466 ; Rise ; C14M ; +; Din[4] ; C14M ; -5.273 ; -5.273 ; Rise ; C14M ; +; Din[5] ; C14M ; -7.331 ; -7.331 ; Rise ; C14M ; +; Din[6] ; C14M ; -5.028 ; -5.028 ; Rise ; C14M ; +; Din[7] ; C14M ; -5.011 ; -5.011 ; Rise ; C14M ; +; PHI1 ; C14M ; -4.691 ; -4.691 ; Rise ; C14M ; +; nC07X ; C14M ; -7.828 ; -7.828 ; Rise ; C14M ; +; nEN80 ; C14M ; -7.050 ; -7.050 ; Rise ; C14M ; +; nWE ; C14M ; -10.661 ; -10.661 ; Rise ; C14M ; +; nWE80 ; C14M ; -7.014 ; -7.014 ; Rise ; C14M ; +; RD[*] ; C14M ; -4.677 ; -4.677 ; Fall ; C14M ; +; RD[0] ; C14M ; -6.232 ; -6.232 ; Fall ; C14M ; +; RD[1] ; C14M ; -4.677 ; -4.677 ; Fall ; C14M ; +; RD[2] ; C14M ; -6.203 ; -6.203 ; Fall ; C14M ; +; RD[3] ; C14M ; -4.885 ; -4.885 ; Fall ; C14M ; +; RD[4] ; C14M ; -4.749 ; -4.749 ; Fall ; C14M ; +; RD[5] ; C14M ; -6.858 ; -6.858 ; Fall ; C14M ; +; RD[6] ; C14M ; -4.728 ; -4.728 ; Fall ; C14M ; +; RD[7] ; C14M ; -6.238 ; -6.238 ; Fall ; C14M ; +-----------+------------+---------+---------+------------+-----------------+ @@ -552,47 +554,48 @@ No paths to report. +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; BA[*] ; C14M ; 19.354 ; 19.354 ; Rise ; C14M ; +; BA[*] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; ; BA[0] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; -; BA[1] ; C14M ; 19.354 ; 19.354 ; Rise ; C14M ; +; BA[1] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; ; CKE ; C14M ; 17.378 ; 17.378 ; Rise ; C14M ; -; DQMH ; C14M ; 20.741 ; 20.741 ; Rise ; C14M ; -; DQML ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; -; RA[*] ; C14M ; 17.399 ; 17.399 ; Rise ; C14M ; -; RA[0] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; -; RA[1] ; C14M ; 17.378 ; 17.378 ; Rise ; C14M ; -; RA[2] ; C14M ; 17.332 ; 17.332 ; Rise ; C14M ; +; DQMH ; C14M ; 20.694 ; 20.694 ; Rise ; C14M ; +; DQML ; C14M ; 17.368 ; 17.368 ; Rise ; C14M ; +; RA[*] ; C14M ; 19.354 ; 19.354 ; Rise ; C14M ; +; RA[0] ; C14M ; 17.421 ; 17.421 ; Rise ; C14M ; +; RA[1] ; C14M ; 19.354 ; 19.354 ; Rise ; C14M ; +; RA[2] ; C14M ; 17.350 ; 17.350 ; Rise ; C14M ; ; RA[3] ; C14M ; 17.368 ; 17.368 ; Rise ; C14M ; -; RA[4] ; C14M ; 17.368 ; 17.368 ; Rise ; C14M ; -; RA[5] ; C14M ; 17.392 ; 17.392 ; Rise ; C14M ; +; RA[4] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; +; RA[5] ; C14M ; 17.375 ; 17.375 ; Rise ; C14M ; ; RA[6] ; C14M ; 17.378 ; 17.378 ; Rise ; C14M ; ; RA[7] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; ; RA[8] ; C14M ; 17.376 ; 17.376 ; Rise ; C14M ; -; RA[9] ; C14M ; 17.399 ; 17.399 ; Rise ; C14M ; +; RA[9] ; C14M ; 17.382 ; 17.382 ; Rise ; C14M ; ; RA[10] ; C14M ; 17.376 ; 17.376 ; Rise ; C14M ; ; RA[11] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; ; nCAS ; C14M ; 19.354 ; 19.354 ; Rise ; C14M ; ; nCS ; C14M ; 17.378 ; 17.378 ; Rise ; C14M ; +; nDOE ; C14M ; 23.226 ; 23.226 ; Rise ; C14M ; ; nRAS ; C14M ; 17.378 ; 17.378 ; Rise ; C14M ; ; nRWE ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; -; Dout[*] ; C14M ; 10.924 ; 10.924 ; Fall ; C14M ; -; Dout[0] ; C14M ; 8.945 ; 8.945 ; Fall ; C14M ; -; Dout[1] ; C14M ; 8.977 ; 8.977 ; Fall ; C14M ; -; Dout[2] ; C14M ; 10.917 ; 10.917 ; Fall ; C14M ; -; Dout[3] ; C14M ; 8.971 ; 8.971 ; Fall ; C14M ; -; Dout[4] ; C14M ; 10.924 ; 10.924 ; Fall ; C14M ; -; Dout[5] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Dout[6] ; C14M ; 8.946 ; 8.946 ; Fall ; C14M ; -; Dout[7] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Vout[*] ; C14M ; 8.950 ; 8.950 ; Fall ; C14M ; -; Vout[0] ; C14M ; 8.950 ; 8.950 ; Fall ; C14M ; -; Vout[1] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Vout[2] ; C14M ; 8.946 ; 8.946 ; Fall ; C14M ; -; Vout[3] ; C14M ; 8.946 ; 8.946 ; Fall ; C14M ; -; Vout[4] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Vout[5] ; C14M ; 8.947 ; 8.947 ; Fall ; C14M ; -; Vout[6] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Vout[7] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; +; Dout[*] ; C14M ; 19.315 ; 19.315 ; Fall ; C14M ; +; Dout[0] ; C14M ; 17.336 ; 17.336 ; Fall ; C14M ; +; Dout[1] ; C14M ; 17.368 ; 17.368 ; Fall ; C14M ; +; Dout[2] ; C14M ; 19.308 ; 19.308 ; Fall ; C14M ; +; Dout[3] ; C14M ; 17.362 ; 17.362 ; Fall ; C14M ; +; Dout[4] ; C14M ; 19.315 ; 19.315 ; Fall ; C14M ; +; Dout[5] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Dout[6] ; C14M ; 17.337 ; 17.337 ; Fall ; C14M ; +; Dout[7] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[*] ; C14M ; 17.350 ; 17.350 ; Fall ; C14M ; +; Vout[0] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[1] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[2] ; C14M ; 17.337 ; 17.337 ; Fall ; C14M ; +; Vout[3] ; C14M ; 17.337 ; 17.337 ; Fall ; C14M ; +; Vout[4] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[5] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[6] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[7] ; C14M ; 17.350 ; 17.350 ; Fall ; C14M ; +-----------+------------+--------+--------+------------+-----------------+ @@ -603,45 +606,46 @@ No paths to report. +-----------+------------+--------+--------+------------+-----------------+ ; BA[*] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; ; BA[0] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; -; BA[1] ; C14M ; 19.354 ; 19.354 ; Rise ; C14M ; +; BA[1] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; ; CKE ; C14M ; 17.378 ; 17.378 ; Rise ; C14M ; -; DQMH ; C14M ; 20.741 ; 20.741 ; Rise ; C14M ; -; DQML ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; -; RA[*] ; C14M ; 17.332 ; 17.332 ; Rise ; C14M ; -; RA[0] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; -; RA[1] ; C14M ; 17.378 ; 17.378 ; Rise ; C14M ; -; RA[2] ; C14M ; 17.332 ; 17.332 ; Rise ; C14M ; +; DQMH ; C14M ; 20.694 ; 20.694 ; Rise ; C14M ; +; DQML ; C14M ; 17.368 ; 17.368 ; Rise ; C14M ; +; RA[*] ; C14M ; 17.350 ; 17.350 ; Rise ; C14M ; +; RA[0] ; C14M ; 17.421 ; 17.421 ; Rise ; C14M ; +; RA[1] ; C14M ; 19.354 ; 19.354 ; Rise ; C14M ; +; RA[2] ; C14M ; 17.350 ; 17.350 ; Rise ; C14M ; ; RA[3] ; C14M ; 17.368 ; 17.368 ; Rise ; C14M ; -; RA[4] ; C14M ; 17.368 ; 17.368 ; Rise ; C14M ; -; RA[5] ; C14M ; 17.392 ; 17.392 ; Rise ; C14M ; +; RA[4] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; +; RA[5] ; C14M ; 17.375 ; 17.375 ; Rise ; C14M ; ; RA[6] ; C14M ; 17.378 ; 17.378 ; Rise ; C14M ; ; RA[7] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; ; RA[8] ; C14M ; 17.376 ; 17.376 ; Rise ; C14M ; -; RA[9] ; C14M ; 17.399 ; 17.399 ; Rise ; C14M ; +; RA[9] ; C14M ; 17.382 ; 17.382 ; Rise ; C14M ; ; RA[10] ; C14M ; 17.376 ; 17.376 ; Rise ; C14M ; ; RA[11] ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; ; nCAS ; C14M ; 19.354 ; 19.354 ; Rise ; C14M ; ; nCS ; C14M ; 17.378 ; 17.378 ; Rise ; C14M ; +; nDOE ; C14M ; 23.226 ; 23.226 ; Rise ; C14M ; ; nRAS ; C14M ; 17.378 ; 17.378 ; Rise ; C14M ; ; nRWE ; C14M ; 17.383 ; 17.383 ; Rise ; C14M ; -; Dout[*] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Dout[0] ; C14M ; 8.945 ; 8.945 ; Fall ; C14M ; -; Dout[1] ; C14M ; 8.977 ; 8.977 ; Fall ; C14M ; -; Dout[2] ; C14M ; 10.917 ; 10.917 ; Fall ; C14M ; -; Dout[3] ; C14M ; 8.971 ; 8.971 ; Fall ; C14M ; -; Dout[4] ; C14M ; 10.924 ; 10.924 ; Fall ; C14M ; -; Dout[5] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Dout[6] ; C14M ; 8.946 ; 8.946 ; Fall ; C14M ; -; Dout[7] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Vout[*] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Vout[0] ; C14M ; 8.950 ; 8.950 ; Fall ; C14M ; -; Vout[1] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Vout[2] ; C14M ; 8.946 ; 8.946 ; Fall ; C14M ; -; Vout[3] ; C14M ; 8.946 ; 8.946 ; Fall ; C14M ; -; Vout[4] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Vout[5] ; C14M ; 8.947 ; 8.947 ; Fall ; C14M ; -; Vout[6] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; -; Vout[7] ; C14M ; 8.941 ; 8.941 ; Fall ; C14M ; +; Dout[*] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Dout[0] ; C14M ; 17.336 ; 17.336 ; Fall ; C14M ; +; Dout[1] ; C14M ; 17.368 ; 17.368 ; Fall ; C14M ; +; Dout[2] ; C14M ; 19.308 ; 19.308 ; Fall ; C14M ; +; Dout[3] ; C14M ; 17.362 ; 17.362 ; Fall ; C14M ; +; Dout[4] ; C14M ; 19.315 ; 19.315 ; Fall ; C14M ; +; Dout[5] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Dout[6] ; C14M ; 17.337 ; 17.337 ; Fall ; C14M ; +; Dout[7] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[*] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[0] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[1] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[2] ; C14M ; 17.337 ; 17.337 ; Fall ; C14M ; +; Vout[3] ; C14M ; 17.337 ; 17.337 ; Fall ; C14M ; +; Vout[4] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[5] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[6] ; C14M ; 17.332 ; 17.332 ; Fall ; C14M ; +; Vout[7] ; C14M ; 17.350 ; 17.350 ; Fall ; C14M ; +-----------+------------+--------+--------+------------+-----------------+ @@ -650,33 +654,33 @@ No paths to report. +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; Din[0] ; RD[0] ; 17.981 ; ; ; 17.981 ; -; Din[1] ; RD[1] ; 17.927 ; ; ; 17.927 ; -; Din[2] ; RD[2] ; 20.142 ; ; ; 20.142 ; -; Din[3] ; RD[3] ; 17.941 ; ; ; 17.941 ; -; Din[4] ; RD[4] ; 19.866 ; ; ; 19.866 ; -; Din[5] ; RD[5] ; 19.798 ; ; ; 19.798 ; -; Din[6] ; RD[6] ; 18.337 ; ; ; 18.337 ; -; Din[7] ; RD[7] ; 17.921 ; ; ; 17.921 ; -; PHI1 ; nVOE ; 14.089 ; ; ; 14.089 ; -; nEN80 ; RD[0] ; 20.841 ; ; ; 20.841 ; -; nEN80 ; RD[1] ; 22.027 ; ; ; 22.027 ; -; nEN80 ; RD[2] ; 20.841 ; ; ; 20.841 ; -; nEN80 ; RD[3] ; 22.027 ; ; ; 22.027 ; -; nEN80 ; RD[4] ; 22.027 ; ; ; 22.027 ; -; nEN80 ; RD[5] ; 20.841 ; ; ; 20.841 ; -; nEN80 ; RD[6] ; 20.841 ; ; ; 20.841 ; -; nEN80 ; RD[7] ; 20.841 ; ; ; 20.841 ; -; nEN80 ; nDOE ; 22.901 ; ; ; 22.901 ; -; nWE ; nDOE ; 27.259 ; ; ; 27.259 ; -; nWE80 ; RD[0] ; 19.386 ; ; ; 19.386 ; -; nWE80 ; RD[1] ; 20.572 ; ; ; 20.572 ; -; nWE80 ; RD[2] ; 19.386 ; ; ; 19.386 ; -; nWE80 ; RD[3] ; 20.572 ; ; ; 20.572 ; -; nWE80 ; RD[4] ; 20.572 ; ; ; 20.572 ; -; nWE80 ; RD[5] ; 19.386 ; ; ; 19.386 ; -; nWE80 ; RD[6] ; 19.386 ; ; ; 19.386 ; -; nWE80 ; RD[7] ; 19.386 ; ; ; 19.386 ; +; Din[0] ; RD[0] ; 19.349 ; ; ; 19.349 ; +; Din[1] ; RD[1] ; 19.378 ; ; ; 19.378 ; +; Din[2] ; RD[2] ; 21.534 ; ; ; 21.534 ; +; Din[3] ; RD[3] ; 19.335 ; ; ; 19.335 ; +; Din[4] ; RD[4] ; 21.330 ; ; ; 21.330 ; +; Din[5] ; RD[5] ; 21.614 ; ; ; 21.614 ; +; Din[6] ; RD[6] ; 19.625 ; ; ; 19.625 ; +; Din[7] ; RD[7] ; 19.254 ; ; ; 19.254 ; +; PHI1 ; nVOE ; 22.494 ; ; ; 22.494 ; +; nEN80 ; RD[0] ; 19.829 ; ; ; 19.829 ; +; nEN80 ; RD[1] ; 21.564 ; ; ; 21.564 ; +; nEN80 ; RD[2] ; 19.829 ; ; ; 19.829 ; +; nEN80 ; RD[3] ; 21.564 ; ; ; 21.564 ; +; nEN80 ; RD[4] ; 21.564 ; ; ; 21.564 ; +; nEN80 ; RD[5] ; 19.829 ; ; ; 19.829 ; +; nEN80 ; RD[6] ; 19.829 ; ; ; 19.829 ; +; nEN80 ; RD[7] ; 19.829 ; ; ; 19.829 ; +; nEN80 ; nDOE ; 27.166 ; ; ; 27.166 ; +; nWE ; nDOE ; 24.346 ; ; ; 24.346 ; +; nWE80 ; RD[0] ; 22.991 ; ; ; 22.991 ; +; nWE80 ; RD[1] ; 24.726 ; ; ; 24.726 ; +; nWE80 ; RD[2] ; 22.991 ; ; ; 22.991 ; +; nWE80 ; RD[3] ; 24.726 ; ; ; 24.726 ; +; nWE80 ; RD[4] ; 24.726 ; ; ; 24.726 ; +; nWE80 ; RD[5] ; 22.991 ; ; ; 22.991 ; +; nWE80 ; RD[6] ; 22.991 ; ; ; 22.991 ; +; nWE80 ; RD[7] ; 22.991 ; ; ; 22.991 ; +------------+-------------+--------+----+----+--------+ @@ -685,33 +689,33 @@ No paths to report. +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; Din[0] ; RD[0] ; 17.981 ; ; ; 17.981 ; -; Din[1] ; RD[1] ; 17.927 ; ; ; 17.927 ; -; Din[2] ; RD[2] ; 20.142 ; ; ; 20.142 ; -; Din[3] ; RD[3] ; 17.941 ; ; ; 17.941 ; -; Din[4] ; RD[4] ; 19.866 ; ; ; 19.866 ; -; Din[5] ; RD[5] ; 19.798 ; ; ; 19.798 ; -; Din[6] ; RD[6] ; 18.337 ; ; ; 18.337 ; -; Din[7] ; RD[7] ; 17.921 ; ; ; 17.921 ; -; PHI1 ; nVOE ; 14.089 ; ; ; 14.089 ; -; nEN80 ; RD[0] ; 20.841 ; ; ; 20.841 ; -; nEN80 ; RD[1] ; 22.027 ; ; ; 22.027 ; -; nEN80 ; RD[2] ; 20.841 ; ; ; 20.841 ; -; nEN80 ; RD[3] ; 22.027 ; ; ; 22.027 ; -; nEN80 ; RD[4] ; 22.027 ; ; ; 22.027 ; -; nEN80 ; RD[5] ; 20.841 ; ; ; 20.841 ; -; nEN80 ; RD[6] ; 20.841 ; ; ; 20.841 ; -; nEN80 ; RD[7] ; 20.841 ; ; ; 20.841 ; -; nEN80 ; nDOE ; 22.901 ; ; ; 22.901 ; -; nWE ; nDOE ; 27.259 ; ; ; 27.259 ; -; nWE80 ; RD[0] ; 19.386 ; ; ; 19.386 ; -; nWE80 ; RD[1] ; 20.572 ; ; ; 20.572 ; -; nWE80 ; RD[2] ; 19.386 ; ; ; 19.386 ; -; nWE80 ; RD[3] ; 20.572 ; ; ; 20.572 ; -; nWE80 ; RD[4] ; 20.572 ; ; ; 20.572 ; -; nWE80 ; RD[5] ; 19.386 ; ; ; 19.386 ; -; nWE80 ; RD[6] ; 19.386 ; ; ; 19.386 ; -; nWE80 ; RD[7] ; 19.386 ; ; ; 19.386 ; +; Din[0] ; RD[0] ; 19.349 ; ; ; 19.349 ; +; Din[1] ; RD[1] ; 19.378 ; ; ; 19.378 ; +; Din[2] ; RD[2] ; 21.534 ; ; ; 21.534 ; +; Din[3] ; RD[3] ; 19.335 ; ; ; 19.335 ; +; Din[4] ; RD[4] ; 21.330 ; ; ; 21.330 ; +; Din[5] ; RD[5] ; 21.614 ; ; ; 21.614 ; +; Din[6] ; RD[6] ; 19.625 ; ; ; 19.625 ; +; Din[7] ; RD[7] ; 19.254 ; ; ; 19.254 ; +; PHI1 ; nVOE ; 22.494 ; ; ; 22.494 ; +; nEN80 ; RD[0] ; 19.829 ; ; ; 19.829 ; +; nEN80 ; RD[1] ; 21.564 ; ; ; 21.564 ; +; nEN80 ; RD[2] ; 19.829 ; ; ; 19.829 ; +; nEN80 ; RD[3] ; 21.564 ; ; ; 21.564 ; +; nEN80 ; RD[4] ; 21.564 ; ; ; 21.564 ; +; nEN80 ; RD[5] ; 19.829 ; ; ; 19.829 ; +; nEN80 ; RD[6] ; 19.829 ; ; ; 19.829 ; +; nEN80 ; RD[7] ; 19.829 ; ; ; 19.829 ; +; nEN80 ; nDOE ; 27.166 ; ; ; 27.166 ; +; nWE ; nDOE ; 24.346 ; ; ; 24.346 ; +; nWE80 ; RD[0] ; 22.991 ; ; ; 22.991 ; +; nWE80 ; RD[1] ; 24.726 ; ; ; 24.726 ; +; nWE80 ; RD[2] ; 22.991 ; ; ; 22.991 ; +; nWE80 ; RD[3] ; 24.726 ; ; ; 24.726 ; +; nWE80 ; RD[4] ; 24.726 ; ; ; 24.726 ; +; nWE80 ; RD[5] ; 22.991 ; ; ; 22.991 ; +; nWE80 ; RD[6] ; 22.991 ; ; ; 22.991 ; +; nWE80 ; RD[7] ; 22.991 ; ; ; 22.991 ; +------------+-------------+--------+----+----+--------+ @@ -720,7 +724,7 @@ No paths to report. +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C14M ; C14M ; 1346 ; 0 ; 64 ; 0 ; +; C14M ; C14M ; 1432 ; 0 ; 64 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -730,7 +734,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C14M ; C14M ; 1346 ; 0 ; 64 ; 0 ; +; C14M ; C14M ; 1432 ; 0 ; 64 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -755,9 +759,9 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 2 ; 2 ; ; Unconstrained Input Ports ; 29 ; 29 ; -; Unconstrained Input Port Paths ; 141 ; 141 ; +; Unconstrained Input Port Paths ; 151 ; 151 ; ; Unconstrained Output Ports ; 47 ; 47 ; -; Unconstrained Output Port Paths ; 64 ; 64 ; +; Unconstrained Output Port Paths ; 65 ; 65 ; +---------------------------------+-------+------+ @@ -767,7 +771,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design Info: ******************************************************************* Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Sep 08 19:28:20 2020 + Info: Processing started: Wed Sep 16 19:34:50 2020 Info: Command: quartus_sta RAM2E -c RAM2E Info: qsta_default_script.tcl version: #1 Warning (20028): Parallel compilation is not licensed and has been disabled @@ -779,14 +783,14 @@ Info (332104): Reading SDC File: 'constraints.sdc' Warning (332060): Node: DRCLK was determined to be a clock but was found without an associated clock assignment. Warning (332060): Node: ARCLK was determined to be a clock but was found without an associated clock assignment. Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info (332146): Worst-case setup slack is 22.276 +Info (332146): Worst-case setup slack is 22.294 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): 22.276 0.000 C14M -Info (332146): Worst-case hold slack is 3.130 + Info (332119): 22.294 0.000 C14M +Info (332146): Worst-case hold slack is 3.117 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): 3.130 0.000 C14M + Info (332119): 3.117 0.000 C14M Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 34.581 @@ -797,8 +801,8 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 4528 megabytes - Info: Processing ended: Tue Sep 08 19:28:21 2020 + Info: Peak virtual memory: 4522 megabytes + Info: Processing ended: Wed Sep 16 19:34:51 2020 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/RAM2E.sta.summary b/cpld/output_files/RAM2E.sta.summary index 989dbda..5c627cc 100755 --- a/cpld/output_files/RAM2E.sta.summary +++ b/cpld/output_files/RAM2E.sta.summary @@ -3,11 +3,11 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C14M' -Slack : 22.276 +Slack : 22.294 TNS : 0.000 Type : Hold 'C14M' -Slack : 3.130 +Slack : 3.117 TNS : 0.000 Type : Minimum Pulse Width 'C14M' diff --git a/cpld/output_files/UFM.qip b/cpld/output_files/UFM.qip deleted file mode 100644 index e69de29..0000000 diff --git a/cpld/output_files/greybox_tmp/cbx_args.txt b/cpld/output_files/greybox_tmp/cbx_args.txt deleted file mode 100644 index a0f0f75..0000000 --- a/cpld/output_files/greybox_tmp/cbx_args.txt +++ /dev/null @@ -1,26 +0,0 @@ -ERASE_TIME=500000000 -INTENDED_DEVICE_FAMILY="MAX II" -LPM_FILE=RAM2E.mif -LPM_HINT=UNUSED -LPM_TYPE=altufm_none -OSC_FREQUENCY=180000 -PORT_ARCLKENA=PORT_UNUSED -PORT_DRCLKENA=PORT_UNUSED -PROGRAM_TIME=1600000 -WIDTH_UFM_ADDRESS=9 -DEVICE_FAMILY="MAX II" -CBX_AUTO_BLACKBOX=ALL -CBX_AUTO_BLACKBOX=ALL -arclk -ardin -arshft -busy -drclk -drdin -drdout -drshft -erase -osc -oscena -program -rtpbusy From 7ff514a26cca21eb444c94ab1369eb351cf55af8 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 16 Sep 2020 20:20:16 -0400 Subject: [PATCH 2/2] Fix snow on screen Previous commit had "snow" in 80-col mode when updating display. Put back command timing to fix problem. Kept PHI0 read gating depending on EN80 and data output gating --- cpld/RAM2E.qws | Bin 2098 -> 1364 bytes cpld/RAM2E.v | 105 ++- cpld/db/RAM2E.(0).cnf.cdb | Bin 36983 -> 35262 bytes cpld/db/RAM2E.(0).cnf.hdb | Bin 4164 -> 4051 bytes cpld/db/RAM2E.asm.qmsg | 12 +- cpld/db/RAM2E.asm.rdb | Bin 1384 -> 1382 bytes cpld/db/RAM2E.asm_labs.ddb | Bin 2863 -> 2813 bytes cpld/db/RAM2E.cmp.cdb | Bin 47300 -> 46058 bytes cpld/db/RAM2E.cmp.hdb | Bin 18552 -> 18521 bytes cpld/db/RAM2E.cmp.idb | Bin 14236 -> 13728 bytes cpld/db/RAM2E.cmp.rdb | Bin 15087 -> 15108 bytes cpld/db/RAM2E.cmp0.ddb | Bin 85254 -> 84578 bytes cpld/db/RAM2E.db_info | 2 +- cpld/db/RAM2E.fit.qmsg | 77 +- cpld/db/RAM2E.hier_info | 24 +- cpld/db/RAM2E.hif | Bin 576 -> 578 bytes cpld/db/RAM2E.map.cdb | Bin 17790 -> 17226 bytes cpld/db/RAM2E.map.hdb | Bin 17748 -> 17654 bytes cpld/db/RAM2E.map.qmsg | 38 +- cpld/db/RAM2E.map.rdb | Bin 1246 -> 1246 bytes cpld/db/RAM2E.pre_map.hdb | Bin 16440 -> 15766 bytes cpld/db/RAM2E.routing.rdb | Bin 1504 -> 1494 bytes cpld/db/RAM2E.rtlv.hdb | Bin 16184 -> 15685 bytes cpld/db/RAM2E.rtlv_sg.cdb | Bin 29054 -> 28670 bytes cpld/db/RAM2E.rtlv_sg_swap.cdb | Bin 816 -> 814 bytes cpld/db/RAM2E.sgdiff.cdb | Bin 17954 -> 17304 bytes cpld/db/RAM2E.sgdiff.hdb | Bin 16505 -> 15938 bytes cpld/db/RAM2E.sta.qmsg | 44 +- cpld/db/RAM2E.sta.rdb | Bin 10820 -> 10922 bytes cpld/db/RAM2E.sta_cmp.5_slow.tdb | Bin 45239 -> 43539 bytes cpld/db/RAM2E.tmw_info | 4 +- cpld/db/RAM2E.vpr.ammdb | Bin 600 -> 624 bytes cpld/db/logic_util_heursitic.dat | Bin 11836 -> 11396 bytes cpld/db/prev_cmp_RAM2E.qmsg | 108 ++- .../compiled_partitions/RAM2E.db_info | 3 + .../RAM2E.root_partition.map.kpt | Bin 3096 -> 2925 bytes cpld/output_files/RAM2E.asm.rpt | 12 +- cpld/output_files/RAM2E.done | 2 +- cpld/output_files/RAM2E.fit.rpt | 353 ++++----- cpld/output_files/RAM2E.fit.summary | 4 +- cpld/output_files/RAM2E.flow.rpt | 14 +- cpld/output_files/RAM2E.jdi | 2 +- cpld/output_files/RAM2E.map.rpt | 54 +- cpld/output_files/RAM2E.map.smsg | 2 +- cpld/output_files/RAM2E.map.summary | 4 +- cpld/output_files/RAM2E.pof | Bin 7879 -> 7879 bytes cpld/output_files/RAM2E.sta.rpt | 750 +++++++++--------- cpld/output_files/RAM2E.sta.summary | 4 +- 48 files changed, 835 insertions(+), 783 deletions(-) create mode 100644 cpld/incremental_db/compiled_partitions/RAM2E.db_info diff --git a/cpld/RAM2E.qws b/cpld/RAM2E.qws index 6cdca8db0d1c4d47c68c2184e1fd8c12e1a5dce2..0d77c12ae5f7c1aedb79179b4f1c3d0073b99c43 100644 GIT binary patch delta 136 zcmdlaaD{7vHY4Lio%TQ`1_lNnhH3^221ABK1``G&hExV)AgK!!F=t3-Faxp-fiMM# zb%81j7?OZk7l;jjstkZ4X+W3=)RP9*SvyguW%D~m4kjk1zR5qBO@x^GfHuT1{Qv)- Vfq~^00|QgvW;>Py#>rwFG60Kb8+QNz delta 364 zcmZ9Iy-EW?6otQ;RS+w&5KBwJtZtTQq6iX1D{aJd7G|9UEJTPw1PMV9{Ha{`O-#3M zAhs6id;p(8)-y{Qo#EU$bI%O--1|BEHuth(Y+89RNgB1IJkW{*{_fj~ImmJU_A zY)WYhj*v(@DOpvTQ#O?5bDnwC?=!)bXDl8wNGtcFM>Aus$+Op>Hg9rOIH4#CFn2;e z8V9FlXouoo(kAQQ-Fs?KV}rU*Cua>+b*fQUE|eqhDVlh4rRx2}LR+1571vAu9R@0q zu*()}w762QJ<^^1GS>X_xt_(PcDgMg zB_=K=aa-Np?&G`Ll2Vel#bp5!k^o6@38~vg?>~9iS--z+U}^1eTjI9S)7vKRt!`_+ zv-?aQnb=E34nslF6Y`&+`0~F^ing%-ZF^Df`CnW5V1yw##H#1qe3(XG^|)88=SSM% z>ndo-gYHPqXCEv-+#HUC3RM9_@8;OPsCucMSHdd#_-4*uLl0{nKN1Yty4iT>hV;#J zL;5dbB(eU;0bJ|3^0~JP@>3=%!wqS%@Rj^!a>O}nUkmE>*fKh$oHI;*5mEDoZh4W* z;;;Ht+hv%mBBPlxU;y|aVnyo18ujcZm{zvlY@$2ObH*nqY{-fZ3>rwJ%%# zwL`A~-i=xt89l->uTH_sK+pS7NE^|9ic=EC{3R+cYv z;iy|Hou{~PT4XV&>+7;~5SX-g!=U{n)TLb4JX+KlGThICCT`$bX6hqE*M!NC(ks=Q*cD zX<37Ixukvu$|=?NF&+JF;N%U~k6W1B=brj~ATWY!`a!8U-TK%ET#o|ZZ|;Ax`F5xt zbvA1`YVW$7tz+muV757M5|UK)_G@kA*J5hw4Hwryz7g(FWV`*G1A8xCIx@F9M3-l* zug?|OVCvbln!GyaLwB?DZa{k+-I&N{6 z_1LbtACs6wxGYYyVa8%%^F;o_qG5(gm!-@@&Y^Rh_sMsc+U8d*WoPZf--_p>3>&=; zrU%DW*pWUw$EqJi9F_n|e3i#ZL#07Cznh$YnKoAU`3inljfn2OQy-A2AzlLa(91#c6GQ{bm<4V)P!F7Unt)*@$#BOSn@a=K0|@(FS@OcPZJ}g4E4Wbwr$Fos+Fjq z*UCPE8BY~dG@Yjnp^MHabEW=fP)18e>6d8IBVpE5$I}xuHy;GV%qY-j>!0bD%0}hY%cX&rhdBGR= z$(AeVmaIO>$)4&hRAhSnmTN3J{#?YTeSp$@Ay^3Q!~HCKa`i2(vQ<%>iVyca$N@J# z&J~R3KP5L>fljook?B6%=xx^?#q-(5r)6mEvoOpnk|-5C9m^hZkiPlVnc`e@FxUXn zz=2@@w5wZa3B#!2a|yi{%bW4$NcAskG$W|MRc~gJNWBEp>c%`429!XEuztf&JSH_Nn4LvOfj5mZhec%W<%_$L1H92 zv>ujczcj*Z{_sgTD!n`VEIqpGN@bf4w;|LNW@#=Obiv6MR&S;Xe$1Ma*dlX$27gS- zvjiYsT--a{omqH^HE6Np=5eO8@=x%}B|=&-n5QRP{@7;fV=e5WTpme70}GVRgV)~i zuOwd6HOQoP{t0b0?J<)>XM2r4QdnT?#tI&55j2iBdb>M^j|wj^<0S}h)9E&6z>M^y zjm~7-KzYmx|I>nzkePEnTJ8G7fY*z8{zY&QqMhg5n-|hu;i*>QYy~*wtMYvNR_&wq zc3~6r1+rkj`KMV5j>1dhcmR{OCNyn$7GMZuv{4cDU#%weg4k&yW~hSh105jObDEsiXg| zQ%6GasRnxgbmtD#qm1ea{I$V~&3Fsr4)M`V(sa~+3E8k!c_&AbmsO|?EX6P>K_%a{**hGBFO$57m6Qtx-I^g zh{gUi>{VyAxHF>i3L^j+LGy8q~`@jE;2F7~a4G*>pX-Zi1% z5izbkss0&rIPT>S{G6ePpmC#Xe;h^7!)IMA_%987nGktkD&Oy(gwqn~eUooZdim_g zmm~fTHzoVwIg0a6+s~a^oGIsks=1h72@XCvo6(o!%W=(Y_U{=V=b<=K+7Z2TiR*??>Dq=PfpnHV6tz1&^;Nuxe>dTdz;gue8Dn# zO-N|q&Tm-Y8YxfQES~Y1<#ipE8}X#{&W}38cmGCxd;+-_G##%bA0&SdbM*;Cn%wYH zrA2`+6-8ROLdADQ9w?^-J|#KjBJ>rR2x;#l@XpN+Vo`@JVqX>%5Lg4%z1%BsAOa!9 zHx;dX5qoST`x>sv>1}zvJq-QDuR_s9ROQoh++#`Ey#)KX)bF|m6sx{hQip`w+gdL@ zdv>MWLV*hM-6lfs5=DJe(7P}1=uS`19i{*f@Z=r*FntYh=&9itD=FZG$b zV64X>ProWMS$)i7y`T}`TgO~tJW@w|&8fTSe=yWyAil~RG-Q zB#YDb2)p&8i8NdO4Hls}8?Mq|%wv0>x6M@*`ar%YtyKE@~d&z)VjZ| zG%DMjEcdl~UOKOl$fyWv0KVw5cqXfDy62l;vHI#&Fk0DYDx#?DBIUVt_O|a>h1(z5 z?!?%hJC}rDAne&)@o(nC*9TD{iN7G zQke?n@{}sMu)I)Y{5La|SpiMA2yb)106}O#zr)4~P+w!pF}*h9EcsG%^_|WATH%c! zK9&m-Nf@mfl<*(>nFgxV+EVltx{z_A-^+|g-o1JLHtVbyX5z7eX=cfnc+FUGt-<^E zB2!VH?$ z;pfkGqI6|f-9txSX3s3pUm>qgbm7~w(wC3%ijV1_x|LN=`Db?DSDonwhdx~Is#>kP z>!>)6@zcRSx7%#sEPNuI@_R^qLUMZNExM4mn`?K?PWT?M*2GsFQCd^^4&8R^z^Zmr zHPH9jx8{K;l#+JX*09h(1V_AfJ~>@o4ec`h6Yb67>z`QG-E+?Tm$WYAe8*@A4J5Dq zHB1%#&G+nI&v$aq!oM_bas5{gx&O)__@57hVJbkYY6$bi`$2bKz6YDX*1(~zW!X2) zG|60-J3;fNZ1%A!QymxW3~taiq&X{Y3qRiYx;nG!s3+9O@Kh(={e1K3&n=xd#OK=A^uszQ zqSrx{{0TM8*G8__3)*eTOx)X-4ZWr}VjS5JyzrpFG~IjVDFPb|MHHWz2P zf_HqG%`g!qEPaKSR$$l0Ji^vxyC`XSb3ir6N49-W;1}MC=Z7oXKpvA&yp1|Xj;f<` zj2*aNNiNI3YOT=MviE&R3)i;{Q1Mwpt2l6&4z(&Yz{TagA%WP_uJxO2i&g4sB$k;u z0ewzNuB{ecDgV3j$vOW?j<>kl%%E{j2WL}~Eie7OTLs_+s%yF@$epczZ1Zbd$J%wC zun&aqI#c1SC^PRHURQf{bFMjxYUZhw8R%^&UYg5(9Ejs>j=|u3EQw}-f%CIYKYhS} z=Xoc75|ru+Ht{zq4e_}CV{~ynRUj2#dR?p>7V|>_vXNJ*csoburBL&o;w{?k&3eHn ztC}t+;Wa0nbjBwo5Z>1)jQyl%NWRxwIVK8UR0lUw0 z=5{rs`kh2v`>LBJ7xwAap=*$AwEhFxOP)Vrq>0*4YJJ{U&`~;6xvyng5&H%gS!AJH`QHr+kc)og7RAUa_m>giPLwS_zTUv{n6;Ll5gl$;bwU@e5q43 zO^@Or7lhJuPtR}ox}Y`ZlZ~wyS;-pmy6$ao_4YV$vv;U6VeTI%Jf` zEkRsxFx9okIl%q$5h990ML=yRRFz^AXu;#H62-6c;7g3&aX4!`m|S+Lpwt+0tcX_e z)e$hE@!NVuE_wa@Xi+qg6utI#WghI58H@7C-0dOvufFM^UF!Q`O~XN{B-|rx>^nd% z3@yL_&3UJv0bpR%*D`a04n=<0i$+mouB0|!T{?PosvJeHB&0TERzeYN{t5^#kh}Vh zO@4I|pq2@>wsCC@V9ey~>IVh8`rg0lTm9aA&8NpZ*p*K=mR&+D!$0A{VAwuupA%4*H&%O?qnvt;en-F)(y=)m_+N=3I zBt|k4ry9@_>WV>TdvJsZocx*o_4#O=<(sHIC%gQ8)1p59b#UDI!ewvf)f2GezPQt_ z;j>Eq&V}h9@dXk1dV{i79hA>F5Nz3S>}c`C(7TFIUG;`TU(B7kI(bh$HFca=X&!}l z>nhP~j?EjeCmocsO%%f4f(Lxo^EawhC5j3C9k=lIT_u}MvE3{M_@0|@zU;*$^VG8@ zWNxX)rj8M>y=Q<#S&npBJo%&dT^h1tNp`RyKeenzfK5#I1cUfc?Y;$pMcW5r_lEP@ zcn}-AKQbvxCpJJ)sh@q>1G@9hV(cA`cIgvbSX}nQ2JJz0@1dQeie~KnK%U0(acpdI zq`$;&?`2EKuY6K0L3X|vB_LTk!4Ujv^4`@q0w2dc3SRL^mozD)suep)mNeZ{ ziv@D6yXOw)UYIx68rO$ePF%S*LSKC%GRw>kml(i$1l;Z_=`;Vp-&Nv#?}^mFA4K={ z>Lbacw^bKU1G412B>JK|X9FzEyakuM8OpU3Cc>^&(dTwnhc9w!@%*@LtAl(H{1z&G zc~WXXK~n9zrLosw7`qA0>`ujHwP4dYCi6*g2dx08k|= z$v32h%@Tf#JCy%t9yM+>zCWR}h_(H12&RGby5Q=Jgj%en@w*~Ry-I|m=FG%@^XJsk z=4~0ZEq!q*^wx9-My@UzPsOO(73ReLk_%LUjrSz(`lSw8qx`V=ZHiJ>@IKbEcT z;at?ARLL7bwP?%ng1T3pR(DLe1eqJW0%|H=ErVJFoo^Xvu8CyaM%0J17chV2=TAT; zB|bO^Z%Ek~hb3QpsN_O94QqVho#2Bc#MG{#p9M(wH}qW`E~X3hDkt9-duL7(Q`C&? z@;~Uf`fuMBZU{;`NQvx|YQg&_VIGemYad;R85Z9yn1|gSkc+bM%Dp%!8{%A`3VsFE zVL}`BJ#3rqt9lCB5(o}^RWERr&NK-gC-RQfiHp8&{O`2@5WVR*U**$-HdJYoidw2O zYo?K^S(M`26G*kX2LVyByL0&k($`}c<9982{Po3g%+t8uCK0t{XXCafU6aV5$j)-? z6|UVJvC9(LwTnh3!;AivnIHm)ePrs5e_8FNDqb0S#d^9IVweMIYb;T!SD)dkL+f~P z;+aA&o!y$|uftjEcV4F#u3c55O({u>8GC85(Dfe;O`#SDPXL`qP)f}4>gx^zygGZ; zBZaOILc(=fy{^OWufkJho9SHl1?d3%D4C2mseRbb>{a1cpeit9^TY85jEdu=<~N?P zpo%)n<(_xM*iTO;*2LZkb#-<=$%^2R&8D+-?CRA0gZ--b=ccLe=R3NbY}XkJBrP6K ziCJ9bH_lY9_{sgC;aG0!?X2gWr_-zl!`$AVnVakO)L+}NCnr&9QY*{f%cpKh06bE*fE)wzAj#{MVJQMQWC^-tZ=%Fls#O-k3b@2%J9!0F#C z)%vy2f+BwYDalcVrWq%IZm*`jps(^j|CTU@{gzSl_*7`3Upg z+l9IOS5JN_*PB}vy!4c!PJ3_YS`ztp#{vKpj%7Vm77kw%O1`l9P3k_0@MIqg1~g4t zrDd@JRuuf6&=t|u_gQr{MbUL@wX^%pfbZ=%&apQ{wDoU27NoU3J}>i=@KeF92x&xk z-c;anJuWM&RsBwXvGPHK&hCec`Ny$Zw&jMUz!aqw=*kFcm314^E?O==1c2`-3#pN zyhji@OW$3bNWh}5k2zac80H9n+Dst^=o3snW7*wm(cspi1$~97! zDI(}%%+0!Q%_!%VP1DyvPsaDD4Da#)1~ZQu4)2vuKB5s)a6A-ZaJ??;^4uv@s;gbT z=+59Xs?F};N7eKhEO#H7El(vajY3qq+vRy{KBC%`%7#|T=1Fu|E?1Fq7tFU~1HZ$I z^w;2}K*hMWL@DrA5fFFJ%_S_7r)aYhV+s%blMufcTE1$2(sgh|`XNTbK|s0Rk;jmk z9N3OhMUp+ZQf)8(ujn{)F=Ao_4OgJ#3vsiMlr{orZdf)O$c}v(^A2hFybihZb9v%s!3Dm@xOX!-O z5mG0GGNCczD@h{|ViHKCp(bcEVChu#!Mte*8-LtL3>t~7)Qh5tR$SA~XD1botbQNP zxVtd*9V2O#eAoaiu7_8sC^Dj1DjY}PTe%EYiKCVmhQFanrL*H*bfYYri z*+_9)`)=baQq-VjqwX>_Ukms?3{}s^T#ku>ntvlUYZ8mLayK{MkTkP_fU)EG^f$0o z2E0ZV-KMBYt9j#f%>BpEfUhwrs4K_oKJXYg6Pj@uX1j!xJ~E?Lz6%INy4~98%pu5k zW!2A|zYiq7qawWxgfIs}GS#5rYS36Uz&QbX)<)seyMb)+QWL0W zGE_GQ`!kIo_wyTV(fV_ask6;NHiwE|-T77AT+MNaR-$uz;U;E4@1ot4 z)hBaz2&ST3KI|fPFbcF9vKAGv<~!TwliFH*`o<3uvFej~B>jnk_jaZf7Xq#HwMIKT zb8u{T%@E?=!_ttiQeV2Bi1Vo}tHYgIXwr_n3C;_^$KyMm0wLOb4{XXCn{|-8&DWok-t-Zy zp_4gVekZy$tph@*O7=BsB)XyI5IT~<4$$o`)(IpZ9y+|`_+`Q#K z_J+{(?r5tNd)N8%8JLb#`$x*vALLIEh4!9aHp#d6OiX)=PI3NvKM{H+yM??$n506% z{OPf7s(gQds|;A@Tj*pWnS&k$i)HN}&W%LY5u2QBq#Qj~hnkR_s86C6B9g8*Mna>f$t$qwf3t8fMYhlZPiOyQrl!7sReSpMed_I0v^`-v|?eK zAZ`FHpPkZfOvuL z%IvHYqdIe<;ik18YzY~}qg7}h$!@n-V-g;|u!e|1S7sB1GauBOHl`fXuk$roK7fS( zd~MLXsGgpEY=Y0KOPfI)7?ART!B}Tz)+W-4f6VHUP;?@+`V(N0Gn_m?d?f^_DHer; zUvNjBco&>iDy~7X*5Y`Q-o+2(a|R6jmg|98fdfq!$*;UKmNYuJO5H`WxsHL|0fo7- zqLQ#3N5I7h$(y9|A0)W!8Z_8fV9<2=si5NAbuBzJh=_2@I^R4j7tViAPADm9QZ^TP z{3~F}V%5JHoB-!5>To1&c z$nz9UGoK+qg=Uhl(NabBsQNz1%EbCTG|=bX`IK`%1ziHXc6#D#HU>iuR1!aqm4i}~ zW_!*DMnSx8q&mypm-60jA`FjMkh^Q3)UzAnid>KgKbWRpvLo|_53 zf1{H`w^PfP95|=R54m96ss&=2GsfIpTOtq$OOZDaulrlIDgo6wCP)uI{mVAOF`1Gk z(L;PI!*I?TeAH!Kq?EFc3hI`<=TyA4Ii=4w7|;$FiJr^_odZUi&nqvl?jtkJSGv~* zUwt}MPmjE;Oz;hpQ@IgxYOXkhHQ$>#R{H3j5kv?=<1dKWFwDUnIPXXKQb-K5>lE0E zu>{VL*Vh2)YV}<&V@#7W(k{Ew9hYXDFp3Dn>*&G(shr z2Eq~Buhi}{9Avh^hrPW<^PB3s_SQDdL_dzh6yW{k^n|E2F}Y63w|GK@Y7e?FsGCyt z+p~ddDK9GiJdIOQ<<$dtFOC14g#6rvk7@4$2q~v}t~ITpF>9wZP1;QFZ$pV z8b554($c8e{uA;G*N@Wz-l~3GrQNKABYptn9^=JnMs?`(fP7c66PJ=lG|mT57;jVR zYTLkz8gne_#?b}gir~6C`P0IR6q&3<$X_3x5O=~1qJHl^oK3mbd>gJ*aC(yue(Q8* zp^2#LUqc)@e7$&6d$!p1v%dxDl)$nJXVgF}dO^x|;aLCHNK_MXcT`sqds-ne1NHam zz*H8((MIr0!b>X)T*9Ym6F(neCsCj6!hpY`guwXo#q~Sd(P4{Q&|(;ItXlW8O>5?P z73@X|!o>4ZD0osIfI8{{5tCB`f1g5ceCD<(DopN&JZ$g z|3`=v`=SQg9NaMd`}#6|qN^xDS0swxb!&36CtIH{qRZ?OR%!vl{*#>)tGz4KcD$$ z>SKu-$Hr@p!YUyvrAINW^%}=)F?{{bWaEY712`*$wyEmNczfJ(5l#jKzc~_GK zG!I}(K4Efj(8=t>=g~{n>8`Lt%tmt1C+k%qC~|NThN?GEUXrvr;iwm}Vwb@CaLaC{ zt*zs18{ac?AK>efb{kySLHd) zRz8n+WK;DJXrWs5hhL+)eyobI0XzC*ih=UF3e`u`LuS8^ZX}cnXOxEjlf(XevFuZ^ z;>=GPXtdeSObFe$*`t;EkS~R&g^TKh6bRk&sB<<}`>U{|w93Atp+14^r$P3+O7>I7 z!KfijypHSyreAy~8pH5ly!aZ;JUDp8@&Rw~O14|3_!xlbjHV0ocajIMaAV%b@P5W*5R$U7lTmzAb&*NM z!?u9yHD&nJfXEe3cD2#?MTd6xjTVt;xOKtvx%b#R)gKt0_pd$myuE1q&4&K+ z-16ji*$-onD)=7>YgQv=rd&HmZzMy=YX+teKD;RmPbR$l*6O^uUmu9x zygP!ywcS~KaSHhb;ZrIZNBn-X?PW*d#CIi@NR(9)?+H#&IH~-)qhju7d6!Aydn+3$ zi^+OYw4QWZ#aBO5*3B4{Ho0OP{RYoKmuFQ=FiUj-3KDL;(zyDXWFrJ4){fAb_7B; zz8>L9<5C+ZV>3CV&N=q-*B7M{3s*c9H@fCxg^E~py`Dr4L^S9$8`g}H>_b0vpB~CO zjods!#E*jMiH4^-!8`AsohUw|4<4{+y)-v1+z#`-(vWNNW!8xDdd%fRM~Z8jP^+T_ z`-&81mw>pVzSNtP1m<}Dx{hZ^oO zd}?NM?^}T0qS=hXTP%QnQSLch?D5*^yE2?OMg19t*l<>A88k(#a#N1N5scAzOhn0Uup=O?IxQ^^*CR zaC?l;m4*tFFMI`;GHzX4prg1EPV8PHv~_^A+OxHg{MLq-pG7|)S!np=oGeOA>8@L& zhEY7Iw1u=RvxI58UNpfb5*D6^LH3DzW@fA9P%g?&=~6*Hd?qh|**}uq7|0~AGf!`F z41`s|?J82LNX&8mbsZTGa3X=NiGP!(hx}f0NKhk}j{ug#@_ZJoAtjhCIeIeRFtYay zVuZl?FHZSC%BK9#p932e_6t<}3qzdhq#>7_ie1gIrhb~}S5Q&ArP zWxPFt`H06;^I=b(o#v9^o-)$BbYAoNrlsBg;-PggY$lnG(y!9w3jj^)^0J%)=6vaB z{t24*T2?HJQLbln2ROJr4}@FT3q6C$OoGP4W8A9ofu4`#ePRsE1sO0>y! zjC#)>?)Gf`;$bF*fhf;*Sl=Sa!uHY;s*l^wlOiZ1_14#BPW=1J(Yv*zZZ=xOycNS9ZXJ($kzR=49Gxi=NBBaYEDpYXp4?VSY49{g52a!yZc^y&MJ(g@)){rQ zzI|^OUQ21P6I0mrY};&H0V-yZdW$WgzTm|(?`lAKGSm2pt8trYMyn~^f6`KNB^bYW}UUBJXfR2sv)d|uF95L zN6X9#QoCuM<}+-+d;W=+n>%tU%J<6DPm?bUWRYd^<r(f1OS!kbcU>%~ zvLaRKA9e`dvo@s9;CTV%(eM$VwcaUrq)6OBd~i7Q9N4`qRkurG)E2aN;2cR92ai%b z7>CbnsiN_>$oIkqF@G61qOe+k!YB=X#T4!Yc4(ZMNTmwzAo9xX$#hy*m%gIk*!d_d zJI-zSkGv`}d3@=Pt@Y9Jva`3G#G9v$A<^+bD+vt-zq#?i#i!IydWciM)_m!|j1UR@ zBPO2*NN2_mcEvGMY0^K13{j zyivW0tDMNiS)MGLcfXj#MwRuF^gR@nESV}i0*It&k-xGuc(pxVh@x`CtdruwehhfwVUo-qvnY#|yn{tkhQjoxO?W~tGy@1{cY_%e>#NlTpDG~{l zaW)k2f}0BS278QJbLZh5pclZ6wiE^m0n%~kDS&g26;nBE1EN(O_`=RW@m@8OaD_Zv zm43;aO7(Y4lqypGEXay~C^wFI%TxnWMTz8~`11v|K|A$4@VrS!Wu3u%h9t2dQbIzW}A z$|nktb_#F6GkZvc7U9fL_TU?O~^-atnWWqxPP!dKJ

      J@werDF$`Kz9m-G! zEzf+4?(av>sZ_mh%W@n~Drt1NBGg~fuU)6gTj@<@uIHlo6FNmch30cM_4adm$DmXd zzLYgYD}Jh!+O6k|hF{`Bo9cFbtJf1(`^Q8+Zro&`|BwYV^f$@B70=4QLmGTrK1F(R zk8aV5;sMUudg$-z9x1Bfu$~Nokcxv?Q#?3@MZYFF1h(D<%2LlKUSg73(jw24shQd( z@IOc}IFS2~>Ql%^`li9kMdnDT9JMkhXJDDk+I_Ag;eVhs$e>34fr?U_%%kY;%>t9J z-;eD71LbG_z5=&vh#$9~PdaTHLNY{#vs=rW+fh8=veICJS~LrWTWbuhQ~Sza_SfQy z_IJb~${#;YEsvHGlOc3n}5vv9M+$sj|bOk_e2u=~qNK zRHq_KG&k%g*mirebIq}?Temg$QP>KTo^_-7IOkZ8D~C5bZ+=N70;MTtu!u4WQu|Wb z79R`rE9DRyAD3_2VMF;ftu`*-%;-qvMXB!lyCm}VQ%cf{D?{-FHs4i|MQ6Y+F>(0} z>QaWvEY&l7siU&uN7mCH48DKhJ@FD-H3;7%r;WH&hc}{}nPlFL29V(%SH{sChS7$XQ*@(& z;}o{d01wWKn}Ld^_}|p3W_};n?)b?#Aqr?z9#US2LW1E#A;nT* z+W-gtBW=5XZ)txE<>6Ht7oQ4Nb6T9oy&#^<95s;;zg}{-z7>IT8zcNoy1hdtBmyVV z_`=;(#;8a8M(zWZ(QcvE2dM8QpULN<27V=Q(@mz4t%H)e(ei?rC54UG_vL>^W1^qX zq#dL(p11tv%EMt1JmTI!6*;J7US;8USj=g35qZdhM1Hh4B6NmkLIPohPKfq4LOSS3 zC^SC*Bw~2|r*(AC7SVk`;x~@`Jhl34$b*92l~5R#;9K)fNB%nd@5nE+&x^dG^HLVx zh@orbfLD3I2=0g>|D9B8=B9dU5CUat_zjXnj`#fRmvyw}R_4EWzGD)IyXaN-fqJdG zXzOTB011|R-*+G<_m7B=&OI&9au&Xb;d%OvLDquT6%c7o0k+y^!QAdnPxcVWPB+^^ z&C2QahIbZLmgBI<8xeay5?)v}?In`cQ=WtuM5b$49_~MgR@sLmpN_kvfYIOZXTRTc zTR|16df;X35qL)y2`g7p+~dgCW6rDM#z#s!vo|6zr7WkRn$yN%9F<;+lFa-Ch$*r| z$+>_PUhKxUHFs|`s9AhOyAk#pYjUZF{Uv*R_Y-Hm$99-r5U^APLhV6Ws2+o)Dz(=v52F|s zqLZUEaayjiXA$aF!)=!2P&0B*u7qjgu-D(kxzyDEC96I~-@C0ozWdiVsdpvJ?&Rys z*w;1X3A6!)eSdAivlMZ*i^4bd59PcpYU<5o+4dbcZ*!kVELsbLz#MdEGGy45ZCZD= zM8-@!IgqQ&(*6(E$jH&>0z1rf<>NQVthCwR)p{25imbj+xywv-_)t91?>&`9fZySh zSoq}9>8v!{Y8OM~PCADbbDQA}b&Buxxr}mMg>xuMUeXPvpQ8iNnpB^93dV>=8 zcjeB|2mIQzyN1k%-3$pi&`5*&2-#H+dT&U{lTF_3?h z@%OrjTuG8;RViZ_ZE78IxiC>W4DO@O^GU>V<)uG%z~2=7;`=89pxulYuMe*(k~xya zXE6BsL&$UtBFlk#N1cW@U1ImPgr{k$Z}S7!O^V-UqJw2eRR|GM0Pr(Rc)FIuNeo<* zL`ee-e>G+Z#%H<>Z;=g#rz6_poz?DS%9rgelMq?#^iH)Z=Uorv zlXDo|f91mDa|NciFu?QGIiHcb6@^($mGpbYJ~Qf@I^_^$7d@*a8wWcCsbc%g@9 z&1O6`FYhbujCIUfG1zqr{t6e{O8c@H6H@@4IzsBSH~#rOK}^dg|1*^L-L1wQY71dv zhTRa1!bk8O43ew{ZBc`UszEcjl;HxuUg}}uJ9-`deDvbE zkk39cHyg=6w9fOW?37ck59`+!0DfaW^LX|%G=pB*6k%v6oc_9;AoYw#_ZT_D>XWlB z;5O@*d+eIxCJuHC!17t%Sc#d0I)*OR_!pz|CklwKup_ywSa1FrjA=}vPsU93NHj{j z%;_A?1rZal)UMYZ^?Vc@XN_(3$LlFXxa0Av68%PPX{=Z*XG3o9?9W+TkJ~OKH$?pbV|(>7YuD)tJSI8&_f>*uUPBs0uel~5b4k3c?TB_&eZ ztY^+-ANYXs2-Pw!4O;E4q_WN*k>`7~N&3$l|72jM@HQJECgKsUI*9W?3aYOF0F83eT@8ju;UKdcUJg#+*zC_DTq*NO>_KY#Oo zt76fi<`9v!DS8yeM;br{ym~{|1g5ZYI66{#zN-Io%Is4jNB0O(r;&Ii+Wbn^d*`0} zhtTGN^?B;t68 z8xlM0mVn}W{DB?EPBKHN!oVqzk5cPw7MK;CeSFRvn28E!BD7Kyt_BjFsR@6`9K(HD zCWvshM|p6DGkcOrd0`^=d#&PYNeCWyeT#O(pcV^x#e!{?RmAPhcuzt06BpmRLco zeh*+lTVM)Nw_+I7Xq7mkqA7DtD=?(pN(=T$VHE2h3K z-_};u&+Nw>E0yLjHlD=$GZ2@je&b7yfp0476JzDu{BjAXPgmfXpxNTd7pBODACa=7 zm?+fUIE$RFL0tpBTl${%Kas(ICWSCez;TwbkjN_s9_T{99+&n;VS$83s0=`T4r86Nfm-Ds) zeRm|)#?LYt{Wb;*kA|_&ik9eXgcVuQyOg_XA7uz=T7RMJM4ij?pot5w8!n4fB2d4K zVJ2`dBG=NShygv}nsW*>L^pobsMO1zME$-=lH=jy6Q9|AwB^a=w+wRz86WF6x2n)U zouU|V`E~4C$&Lv*KvZy+J;{%9ip+)Yg|>8eq4FI({}=M%4B|x(>W{2{(TlJSYlVda z`=9!-Y^3YZCSUA6Y|;PMoi=ykAa3ZOJp`pU57IV1>Nzp)P3dQ$gWFixEs{*K%g-4f zJY7s0`tr!zT6lMBw->u zb;4{GnuR~rs+fn^fRFbHR#(7}%%-ykfxDK)ri+X58(&T(#hC!?k(t9T&RZv3j5UQbw5J-T8l*jMA z@BOv=%{M!H?%bQ)ncZ{GBBMz#YC=+kBpx4NHwYA{Oy{|#dbK;k9v)DJ|BT}~gowd- zUR>}CLd!@lT47=^qibq#%oa9r>WY2l-aCo2T{49h-zM?h4%fsUZbx5m!?Z1Vpxuy{ z3Tk8ICI_CYlAV0-yqA6dAlsz5_Q`ql5zgU3By~|(-Yh-zV1qxj20tV_Nl%bj|9G;T z$+hpe)AsW_h{9b9yF@~=UxX@?5{50Np-vM=)JnXkr^{H%o{RT3i6n{jhp@wpEQD|e zKEUJ^*k&cx!~p677OQOZ0VrFOq!Z41m~rlOpbPAwML#eM!Zfc#z*`(1y?GppyS=?H zbykC)1Gv%egjti~Z-@J+pX*NBVBNXa;mP=+%OdRn=b96#Wan@X(_tCHzX_2vL@$CK zG3t4F4eH+d@VHqmsLIxqbf^(3?uR+G!GIYXx)V2+$K+V=yC1cF2kginglRks!;8?j z`5125U=N|uYF(O8Q*i%5NRu4+9zPTwHsbJeCc3rv_b$v9XW)dbi#!-+qHazcifwn zfo*Jb8`d|g&1;$D*IjuK+z;gH%bzW%f+9TRcD04umY^2kW#)@Jzr%1K`GK~R<<9R= zK5R{=y^;I>dGk!dWfw+)rrv3D6+^#?5571={TTJMxeh(h9@{L&bd-Jox#Xep18Xst z*u%j84Uj}YqJf>k;nU&MT2t_)mKGi+^UG6`6Bl+9cjeGTmT-NV#;z;O0U`h3t)%%M zfhg@Kw4I3&FqI^6GL=KVTI#4>EH?*1nw!sv{;Yii%Hjamn3#oolD#F@A!-FQt+UwD~ z9sd|cJp<*@<>`pI>uRT5(D_LmzUzZzcFUvu^4ugxFgWykfuv$XF=}zh$MDjLV*LuO z7A>mIJqwW`b=*t{8-#ChQlbcmKW%>*a~Y9*WmjHM1(cz`6r;f#fIVyKNM;BXel8;hMQ} zXYleuY+FnLQcdCN=VW=Cbc`vm)U*V`p4YMcN1fyiXeQ3#f7euPhh-DBwfJbR0`@|x z;UnInRlPQ5WCCT|(<{h)sP>z)hV(`U94d|H81?k!T5{cKYa@qZ6T!2%7l+EX{f?q) z!C917Xt^m;s$Ty*wmAuLPrX~aL1_LW`(MKM7}4UOW~I% zTmzB9`JcIgx)6H-f?7J>LjNJA(5T3D6vH!awS;brx!f)gIifl~UADPTbe;xMhpeUc z;Y$dlw~=c-vJWzA_7>MZSXE_M=af$zQ%@(Mm=F)iu7 z?wf~n<96hmK*w{T;UDseR#VeRnVG1+Je9aFL!{Vm^Tgm=7fdMZ{cTrV8wXB>%-Wu7 zTa`PT$U~`$aPJR={n;qq`wHYBoeK?})_&(FVB*l-9&9IoOCHSmmOF(OiAn>&Jypyc zdkktV(jb{kkSLV$w-(3P1f$TwSG?5g@MQThQrJ0TU7iGhw+7xti-$zyx8hK569Wx2 z&*L>n-T;sv(qb5}G#gy1WN%0jef$nbRbWy9t9!i*VcT7_|JyGRM}#D6kN^`1n#CY7 zP!!lbk{9?W9g(~}+;2^(3RVzj2ZWu;yp{wDyc>5s-qS5iorBOu5{)NLm!kOqN7B0; zwLd!ePaB3n!v!$fE4hW(Jjfvx{!|5zs&HMlY4zG8=S`h>8M^AW>#K~W9_-hP%=bLL zJ*ZVp0<(2`9PPoPB1mHE(rsAOu|p(LX`+873IA}k07i+X(Si!0CJ$S_YCy<$Nfco~ zUOCxaPqJ`P-fO0S9mFWg8Hf`(Nnkx@(?K_q$T%_WA>bN1 z%z?gqY29c;N*7_<*^p(VPC-& z!Svz1VmnRU^JK{+Ia+7>xAqNuHMs0xTj= zf<&zuA-U-80pd0utFS2f$W-7Tt6kx1|AheF_F=IZQxrUfIR{c7l)g>WHU*bH6wVuTX(B(qMM5r+XL^#pX{~0-b8)6(K z84O@RmRpm)vfvt{d|?yv56F`uu&<+Tg?n>DnykLl4fa=F-Syv9|E}Bk*+NqEg>B@P zHR<#=UNz#X(USuWy{39tpv_6@q9hEf!-Lid<=I5;NHE_7PoyJQ#mnf!BP;zQ@<6gH zuXy!p9L;SgkIPq`SF!mV%U|i#yoSEMd`AeZyMWDzie3FVZ#gXA3V)J*Nb&b-1`!h^ ztpdQH76xa@1$n+p0v&h%oF>Ur5{C+C2m6gE31tN_%}J;6Hn(xK>Ll%iJjsnbo+YR} zJ31!|o!LV-|H(+%(;A zRD6k~P%ToaIzon5L6~QEkCYoh5}t_O+~9}=Q~x&#MI=~i0!nRTg4*10jwJprK!k3L z2L(+PKxnS4JHBEF>ipO3T5*rDu1YS=s)&}zs=X0{Nn!0fi2KTe4*7*7%!Cj#0M2pY z`GI&rTdUYcW^w?$b*49yIhg}nW?1P#d5&a`(Jq&$TSTPT3h`9TJy zfIzpm6Q_0>g+c7@6C|>$*sB<)8ZfWKYjQ_lQbG3OJJK!z9A;Wdlt7& zlQabB_#nv~5GE0yd-qu{F|gB-6VVAdqAM4-}^$o zjD^&?KIy^Jk^OtZyRM`bd_)0X3i67MLaV@phx#idUE)LXkDBsfrbP!GYTuor*`5Y} zQRuEU3L)NTC>sV3xxP0W7T;s@MvHKv2XND|A86)RC?$OS@OCscq}qwd=5s2qAh5=b zzCuqvZ@(~DWp{EmE_d@?jDy&b&`Uythe~*+va!mXV=8EQBd|~R*Z4+vtbf&J`_QmS z!Ru-fwYCQX8(BI8lYlTYSfmCdu{iMHT*&zC1mf>*u&SKM5Z~zPRaMO|GpOt{;GG_{ zD+scdsu`k&C`MVz90w;;?_pKi$}-Oda2MTg^>BQ_XiqY=14&JS!F}WRUx!7KsnrgG zRx_^Ds&KGiOmKIEJxI*w#iD8jQkCsiPS>LBH~V3vgM^youy-(koPebY1CfQz=$?bl z4i3B$FrB1EkU`H7lCWR0BQ+U!F$E!n)Fyu+h9ukLwrDHnL#E{RAG@te>cN#-GY5dD zzpN$3(c#alhm&t+qB}vc0OIzZAf~O@e(Vjjj2Kv8zunlw4k!Y&)xP$}yyDu6i!}0( ze4}vNyaa-)2`MR{72UA(4@61Ja&n|@J zY|v9JX`pGyfqTmHFh~?VfLQS)UAGsdE4AM?gV+z>6+f*l@G|B<+YfQC14*7WuC-;h zZ@P*I7WbIdVHiS#kG`zWEM6+S(>l}d8Da~?gxA3={=YL0W6M@5VIng@dZGoX1nilF zA;(yK8=Et0=W&;qA{dBdy$d<4s(@Ymaz7<*4hjRF?`shu)>tT*m2?ntCioN3nUCIt z!19UZWY!{6&>h@8oaW*`>spYc4?SBzsLxo?2@SwDPdj-yv*f!)0M8Gb`u6w*n4 zD`K7M2K5xxS(iG_r}lNN1C0?v;8I2e0tmeSn4PuppK|#AjrvCJM1Xkak8sqrqZGlM z>bIZR>dEx>mJM@MsyuNrN}KQ>|5`cUeA4>jlz)T5@v-Z#nYga?Hjaq;-VKUK-kbx9?Wr5Z$D4Qz=PYPoru^OvxgwvDu6Qjs6l>2W6crs!ysl-*f!v8dg@NY$I? z*QMe$?$kW63E{Z(U8s8}MYzj%(gTH<)=Nxq%6f0&u$g#(>*woKcmP1+6E12IVLREo z!j_^rxmv9)Jn%G)EuT#&O=4FfxJ-R}yK_WRS}$ReMCeN71sBK|~q~&ZnK2y;DO z3Z!{U@6AP&ER{MvSuDLXLs_BJ)*aPty#SL(Cl4Zi0ba!$E#{_I+?L(psGQ<2=?@5S z)vtRV&PBiX`1hZMa#O{~rQmP3u0Qd4`-(60n18F>ZR&^r_o4}`l5EpiLlzk2-**f!>GeyVn01jc}+H3Fsx&$axI2LvuS>&Pj+pw=S(xm4} zZSL<|hd0W6|2=-=L&L-31n9{gD&{x0VyJ?cb?AD3#^)d5&OcRi1uuf`C%fvlJKMWO zW4wnYOn%-9^^cBnW!C_j#w9D>fEhFh<&wQ%e7G1WzrZ0>j2m`q6Ax3*+}FG;6@p7& zIIDw5?c~Bo!+n2>j^Nlz%i0iX1*3bj@lWkmZZa`iJUwG* z)OSAXDR=ZkOvP@cpuNB!<78F-z^9GP&nnWDeC9LBLeQai=7U0*jef`R-d@zP9&~q( zeOE8YUO8yCT3XA)eM$3=thrrE)!+Syp51cBgr3oSJ^=IgoXH~HR2es=jC(*ReRy(L zeQ|Tx)BqRG+BX%!kIzz=Ik(DHx5QPWxo>}Y?J?o&QpN_0EVF zbBqB%H|9{cZk~e@-GyO|dx$WULl3rOfr@V|%phX)W}hU{HpA)Zu>n|0S99Kz;p0)Kl+V>m(aq2I0xm8SJXfcjKPuMzbRisB>*0poU1O3{q zTKq!J>|lR#X%1Z8Le!7OhN;y$bX+-wB^-{Xe%r8v&4MNW{P|6L_9+M9+-_x-+-w!T zv?$8^J5*-{?@+!+vD#s^(B*d;a~mks87v*yv-xM1R^H%oc~{3jqT;J%&jZ;c-bMACutGOzoH~)PW+g zHi3`hsr^S^-Yt_pc16Z!5ye5p=qA5$bwv;&@T3_pO8RW(VX&p*(EUtez+x2;wD3oM z^Z435;SpnF@B2w9Wcu5OMxXX>2!+;C2Fe96m%Nf+V6)r}`n;4@YL0jNoEpWK;{S=% zO2+!hEG)@T%1di5PR(1bP>YObX2Zf?q!?)-@#=Ft-~C1B`E&1*wHgJjpFAcc880!m zfRP_2FYw9C^`?HE>9+#5=EPDaq#AEb9QebOsCmkxucPx^RZrvZ`pM{A%M)YrhLP@5 z^YpQ*BU)JrINpiie6D#y*=w}+s{3|1dFrH4)D}!^vH0IY<-$JnIt!V{8|F9iODs(S zZ-4k|z;tp%L$4vMNWpG90d!M!ACEMJ+tM4savojjAz_&*8=L{bTs0Xs;nnTiBOkxm z#KK{Wko*)Iv*P^Zr=C%cBfoa1DCGBQhCc&oaMS7}ZnbQpXy7F+gAB2+09oTN8HBEO z#YnUx}*Jn2`}|Aa@BJ*Dt)mfRo30}O^9 zmX>vkeKPgkdG<&uI*faMNUl?a^lg<1n&7g0-UUUqVYNJyrAK0+iteRl7mU^ChtI<_ zLb&T@4phnrZmR!2-u$g?T5A|=Rd`yzUQN!~5x!JDj}0)I2w!d~JxgAv2OZqARI~yG zK|^a22+aVSGul1Hw5rpTH<=GV+XKPHC~OwqYT~r+g1M?q8#r3p1;n+8ms{c-BOZqt z)nR5ZoK93DJ#>%iZq#i*8A1TK)eh59UoKv3+|8_JWIho>xZvP%+GyAjcFQeZmK^8B7XhFPY zgcEBCc*)3oAWf+GqD0hdghbx(Ki}rGn&lnss5%VN{X584MQQC@oz^|YM-6c{?RQD~ z;X3Q7kUnS%r+?Qq64iGnZs)KeQKUt2!z>zFg^nlta`EAJ%^5QF{#r9oJfzq9d6F|J zjHgqtXHIv&JrBuyaEy5>b5(xmvBCHE*}i{o=frOS7N;Rbx=FV~wA!mA9J6ve8a9}e zZT}2qNooz`UOKo`(BWRS2U;8!?X^)6Of*P$)w01^(^0`L0geuANUvT#u=52!IU$h&h!^bx%!vF3xDyO$ zPV=UGty+5V7M1g;7m*EUA3DbYdh}-`{DNHz>k;l|B^xS`0w+7 zKjOWb7yw)!h_$hN;IF$eOer|+$=(ELV`-JLefZ+5^s8F=91A>sS>`j{4wX9AFG8~W zT7*2bSU)hK5+RJ+=%NOH4%5WfaPBAPG;r>bvNT|~{=Qm!X>9OSq~B?=;d}O%X*S*x z;yI`HpylA_;iF_Wc60^_@_Z8J)=)iBaG~t^coI|r7`9gwK0QQEf-l){%@!2-ymfDQ z;xG`iQJFYy57Xqb6VlkL0_d`zInn zwsotAmu~B^SCHXuhlS3AK86l-@&w6f(w9Z`Finl_Mt*M~3K5Af%$@1AXjd*Lqy322Rz-H9Ho5621qqaj)2B+0le< zBww%9-B;;Q-280m7xds`F+5O~?m#GiQ8HFAAP%^Q(B_+;_ep2>ECL*ab2Cn|ebBOb z0uLFzc(mP@{ANQ9`N5;+EAcmIUXv{0OK?P@PpI-LTjz_h!cWzv_s&JG7AKN(n;p{` zb=O#mnXvrjw^xd;vG!NK52=o~{Pm^C*GgeQV9()Po&UGc)m`m0LGz^WH_u=(jj0(G_^je$p$V`PcH+ zFSGOIYcIgBJ~7?n*!$1O#~Kvh2i;ihBAejge}}nFk-|k@qM!TZU}1=xQN80KxT99$i0bUIW*3;LZGTvCb%e9MT%!OO7nYfm+! z&P|@yFkQhp5|XKKmBeq)KE2~UMOT-73BGht7wtCw@QSlty8HD*E6)4%93@_S(C#NU zD6kz<)#<|5A-bvU_jbR}=W^0RpT3uQB7TiKRr%~-m2BiD`0cIl!KUIe+KRJM%Xw+` zuNgjFajf*@hga?u@{B|^Jx^;Di`lY~EcCy9_xuNlFI)CBH@&Al$TOJ|<8m8&{@(l{ zU0V>A!6?oD^7>;%=Jn?Hp6)L){k0uvg@^mvgqMtCs=oh-czL!3|*c2P%+Wn-OX8#~Sk}39y)B-dBELRPKidg9`g+{VL4e zgD)(uDX`24W>zyxy|4Vp+(TBqM=@N^rsgKyQWpqH4cVtAoOaw!x7yBMnct!QB3g0u zOi|OWP|pfWDroxJpP29`jjPjVa7N?k?;`%`DuLos67DTZw$fw}eT1$^j>yvA&+HpdB5n5^p+l9PXWsL}X@?xi z-VvfR2tK*}o%`|0{X+TlbVp{G1Lx&p9aT18Ei|`_7RI)LZq_$yt**R>Pg}Lz#~Sj- z#`vT8UL{HBI(JlMU`sV=BczHiT`LYr72oUkMb~pS=JK*==t4r&O>1#}dFHAvy`=3V z;W$w6SzE_>|juk%RhJsYC~&#JRo zM%`mu@~;?1F*QQf^P^=kpU}$TozK@Ls;X^pWwDSs$nAz@HK5p1l;zn@w_9;aIidg7 zvBQvvL9V1tZqo#4=IONZ>XUgURSZATqU1`Azw1+}ZC| zy%tV()1BE?-(}LNIi7D{kgsT+(Jk6282hao4+G;{h3u8)-zfCr8JFU67c3;G;(1r5 z>Tsd*m(99E6X(i1OPS-kL$uj{JMt}kf;9Q0ON^h~zmcu-`ElV?pX|}VHoe2M89o_F@d?6tA=HS5mJP*fvMDen#&qaX#e9__-SGDm5 zb5!pi#rhi4M5Wl&uFx2ktuCt6fkI;A^k2F}g#zj!u|v6_hfi^Mvr*#W=ZGzt3kk(fP3LDfffcZHGdS_s-yr#h>?d z`Yc-MfIbD?SwBBHx{?x z@q4e9XzXnad{7UB%ksSZT_Q$K!hX_h%okf6uW)F3XxrScY{ir;nJLsibGKt0fLWM5 zwssS{a2JpLa5-1hdKvp+YA=2(;@NCUZ%?U?L7%Iub}f%fGh?l>xI*-^7IwNzN~6s317A_s{+ivP+Z84v&ggG{{9pr;PJ;fuc}+L+dWE-4#y9A}B2z0wsD@LWu^hkL6!F-|;yR!3jTlRi#9daQR31-dJ&c9& z5aAf-j`&d(Swnic)&EK3Q_K%wWFiTN0m$eE6ZWB1aG+I(;47q@Ukp14eR9;t}%!w@txzP=e^L~O0>f%Ha=G(NxhDHAhTdJD#YICy0$Q& z`vkA;w!Zc->>b;!Oj@jThwlqX>%iIoRE5~$UcUU3)tK`aM-Bh%n__5YPZS93=a1=f z8jK5e6xxKg^ae-#Y3Q94#!c$e5)S;w+QDrJTz+Hi4p)bJI%~q|e{&PL9=TY;AEV1} z;wljTpt@Zm()$6(4!1|e9_JlK?EYQyuVxO%wLiUn?%#Fl^5(zhuAGPa0k8)VU&MYo zw(>0h>yj)u@DBd>>Y=n~fsZb~IV#@l--Q0l^;s{6{dIK;OJq3)30(g}*VHEB%>ulf zORKsl9HX?gCFfTCmhv;`daJSaaM>1zV(T2=>w`Ldt#9K)n^eoSJ}~Sb&`FVa((lYx zjs*`tH^albvx5zLy;?%DIl`IY!)2jK_2O*Q^uuMBw2Q<@lMgAK^RY4xOoQmTC)454 zJmQmbtB#y{%a4U#G&aC(w{1Tdy32kqV~}%C{fkW2PhN5?;k6I<)~ss#fgFyrFrSV& zL)`Mso|xX#Li3xj`rjiuWc*zYzC3&jV9P}a2hDoBul{-EQR7NemMLW}^3Uw{mVoEg z2RNEvi*2ofs<$xNFC1i8Pq#l1_tcMF!66&ySK&(2m^rmQpnRL^*XG@le6*8z#zD%H zJlLRrw*>@|ju1|J3g4GS z=awEeW8?+|KE`|k=}(o9M8I+?L8PyMZvH7xFCDWE2P(19Ba8=(=M$8T6qi@A$| z@{$>yDSI)p1AJ~cS*Y_LXcsHo@zCG(Xml_V_7iusRNs?5<(sm2Uu|K4X6q1;Rc8Bl z7o}>g2UFT4&C?zuZsKA%Cx9PQ|HyapJxRVF899TboT!_9PrNa4wEQ0IIssjKPh==r z?V#Pwv}#_p&#D9rxRv$zqux7IsKl|yiO_%2G$!iZ@a%A+MF2U(`qkUWA5arf-W|lj%vZn@)Nu zdv$IKPKW{r6Z?tXI?t>QdylLqo}LO*>y)mo&wWB^C;M%_9cRQ7S6lgjr|R8AyZuE5 zR*n8cYs1vkvJ0a+rtT@Pk?wE&gnP8)nC$2XnY0QaYo)e(aoJivmrgV{B6G!F>8*v) z3mz$FJ%G%X-X4i(-JdqItS~dKiV^4!aE=^dS9Zkzdg6#$w|F{&m3us-?)_~VOlEtt zTiiwiSt7#7`dFriY95u2%f&xynig2QL3ZaV5K%F^RUVr!qjDRj6A0xN)`#B(;r;4PQC zg4BvHEB0Sc2qVTxnQXF;@M$up>C{WU;dVYl79Ku#L*V^OZYXOpFO5r%EQzF&&AFs zHZHg*uJp;wzyH?ORD18XvHBG&w=ZY3mAh?tM2?NiD_MI<@@qC*;GRyY!XpW)E%4?V z+3(9myujwt+!t4%Epe+WQ_oJ&W9w6Uo94J)xU`TSx$!g-~zHH{Kxje(9sOQFvstT&2tOH zxH7*16shFt_|Yu&tmM9zldiYnAy~5v@dNo|rZ3{w@Jvzwlp3M@$Ug=r>z>-4RoTB%jy(TR?(&q=WVS#i z|C_46N+YG;$gh6fa$C|QlT)#7-Y0SK?_F!kAChwkU4LadQ+?wOLi_N*L2x z-+Mx~Y?npW+PTDtZ(Gj% z&ng{EsOxseyXCh_+g9Pz<^4r!y;z42`42ZjT`~3|`TYB%>71wwD8=EzW{MQ#t%CJiGCtVll^Eo`kJe#H3o!kG zam*{_}%-tM5 z_&J4PZ~O)+>{{o@<=_EszVSQh8F?7p&;e^F5@%ZeVlSFk?brLCChmnmfG$XJYH}V+ z(m)SBkMGT5=&l7al9;}e>XlDzlMTQ4$RA^kRX)GI+utQ#Ovt;ixklolFmWg0$d5fG z{C=ViSUtQipA`rFITDeHBT?BS(a1Z2uQDEk{tyS`tXn^lawb5F^iTs2qvIpbSQ6QZ zI}?7eUUdFrepR;7?vXBDrVvrXPdJ~fH98iN831ES0{r$u3V^Mz57^PBRYkae;Hq}N(uYmw8BN#s`@O8JRt(HFT+@{^D&KKA%( z_PYFUPi2`Ir<*X}3++oUmdJz8K3-36r*b*&cCpyZ%^DShPNJVAnDIXV^0!iq1*i0in*=RKK5tg*Hr)GcHOjR} z-ag*mV?*BR!Pb}iZusk^OD(h_T|7|eDNpUcM`v>G7k60U0TDk;-1&RERx)Q9Bj2Ot z<^JXj3jjZ)U!`rlyddcP!zv-l>w68IbFttKve1cR_;486H=(V%PqX>L=zh*!lO=yH z9KudpZw~TI3!lij3qSL>FD9hK>$XveIqDPr~j(Hv;WLxA4=fbC*?;Ix4DvY zE+DV^QRph#J+@|)C1^1cS`>wnYb_+@4E4`*w$AHv?l;+J;g~r0r)SpYl?m3ggvFV) zvZINWV0uj9KcnH{vNO8G`G8wRk~z4hdxV$C^PcY%*7BCTy8qxFsbnqMyYcP4RXa87 z$NEm6W;Su{!@JnB7sk4hvnRGZ`gG7n^HkZJlJB-;=S^}hPM5Xa-mX`QC%_9)O9AHc zgur**`7k2)UsMP@ny|AOP4M1{zAze|?&3^hbCu&h%HEe=IBk>WT)OPgXwK}#>S~4e zE<6NVcq$O{7wAbmv|CI^F7IS`d6qx-))lH5ZAyFAMdOa;OFmCNQm$%A_LH`knS^Kz zfPCqf012^{bkxf$d|Gz#mcMX+?pN0?wI|%C?;6O!-=` zlf^)neq3xYE&N~n;Uwj|d2zGRL@eoV9(6sBHcPZ5;8kTT4KwQ($<*KWe~#Ew^u?hO$XvD6Y2PDTNn&~)+Cr=DLN2&&`W5y+U zu@~iSur(m8eMr$hz$=N(6B7I;G5W15DR__r*b~%!Iv;LK_`5L!qXKrXVbN0MYV*I` zW|!=7vh`wWfHQ(N5&EG9buiy7f#(gCTI<1~M`Gst0lbp1K*DDj+I0d=axCwW^BaP$ zQhMWyAfEWI2MIv7+XCP)r|@#M9@L7mee|YD`6JpvsQzpT+eETF(Q`imn3}t_v!cNb zBaZ_*=An><8UrTy;J!E~CXxKY3v<=C$9zY)zABUCupm`Dc^|B(1|kuNI~5wg@J%-F zwIr=!;I54gDk&>j^>yc$?7aBnwcY;)#i5fj3o#}wHtPWU@DM^@KZ!&S2@KLK~f1^3nQ z2x(9^*H-fRc{#}^fz1z6@2f(b;fDkdFdgBEW#mBIq(x8!1ajsP5-pt+*eorr|GI)%eQ zSX||EA4f9y=bmq~GW4N|-b)8b=?nI|B9NHpW;KJoV#mq8y+9Xj8>q_m3TbhsgVZgM zI2^GMIHm7_*fYKy56xUWA4p&6J@Q@(ob3A5>uce237(IG&36D{0)tLx^4*tLj}gO^ zR-Egijs!x*r^a5k1@2OT|G3q(k0d$=qYiY%sb8WcvX^e;FJr(n#}>~qrE*tkEN^yR ztVuRdm-NXz=dFvU^T#+6&K;A|c?*A0CY&Hieq!C>YSWHU*xrLy41@Dw>H$R z)!4?zAT)!|;8^!sDpKkX-{c`n;;#Y*63Zs6FM?!`G7yQI(ey9`GC^ZVQa=&;7pDk? zQi!tE{7o1|1Mxj+7Ii8S4!>A>aiMy)3C#=P#&uYC^Z6Vj__6r})irlT&;hg?o_9Wb zPj_BT;6du|i&yxW=Znwr`NYQaqYdO@QVbMKCkI1fmElvWM`C|geeChYGfs=KD_N99 z(7rTCh8L&=FOK0l-Vb%(v@@S0k(Sq?V%Cs8=`0-gQ5vLb8WA*KhQt;J;_t7u6FC6b zP|-2xkwj`R{L&^!em05@3#TDH*@Q!_M9BA!R}Q;_zf=TE~uzv`A^fBRRi*-b=a$oFZ{O4j!+jLFdjX6f8p z1e_c`iM+jcI#HcSN3t=`{O)lixnNScr3+m{6x#_u=&IuNc@C4~NA#NX{>Jqc^WiR2 zI)F74#qsNt`R|tz#_-Fkvn$9V99Z|wrZ$+T(&ZSZbwR52I#&DmloZ7amIM*wfX~#uH z3IhPU^N;v^fZAMS#vX=sdh)7tVBCQf;27SVPxww1MTO7YzKE)kYk-A+Yw$GhCWF(Z z{O%S0FbiGsE5DE%slWSBZV>WEAQ^0t>GtpX-RzaD384Rgo`+%N?_@RAb5P(Dpeh}H zw$OYZP1x)%p|oMKXWxG=9#!79)KL;Kg6SIVfSB z_FSHkVTy03c5`2;_p+JPUOode@opl$DIrY4VbfdCi$o_WqQu;vwHqND61ya5bUufDgcDJhQNIokh;ZCjX?2%TLth`D>w5 z65+|VvKdNs`Uw91Z%5;PrLBiMO5#h!_DgHKQW#nf;rIpCWx3eR3O1z|G72t!;gP7= z7dXnm3Qw=-!~9Wqu4;?DaSSck$gbI4!aq`w%Poi{|Fx#78rndyg;F|Foc5Jh^;it! zdo;58(lAH1+c&m5>y1_jKg?ohAtLFQ{$=t?rM>bXY!xZqI&1tUvY3l=1`){8Q~bM? z)?x`VQ-R|hawT4!pLxBG?03GtoW3MC^4fTi=omDN<7I4ci@(C~Y;PpGK6ZF7|FU}vE z--PuUnuV)k(0|5)OGZ5hm6l!^Fj;2>86HhaJJBAwu_^LkK)((I$=$a>vts+M!Ly2b z{*I)=e?OnDu8S2Oc6N$9&|a++KNzQfANcEGzebYjD*Y_zH<)sgWp>zz8%6>P5lUI~In6$^H#xM`$Z^Eag2iyLqX425t;?pTcxu+B#-gj2-sJhzJ_Se|_ zP9i=G`+;7YPU5)crPJ|^?;#;5YdTiLGD!J!?K@9j?jFY@_Itq zhhF(4vTy4)_`)Gh$$fL1dOx;(Ca!nDi+bJUXH|U0cZTTrYk_5nPW46~-OMUfwPn2p z(}o!`OmoId(yOx7%+e#&-=IshE=Oak9(g=|vvV?PxQq&-$t!zBlzn@nq!NBsJmXyP zV|H(cQ>5zU(^l}^))Cu}uKJ=SwxY!e2h7_AJu=>naUNp0HqCI4(JzHn)PKE7sJI+;{?0UsO*r!_5Mb7 zNlXB>c)IJa{n@j2$j5v0>}vXwk#nOq&PP9YIB7(+40dXs1-~NoZdVAJ6HQ3ywSl$DOegv)WY9 zP_kB@r9h0_*iuc=sasV7U+x?9eMKqN3u?Je1=wC=xQ^!=;#@}U*;EN)^R7tRZsDke z6rpCtlRC6Pa^f06V4y7VD`0m`5e6V%=Tk|%!Fe`-18zn3r(0c`sY2>X>y;mF*7qA z<{BZ@X)dMyw`k9Z#xGwt5uo`+`&Pe;bJjcr=!Mc5A>pLXEQEzvZ8vLRXlIkKi}Cpm z*L+i*@ z1uy88q~%@rX2l{tm4X^vfu{%UtC6xbB>3Ht|B*S+yv&j)`~ZwT=G7S+Ad$;(CzM&WNvX6v$A<$tztodoYW9a;HY&Z3BIa~^O1i?Rf zEU(gJzj-c%Sv4Q_{U^S~ zbs+h{E(fXchZS0Q-x5mfgR7bnYv)Y)uZw`A+{vB}%Sbp&Dg^Tv^#fG5Ko%EO`1@MS zXn22xQJT2%v5G`Oj*j2{gDcgVWeG6aROf2$(Pvc^?#uUMU*0qBq+#g!wpwI1fN%o+ z(VZK+l2BR>gP=U@#7cI#d-fK!eLNrQj<*W=na{Hdsyx3KJ5+uEGuf+y8X6lSR(_c; zDeFZ&0;r`Rl4npfeoE0DN5`j5cK;+{rW7H1J6hD|_XtPWMsObu=!w4myU*5>G2(wu zsl-H7l*u3yT=SNd$G&5pJ0E?jW4s{c2Ya42#;JflrhiP1#5P^@Msv`Iuca~Qg9q*jSQzvA{-->xqRnakt;W7J{bE;N$;`r6%-tLR+A$upb+3T765l(XR z_fofu_q2RQtEdD-^k-J}FtHA{w?b~YEuD|>y+5H(_$ZU}`8aOpLB#M6xd;byhYOpv z_!s1a(88Vsu+nRZJ7;av{o*MvRijQaQtljxZ^ZNHISk*(&EA;0OS1zv_2;{<{N|b3 z-u1KM0N+cm0mMrUvX;n?6LQ@p9z5zitq;4(vZ=ZGd)^G0htcxRe&aOJ{&lPI?-yBt z)6#cwrIzW=F>AM3f#Ij?5s1hbZ%N`PF}i^jCyA}3===EQU9#)f^w9?+&r_W532sBl z-j|fbS1`TP=qM3FJqivE}`-YmjZGTYT&)LdJ^J(6%_x}@<25k8O)DK8K zb-XL`wa^dU#4s%+JL^S5YiMKiR`rROB%h}zO5^o_=q1sAq~k;#zo_f7P(r9=18Ga~ zaT6NyBGGK0pVKvk*5p|o*}Qv7M_d>FCzZEQ`QJJcTx8)QbN$=O7wGyEI^LwrO(8c+ zZ1%CKZ21|eY(U?sJUOq!M(*YM@;(o(m{Kf%C?6*L-0*G^GTJrX|U(r#-7-8v23=Q+OjsquJ|=R zf=>@?khxeg`Nkyv%f`WT8he+i4p`ULwXHQi7mM}*$zmL_@fr7-(EVQF_@u7iqVlhE z9u`Y%{NJE+Hc^q!%d{9*Z1nD=av0O$$PFbAV;R$NW_&-Y%tMt2^ZXkfZxcp-WQU&V z|9t6XFX3S$7X9MS$Ord4WyUc2nI<|L6dUWv3tGc?z(x;CCUwvsn=LQsoUt}Sd{^m6 zAGE|w9{|gi>5-T-7#Ul%kxP7S!-pQQ*+4VC7wV2s`7yN{&IfWlQ!=pMaLFP5lpSd^ zjGyVUE%6?|=Ozm}KEq}W_NRYSWhWk-u<^*t`QRTb8v23X<_S9AKzVKPA=75$;UoAZ zb&1UjR9;78;#l#sApfe)H_-VZI_Cy_uFiQu-ly~H7wY^AYJ0w}?I{{q4%GR0m7ms; zjX8K}m$~Ob(bmy9V}+Ye`a`~6^f%;(YcU>0XQOOm9obNMR`i2K#}}{F`C^?Puk&+M zo+a8?9nY7{+jK-$A{zeu4VAwqzM103c3k7ggC}rS`4bNhunF0Yn~OAF7`KlrGgco~ z4r6s|m62bS@grg@nOMWzKSG)K6z7kMM$BRiw#g@|-8i0b{+Kd2A5soDdsMa+%KGXfNt` zsC>?j70UPo*zg~VabYCewnKTW_^JQ0@&VFenLUsZ`^|<8a{nWF!5-SYD3xn%9qjxi z$+h_7^7%&Y^D39&4RY-HZ8J=fEkLSqU8}R(W^mB8S z@#poG%lvt5(LFe)R^W`s-TmTwMzJII8GF8kbhM4y$JR~b4(uMB*v#tFj+^PahF+@1 zIBl`kz{a{E?UG|<(r5g{<4Y%r-}+8m{*KxWzTbpV_mZu3@>z}l`;6=mk6FiseuQy! zbOT1>N{o>>SLR=BFfwkmMAHVQUQh8T}+&eCypJR zn6S?S8t2=n%zQ-u89M>{=msohKKXIgFSD&TR=uFPZcoX2Q90Np#2M~;Y>fY01J3J= zj1W_+Y}>}?(PPNRtL@kaIB%LevCIDi00030|9Am(QafuDQ4~HmZ#CK7Y_g3ArVtS$ zl0Xt;9+I8eyE_BBGn+fJxPib$5E9r_NnxA9B4A-4m@H^vV`XI{B8!hg3mdhFg^iuY zbp8Or@7yFi*;yPo_uPBV_sw}`NYEw`J$b#e3`J>3Q1G-T!=f96T6&>cVh43V25GvJ zs6Ju~wp@lRhDB{vJll~u?fE%5XXh*(6tbZ-&#TwQ$Hs0kn39k*P2;>Vk?v(noX-LO z&u2ylRdK$*@62-o!;mPP<4ToPwi9KWqAkGgylMOd&{P(okLzQHsceWwaC<;> z$Kf-mSZ$1o*TyaFHIXlE9*kbci!D*pz_G+Rs>68i_gAYud!(M1N(`I+y!htq0U7%T zZ>{L2RXAQf{&H{^ZBOAI1@STO67z^>*hLGtJzTi?_7PyMNVkbhW-w>7?Bm~`uQB&z R+RZi9RyL609{>OV|Nms5I5YqN literal 36983 zcmZ^~XIN9s6E_SZAW{YCO;kWYy7Uqdr3xrTI-&QDATY?=kMz6bx&MU zL`+2d-qQeA4~Kgak`njCq(#LgL?y(;CGQzJ`USe$JKlR~Ywvzf{GOrqJrhT}d(RzQ z-w=ATY>^Pu5D|fs{;P;0{>Ku%P5B=mM0{vP(e7l3!c*C6X+P4+s-|Scd}^|HIy{iM zZ$U-IAeqKWKcL~7&U9pykrGI+o$)YQ`m+@HPyeUdL$y`>Rr8hNRrpBosQ+=}i9*+} zlaZFy)|19jc<2l~$A9*1P*4yOfPBHh!V*M$keYl#(oksqQ$$Qmj2kC>Xui{h4n}@F zm^ZBn2H`p+*dS;2v#Z~(4XPUdHu3&#fzK>{ER%brDk?DW($5Bc{L#7g&$<=eyQ+{b4J9*A;t8}@n1NcW|wz0k56ec+)z#vWJ?xV%8y1EGLRYP9`o zCEzkK#2GRMTpC!>`2YFZFPTO056hn4+B+>}^xS{`ch!E&9mk~xgkP~>zC!!fX0Mgh zp*tty*HYl*GZ<1nyi>20+W&4_wl-IoXRabIn0vtR{3`YTlK>3VSR@a0p{9{XAv!I7 zUeX|Xc$wvbQK`fxdEZ5cVjK5Phw{(Q79Z0jbW0Xl{tECmgdRF?aUJSNH(=71?P=eB zy_$n^zD;gj^u3y^ClE^ihzicD-d0^+&MUrFUpUeG`H4Q_Tl1KKI*N&*1-%&UA$~;q z9z#ejiNZYA0sY?Exm_<1@J0FCEUJQ_^QXU9R^<@QqP>(@I|Hrfhu`)qO%53VkIxKD zvYFyx^QWhD`d+7MU(JwI6`f@xojH&sUqplW1ew%rzaTuk$dhQXm+RHLbxpI%F8BmAy%J$gR#K>y-`cqIv|$Xs<;E@QSDVb- zxX_nid9?Yw{POI6CZ{O!kNX|&x`fOa+XBQD_&NH=3irf8c-7Gzbe4&{=L6gx@_wDv z2IT+6;1h7ZHU00bBd`O}xN(G*-66*}{m@6l^>gd->bLeTl)Xe-45t0QC_KG=WpVxB zD7^@`cwM=hYn=Jh%8wy(Bt96*$!51VQzQT3#H*q-RIV00noC*y-E64BHU&@zn-Wd4r`T4AwixLxycsK9YpyW*X7@({N=?y;%$afy$QadA7TP;ws9MrcvlddGq{ z;^@cNh<>!bryxh^ZICkSz-W?ke*1mo++#gAEqrkXg1^2XGdOWqYuOMD{>iIc?nHAZ zJE2=V8ZS2ne|JBV-%^zjfs z^gq?;4L?i%bKskTSZ@$ONOHMbTZDdO( z3hZ_l>tTnG(Oe)}vCfRU8?fN5@)+5eKUG72?;;X$Z3AwM1Me&cy2Ly`7%&~NuBz6# zswlBJ*;SZCG=aCr4shve6&Mqm)?=U?L6F*3oVSa6j-L2}w7<|;h6;uY(x8Fp%-+-n zF+R9m=o~Z)HYGI!{RKr-*jEZ;6BMUCWl2be>rK`$_oei1EQ>iA4q_gylX^lO)`LO=(3z;u!Ro}BZZPCyI1im`2VWxUV( zWcQ>#dF<_oNiwnqqZolm2<8R97Qy5nCqF<9&ly>tR?L3fg110M;pTX@asG`Nv+(3W-aWH2(yR5O$L{bPfwk*YRr>)VynZLxq+0N|KZQ@j|30p;+z4K{ z@#?PgZ*o3P88UE*g5n_cRcuSXP*RzqiG^rO%YAVBM^}u(CI1uAy5|TZwI@+x4VVIf zSUFr(dwRXYoezsfx!0D4kNIK>eRa}^D2=m{Wax-CL{AZv+arGZ0(qst(Meq5%lb0J z{+E|c-WS?VSd%wJi^9tTiC51fFwM8jf5|t}j3AcIRBi_V1KT1uxGDC+dINko-UE5p zpOG-X%Iy@M-n;bGRIP+^Hf4q#)6OUN8LhS54E=E!ML$ekog8(DVK_{zE_#^7?!Zks zPy29=-H|)X#w#V9$^npN+_F}(B`2}mB>L*_@_r0}-sjPBVE8k5) zyL7l`AcJB^58`9Fi_KUuZbJSN=Q**?@64bgm(f>K9S{ zT~4~h{p{jVxBAN83XyuLe-PIkI;G9QnN1GRE2 zpPI3Rex0vDsNG5v_fDDL7jD%Y{EyO~i5Bn77PWys>y`4RNgv4da^>th44#kdpQ=B4o zoo`_1Qs+oZz1n#`RFJ6U7TL6>SZV8#U}kI}WCDIe^0u+QZ)K7!+L^YPADD|g>KY=3n>WRhctlxiS*OU&Yv%!qB>L+{UB9$G76vGbo= zRB38>gRvY=I_DvB!x>uv{#n8U_Wz25f9Tb(1faFTKj7HF!k+s!pOzdF3Q=+B7n&*1#n5B#VqXPQ6(kW#Z*Wiz$tmALN` z+KJpxT(;x*Z$u4ty*bz6*^y=j0SmuC6^)of${l&|zyDruugeG9otwDth|j~@%Jul^W(meba{$!9JWlpRU~t^&3yjhn(Yp+!<*kKfmwWczn8Cc<;WO==QbNEWfPa z%z)y!_t)cjS~xvc4EmFMrw3yT3IGgO?8gRIaqQ6A+xzEO|*)|7i!tM<+^W3AyOXC{x$@6Fynot+tz!Y2>`V#gOKAN&7!KtshR zzK!xnA9~o+@vG0=YZH7paaOHK$Mo@0s!~Ds<9;W-ZGHRgY$$iF#zmJR5HKwJz$EZB zXSGM{I$xsG&RH+ZHFuv!`t7pRj~zkQcO_NzHu!YqZY)}6AD z|M0U&pIjpsY{10rGY`E|Q$S8Xr#G@u-ryHkycl`(?-o-H=NuZzfIQ9Icv}Z&kT#~* z;OylyjK=`}Fc#GT%HrOQMd3hMCquSeo>O1IvO8iW+sz|h#gaJ(Q2!y$x~!0HTw0Mv z#ozKW!`o8^F2^G&bqU5UKJUF4zhdr3$82X_J?s2*Uc-j2#)&YQyL$$kd^4-CrLW__ zWSE<}97jGiaItgOx#OxYH1!gdMEbULY-#8I_l}y-#WJke>I5zPFIEg+%)JA+>Wob~dg95<5sZRmrC)PE7~hiFs)>ja-Umc#kH z6ogYLfUHuQU6p{^6w!@1E^{`}Y{uIwcz3SrlbphrqKB|qlitkdu~?29vDRj~4^L%n z7`t9lV3o?o)b}WQV%Cx_;J}7Bm(xQK$QUpTIEh6Kg0d0yJ zxp&}bTik0JxW^BZ+=DFp_#Ww8%TK$HP$aT66(WB<8hP7N2Q8$fV@4+>yURQ;gqDQd zFG^0Hh8%uIn)rp;7oKGjG#UVgfrM$MBxoc&HX0H%TGGG=`#a{=PR;t3_!8qKK?WD> z&hr%4@l>ip>hulQ0>|BOH+~k1>u($trW9dM0mFS)U5Zn*a9^z0<^&L4^?tk3o}gKU z2Ck=mgL^Y8RCDcoq^kVp%G9s+8i||2_PjnDBUNf2Zz@0T6MC&kLl}?}R!pBzrGT<% zk7H5!psb=TF>Z&4r;$mGu{V=|#^CJKc8tTVklOV2_>%qEegs+k@be_(YA%mxOI1yi zbqD$A$8|^lu7+jF_rgxBnGM!wI$aG`5?j0<6EgECVtf~!Zdpu8i1;QU{JLZPwZr>`lyq`B0nqU6-5;s-=7Z6UXXIh_YNk1GeJHxLRXjKXu08o z^yq3ZmL%vD0!WoW|QGln{ydz=oTNiZOmDW{~(z;kdi4@e$BWbvKd(3W5j;n zBcAL1nG;Hw|46D19$L?KeWNQe;qso=Mcv5gmYc1jObN5NdnDKUc(Yt?{B%Dvn^Ws) zm_JnSSqWUB&3x_>Oz>ehO+gYWww+GUdqAv8$}702500 zkS_p4Zyp7-%R*#wJv_^^Z)^X?_Ota|CDp>cagOQ7@viD;7DjGKup7%oAJ@Za_4Mye zA2%r2TjO3qj|ff1xR*gDuh3s?r=*lLeai39qWdEc2@3{ay^sF9;9DP z4-H~$<+r5Y`14=ugYV=z{wrQ{i0J>?$18_^mQZKw9jm_dG!*zf^s}B-I{eQ+oyhKO zwl2OGKiOfE>kSr*Mn9ir>SnV^xBh1k`2s#0qZ@nm$i-)HizJ!mvnbSy?tqx*eWpI%;o6#~3R(-J(oea^&g_)W>Ugo=}z44buHFTKavYTQVb6+L;(#)?8k5 z8y**D9F*HSD_FJgP;HE|VLUx#FqQAbea?(+qXRY3l?!ovV zTdMlaZi;|@USH;*)Z?H~myzZeT^^&?@s~N-5^;-`YjEy6+UD>aVr`2%OOt4@C zFwJGikpFtVHJrC>`IxGN-udrq<4+9&6M6k9Oy;~Y(Ohx|yB$c%&||Utmp(C1y6U?3 zM$)Mlaj87QPK#^h3f{Jhfkn29%d8Vri}bK!lP4a{!fNI&?6IGH!=5wGSZ$w*bd|D8 z-eh&+Ef;PX5IaCqxr)~e$|Uy;H}#1f>{F@6n8mw;gjk%cGI)fal;kwi>d8CotB#W^ zmZsG+JH|;+=~yPxdi!OZpG8r*ezSeib*}4Q6|`=a>T-d79doa%H1X)m-)i-i5rW5G zdxCT;H!oI*U?Rie#sr*J7=HIuyju z$j52VQ1hxWUFykEH)8+>?6=mIkFeLZP0Zt=KiyL#P?{~_`^Vv|8y=H`#dapG zeWDr9bhA@=4pMEYQW57AujetM)OrX%Y@+r0qt=H0a-Pyi{E}Hm%PMd@)qE-)u`iIudtKIEcao zDew}9U$b~Vh`!F~vAox`uY6i!_uy{~%Lc_}xu#EryZ zF=V?_UP;sbj(SVo7v+mM3dx`PckYB>3v8G6mE!zk85Blyd{2i5CjZ=>cdFZe{{3=? ztKd#ah+kj@vQQIyS19^JrDG_ax^+->SZR#udS~7@=G0mv+Un1?XF7cixhpz`cj`=O zJ1sv@>B%kqNt{${jcXtcr7QAC07hlD3EX(jV$HsY9?qtJ&DBWh)S5x=QK2{)r>LFdzK&B+ilclK(O2HfPLpVT=X1bHlmUW!~Xy&-_5x;oC_F zC)ZPV+i=YnVu45|(+!k)7&%E+A@O1d-T20pl6z$4 z^4(?g)1IcI+MohwVV@<&@pmULKe(RteponteqwHO&NRLOY)Iq#8U3f{!TJ8H)*Vv6 z2j59ozw^*v$R8y{hs@j{Rr=m@q=d4o&8v;WY^bUw%o@wH2UppRn%fY?AvfIADC6}M zMORj8n0$e!kxs`H09RqM(3y8_Yc`|X@>>O5F8Jl(+-I-o8vr^1Z9zxLZ}gm)x*OSo ze)Z)S_Yo&s=N3{ekUI>x0TCm&A<7oa##zJM-GQN4g%AVqih3xKljL`*ndpUHzK5@M zc-T9dj1?}xWs}g(VubDCJeV{zQlUh6;vlF@yJU~-J8cv{hN!Xn<1Vm=qz*#hgY+(PM*X|m&k1EK1bc^e(n12z4sO|0G zy!DD>>Bg&D;c-!+jUVX9XR$wI8_x2B1_%CjbWC49q7k`b)~|2xxHuw^mCQNG26&Ee zpXYKPqI4E|YJ`1|YP+9IVrq?(4w(fEZU^YL*$ujlk z0={B}N{7fy^yjR@Pte)(*6UFUX>A#j8oR~#M`5N>?hYQB3Rlrb!+28#NRgKtP-R-H z*t>hy_cOZkmYq*Y3H}?z5EAJQW!X8UQ)S}W#Dy)bvna0{+FK#lt0zZm65zZhdE6Jp-whaV=f^hxMsM!H zy-D!tV_@1bcy66JB$)--_mp|W;whS+`r3pDppJhwfJBt-0V)q8EocyA2wgX&tJ4XMG3?25rq(rh zl85a`B+H$=x;V0p9Sxp`PW$p6#Xo*tkf^KLY)meH(cSk&5|L1hk`CxhFXB;}jlH{X z#x#n0LDzKVU>V4F2; zcoI-X_0l=DS+VWay9?>Bu#dQRw10?zm%|4~#$lM*)$^isfPXKb33nPsiRpQRptRE8 zV!O(Sdu6)XeO#3L^*Zls>?s?gDS(t#^`Sik4< z(E|t1QwXV2I0|9;+aCNDIZKT1QN-6tZ%H&KC?Cvm6Z;D9v%r7>-e>jW9v97D)!;LU zb8&S#;9WX%?Cd9u!MF+A+XJ8leJyh+EI!bxPdX5#_BVjjp3|qo?P`DYoD2)JUG$q~ z$Xsc0|ELP4-L=PF{UuX^xrm31z<(()m*I2Cu!3Y*PBN?;8P<~w%SMJ3&UeO|Q7C_9 zhY_C!&5!YqgQ2nVr*<=t+ML$Rt~SsJ$u-3_{yh$3oA`2&u*_=f_EmHl$1IMFmv@h$ zOgJ55=LvKR5^aHdaX=v=D$;~IbVX$PcQBLyLRk^82vD;oc78D*z>cU2sZLhK>qg<0 z$*^W**fuik7cy*)V)7tbQZ{pc6lgOmjBKF{Y{|NC)`jW!LLq2>NhEXGg?BcLsdd_G znmb`-AIOBwaZ8?6S_Y54!4*AI!i_`#2!p&E1(M%gUW{%*b-}37uKCFqtD=O(&WZp& z*`<}*g*uGE6@6Ynrh?a4!uJ)$FrvVVCo(&Q;02ZM+2I7+dg%7p^ZXl|z<>vDtj$`13e2D89 zxHliLl#ee}#al<={K=FKD8f;Nji2$u;fm*g_gmmsaL83XAPHlgRfydJz!PzeY&f0_ zi`(%N&I{}pb{zDG z_Z(0G>{ohs1TWHS-OxgUrIkfZ@T+Kg6a?nY+!ebwpNxmRJHcN|TmnX|TJK)O!YZ~n zLI5~0TN{3UXbvv}eTIX{Ma3f#+4#}+D@BbL9JP1d*t6kYSUf{mrB5y(w@Kdnz4pS=cNNt5|G%rjITtP9o|T{w8&YV0-aj-Fj$l=+B+j68BnMzULDma@P& zP&XdY0CSdZC`_R)hsEH*3LD_2x;03@!ERmSI(UUv$p8dVI+U`-6l^jB%b*Jt|&nXAOs0L^6$a}xc?t$A@yth zS*B|Sv)jwku&A;-$T~Po}k3(nXR$0cO=drNZ##BJt;(>SGQtEZ_ zwZsN~kT$tgb^?5mXW)6a<1K2xHhD{bJ0tZv74d7Vc_~qHU_n+yn_@CD(wh?@CuLe2i zqV)F1EgV1c$9VY9enIX z9ZkFC6<{@6d;_03f)5iNjQbaIa9}t7v9xurZ4_Z+tc7@egoe=$pu+Hr{aF5m>1wNf zvROiG*?^wRgw^l>roWp^Fk^PM`sUig?7u;&pF?mg19(9p_4CyePUP!1wMNv|m?0(b zj31Qbf~p*o7Z*%f0mzG8-J@HaSH9gAw7DC(@hzY83F0|Qgk5*Ej#8yrHfZbWW_;JG zDjz2pE?dA)>sYZ9n1b_kX=eH3F)JI^7UPil(1&9Y?Y(6z<-&e7{YhTZMAReLfY>3XicfX|g)qSKCq*18&Y13gSUw?j8el)8zR z>A(*Z3!E{2)1f#e4jj#VmZrjXo4^Fk9mgaO`hH#|N3Rcoxd2*Ov?t-P*^_l_a^>o}4AoZ&nyxP$q060z^1at`8Ot1vB=C_wN~E+f)h#;O}LJ_(ph=-GO>}FVk0l zKuK+2yZLADySxVGn|;~cwhBix=&(h?1%RRfk}#!pK1Rm*cE&T9xom`C#(B^FYu<$! z)Iz#jl(Y$8ew;8~eo?e)fW;oR15Srh=7gHG!xhLeo!8Es3AGFlW@zd&S-x4O4g?-K z7u$Qx2393eB+oD`I(n#uq3@}W$}>$GZn}gQBt;`X88#kea1$umCM%5UJ_{kyz@Trg ze9sJQ2O7I{18Z|qUbrT}-|mj9i(ue6Ka;1$7YYx^uw&{Xn=3Imy?%Rcd1m(`=MI60 zqQx)uVKYw--+BN56ErIlcreRa6ks1**XJ`=F)z~O^H*NQ!v0cQ*`4cK(XmJ7l^`MG zKx%PY>5GT={wxpZHxNj4IOdHQ^&Z0|t8nklZr#Z)PDUIo1y+8RPz%|E76%@Y@68s> zfYUep z5y2_QhdlFggvRk?$OlpX#h#TEYqb4ut3yZ20>CHh1C(r=B$yDcZ1k%~CTRP)6(;^^ zZtnL<&>js;O)#MJaN()c&RYpT9tWn?#zX|>E8QdAplD0 zTd+zYJX?@?Ss2NWnHvFV0Ai7I#&y`c?z*D#)mEdcb0?a8SPAeVtEVpZc!CIsUXF>( z%enI7D9AGx!EkO{Gp&u`J&Keq{lB%oRyg9-)f@%QPKjL76OhJhlOffs6iGk5_eFDb zQj;mq3Rk0#Jbg<+eYgvqekwTe#u?@~DAOxn-w^!pb#coi1jipZrx!LLtUG$i(i-hw zG^x~`d{LpMG8ER_d$vW`ae!~&EPzKEJiptnrJHYgKa1{@q$;i;{k+dZK&q7V7 z*g!UzF*Oo=YnQj8^YUlQ?L9n=WtG`MmtrF^<|%d_WM9D9QkXpO!?)YnR$Fr z9fYJPrvtXn)WacAqj4$`FCeG*rwVeYHYI}zAU)98Y=FJQ^C-4uCD40bw|C{pZJSFQ!+q6oDLeYadTuy1bT$ck>N*xte-j~r zr&u(Eb8nnxeQ*~+BoBjoWt$6g17e_&Y}o*Ki2WQ*+q;&fJ}Kr6|0RA;LMqQrSaQoX z_I`QeEt>iO%efI0+&$vLRE0Ymkd}u!1?N*=IAg3*1NTRrU7m*Y%It9OpU>WE?M}eB zkTdVw_Z(1+EwgvnTG|~=!3D-*K@nLKqr;+uTTdIoB*J@Y&AYMSI+vm)79}i$dlA^~ zXoEYC{r4Y_OMR+XTzu`MvtlM)O}(zdQR4XIiYcM0O=z`*EwFceYpuGx6Iya@tK4^O zUz^-rf4-=xYyx80gQjzHxd>7{xFt$$KcXN0K=cRm9+0rNaAuW zAQImlG{{wOILbOq8yW1qbjAVaa7@mY+qS91OMgQH7=G)|ImRA!fHFGtX7Y@|biUyJ%1@}Y8da+z8i2RT*uRoZ@n73 zej*PQ0??%o279X+CCk_AARn61L+=8oK7NUL!-ibn?V^BWVn)Z|-;RnkfpH z$ou^1RW`%n$eZi(x_XyM{M0Z`F~;|A#XR1nayCzApshF_#TertA%U_Nh>BltUprQK zkr(jj8Weip*-Nazd1siL>T=;+l4`pcdBO=N?zl;$|HAgTvv|m9VRLRq51-5Pl~v}y zG?hp|`Y}`r={{Y=@;gm@=tNBXs|0k9c?}IBE9_RA`GYV6;y@3>AgM_w9U z@-9b#yj)UT+tbNHJ=>qF<0d17sCHh=XR;sG9wsnUi%MomI^u_IDjYWlzp*yxP!rk! zQgiO}Cf{6I^9v-wd)~HTnI*NKm=q^+!scG3CFe;o&38JI9@=H}f0x|hk3qgT5*43w zKf>ppF7=T4|2#|}_$B>wZ{_<@odc$CFQ`c^c!j&nU}K2n=*PqRdK7o`dmWckC&xQf zv?iB3j$J9{0n#tt<}I>C0>&g;e}oL|7jWC_#Gd}zc7U#OlHFsV)J`=h{H$Mv{{{$@ zBiet3p2ei$aJV`0?D_HKA9>CYXr^F~Ue7{Xa=x{90GgxB5V@IHk*yiCmk#pdt zY1Y*D;8B^NFXG2JulG&YVkrVSD8-fy;b+`WY5>l|RIbP?>dOUpf%#Q;JJ4VjLrUs| z*|Q)Yol$KKcoHd>E)uH{^TwFp0Cnye)mnF5`hIalGHWQy1V(R2PO_?9*}Mt;ybohL ztle+^-(<#V{i(>A6m6f!-UzD!M%185WhI%{uAiOf(fl`=O9Q*||0Yv_Vh<=&;2fA+ z!FpJGUThyZ$pPQ%KpyJ394Y$uc1ZH;t4(9F#e4EEirCm3*iMb3TBXt+f*@S(!|Ov+6_!~KMJ7{L)~-}DCM9i% zUEJst;ej-?Ec~}Mc;+9Lm4MBaXF~qzIOL`*NCN0f)484LJe1!rqH+rV9^f6HX>m}Q z`?&)mPlYMy01Dyn6x3x@M1wnRM!qnDmew4Hi)fFP?~sZ}30-G(lw&i#ehq&Tg=e+T zXdl7diGp5QXd~lwhrx+dUYg(0Hz*hc$w{Kgr2V0Tu8l)t_+Kp3W{5ImOg)_y_HfRoJf;j>JpyXTsu6 z3PY#9V{k9jK;^ht_Ul?4AaM)2g$t$Lv0Rs=!kHrrDb^?dF_Q#FmaB7bA7zKKCG#&o zRinn42kj5eg;F_zW1=wDx`YY3)ws`Xcj{aWuI$JWy1o|$Wy<@?sVAOw#$@zXHE`nY z%49(6zW35p_^}E}6j^`zU(S%V3ThR#aHgE$A1t-?Z4rIHBK7?NvYI+{ zalea@l@lNeS|=~nu}~k+Iy=y8IpdZCCe1BnI)cBI(E`ZWUMVM`#FrSu;&dCJRan>E|Pmu0MhG{ug9Pqr3JyAtWzt+gw=Pt)Qe{Q7$re)H% z(nkGK#Lmo<#7MJF9Ou6{DX?7E;ze|Bt*+RVqgR>Iir`G&jSzK`L~eUQB?YW#PwE|y zy+szHTEx@~XZNUi$e!ZYF|ZH`j|EH>R$nGBEZO7p6_FJOECJ>fb|G$)tOc{d` z zRabr|-k68#(h}8TDS4}5f8{3dj#Pj{C2t8rpo>+(FBssQ6wT~kPIP}I`f=!nj2_TF zED-f3nhM-(Z5!vw)Uy~N_*0~(-ZoD3tFUPQqBu@IOCui8iVaN5&618|DHmo|zjWRpP9npLKKCH$@$c;B zBGTqJl9_z`wSODtw*~n0%W0I2NE`I~E?{yvPq2sekoMtc@WMZ@SKePiB#1s=6uWk( z{qtEW4b(~W^4zcxxtfHHUVCcKyP7K-_T<$Eykq~U^qMb;3Tp)a^=rcKi;fZQJxTi>Zu20hKZ$B7EPEF+aj9_`}pXDp|!<@uS+T0>* zLmx=T;k>f)E0V_%6PNpPnLYFZ*U20xTHX(Htz;3OAivwe-cI_)YgCtDzR&nsg2fV~mK~8owwR zN8lYQXGxJ6&uDQ!{yADs9xDCs`xAX9R9^auD^S46oMAd*J|Gb?d@WcB>Y#zCUP#F~sN+}lJ2H-aKb z=6G(Y_7N9%hPLsvmCHBG_YLQA6I{A7Xu`J>#+vuLe${(RZ+h{Bbt&(>xan+}BVwwn zZPk~^Fsgr#J;Cs;07yY2@-r!nmB>6Yo4)qMf(#1loDzWh`xA*s9LsDTk;7yoyh%k$ zpZG99ix@6ohaA+GnuthmHlhG>?Ep}VtyIWzfm53>4{Dgt>GZ4B)knUaO$I%M)_A$} zi&dXt%rmu}V;B8G>}+;HkpbZls|HdXp9ATg&1nwT9ow)2<(t(b6wm z6dG3XV5p+9X3hY<-PQNf88&$9Sen3Q|XxAmP59c9_s>6qg`U#A*I9MpvBS=`w_3BmR zrs-nubgk!``^$PB^bl>C79r97%i~Gf;ug$HX>CDb{eE>V$Bi?Z!do2s+fM}$|jHVX|c~QqyY^k)MLQ(Om zK;i=Xg{4?gNs!?|df|yb3p**-#RP%Wa1u-%9DhJXr5|sUG$KLN8CM|j#)+?2h5;4a z&?S5Cln?HogNc6koF0W*dBJHgFW1$++Z4-_o;T^QYJidxW`3BSA1jwqOOhGVn5sRd z=3lGnxEo4Er=MUHqbEhw^!=0exSF4;b$hCuLAfdn@BsrjMoEmp4!=--Hvy#Jwf@q=et!OZ~G=**`ssC3oet?3AB=ICV)`8%>K znXbtT^CD9Z^lO_Wy7l1G;9o0A@jTsvorff92Jp^jZZvEy->8d+mwMVyAt^7mw@1uw z6_+jvQ1YawDahYcj92dF(LQGUMeAqSg`zRrFr05_jeMD)pSZcmIt;pL5ZvI&)Hxad zc&S$<<#EfS)MyL#mNr~5$C4mHLzIORPl2D09n(`ma(>yHI0N$o4h{&fGNFOxmYJL^ z;bv){HP~^Vavk&LqdDU7IVX*6Pdj)!wE?44v)-+BUGMCgGT8OEhRz@uS@-z85tmm+ zG(n(CN=!>UK3(Rv&ewS;B1usLxmB#VG^f%(*p*1-1Pl|Tl^K4DEFV<$+E-j^=XuP$ z#p6Bw=A+i@6Fd@MT6Xipog~I+DWJn?YE#~g)dCU#X2-t zAYyBOY1VZ!h?9A#g3|2U3Y_Z3x|App zQt?NWvXHcAlH4Z(-}cg+N94Ei&-s6-TAUh6h-0n*elz2y)Q{ga`3MK!JW@%8Q-N%} z8En7hkT}cBT^S{hnAm)dQj$!1yj}XlEx(<(YK2#D?ZyZlpte9DY+NBAqUo9y@gY8T zQqqaDJy73uP!;oeFRk%_l}JffjOz`27uGs`LgCB(Mp(tF!*_M~!HUq1$Swb~N2Z0{ zW#wLvBYLxKgugV7g?kBx4ylojJ+XK#8~s65+IPGeTvbIJYZb7(UU zGvI@<&$WI2pQTk6Kfvwz@5wXVv(G;~uR3WYg1sdh(V)uVCL-roZuY_1tmU|@g{`(X zj<=&KBjHLUuw=54SgM>8Hdz^VS$-DTRbm?d_x?Vd6&8#maG}>Cy%-HMBGoE(QNG@!Z`tXy*aV{erby}YioyN`nVlVPdG{3B@g^u>3J*bxw!-6Fyn zve+&yiPFw3tIf{!56b*)LL_Q-#aKAk+NNgsZopgxwwN1MQe$RIr_(o7R3Yc~g)!V1 zU0FXCprCA}Oovv<-oA{m9|EjP2cGRl2-8ciIb^%b?PaB%Lql*|b ze%7DvJ($n@0o51H;iRf_2@gY?|M(2yrR;>vr>seq$=74AHW)_IDhzy$!g@r0TW#K=o;5!v z9i)A#hCh9<>WDR{gX-?3*=NoG_(ub{cGjRj96{DopK#ggIL*fmGrryW_e;Xcg&&2X z^>C1rb(u+-zd|6kVIk$UJwx|9yN0!ruPZJ#7E1}8!zFPC#(A^5?WQ-5Xzl+>QZ7I$ z-1i`}Lg5J61_qx~wi-XZAAPDyqf-clcR2FY;GXC~$X5{gSlK;!boI`MTM)X8t5r%hP>v4uAWO~=CKNqH8U%=M~p}Y4wUR6(K z>O2a6eGriW%M9Z9%Z%Iig}Dn~xGIQ1N)g4iCZ0yGFkq7h;M0x9Z%_rG3*O@xRV3Z; ztA2_MTT}3<3|qtH6Qpr3{Efx7-b~}h)ga(2$ZBj;`RY6fI61@~_vrOxGHL6_p|3bH z5-?{JPCW`&My7PkR|*Fn)JX3#$Aqt=9F+7c&&^?)cP>1oe3k)Ww z2w;Wkt2Q~9tW)lSMbD*2fipAloo3rSqr}mh=RtocjA@kpo2Xm8D|`aQ=DuUREv_|Q zCeOk2qL%~SeEX5q+&eq__DOh|83es#k6i7%!Wz08ArZOgmJiGoUw^Mv3~n$bRp+^c zj!N!AV_U*+DN0rWC;i)mf61Zpv6k)?e(z1$z~L3pxPnMK%H1|HWm{0&;$)FpGLVOT zLDcR9=fd!t0}Hu4b*H@D@oRfYwTqbVLMNs%YydKrUto>M#Wokk65u+-zG;JQ#cZXr% z4(3u?bNrAJ{>?6cMybBr`NpMth;=MIA(pkPe*mOW?up<^80713Q@Ri+Cq!&j?-a2& z2Dz1Eufo01%)anmPy^4~@_$IW>aeE2?+>CNQYxLZ5D@8>7$G7eAfkk{h;%n>3d%)V zs;jd6&+NNYrUCwqlI|X8+;|nHnOC z;?XqDZBj-dP$*zYg?C+#UTJ+UoX5jt)RXI5tcJq0pw3WKip^1Vo2vv5_u4M)bQ9bT z&jrR%$Z->F9CO%;a0xxY{=s^BLdq%?4q4sq=k>dzf2_9+>3wdUD~MG70ASiwt@&LI zU~5ouvvdAq(e_~+(wBF24AXwRU$6CYU+52N(`b(7j~fV8K_R_9C>K>+Sy~uw%B@ib zjDKh(0}J=22hZc3UGqDLs#$d;t(kGSULqq0#+q7gy@dk4Y|{NBL{5 zKoPYQ8p!u$bY2U~o6JjW()om=@oBC?2*%U!m$x<@UUjD!1wX)Q$Wnc~Xg63wNC^Dq zgT9rOJL}qoON7L;>Ly<}JAh#utG;Nt>uA?tCC-m!JGv6vMZt#*GrdjaJMgX1X&!L` z_Ss4$oZ<6Sgm1H~d8l_ReXBQDtrCLV;?EF@ulKh!dRcre@G*Lx5q9%(T{|1{d`!8D z3f;m2;IXzNAf&e)b)4)1N|jm|XAmolyX(^t9JRmeui=;LCXm-VfhF)HZU2d_dQJ4uOQn?nxPd8y1ccu*#ojRW2(VRz%1E_RrH&(xh8CBl?EB#SN)NN_gMx*xBwBJvd%#2{5l52tY(d&)jl|UkN6^c6U>=-#~yl0-mflgL30Q*rL z`@%UtpeNxjd1NHbE=$0xSpZ%^0xnOX>2`Ydi z4X(hJDT3M+r_cAQGX_g%jgTW57@@t+*a6lLP-jcQy-tt{7-O#$ScVh5gVDF#D-Hox zNFOg#224=})j)!rM_jK3;B&U#7ym!Z`1BN@BDsC5a-rNbVWd^Ij@iNcoMxy6|!c9Uc;@A|l>6g)=#`@?NQ+#J_>o zowWlY?@dhK=uSnP-cy^V8elSWbl9wZ11VKk}ylM(gTAdWL2 z20KaO0VVNCPW&X5W6QdAM-|R3CB$`ylo?GEbS_#7Kib~LE*T#HxHX)Q(uGc|oxwBg zMCSP#B^fn@ewE06Jf`dizvA9vZ|>fiN(<3yB>HuE?Uha6=q~1E7;G9K)uZaxoJe%tMSt?ys_{ce zawyLq24EO?ZYj`Y&T%rrCKz!U-En#l&w)|UWh-UCj8?1S-vm+xoHo7xqoiOpLPI${ zx1?cantd(1XWD%fJue%;6TdZ5{|`}jW~KNLMheaj{AghW*y;r7fpX8F4CkiNF!aM? zyFlm#-q>@3t!oTxKN-QAgJ|a1QW=dG!_(xvppgQ2ItlUxJv! zrhZ*%8Tb!Q6nG4$GjpXqMqP!cYVCEpXP$*1mhHhT(%VpS#UQ8pJs!hl3Wm==e$VCuHL(BVNO|?YNP^Z;t=0N0IeKk^VF46|GPYJOjuwLNR zT$8j=YC5xLUS1`Qn z-UC0)(Gg}NNAT5FhAgzIcNVFI7m`|7MMj-+W;FXJ(Jk5c-*J$ZM?wjXP-PM?9qHJ- zktRpW57@Rxi=$E@J@Wbr&L*~GM~GRaBGYS?<`_OemLhavlNbXMpdz8s70~0`-Uft7uhlYb`;m@WuYs@N(r^I!&mB%mqJLQ~ zf_o(5LYXTfzRs{$eGksFJu4E#y$xi?|E#U4-{Q}Yb*%hpQB~hCHG(u)k$`bt$H6Ca z;4J8tAda33x+^el1jei{x9l3k#bv~TE?_EK6qdn`CSCPg|F>Q;Wr_dKX`pqEJmMc- zV5Bzy(D7+x`3vGC1Y#hHZluSF!di-+@WDz$vr(-S;^7gcvTsQPcdLrNJ%lkADGS4X zUP>t;Y^im>z6}x#x`7{^x!6)Bb&Qbij+~lLio&Kmr~W|4gGplB`De4EZKONf?8}u9 zXV;NxIN&PO2m=gP6bqaVJJemNerI{~kCNb%v)NirLy0bB$KkJE^-dy>pX%L(3kGMS zz_K}zvF`8E+kW<3v53nLAJ0$bRVf?AwXMp3?Bs)1%~7xRw)T0%8*~x+Lz;S((|Eig2%|_O%Uw%c6Cw+{F+?~ zmC`a@E=opf8^{12K(T_-m%bf+$*~3b6W)wpB7jrudK1Z(6i%ClTb+R81hACUoDvP_ zeEFDooJUKDryvX@U~m`uQTe;Ztw)_xS27M7Y6Eg$VvYijN6&-0a%>fo0+*!-Rxcn6 z+)XWapb^)=Q!>Y__$B))8sWu1|Vo>BYBVS&wDUFf86w)QtOut zdzcSMVEk957}r!NA3N?;X(IL^s&#q1h;ezkbJ!kp3|5$1s^G*>kwH<5^2bPfN&N1DnGPuWLwlbwx9)zG=*7&^>FLn$dQ#xvt7aT#FQ4*eC?E-XZPyJe8XtW5p zWXHcnNN+$+DIi5dBy+ObBIf8u2yvPXCqmbXJ%o$GuHri?!h!y=vAv8RNE)BkN1H4{8=efkdW3u$x@Y+T>Pd&64+caZ1=8ZCuau?x=)w>6 zckR-Q)dKf!?^I2fH1}oh@tpKNn^DEm)@bymAA}xl1=3}}V;gcwN4X402P=?1QbMjU zh|KQ;DR#m8g~MA%25wCD`ySs#WhfmjjxiVvegsp1F$-R)+~u+~=b3cmQC@f}oHFPs ztcEWfagiqui?Av?s9lq1_8QX0y&Jc%?b7<>QMN-sK6EHqt8cRfVS{dH!=qMpm3)1! zT>-=DrS@Cd&>$8W1q)QtD(OSDGD(E<+@odv^j@w~ASbRe8_tRYJ*&Kk<_XHW!z*0X zxJ?v?YgiogUL6wa-RLx{?b9nbr|C2*M9I(}^ACsrhYe1@vKh^Hk03k4l>pZXMLCF} z|HdDXRsRe!Oit;&`s<9{b^G)^1<7&*dg~7b1$cuQ#~dV-yW(r?)sifJ;{U!#*N7w{ zgU%(Is6rR7f&GACegD{Xg}^V^aSC?Zr_V-CmnzCAz<%06I@_n76I5HC+t4#w$cf@u zgvxngh?3)KASd`mZN3I2KPX|s-a2|%7YdVu%#GD&0V~GdlHSt=oNM$vskxAiaa{YE ziC<6*5s{sPGWcOst)Ph2QxDbmfdKJh(tBZFDWYQwh-ElrO|J+Esf0*P$Lyta{sH2P zX;3$OtGG+WQvjW8B8b4hV97aN1ovxAL<5lG!&+o^{xStl>vEr2kbXf;zNL1XKD+kt z&zvS4fD;j8`KrS~(%*(VAzgX4&mSu5W(mJ1n4=#lLMq;mTQ|3f^fQh1NbUHSrJ`|! z_fEZsKe8{0+vr}Y{?#M-1^CCZvtznL9>gzhxF7t!QqB_Ge%m=*0=(AoH`jr^rx=CUl8lC28X^fv-0=vEM-cCUioRyDZVRErCC8Wog%XQeN&{v%FQqfH`zz1y+73;Qm(`lY%& zNPMod;dD{BYcy!(EC3c=z3j8g*tx2B&3TSgwp{?JBDv6U8&lbx+P#Os=yCs0xkOcl zA16ySY>LZjRi4TSj==m7xX?NG?Gb&bK#m19099bZ>iZ?RR=^4VwssG9`rZ&S+!nNI zm3`X813`Q_-}P}yan9rm_s*8eLO(lnx)ipX6((9K+GeHrlp*|6p^3?tHKxT#tIN@H zB19x71vli__Ca@7H!HGiFBcPo74gmp@Ziz|`+nh5{VTF1l=i4Cn-(C5zn6 z6Tj?p;@yJ>DJUD@ucvFC2gxV(Dh>M@}gU z)bqT$Gv#L+Smik{!(b8jPk~S4oTlAPS$t3m!RHLG1qmWjt&(-P_1Xv`AGLoN@vc)f z)CX^HFiPZWt&2Vv@6{B5Cw71ep;4XZSKINe`X$z!_u{QnG}K#uryF6_j7hr!JPt4e ztIhTakL$V-_v6s4v7HJ$;K4JiOeLbL2p{zPG zJYcmp!RO7_LOOg<4D)KFH_2V$^F{;99BY*G>X5Z)2+uoNZ6cP>&YGCu({3wN0Ljlf zX|yA~ahcq`)TkdvtN|9w35<2okdAXjGvH>+3;filqt2YzS;2#kN50A$fdyvsUk}6& zlS=1#0bZ93Di5z@>+Cusd>($_Ilkoj?|vZs;=!CC%y;iEoC^H4xy2{yXm)t1(q$VI z#3cD3^x*DtrP*5Pyj-D{;>1B$0w(+*Mr6~TbNJdY>-UIN*_i%|cS7=1AXfoPg~8^#Ld)&HQ3Vi`F`z7dob6QPprwqJ@6m{GGAiQ0V?{+2jNkvdie<+u{?1@{9+9%#OSh$$&e*G~) zcebzn$BR$a!V<^BLcaVEQ;w6WdYUgD)a}K(wAUgy!)6+BXD@@f7|HLqy`bl(R0WWI zx;EoVu|xBU#w%g$E9WyTekVY3^lVdgisJL@dB7i2rErGD$I(8kl=P}g`wz_9Ce>dp zf5uHbd_0t@bmL`%Ot*>Tt)rg>ZvIOKZ$#njZ2ysUq2#lbjS$Dz6hpwgU*3tTxCLB= zTAN<`B6m`0@O-bTT#ed#&Y3Q5rbRt3Mmz7*?q3}ab${uCL6dR*S1HX_3}db5bcxC* zrc*J}j>0g8(T_MyC9mFeeCUl{FvU8(!tMCe{tlKH>CV-NON~4*@fo(nlrhRRB;UxV zKDv*?o@w*O5Lv7G+Nbd%>LFMy{Cbr3UAQ1 zXwbUok5~DBQWLCi97gPptuPg}YtRZV-22--@$ej~K(I^=iPC26Yoy;WB&4I1Y+r0^ ziPXEByiB3wlcQ70lFs|H#ONEwUPVsWqYs;INflGnSPzvOZOiI3`+R(8{rQZQP9jx* z^Lx;7&DgEsZylMqADs<sy=@1`nH}$X(92)a#9`o``cu)$pa4Yx8H>JIic?S zDQL&uC^?^%MX*^mK4(1mj+Ihm@>_Q~j#%jRGvlT+)iYz8e!mcX62d6)MQUqOU6Zud zhKA<^@ZK*XRVHQZuG*mRyg*t^>`>9TghRqy(-ShKwRfZ|y!&&f3U1cp7}!?|EPLHup(}^efv~rAF+@P&ZMqI`Epd}FLhrOog4 zW(Zz^WmgtU%Nr55n|@e%-MXjp%jMDK38lP**TR2PYM~6+pP-FZjI?-L|roF_0;6U5jQw;Xz4(AO|3X)Zz4k<4t-(fQjL6I zwO?{E@=K73mYn?Q?PLc+zk8?oBQVLVO zWgCAh$+k;G<`+o5IXAhdxom=m*^&Wb552y|h3j(2$k0pve35evA1&Xih0FyYLL&rHMLdkjap$hUkE>T7&SK?^A9=lco;>m`eMt-J#$}+ z7ZshLKE}mKo47B;Ray1^TPT#z)qRX{ zKQYJDPKinQH1r#d;{vGeFe2UtRuG(0g8y|%TTNjN4r!a1zRP=2`^v1iY3bR{oh`nV zy0`Bo6&Y3U!*$+2o@dGAT#vogxo|%^?xbTF5k2=)Oe&Yr2jxBZ$oRM1fV|qCQPS#d z`ZabvC9-!0NkW8H{?kY$7Mqf`Uytt4Uz+(QO>1jlJDw-0k4pqZ{l)lux$3c;^W?Qy zdM1g4MW6lB_o`_>?7nGO)BJJcZT_OEYiqdmr!_4I znIC!5^Sj7l^jU9|X1i0T|E5ZjytZd4Uo(dluNY=r6&tTA`W-kn=Fq6O6gkZ=9h{7sl__S_(QdD33C2Ofc5YvN-pjCRv` z)q!PNe|#F!M(CsZ37+92dkNI?)Y@w(#YogG+sr!iBV|WyEpIrcif*7=^ z>P6eC+0!h%@ya`mV|~&Ue|-LhUd@l;bBf7wm`{7w5ZwpUXR)?JeccScZ)7Y^mMe>9 z%ZBu;a;_}Vu9Enm8&7ZfH2=Ky)_b`@igOhES59yKMQ;w9itAKXg_6Sy4VB28(=Nll zJ*ut7r(WkNE{GgA=^Xg?ufsgEvBTBx>=zS)*|Vea;3apmD(r4<`XXsZH`^2ULj3D9 zBE=Xyc#@KZ6MyyQAs7A;4U#wy5e``*-5(EMtTE<>D!k+z8>9_6;8fN%m_vjFd4D~< z39ANEPI){O+)2|^ea!HsiooetsWXQ%Oa5rF0-3h5Z(Q~W_#xU0to~^l3n4pB>4xd5 zP{oqy7%V1=&HuDVH|ehD?OeGT_$UCj$<0BS7+mFR6ex8Hsqi%qg;kAbYfTnppdEwO z_H4cox^Gue{CAGkjp5lHi0^i%{Wkm>0dBnwXWhms@2!)!23aN4UHHY|er4I|Hp*ta z*(=#urUi{v2T?1+rfL?7c2-Fx5;Y)zD^hkN-?t72dPn ziq+Qdi->oyM?vh~ zWoQvRi3JxTvgIuu;QivtXMimP+pg}p<84cpcic_UTvXhj%vJWGzgx!E&p2i-#Oih9 zr@yEvdYcC2xdjVqRdMexX83ec&j~A*8~adGoNZ8)Tq{AzP!apT$I^JHP5%6QNG!0} zxMY;#`I$t<3$yerJDWJ*uvP2ZR0um$>zUP?fz7RsoBNNFqf*|9dzoVLc#m$-?|2g$ z8Jr)OjEhhNjPZmMp2fKuiYSi~@6eX3BSy?o6fbX$sV6@e>nXGglnMIBUBKXuZux=@ zZ+VJ++U(VW`Q?|8oM`yLkOm$q^JXQ}v6yDw%XCBifx@p*_pSr>TBYG_Ji}IIzR2_nPS}RGIA|g4&7mu5dMKk;s4Q>z>&p@0I1~_;a8$=H}da*N1WF z=2oDPsE(-I4yjXf$OqUp=G?2RnxDSVY}ibCYB-85!i))0YGBQerVx7gnHy9 z->#W{XWl$quSnPIpyTusB;f1X>58U6vg9rkKdw}e;r8wZT@tl+>-|WRSn0)T!9z%v z>pXi<9^hk8^K@rLp59X$9FP~^gY_<>TeI4nulW=7q2Fe~kq#f5)0(_ry9b&dsMPA* z1(|f5ns8ZMrXjnpmlo<^tgJAJa+iaz;ozs8t{+Gp)%8<-r}0ye$>j4joi&5=lNP%n zU_}_f=)#ZZ9PgwwN9Y2nHA%O z)2bqJz~D^ot@n$ui%JQ)nKYJ1F2j`msB7+1*A9LMXCJHxoh_@){`1MEnCcBnYCmr- zrkWbBOvZA8S_dXHi_YD!z$*h3 zzA_*-9l3S|WH;5SWna3CH%CmLLTZciS9^u8TXxs<&7`1BSo%95F2US8?(f#%=8R#3fpss zZ!k4cC}ytQUJfwCZ(FDLAr{f_J=yEOn9RN>7T=!AidTFtAN9@L(+9L$W#6Q~pjJer z>GQQ;@dIgWAH7BItBD2dK1BNp224rj7A<`d@$Z&C@m4Uzs?5D7Cb=^yc9UwDYizhs z9=9_2$ZxRhcCJ5+zlu4$2|=DSYvzO_Cm@+2&_;vf->4yg4N}>O-|}BU}mCe%5&F zw1?wAgOmFB`uNv+Q&dW5U&@ks% zK1&673@D4-Iu0^X_?c@?-+l>x^GnNkMo#%#Mh->uH&7*fp|JUeVqM|$CgxIHS(a_i-BZuZ?Au=)P)!ZBAQ zN!VX}MBSU!%~wE0IN< zDyl2g{2n}n`6=Suj6g42);vgj>kb)biwqyUSwN3 zX*Vb3`Ja_@t>1p6Jy#B-?|1fB4R8+hb&wwNT;kg3&cI3Xzbj8U3Tzkfk`!6K|6IKS zpgf^QPEUh5Z1A2j5OQkYki{L!KJNMSW3>LXO45uXOEx86c;D{>-`yY9kXe2?KX=As zwW|2rKFcS4%MA=67>UrSL@E5=e8dm=7(26o2nce(tUE8&DmX%zcm7OH$>vfO(mdeV9Vdc9dmzBZW zC3fHRW@N_9={nJ!MJ=*kbGr0%JNjc02Lts1)gu-Y=Yut^1G+O}@!J;M38Igi%%h;Q z!t;{>OJf%0{9glhn`-`)Xn9;`8yozobZ*auW4Yoqk}Gi%X^7{G{CL2?cHl9k)U_&* z%|@@&`eGg+J-7M9Iuen4#Vac@RppbN%P=qJJVSSoIbaW>|C@OeIW|7c`rM7FaB1Q% zF?zl%=v|TN3RN`BM^D+cw)gVV&Kks;R0*$fP9dsul@1#n;QJ@;P4mMnA)v;ZL3<}u z#+PFqZY{q7;#iQ)|S(ULhhS{m5LECXuAIz^e-qw?qTiu1U zwCYFrcV`Vpo%Nc}xqA3*biaKG_~|{Va8!4(N7e5g8#;J@^J})Z!}2>U;=KP@4~hBn zjizFM`M_Z#TuK*Nba!W3>ewB|MdlBl{!!@L^u1gpV7C)<5Qjj$0u1o_MCvv^M7M0sBo%;?6k?Z{H7oX6&hjD(E7|pyu%Gqk{%!NHD@*w$( zELVz!@ImQwG)V&OIv&KgZD=5iW{3~*QJJ4LiuSA&-iCs=P*-vL>-Tm`ypW$~w{|0z zn4MXHBQo=Ad2Fw6S}PfuK2vH-nQpw>^>1XmisGD7_}VgK?m~4TUk@e}o%rZa*=2^A z;Jwc#JQZt5_s3wubFOa=f(4G;IHS+umY2-8ANMXTd@0}bn*%vAR=_;u=)P};Uot+x z|I-w?5mI=0PKH*$=k>--pjZK_2}gC#-3ReBmcQSSe@(wW97|}SQU4_+b3AV;-r;LZZ&rW5!|}RVaBa+~ zj*sU4$?lCC{f$4%%ctD*S&l5CCJ%B45-8;5DELFQd?(8u`96E<8Gb*wXOsD{ON5J- z!-5+$9%diKF)E=mU*4fC*FyN}WtMHv|LwS@NrfzBg367;1Wf)TGJnQGdSE&-Hnc2; z9{4v8mfBAl@HyDi0FXtvkp8Oktq^gYS8H(3?)kf=Vt$UZzm(7IZR?r2oI!$Y{=))q z(`n2#v^-G1pA=-dc{_#--2z43@mWc+V^(l@i03ZOpA-K6aN9<7trziHIJYqvL&u=?;; z)}#qW{_acf%pb}bVI?NF9L(;0<;eb>f74Y%RWtX|O?8>33GcVZ`KUzzOA~JN2br-S#2pL~yDeq8 zBL@K)dNV>Xml0PPc&Dl5I{t17K6JRhfh!DVIgEaBc&f%C6oq;B|+rs+sYE5>g0 zoaQ*V@J7a=n9z*0!Hs06eF>nKuu4JQwMxw|+VhXDGVV;>tmiRTb5|{$?qJ=DGSDCI ztg=AIW1>k;L5StC2fOSU4X(HYui=vsb_8$_S=z2X)9CIntB<-sf2!B-% z-SeXe#T+=!>Sm8a*?FE(JJyXti@&0q-(|Jl%gXS~c$&ZLlu)CSo`ycu@T6IEaZV(9 zBkE2^FIb(55Qjo2$jDtteKN+=gmhFpK{69MBe`hd6+f&&ig=0HLMZs+T}VYT23gw$ zb@gfnZ9QitL_vGL5**nlmowO2BARb2_v)^z2EckL!|;;AB9w$b$x;CU6pFU?@~*A_ zX43TOSuRC21;XF>pjyAzO{9OenD`rWLdWWSjFiHO)Jy>4x=0`htR!F(;LpK|TQ++n z!V#r%{BcAC|EU?Hbn(rH$UZp+^~_jm{eEwr6j(C>jVQZmCQUpS+KKNGb%S+k1U+Ki zLOAr198I>XMYoS!RUp8^W*7dKyB%2*NRE--pdP`V*SJI#h_ci~*UJ{^F;_ZVMNJOm zrp-kZ3wnG)lwDpghxwP1Ha3spLC;!$gu{L0>&)j6nklE%OFn&?z<=|Wa(1`IHo2aI zR*LBr{oh{kHJR+xxfuJ4lzEA~4d{c3k3Gb!#g(5$LYhq1q|j^7y?3OOwg(oGBr=_A zmaM>7njH($kq;q3fo!YD{r*AA3&ibZ7*13OtWwkshuDdvnEOi7=~RRtL9 zN)yuGm$y3YARLSvb?l5ap)PV`HUA>+1OPMqs~~O{+>a5@m?|JCX0P{Mb41q;4l?U( zwNdlte17?x-?4|uXpP#}6M`l_LkZ7+IPI7tnH=c*v!Ft^X*~|(hU9~UKQA?=K7|y2 z^Fg!R9R2FJ>zVYdIm^@NA76jlz||%IDLi}|{2kh}2?<%U>FG*KwAD_Fq^d9Zn(SIn zrX(zZk+gJRzY$=T$TQgBQ@o=;v!DTHAsRM!K3KYb%^-+_buE76(q+x^YkJk`P-qc0 z3dQ!SC#*4*?Z|=M?lHh?v&h{lM`If-gX+0{<|+9%vApRKEY*mh8~ZD#A@C2V zTG6WK+?<2u!|E!JEb!+1$3sTii{$7D&~U+2X*t*}|23--j7eA+00|2$!vE-j^IckQ+9SLiuY?4fG! zlLr((elS+c|6b;p%{CN;+T{vlW#shJpG{6Y=a^sp*ai&u)C95L75H3a)?_6V7sI-0 zbfI#=Vz-1~5q?l^&E2Tez6pQr&gs7vbkkkt*g6qnw5=_kB50U$36e& zvP?7(KcEq#k=LslHF@qW{?&9^P|r`^#L(r0nCRYseO@3rJM0(WXXp8+SoyWuovD6X zkAo(+-dHi$i4IU*^}U!Q3%k2p3^9owU$OMq$6?ONw_hwj1jwK|GKB`?PiMauKybm7 zyWRbB4Kl_-x1bcp8ItpxMHO|?M>MRDX{*P_W9e9a(ugEcJt!(z+9ggZ(^sd z;61IGk7?VVu(v)Bo-B&d|CM$+&pq-JiXI4~nWrpzt#oWR+n%ke)s;T;ef7`DxILKZ zdGT8@h9A`RN4VZAzsc9R1?J}o{ON87kFZ&v01GxVkD}C@bxh-gtWkF!3#rs)P<-p)}`zUlDGoz#Yxc7vC$xAKzPF6s0k|$o(JR`>+sX z;+XUIL6N04&2b#D{FU}_yP5COuZ&Y}X2X8!9$}pa0}o(r7pik__fj4zLQmQnS7|Ci<`q_lJVLGsccy5-6$s0RWi?v=DeCE2E`yp{sm`D1m(xQfrFyGwh zW|Id69V$+>^*Wg23M3jv6^Oytb*Ndy^qfj(pRF^^&P-0fsuZ*MAFEJvR=k4K6cc%o z2%n;1PmZO@K0A4KM{xH1YiK}M82h;5)X}QsmXHpAv%f>aGn(`-Ugruwrrpj@BEDpa zF+G);A7;KbaQ9ZnWn>f1QVJ-11G_$NejB|vaDO!4Yxw)J)0DVO=M7a@>rdw@lyW~h zq8Gk?xaOSsn&m0^&)SdspV-RqceeW1x$ZOoWFqE+yT%T|llHTy zYk1ZDdn+DB-=^T#`gm=)O|-MHjaDt#GCzd;)14%Bm9NHZOm8Sdte8`+-s{QUk+qB5 zCuhFX@xUrUCEn>T2k)2t+a1ZFub$tgR3*X>%P)7&_vR-_GM?TyC*+p*c6TdaIEa>z z*I2kQKc7+9NDS{O==#3|dHVk1DUBb`e?3dT zstSFG2O2Of8NdcG&tt3CN)Vcd0o{tKFB)OX@I;^OUUZ*PSIn@agf zEcK-m@4UsEHRGa$=5b?;7;$^oe}iQ~-+UOk0;wBk$r=DWnnNKS+6Jb0c$)|q_j!A^0;41&pU1sOX4|G?^VJ$ z>SOm($Fc<=W%-yidrY)^iZ>mky@G9c!-zkv4HDW@5~@XfhZhmT!lRCh)&N-KD`JZW zJ~LIhCUWD{`DK+&;#Qh>e^^rst|klf=L0dXb746OpklSsz;L}13rh4?09J_*>F z1W6Wv=;{+^3-LRJc+c0^@z+>n`bkmx$!v+&S{jLJ?N~xr77x17v1&1$MDo;ElCPo9 zme0Sc^@|rZCWK?V)Lk;LN>_<0rRS`{azQ=%Oh$!u@r1t<&by&M z?O(Tg&HRn|JaDi+G9()XeEwe7c{YaVZl^0bDaH87v!&tdxfpPn==*DjOP}bauLKnL zJ>It+Ae9J^MD>ZIh4^(->|>Jq<7_o;EMM9Q+-`srE&y@8noin(JH*~moS#^z{oeR^|b<|qC))8cLpA> z+b;ZRSIb}E+~lKKR?(H}oi=ZCa&b>pu{PzEB3|IL83}?wM7t)QCA5Uvs!#Qmk5f!~ z*B~^F7ZZ&f>|^1bDuEq(h5M}CSC4%EMeCU#BEZ|6h&>lGzBl6Skbw3K-n4Eq2sY`r z6hz~+s-$j<6tkrj0m)l~<=27^SWNtg12yr2&_zCtW$^33IPv37`K20?c%dR+>dCb9 zF(Y*7B2JN2n%MlBq$9Q-QFIyyu9Mo=#J?7LAq)Ec8Z#KBi$OkLw<;mWNR5473;jVI zL4_v>%;RoA7rk_e(DStaX}I2+ z$-iUP3q^?48rChOfSKnQYQGXf^74dbIG!}0PUj#s&ONY$B;Ohdr1oCpPtURafsUuWKu4q@S)wyvFYH)g2toRD%pN#2%!r_-;0zb{7^B z7Kt4i4h43}?+j?S16!jakc=g_co|Q0B~T4G*eq5??0_AP&GsNW2iOiw&-bQnsP=~) z0I&K-50E2@4*mRM*%8*Xfomc%+3`}nB)Kin#+v&Dl_@qO4R>gTbM*EEIu{B+!sUQX z7uABPs6y8vqtottBx+vKv(P{`ZN0pyDOCI z82>it1;3U~;YTvWa3Mig^2~ePzeR3INpc0N4!_@%{6^dgQ8a|gyhwW_t96T&;so%- z&*b!Uk>kDdKX|U3MT{8gsqG1=r|W3gVzWs8!#t99TxktR9xQ2|F8Yj zWjwny_1p_nML6HW{~C@iFFON6u`Xj8udy=eDBu(qLsdfF(PAN9^KlSsyu3x`$2E9I z46<1}P}pV;*;MAT}SI7)as*g;(XeA=OcY$Vj+0J zZ|j0Oj$oUHQrITKTBAth_O`<1EIs^YYFI!=6}SXx}D`jmcPWH=2-w=#<~A!5^$S% zSYDrnwCI`_`mC^THHSPP!YnT@zkXJLOrwu3u0AH}Ni4;Q9duS4ZRY-gr-YY}SWqr5 z>xvO-^ZFq_`$^2>MAwUpT)*P27vgY$eb1>)A>_!Y4|nOjb(&)G`f}*QRr$OS9w&;$ zwl=NZe)V|mwEt>Y7V=h5m80o{Pd%rTg%GIB_jbE?2N7JII$eEctNO>L^U57 zwtT`(PhNavB;_1HQg@aawef@L1V?JmAV~E*L~Wcn9CVXVZ#@U;CZ8vPB!XaebG@+< zz;vAOX9YwD5y6R%LF}danS!&B0xQTslMim6!K_)MH;$YA%EitW$13?S08vakJT0ns za+(_mSF*eWeBKTGWsf-FyjQl4eB?=7?Cv_k?NvTFH(BLdTDU-&Dp&I0e%l1DxZa_+AO2s1N^G9~z5jivBg}ry_|^I*}0R=cHs541fW;&H#usbeE?l z=iSep53thkr<30?2)6pcY+U?HcBf*}>tC(AGUxF@059k;XP56v5h9Z6RUwd>l)5I< z#NDl7eGRcbCIfn}+a&pz7{9l@0mBTCy+&){lqYh$}t; zBOUMx%>`hX08F0B4ltcPeJeiiNb&FBSUA|v*Ux?ynLMTxa6Xz^`0Xf950VR!en0cV zC=;_1_^r) zlYH&;c|Rhz`z&HawDB>9dAFV#Gg>s9yCg!F*W^zYiQ;#Ike6imx}|n_Xz`IN@AA$O zsA#r56r6d~c;K`~3OR1k@MZ$M5s&S%peO34V?=mFiLTU$rC%Nl1rL{{3c+C-7Ied1 z*S(1{qYxmkQhylhtHL8%BMX@&G_*?yPx||P75Z@v2)W-0{MSX&U<59|^Dh^YN@Ad;_w%cvOB_UjGcRdUps3~cqc~D?`biXkkH-{j7WC2o`?)WQ zOF%&|iplP383hBg?=L|n?tI2JiDmJ^{94fgAC*1|g~mQ&dR17^6#@F^DaW(3oEjM+ zHX4+&B3bTQRTN4Ubf|aZ+N;MsiPkjx#y^Z{`{EDMN+j;$%VAV(xLdC0Ik_VE6b}4$ zb67}kErWGNHFRfykBH>Jw68BPeCb{7O^)az7O|#A!h4=O>9CMWzal=MTn+k$Z)bj} zXga@+$oq^3Npy_={v-Sa$*xZ{qz%HY6+g~~%WQ-$r&X*XIk(?(zj}ULYVU{fER{AMw@znvpSAe>3lB( z(_W$IKXvUtSyGa?P)Bc1_FBZ+NqaA0E00jJ=eZ(H%O*a{%YLR9UH-G5U`|F{Ipfa! zXOPbs!K`L^=H?65_S1-)x}3yy?I2c?BSjA)yB0n4w#kG0vH`Pfqk!O!BG{&4AiUfL zf@hPM`BXMt;iwIS<0t^ggOa&5t-i7R&a(gNh107vp~v#-v0v8NBm5pGrt!jtp7Az+ z8d2l#;#qL@($v+NBFN!KRP&obwEXS@KFK9V$oR(ZCvsG@Rfl{c+3Hb|q#nb}6s0>V zc4OCp%N$<#LGwEoHP^^_8BymcG6(fNlKU1y`-?= z?Dxy55M4^Pb`d1XjrwJL)7^+JI>YE%xc5MMD5-!bpO;}4B{Dsb?;^dQHId)QvBKF# z%S=2yx=4c2N5;F7{ArOHQIx>FSt+`p9yMEq>7b0W7Ea^d{Q!gw`+e%F4O7E0+{(Va`}goSAy4S-)V zF=-a=4!w^lcD-|nP3>S_)Yzg{###I^K_~>gw*B&@WSreY)1(r-D7Jc(UgqgX{eWw$ zxm`1gQrCRsFjcn7xO4X|2qu;J{ibXyd?Im9x^LlCw-wlL4fl^jJh)3}*f*5%ZXVMe z?xH%nWWiGoIzCCq-_u?JZ|5loO`z$NjC#0N>gpT|x`dP8vr>q5AvuKP_kH z*J6!MX~}C{a#kY@QbM{ePL0&{E(e&14HGWegPhhEWTw6)??^Ktmyp9Y`%BF>FKw15 zdyhxr%SRQii*6TzS4Zq40Ft>*<^ag|u=@fWCl0JJPO@47ERp5ycHRHv!ls_=hR3qZ zW@+0Fr79aI@c&-`;td`02}{TL$kktps-1g`B+@zQEZ{$(Y$0?omZ&p#{Nxr=L|js0 zC=rRFU(;WxuCfe{P+g!qGdHJq>z$FF6lzabO~Q!U9AOHzQ6{h4uj#3(3i4$Nul`6|QA^qIR^%c|bEM@KKnJdowt_6`4_24@R?r2D z6T}<^qXU-dA(Q(ha0TCli^Kg=Z!!9FXrX^A^!Cs~%r(3fWc|4;7NZ15utl%M(72s0 z^%f(NHOb~9V{D7wR$?qM*Kmu;TA8RO#)x1rEQm;M_Dg7~-eT=_>E8-1U4=C zvqT?mv4D~m@K1d!{l=l;ej!eVx5A4Z=n|;Dm2avp+6D?-!&?FE@K(?zcpe#{{`8PB z$K?7}m@T*xqB#ifZKb_mmeG$Um{IoJXC|WZBrQ5f;6!azqI{>wl2|lw^&+@J_2XU} zxVqM)5mqMMbr5SvNG*5v-2dQNFmQcXVlVNB#cz>%u2DXxgdJa?ynJ>L7w6B|%RT&I z>|66K6j;)$$N0_;kPWZZ5`(USVfrB;{%^Pdpnvt;P=h{8BBSSH>+v zLb!d1@t{|T=W2NvM&fdO#n2F!k*D3b{DWmbVo)gG-R&G7)hh=dk29t4UJ32z1?3-Y4rO2sWovZa$g_VCUyu{yE$io#NT|b+XU&11^MI4_ zgsF|PIMJ_T{&4L{l|Q3#?|vOW(OWN%XWkD8@As5-@^aoi-?@gL_f2=m%L_ZGP8Qm# z9OtvVup2rtZSft$ABmzia-3V}`VBSZlT|*qrhIdi-&iS+WGcI$^y0ZlYBhH z&-AqjL%s}T{CIDr99yufrQc!q@JDr(b@3NCRWX*?6-k@)nWsg>Q1^n$xweLAe#;}7 zaW;!jqP$fOM*7(LI@Z~fep9t4@y0w;Ek_po#m%?QiqsNMvz;ZXR?aAuLszO@F~mH1 zzaaKEt(1fF#|`Bl&iP&ZLRh3MWQt?6USJ`9vV-lb zbAHAo#-_MD%E#F(K8f;`@_u$G^a}X@LG4~wX_sqT^(p^|%CD~Q6IWYz%bVL3-U`mH z239#3i66d$z#P<-qI3MhS|MH^>UwTx=Im-Ya+sTo@_zWcEmUw8_C$V{BhU1cGh~14 z#<=&f-ntoh=oi~p%IWWyi#}c{hoAYYTJGQxZ|3l0f9(7d5x*ym>gMCpg(}~@QV!1Z zR6ey*jx5Rx{${(WowzNX_T$&3B4r^{9Ea==er$TZDB{WEggC#pQV#!?DzDDhtgo1V zi}HSW`o&4N<*b{_GtF{zH6JG#vsV>Gbu;Yzu&|D=lvC#+m5;5IqgP#dBvaXDJYvXt z`MYPeZoxfJK9)a~C%0bv>)K$*>;?;0kwmK(008iDP2_mh?b<9S)M8X%!~R{^%^Xy)vrHw z$J|(6$g{>R$K))OH63XOZNxJWespv2!0+Ip-;19JsKp=pny%+b@^cS@3D3|T7YZ-s zuUV8YBZLRiTqQ*<6$RyA0mw(ro27eV*d_Ag{#pNMiS|^;MK?j*ZBb{bk z?5`0uLgH16z^O9buH>Qce&wNXuC1O9#5tsGl{eN4qg1G`vOI~eNJuzGAMQh?1x*Y^ zO)>)pP~R)_H1RIX*Mc6}2x3~uHqeLKrsxd@ZU}D_ZKcihQ}tYHtQK9%=p%p(0Eg;2 z8(gc2xEbK%`z){mt)lZ-XEw<+v}XUMqXx~49}^O~+;~4KLKZ)OMYcTLC9WSXx z(eX0TRON5fk@4C9qOXjC1c=r+S zA>w6djtT4#m|5`LE;>N6*xc;b?n#n$l&-70&Ymk;PIg^I_78GHZ@5B0ca{BPo5uOI zBqQijcYItj13%cpLm|rWKU1wX3p(G>G1lQ{I^QOt!{w0<=n=4U3^u_hw0oO~e`L{Q zlgA_veb|&_6Z;9-WRB{9^#fc}EWWI=msCdFu<=;c8GB<#>R+WiAJl<|5C82Zx=49! zu({|*%7cfvTvGWE!{G>aHa(xKAwF!vV&fr^K9V~79?^G1j|n3`DqB`EvBRnI-RFdd zjZ@@)NJruXOvj4Y?8ZJvsC-ZHo-W=o$_M}BqZOnxdO^f_$d6V20r7_OksJ?|4Diw> zeKU0g|IOmtM#r^u46*fAI^qNLm1{b$$zP0b#6J(Av{cRX5yae2_?DZ#t>o9clxL&x zw&=KtVQN!#h%HN{nhB}8AeZHjgZIvfJuM?fBYs4+|bzResVX~KW zWGtqStgIvY->UrU>IYk@jf<7%1E3#Qo*z~pt?M7w@%t*fT1R9NOKe8%ul!l!J6Uzv zeBm176Y~S}6IkmAJAfC8`^~;OkNIf>nGVr@KOzqB$9RoL*k?)U#CWoZY;vKF*oCx@yb!aYIR_~K!*oKp}pmmZ_?w1 zNRKncTlGsge_hw62nT&A#?q8${EPd0HIFTteDP~t4|@E!`gE)ZxZ?8_BR~JDaxLbg z-dIx)=8+hC+;1)yu2pq?710WPh|Mi9Fi+I!OW7kL;>3xiPl!L(t(yN=wHsnIg^~48 zTi@=ZvEbQA_BiFoirym%dIZ01pTKxcBqw0h_D-!W+hC0Q&AyU@-{SG9nrFNUWh)D7 zoqy`qmJ<_t-7C4V?Xgcky;z&JwLSgq$UZpvkQMzu9&c7l;5g$SD z7okT}tT*Wq_nQ?Z2VGc`Fjr4fJ7Bm|{fY6PbuIRz?}S)dN!P0}9-r66u3vJ` z(S)@+uFcb2H(7PKzYcy4_uQ3k6#8H?~kmC*731ONa4|NnRa zbW%G{6j2mDm$$rjS=feUd$VQ=nf(9+Lu(1=Q^9Pvtor~hJwGcS*jY;l`AjIybNt5S z#KbIvDFI2*6wbT1QtfPs^Eu%E`OIjdGS2-KXND6PfJEUOSE`J%ohaKRtpfJsWA!J1 zrm_hAuReB|%KB*pxA(V)s%#mCL|EG|mNKDh6{J=&k0hlE7-harT7`c4YO!)&;ALW{ zTr}q#JKaWfh4z3=R}YWwK=(tUAbttyhrSX$rbg@JcMJS9*Z|TcUtONdF%TWKB*rkG z-a1Zkp)8td6SlVxm!>6jPo3ZOMK`U%bU*oLrUSY!=0*uQ(PkivYx>0!-1QN%xt!>w zBzj$5|9a3k8SbC&VUt9Hu7Q2_<7^8mRvV+@wQ)<^P2{V~r`K=d#g?dP;8>!IDloqM z^~Gw;9;xS~5(B0`JKvmjAY=dFtre~G42}=)KA*0j?Md9DAU@_@WFGMhTWAiq*K_wi ljiOQYNVkSeq%mi+?33TOpELJj+O;*+Rwj_*F8}}l|NkIVC7}QS diff --git a/cpld/db/RAM2E.(0).cnf.hdb b/cpld/db/RAM2E.(0).cnf.hdb index c4178316918c26962a31cb5caa312384ed09bcd8..0728203aa6f78a4c62a32ab8eafdf432b8918dda 100755 GIT binary patch delta 4006 zcmV;X4_WZUAk!a^Q-35u000000003F00000007+r00000007eu00000004La>|0BW zoJSEJ^L|S_gowmpe6WafK=VKL6><1ycXs7f{G8d?M$u|D@s8J{tY?#%*+f8O!G$YA zLP8M-5WS65ee zS9SlB+`fJL_PZL5#((K;|6U{Be~5SVcNBx8HSln(fsfFh{WtB|!~9Xx#y5^N8vmm9 zM}J2#I9dZoYv5=N9Ib(ST?7B5dh!qYqtep&5dA&5a^`XR+Zc`}Q}91YyuZ`?&G>6H zjx~;b_>diNV}G2Cm$LHA-^fEE$sRpmo9+#LTbww>c(b@yR)R10qK@H!XHoZjOMF@H}vCg!0(zOwy{a=E>5H&Y~; z`ySSvT)R5mqrADViOn&YaJn$DIo{XAVBDV!_9*X6t_((fH@Nqbjc3O@TjTz9r;dq! zdUx^#$CM5?X;vK@;~~?I2fO3xvwKW%G)Z=c2hH%n7Vm+B!2McCyZXOK65s{%9|-aQ zahx^MAb->slE|HeC@dkQ2j1wke=s|g1TY`;nr|_ItDRnHL<)IPv zJ}_v7Q4f)vP2@}>%S0B5%oCX@q2KnH0NBid`nfE|aZwOa)~x zo{^;Z1p6JD%fJctJ2aDl6YO`wXsCH>9XqLeX|X?ghHM!y zYk$Fv1*HXr1-S*81=`P<{v`FoK>J~!{V>pe7-&BXv>yiA4}&lhmwJP384I|*!4&5T zddWanRuGS+9xd!ZNW6*DTK^zK*EiR&;BtpDhg$3JCrvu1P&7+J7lzIaof%rzG`C&g z<;|q5nRa*S^b^=W?QZYd@ahyEcEA&&vwu3`%_YL%!ZK|HAklnTO zAdyKrw0d@@ph$jhawW8bxI5Sa3d)4Sacp%ooOX6bg8;jO=WLIQ!|Bug(H7#({cl}g zJ{yd(njjv-`Q7cw0RG@`G#+eWOx;0$lO0>>J(Wo!0wswElq4chl88Xb-UB5wPJfi_ zJt8EIM9AJCLiPp`vNwp3y+MTR4I*SM5Fu-U2-$m3!JdPLytD9@3_>Xy8H92o?@(Gc zcq7H2xGuKwZ&&{9An39Lg~H;5e5r?+gjI*;6vqw(D?J=^dN`o+Uca$991pHc!?g1W z8S3rL&6ZB1Oh({l=x6!4-TpS06Mv=>FOSk9Qe~uOA~hSSIk%}Idmh~(V3D(c0ZDhQ zL}qX@ZLTE96Imp(OyrDhDOp^s&nBI@M9wENd6Fkj@+>OksqZ}Xou|I@nWUR~%TsT8 z>Mc*b6{)u(^;V?biqu=dy>VHR+R0-pxMSu@xf3q?z+9<(!ew`uTk`UxC4a$PF-wY} zD6+H6-BTAufrr2flBSqj@@V$2?xMhBWCi0^$v!YwYP0ATVR>={SZX{hQ|L>M04s>I z(wrl}T(c6&IV=&MWmw0KBF$8}U{{o+ecE*)VYytkf9;UGLnR~D4y{UDOSRxJ&=SSK zscBhbi55HtS_&Cxkz^1`K7VRl%%5Bw^e~3o4I+$V0|b0(2}GvH9&U;QMj+ymBOXHH zu_PW;;?X4@X5#TC9(Wcw3CO7k=>U{u%n{w|;MORfuG+nD_`+Op?cnQ-t!lNBlkyLF zZh%IZ4*?os1{z@o8es++VFnsu26OAR!iNlioJ_SPk_)b&r^A3P^ndmMU=If20BkFK zDD3-HeLfN(M5!=`fQ6zh=~wHL)+yOjrqXgv!*ts!7wcZEgt0cpY8mTitf;ZZ%5=k^ zWPebpIH!W0ig+sQGwY$Swbnyn3plIcyoQS!E^BzEhG!KcP@#^kE?;av+=n0hOBm+fz3kU?L+uV8WN zBd1M8`nd#HPM9juw!m0C;W(yZ`$lhcu2mMC*M|o}XI#bhEeBWSpbvc@>9!T=M;l<4 zboP^Q4dMH#O6^;uNZOXGG#{Q7*zVvy^0~^8?(x7`$gs?T@qgg6v%cBjUx^`O1f`}x zn|P|yQV&#eGa=M+MdSzhz;>__c|6{Qa>n&p z&T5~yLpo9By({Ou)Z59}P7FiF%FzW5VG`D$cQE&Z$$$OGa~@kXm2)6M$ZDG2{oMPT zdw+93xZHb~vxjjsUbpc67VJgT_1zTS`+~cPy6j;X3mc6R9!f-h@(VAtYsjwyAD*IS z#fPV0zhjTJA#wn)!TB>fmIcZc0bNTYI$cDXt{#bQ_ds)7O_>-meh7nr?MU8cHeFYu zK0eR`V1M$%vB*J5d{HW?FG5Lv5lZrkQ1VxVk{%+IjRA+yFFKW)2?{ZidzM8hag!`1 zZUQNF5lD%fKuX*MQsO3%5;uXAxCx}hO&}#T0^)&GeRu}%k-|Y^VBz5EV2U71Qv?~N z2r^6&WSAnzFh!7IiXb35#Z1!yM_t3o%+RM)g@4Yc%EsjZG!_s4Dquhw?P6P-(%}vy z?ln|2;?LU3qmO#=Zen=x{$hCXj$?T79%Oj&u4H)eK4p0E&IO*oPAVr|H^E6aT$zM~ zWfl^aX-HV+Az=wr?TU>q%!OW7rD4drFbr84h9PblhWKR|;+SEGXNKWi)9>77^!$BD z<9|al8osux#%QT`gFb6>s)AMg=_sfH@p+-9OE%Ufz1_F0^?L<)W2|>5Qm=^z=2lS z%CRK^WPaI!8m#l&;FkzvDSX^hj(&)iIe$l^?j_tL$eLOSKaAOR!=K>nx?zUcKrGa% zw6UB$jbx2uP@$B>K}DXKuE;YJCQh>lvOl7dnZw94vlw}lE_7xi&n!RFf$X=aWTqE9 zo))v*BCj?A)-xlBdNqex&p2!xvHP5dF8e%Mstt)PnIU0H(r02_3|z-zD4@f|tbfii zqy7u6v<=du7vB#nC5YFkZUrs_{MXSS@OU+QPxq2fiMq7Ge|E3ftGP~pZ`J;2L04PT zyE62{3S8V!jP-I-AcA?8|Rx5G%9E9n>M^RthGlhaBbYqasdCOp+42mt)mx=3)QDphu+?u zmX(+$wF&;RHT@N%SDE&W>PuGbt5(ge_>om}i~KQTu0p0$Tz$)0Tek*oT7OB;N?x%N zLpS^`S+i|^VDzfj?CMol@UA{;P=#B9K9v%9SAVcWK)%)EWBbkYz;shve0P>yw&DGa1v_t1+`en$Ms!-R_#apFsg%IGvfp(pR{?)! z^eRI)s!P`NpDg$s>FJuS9)F`@laRge2As9SfM3~>YKJi7v&&&j|IUubrNP5kJ760Z z_}^L&+~B@O=9Ez#(DcKp_Cp$GTsyzEvwfUaRgA%pvC8SeAS;)zRfQ!J6NIWC^jY$4 zD>3wecfD(ae61ZY2_;}TApUIa$ z`}~l|i-YlSduOY8s&l&8pG<~Zqw9mwwE04RJQ|L+n*C`rC_kFDS|=ZGPRF~WEB)zU zv-x~~dw0-$VK}|koD9D>Xcl>My3@SgpI!-!ovY1hf4nuAHXqGqPhLAvXD5o|ljCpH z%Zcpx=J7jsbRsA5$$yViU*t|U>zr>AxAFRC8jX|mzI3s>a(Ux)zHxE6ySlM_`FwY| z*IPYzc4Mvke}3k!!)mGAw}PK&X@Df& zTmA$p3?x3kQw#7ByO5QBCp zV`mD%#v*nR?0*7Q3V{@Y77Bue)`Iy3sX~f$LGavJ-t$?Uncwot41AIel^nXI;@@m#-?}qq*#;{I?oBQq9 z9|AMN%0(xP5mguI6b}+AEh=~gxt+>bmqx}XM(+g7>sUn%0YR64^h@#S3_j`wip;k; zgEmS)|1SMfoU2r~WZD!LJ_FTF(^yyEz4(ERI)NgOo_QmqJh<-*SV_)5vdn!dWX^Hh z`xfx6zJD{#FvBfmJ<~Q1dWnDQb$RF*TV3ME1)a1EYX4;0XXTPOsx~of_sL8C!COb`-~WOc z1lX3#MHE(>E*mAXA~=Hh_e0--^NDYtndt)>GatLc9d!699Qg3(DZ6+K*rjGMb!raX MVAhv^0{{U3{}INxtN;K2 delta 4120 zcmZ9PS3DaG8^zV0MNl;x8kHg?X6(JSb~VJTS+!N{AV%!bnvGd4T57M_TTx2Yrbg^d zQDS`kzW2TQ&hPm>|8s86#dG)kxYrF#GDMjx6Z}u^xBuZh;XhQN`-i@_cFeTnu!nq?HPq0KYr|!=boCD3=}Zn>zEEf;4%xe{KT-X4sPw+x8!807dU&|X zqosCwifA?f5abF3fj|j#KwePFLGQT9&!6U3`!lBHsNL?otM`XD#;=gC{>u2I?Q5A? zy=zOYLCIy*!s!|7;q~L@m0y)K2|lc>ZpA#?MEv2SEG2*)cvGlb)XJf~= zSJM7($xzE3&<<##itfEH8VJ}#daUlO{GMfxFA_PBS8Hw?#VcO&r81;4>`N~b%iJ~o zgYrztz2bM$NWzU0t)2g@1=+MlWULJCV$Q^uAmgWAv)g1I>CVR{1+7Ul?D3ZMKhTqW zhj|9hNVg9h_qei7*z1S=vSp6PLS+S0{cUnqd6!3<2Ub6CZSW*WeDXd#f8iup+%f2E4hyi)74AmFqcWzVu5F#yomP*0mu`pgWa54Hq+5br>dKE7 z73k3v(U=RRZY$3`%u=B|$KH0c^gL?cZDX_ z%1TZE#~~0Y{I{RH?m_MRT8EHKQjf!;5Ki&FE#lmgnBoBY2ifGKULYV|_E{fgNzPsG z_S0g?+*HXCMi@rLC3B!ybc~+Q2ZmhbO)ACTN{}MLy8b36B7Kj(!UgK;cfI?f-l(3b zUZNhYUb`U8*A`Epxxk>> z$!Xj0JP3EXAvPa8jM8It0LO?h_OQe(Q>%JJ+R$}N@}}qa+krh z<{yLR79>4#!G;YCrZQF~n*4qK6c{e)Zu;j0(NJ=TrywB};FHUyWOjM?&=eSu1J`2i;^IGV}Np}a*n6ZM`7nN z)^uOi@e6b+r0YF>s)|wB*`qDZ6I!^41WW`b27^8e%<6k|L~jR{L;cth$n^`O4K4}@ z@^&GmF$KYQ8hfk4F&qXb$^nifyQj19&7)pZ@hWVR`niP8WPrYI1DLdi7<>65Usz-bR9&r@;?2Sxuh5a@so!O{?Vij)60 zv??eBhK68ey*o0MY5jQjAoSr%@>7^842Y3Uk=uJIUJs3H8Sif6IIkyJiRGsZdtDIJ zNHq)F?{cqlprYY7XEZRM=YzrqtP=92M?7k^Y{RqY)va+?cvc-Bd=MAS$w3VSx93+ejG(pQZ-2f+9M{Aa{-{vws-#%9hY^{ zk?J-AaJ@O+*v`~bgZ9F7-_zodi*oc?#(s=OB~m;#)=`-kZSjbGAooC&M>zj$3Pt)w z^7djOLS%rOCFb$nn5(-np)6TP`gJjlRq538#F!CmV9iul8MSm&6)_EhNixY1-jmNk z5=Ycpc&M5n7!b&X)4p#hAjzboSli#B`teaX3oyF>`IkhcvdZ#>EOV1N>qJS3L$M)y z)HeeyDvx+kiSRvkW|l8rIvc~#eCfWwiQ#V;I@5|~!bd&RZ5-_CDYhc-iG+Y&hFVk6 znpn+Jb~4?5Jm_UilFlxquW>nS^%s@IVkPq+8piVgeO|wrUli2?DP%)Xjd?e@+JfjX?@nT z0s%YL#TRdL)Nf6Xk0<Y^thcubp!arnwxm>G=rgTg!kms1KFtO$nW22I#yd-E zGYb5DZcM6}WIJ8T+-%p(l>R%!1j@XlHMN;1XVX&0#k3e&<%lLi_o8(-FYH6h>6ItQ z3kyQxWov(UGJ}g*z~i*2JRrf_kB~yzeQaUc1yBYU!Vf9v@i`$(WUiXAh#7vn}|3_hcXlHmmAK z>LS-gs+P`6U3}%L*>Xm%BiH$fyN->CeLX@8v3d%j3@^kh?eluDp?3mEO?4O?D;-N5 zyBR;IF!f1LPg`}Nk|5&L`h!9$f?aey)XJhFaT;P*!nRLGBp%k%N|xf~-plq5&NKI4 z!7LQ`t}huyin9_~jMf53b+{!$f&^wBF%8v1T8GmlsqnC4JPhZ`BZ+&-bGy+rS~=nA za?;yW7|xa_VU*>qZiMzYx=lG<(w>?cqn)|GeD@Q57it$pa~t<4ohR~ydC&SPf@Q)o z<6qEU_5>#WjGmT1xT2#*cF!3jBrm2la6nK)%vd@7O6S$Rl|aGg9wQ?7Wk35fnUS5! zbr#(NnH9kthh|C{lXw2{vgL)jpWM&R_&58mv@W3Kh4+sCVq~Kz^l>Zn4Q9j_kX*#Rr<%_Dl!&9;$2qRsbYZC!ukKc0&EjAI>p4T4nJKV)0)=54NFSJ^Htk zD!^}`r3Tp7DJgfb1)%L%H&Wj8L8^h%_8 zuNdQdpFMF($r!RBTCEKA6i-TLGpv*aJkS?7%Ec~aHjvWa!ki>*s%=A8J3`)?TXB9` zm7sOElN?OO6I4Gexw!uGT%3F-HkOp0g;JH-uwX(D?CA_<%zOh|rfGouT z1X)O>(6E|t8!wuqQS8l(4k?u|i$K3^1@Lu8*xwKqetXL4V&~n*e0CvU<0d_){Fi|h zguR4~okA+lb`1=p#>hz8Q6`&bj5PVozx1g{x<4_t`5+z~ST+zXMmJ5nk$4R9`wTr7 zeoDM}^G;8SQ<)}zH8BlS`0ABt3l;jtB)T6nr5Z#@;@j6PkM46sz-$el2M-N$|bsf8Xb11Jt!A;xZq^agy z1M$DDw2v=4W)>OLI+F`C50PU)DK9}Ynz&c|JrWvNVa`ISsPT)X4YdgyOW!2Y#uxQC zFZ=n*wr1eo&aG!t)8lxYE$$*!8YSksPC+Gl9eCHA^Ld4siYA$MA{EwAAFE^pmxn9Dha z2Qa&PR=4^t&37D-9FksEkXxtyr-$|TtDd(yHJR5ye$_%IU9^pw7DcxV+x=v%S+z*dQ3a`|nj8xIHjdGWW=bjSdm@`QpWxTt=0JMH9#!!jDlX<6 zeOjbAg6y7s8{2wW@BdDI)-!J2`6nDzC5tuI<&AILj>_6G^6~UPdQy$mdUE^8LU4iM zL$Q&dj5Y38DQbm*sST-<@@6GNqxhru!vm?`L^jvnqkJ4(s)JSoi{^JfXPf<++dv>J zU0rL;-mi7+!ATu`w959bDOHlZ+GI|PsQ%<4Y!_LjLO0SM0Vc#;gwE4KDzw8 z;~X|agsOLYzSMt>x1UWnlFtfyWq8o)e3Lrj#U6JE#n{bJB@#1o6p@EBjY>q{YZU5* z-0N=hy`~}{xViDYWn=(#W>jPhW)p;d&FmJ4wg4n|D`>Ft#8ck#aLu00PMU?=i-V$i z6g0v%1!{jNRaAZ-4#~E%Q>cJpBEqaZOvrvSqYAZB)l?1wsuxxb0!6ycg7#bd+#VE$ ziuA)|I1%Ga5-NBwqJ+^8A>ao+}phfn|}J zZGeGa6w*DiZdk-KH;sz5omB+_nvqM8Q2`l=dW#$DeNa1-&AUmFbcrg90Fq@Ph)oLz zs#WT4XE0MSCBH&=8?fT(eHbr#w)ccP3LXFB4IJ~OXA69msxJbD7hYP^rtb)YKQ8tc8jqYyUcZ? ze(cK2)2l_YOWW%wHS$$nU$%ALh<2)IqPouSrcQ{mT?U-!lZd!*<^&6dvJh;E`>+YD zKpHu>4+pQ1LkHyWk(zI4kLAfPCYk3kW&DS$Vf6mQTZ^-;BLff*qxHUud0s(0RonT| z@|ByAA;GL?V|1@n+n`!>DOCb6`0BfEUhU;6g$RR!o4rW`TKk&WVp^`T<8$Q={i73t TwkJP@XzYXVH-A6=o16at`7Qxs diff --git a/cpld/db/RAM2E.asm.qmsg b/cpld/db/RAM2E.asm.qmsg index 23c4a84..b30f19f 100755 --- a/cpld/db/RAM2E.asm.qmsg +++ b/cpld/db/RAM2E.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600299289286 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600299289286 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 19:34:49 2020 " "Processing started: Wed Sep 16 19:34:49 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600299289286 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1600299289286 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1600299289286 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1600299289446 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1600299289456 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4524 " "Peak virtual memory: 4524 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299289606 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:49 2020 " "Processing ended: Wed Sep 16 19:34:49 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299289606 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299289606 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299289606 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1600299289606 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600301681638 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600301681638 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 20:14:41 2020 " "Processing started: Wed Sep 16 20:14:41 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600301681638 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1600301681638 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1600301681638 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1600301681798 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1600301681798 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4524 " "Peak virtual memory: 4524 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301681918 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:41 2020 " "Processing ended: Wed Sep 16 20:14:41 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301681918 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301681918 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301681918 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1600301681918 ""} diff --git a/cpld/db/RAM2E.asm.rdb b/cpld/db/RAM2E.asm.rdb index 783ba95beadb870ed1533976278a46943fcd0d78..9584e4f81841afd44a7f09fd0f568fe8b627e6eb 100755 GIT binary patch delta 1090 zcmV-I1iky{3g!xsTYruO000000027y00000003qM00000004La>{i`x8$}Sefl|Iv zkpO{sm4Q#iaA7Kk5xTejW#%tT1_D zeh%3b%WvmdxW*`sy6eUB!t8o9kEGUOD%VEy2M{Z5Z3~^WpCx+A>1EqWMjBxXZCAd+ z;1#|P@UV!fML*)MJ(*MYHuapH?$*v$7n7c2x0gzAe}5WRM?Z)}TK1b5O5K+H1j9JX zTd_QqF%44_nc4c?H?ezHBvCxC5ezo!|3pU?jF+v;Ed4%)?NM*bIUaW%$K77;vL3bc zTNpf>|CYg)4`e8c*wB%Pi@D`&D!fk`HOi2mC3zGJ4h$>BPCN|5ONj5m8>Rv^l5(L$ z9OI+sIFb(Mnyd3KmbzF*_=c3sGZ<{x zfJ>~a+0EW}A*bME_FIw#e=Ear;l`Q&lyp`oq)V777@UUDv_L{KOi<>LRnBk5z0^pB zBfiYxvosptpj*gL$!CR3{TXE;nG<;hFnho2(0^#3a~SP{e*U7QKgV|vokjsbN^_Uk zlX*OGb?BCx8{i`#8#NHOf%5$^ zFarz>5BtC{2}A6&aXKW!LtWcR8fwz^+`#08k?(ZQwf9v?7vjF~e*-1__GHI*t{tY~ z0UoLuowO_MZ&%t6-NnVl#b4;PTJ08ktzNIag`umpjK`5w%zs;M^&8+kgV3L){s-ph zzX9MwEMEeUo5!(G(`68*8K?dVKEFel`*jFFFjnmrG=GG#q*)phCKLS{xc9s6kav~a zry%OD;xkuYnDCPEAKZs^3%l?$OcyTPmx)xuNT2q?NNV=Y3P*AXLDV|(asnESF;6fq zF#GZ>^duc+CVxy5y-U5_=0h!&Zk~ulHv6fU$1*XxxgVN?eB6A{9kvHeFN^$Unwu;) zzXWWG~PUcbt<^%W-?JB7EwO4oK1!INux#?^3pg9Bf)`TrPzr_L9l}O0lYyXP$MZ5 zN<bA@yXQU!yPAe`h#NQUu?JhIC9&A5{o zsc^*SIeeB{?HhCp87TQAmx(u}G$3;-uK;H6w|^WO9&iq$UD8jV74+x$_QSK#2S{P= z0((4*C$0{yVsouD{Q#V9Zc@sT`!HaBCFOfB&pGT|>A`b|<#A{%VC9}G1lKVRto3(R zMla7lhGcK;P2a#O+imq%sV~<^l2~!jinSG@1DJW?rI8rtkx(>}=cXvaw9zmo*rYuK z#D7Sri8NGB&k9n7XqRChh+pY;nBd1?4ywl20lixWJ&@5<=`E@d zN5{hqAGGrPd(fATa-|A!?qdkTGxpr`^MC3y+%0GD3mvusFX(X4AN0r_b?@C>f`269 zYrt1rCy*$%LgD>66!A>Y?WvK}Lvm8P;ml9-Xzcx8)RptLJpUS=xsziUizkHXEG) diff --git a/cpld/db/RAM2E.asm_labs.ddb b/cpld/db/RAM2E.asm_labs.ddb index 41306687ff92732417f969ce280aecb64e260a05..0bb936ddb2505edd465ce22d90382ef4ecb9f856 100755 GIT binary patch delta 2698 zcmV;53U&3b7X1~FTYtI=00000002|~00000008|800000004La)L2by8^;y?X1rW3 z+1gyOmgpKyFqA1$$ssU$3IZe;QL!OAXiT|oPHtnT1p)k@Smu?KlwF9)ssSpH5?l-&oaeq)dIrjm>nYV9t=9~97 zZ+Y+Dy?gtR(gw$Z!~e%#61T zR)xQ=V1|I(A?ga+^$}&^wcz)&KpP=Bv=H4WVB*!+m48$1pw*G_9$@x-fa;MTPV~El zH{BpP-{$i-ttgtTxNYIVvtH&z&+WxC!ZS;L*cx+gRq1SD&iv9xAf32m(4H6OGQuaj zxKp0SqeZLN1iKXX>3y2^x>W(1K;&VyG%?xCcj7`vwjgOB<06-$apMxOp9fZr9DI-y z(<2%lrGH*NnUdm7XWxhYR}S6-?i`SKdH~%j%#4GTr4xRedi3^U)puTAaWd!m-e3c* z%NRXyQk^Z*3fw(}dS2s-*~ZRUR{d<_K!r+OH^6Rz&ovwvxE;~otwrngF+DWqHHV8P zi>L+Mr2h5~Bjwy1-wO5VMVM-cwJ6p37mQb~n}5)K*!@d5J%G<@9_BK3-=l0Vaw!LL zA*%*ka02Sh&7oIn_%n-$mx^QOWX~));W|`Mo1xLSu`A*>Tp+>zmr3fpi*i}$RtM8n zqi3r^R>yPz*)Jj7=wW3yt>B7&jUIFemj{Jj({8^Y!gf7ULt3_c3+Z7#6H+;};it4( zTYqC!G&H^DlZ(FjMaNsMIfq(hd1uPUKa>X-n8suA)gsPGr@>fgPvdqW7;GO&)|ZrX zCrO)-sP|yR!SOu0IT%L9^(im60oUxIPmhULs=%$#?Q!>W$X#Rife!jh4wt6SIA|AP zN~7}W;bbv7^$y*q_w-@F&cl#c}N*H z&6b|djy5@tENM2%h|2769BtEsv74+4XW_-C;62jyGZPXOi9pd?4Lwfaw#fv~OPrA~ z#T=dzs_An@1($oh$b5E88mcPbGs(8uaY*b(nJ;b~#5*~eU_a`iD)II({HTJykbezE zR6GFs1^R^^H7%n-53M3k655k&k;VOBf6oNTk%L90f1{XTor#&8PX{^bULeFsj~+oZ z2Hli|YkdZB!NJ@BI!%}}jIz?R`_2`uY5o_xaV0(gKCI=;j6}cI2-~m2e8h;CSgm={ zhKI^qj9k=j79H_;#w_G}ex&5}+J6`}w6qzTmqJ1o{}!d=WwPflj%F^wnfa|$FG%X^ z6aoQNors#5GAfY4cK3bDrT*mR{W9aM-J10j>T;A7c=6_bqh!%g3 zItkMs97gy9DWG)X%}V|SSZ7vR)}{2PZ7pAE`PlXKPDmxES9CT zJ8a5!Rf2b6offQ=jN{;_1y0)R?i(+2yl@dpk2OYyLbONlL!$buA){l^w+!oxi zX7H2mijQl+=L?ic=N<;#dEN^GH>R|iV=QwenXH_29F7ywRh)datl)c5D~Ea%8d@P@ zDyLuQLQga^ozXW&eSaId7qN1dclsU&tpO-FvdhJaaDpQ?t33?6sj6IS$hlTJDK>na zQww;qETRF)J73wVavCZ=hWt4$Hk5%9!_Bvt`Alws@-q%CG=sVO>KPs(EmACYT5on9 zW(wX+-VY>B?yvji`#hLL;8rfLLz|Kb15f_-d);1J7Ne4hT7MTr|01uw?zR4uAI#)0 zeHHd>2paa&vhW@$f19}%b8q6)DVckpFHH$~7mTC`?<=y3f#XHlH^I!zSk0_4Ee+M@ zzhI316FLdbC$-H?EgCII>A_o3q~SY;$BbiD%xXbi8c&dF1X|-}G^J!dVn7pS{tDp4vW2zfd|DaN-*D zT(yyWi7aH|p~(BG@r^)u*F$_!hj+CKxDZj<*0uX5dT+b{@l4$qmZ&_yYH6=%XPz}b zVAVUE`G50ncpDABUqG-{VN`p;Ix={pf`g3zt0(lVh`0mxZI<{C!4AcpoG3d$i!v** z_D3eLkJ!>G>Sa!G65fgfK22p-KFxR|XCKzth+R^-q;a5cH88^Z8FFR}jhgn_)q%L+ zVT~0`Ni;TCIo1pUU)VyFuQAFA(oArdbP+y|?0=(&&XW*yirtFIZJy-oI4e(dpA5Fg%Lz+0&@@@*Gr@li z9E_490Q+}>6?Ig+M|(dzKVY&KYy}vzrg~%&PEGhTbrtZO(kRV|bvB+{YW4~CPqH-I z7#4VRVh^Sk@Nb?hkkWuQD9YbcKZ8OVoqw6U;Aoc>9cA3|vF2cFU54C)w}();%QR4 zE#W_b`~o}va}j4fxejX+@LYE|bJotZjj>_B!?)?b00030|9AmnVqj#DU|?Wa@ss!p EH$0d>6#xJL delta 2733 zcmV;e3R3m`6|WYMTYu~d00000002|~00000001uw00000004La)L30;9M={8=KAjJ zoptRUt!1rh5_5NB?J9XN%}XhPhO3p++A4J>A$3BbWvtq(jY)#(L)Z#=xe|&k2U4$1 zVv9myBy3B52_a8;G9u)m^ht%_Hl@(9v5nJ*Q2J1eaqWJ0R)2D2Vu!x=z|1}8{(kqI zbI(09_wV1oKZqXPBkz&@e=G%YJM2&J*}cXuVv(G!0>c*9MG~?>RiTF$kW943n(RLp z;g&(tY?-$xX%DRi&Nr2I66b3Rq+X0Bv^Ibc9M8hC4HyLu4FHqJVGA6(fU5(cIdWXi z)w~dXkPdjsbAK~Hjcr>H`-pcUwnYw9{-J*0%$}?*P25~P>^*LsU!)ieC>#Rff`?r* zu=x;^kZB&6;=by_w0$I-52C|$%0EXWaA}F=)97vsU<8sv105enITN8fas|Q}HHA)&P`Q{6R_dzzRfv^3&|ZLnC44Hxx_=2i9olOzhhn9QI9^}{$?0mH zdi)~ATtQ&g;`O~%NKe^%f%?mLNQ%OZ{k%_Lr~i7AhiC&0?tvQP zln?09*ne$4Q*k_?arm^txW-3XHI>Ayq=KlX5)y7w&W8+D1_hp=?mR$uPR34voGXaB4* z>CLBf-xdP91dPoftw~Ik9<6ueB(4DZJt>ZQ`0QL4oeDIy)84{3Bcp$Zhm)#86rBCy z98Yc~vZWnK0`_~N6g~>Jqm%BOCJb&m0 zbZv0#W+<%}njMg75aJ1~?5nuIbCn3=e;8)&K{YyZ1t0oMtER%=@zB$H*5aQ_A?|1; z%f2|~;SlxlFGlDs3P&p!_fZkQtVX)*Bl3_^`khHu7<``0rhJ^wto}++n=fCebLa11 z)oEo&TzFB*zvH_R)qhu26BeBUx_^1Y2sV9*T{;@8RL3B&ywn=(a8qlb-QA?K^@zQ_ zRfglG?o1x`7%dw>?mVe&WgcM#t96sy+jYu72!Af~} z{8%ZQEYp3DTi?eb#l(Y*Dz7AgYc=%$<8SxB%+dLQ%ra||)l-!bO7j_Y_c7HlOs z>}@N_Exd6ooCf> zegbyn3O>Zn`1JndkWT1ihc&JjjW_wsjPgU?WNL+}OXmzOIqX}H7=NCH=JYEg162A@ zuv)C3)8Nfrz-u0_9FoJoPCb5+qP+q27lhZole0U8n5$Rx;EaraHh%sJ!dyuIMQ-^i zPhU2|mOtPgaD@576{~GIpwt~dJU(*;Mzfz_7NgYq7KlA6dHB%cGvYhCHA#a8?|lzL zHfe8Ph>IfnH66o5uz!TVMTG}){@g(mwo6{Tr8|CrIa~$ucK^4uyc9z9|}V1J)A0JSKBxA9_<%V zM?}=SXe+EPF^995Jv4K# z1IIfLq2Fr9l43seVk(jbGHVj+I9r&UptUoc&YUqG_6dbeocxHhrarPaK- z$zYQ2x->pL*u|4GKy?mr#TzcP!Y0STzZhvqp{oHGDmkYLHKX7 zoAS9ykqq?X?Sgdo{vD&!b3*+>ggB`PtQvO(b_uAhDntOiYmdvxVh$DADp^6+>HP!V zmW&s9MStBQUJBKbkzqtt!9FV!(&eV4v6Tt)Tz8N3C}u%_U!$1i1F8FvM9{{yxk_%qDSd4{og5iHU-!)X<dPSyQ&phuxo^JZI>OE~RXZV= z+vn1W1aDMf_h;X$acGQZGwgy(Ha22ktt0Bx+!q#Ng+C3IY^S7b8Ljrqdi6yl_Y7uv z8@BaXmF{)-hLivm233)MRKzq!l^#oC@O!-58xZ#(O!DW zV1H_4eZa*NS!D48E#-KBJSX{eh_iXF%H_)AE|)Mp+z(^F#-n~myAzM!&^>Bi^nA78 zcB0?St-d(#>}(m9TO&$y-*3^o3bSHai$B&qZ|BUugqK-cO>^dTycxRzJ3#-1If(3< zhkp=vfg4Lq+%2=OsE4mge9mi}C6oN=Y=2c|ufxE3Vf1cj!-fVQPBr5?X8w-6jKAW5 zI^+9G+=9VP?b#lpm2IBL?oNyLfN=T3+a+44Pkv$s|4^({`8A)^yS#I=$=2|078q(EGB!qq@31IyUeV2_LL#B!QcN2leP*+kIZ7c diff --git a/cpld/db/RAM2E.cmp.cdb b/cpld/db/RAM2E.cmp.cdb index 892e94d5be7c4f10c79eca1ecb2af706927ada9a..c63d6f9a9b1ada0d6e4a077abc509f99a88923c6 100755 GIT binary patch literal 46058 zcmeEtg;N_&@GosC6faPqxH~Oc+}))VE5(WzhoXT53Y6l-oj`GS`(j0d2X}Y(1Og;^ z{k`{ByqVwJ%PqN26=Bs zXB!3qK>-FnVP1X#UI9LSK?Z$WPaj8XTLxV#YbOSN27Pq~BipYGN;Zz)|E+8Z#r(Go z21aJ>|0Ng(SpSb6H~c^9_v|$2140MQz-jJplo}cVihlUUoi)=dB() zgIdWf!!`e*!vW7x(V>L|*oK48F9nEE=>(Lnz zBD;>>=CCC@myu(IFB1FGuB5pXw2-r}9hZ{3WX;TREB3AJxXw;HSrd(k@Qa&u2? zM{V1<<4)hZV=ZwYy?VV2X=T*5k5dr0k_e5cRfc$E-FN6cp3hQTas3e49`Ko;hsQ1p zm5Ycs^Y#**oGrqg`>a=@9Ae!3cyxxK$J;@~aa-XqpD%{?qB(2SS}VTIQdjLW&BWc+ z)90G)lB;%BN)M2;otr;Cv8Vjf$JR@|H-C0?<6g6eK_EE;%v~;pWGyW>ui5t<4}Llw zm@P#J_3lW1HgtQG%$773ydU4(onot$aEW%%=D5F~EFvDSe`tL#Eh0hIQzh-Tks_=N zSzcb>Ts`Qi?5dn_r;guPS%2{R!rUL(zcC_Wx8b&!AG@^4CP>vQZP2;4TG~zrr{zgsT8PP z(Vq+3P=tkrA{_}9k+dT2#3*Q!;)P-R`dg@oY^FLW|R+{p%0ODb+5rr z=_pJYrF-Qhc=puqI(AUC-A`C|$T=PcZPZ;~v~ac#hc#nqyxkLA^P6}O zVSd1?mB%}=294RJEM^5Z|IrANAv&F_?9`HJKKNW$cc}hIS;-GqI#U4lwGY>DUy)tg zgZL7ncbftpLS6dcYjqSm`69yHjpz#2>)$d#E>BB9IcLMgT;#@tb$IK z8N`1yURQov>T17wRA6vpMtOzOLr9}#wtX?rsE0=%%4$u9PjvFpzueklU9K;+$mc~8 zWom*15mAjM*UM?J7G-!I`afnF7%LHXGVd5s;f5LF;jPDMR#Ock=1BoW-Xuc(TILk3 zbkFuNS0?kyeh6yAI$nKwqKzu)T082#mAcsc=#wf5`0g8M1LOltNpC$R9E^bsb^Ozr zEBmisgLgW&^g$C5y*KV%CcE*k(WAf2Ifz#D(XT;@mNiTd4%-?$GJ7tA8;srO{S;@E zaS;D&P0l|L8%#m+{-Wrn8_CCh)TaKk!9Tyc<{;O4D8DX^bw>2W*u^lYK2Pc>0`YDi z>P5bzf?nd^%9$$}LMsdM=5`aFs`&T${o1ny?DH0=Jh@9JMr`Cf$pITfQkVeglKmx` zhM7G?NYgO4+v{6^NUcS~+4x}pg;RfB$!RfOkN;EV(T4LVNz1_(p6lM-S?rRy0gXUUtfs#*f@We5{NcIrWSjyvmmnbvF z?!1AQmBQp-CEZNFNA!qybfqTLcky287_B7B8xIOZF09?tl3kuuKJ^nVC}dt)&TkzcK3B1h0w+Nd%K|4+y(p~Ck;A&WbQXNNmZG+8eQP^ zNhG4}s-U~;U7dyCgLeri0c13&lJ%lK$hSsiQ)|UPy7g zVgk@d#Xt9V;60|g##=ZUu8~-XNCp`?8*=boL@fFzNR3B0(@%7B5V_@=JlesCV9^)8 zVTf|>+ur+OVn&{S*?2Qha-@Lj zulxFRwz@6WFS7K@8Zmav>E?S=GsT3oL%O*9+E_wp8C@4$6?FN0VXN8Fl|G5?ce8Pu zCR(75q+bCy#!6qcXF+>W}9QL(UdU*-e_e5^Nn=)QPeWVkx1!Lbknh1D_2)~IHl{Q8Lf5-1UR1bn-CdV@r zS!B~7-c9=(<_5;AM@j*BC5ZHXKEi~4J-#H+c848dVoEbV4pDVuGjw3=tiOu9?nf}k z+}Uk^=%8~6(?OF$aO10Lh*J-0&iARW8>g30lXHIjIg4HG*Aa+W78x zxm{MEA3FIu0?E0*p(#0mM`fs5{)?`ssEZ8-IT?5;$a2 zEXh+GpF{dnD3Lt3p%8=S6$|~K_y$pq6#}U^YV8|`rRi3|q(`Tp&=K3a*Q4-uCF|pt z$t`~Da`)O^M}dLeC#^&++cd7~5?hI=VW$MvRI|XVB65qbOM4U|6;*hL-L+TQ##l9Q zzE|qD5hH{KG>z5t#_!9W*Tu7p#HvR;3_ukZZU*nmThvmx%fIODMa|zub5S&{?;BKC zc$%f096y?+L?63++>7Ee`qcIZhWs<4RMq02a#H@qXz#xVpwZr+fUJK5BV-2E4nf_e zo_Z%P=6nBfEf};_cWoH7m9DcHRF`@xrEq`V;4S|$8<1txRz2eVZ}$t|{}ubcPX6DJ z`u_kxEXO1eWdC9prHCtyNN$VOBNb$IS+^Y{WO!`ZfTD+v?2PUgm~8ODx@A>pSTD>w zSlO898=($VUe0&>PQ%Px~D|IQpXqAWf084zy##fCBLt=)yRHv&H zXtE8xJYC$R?~Ff%qWpCycGK?bY_8h2Cty(KaY~3Y`kq`}~&e>nuysWP|4^ z-P928F{Sp2HBl0w3k5((nw?I=nrNehj?HJ|Z=d?qyK&s)D^?uGXl&N)OxPxg^DmM+ zyrO0_>!v)SaJpI^gu!IQb^Wf(yF-QTe33bY zPkznW(n1~mp|d=2+=xS4(>$5q1;jZ0*>I(_2JEhK? zSKB>HWG!Mu)s>5N*kv!uSFt}^%wkjfUyftZbyS348}VM|`AamKzOCW%`H#Ea)TQ`Z zakHn)Q*zPb1X6-+O9PMw;u5WWxKrhx^%?kTuE~Pn&&zgEE44^Rqi!yUIY~vgDCh^>pHsWVf zETrGQrrxU^Q8@l*k0%uVBI z%||yvG7e;IIIQ^bbeU1;EsclCSaE6)rq+XQV$4sW2<%n3t|MJ9-@v@mf6yh)weDLu z`C2?)>sje)*J1Iwmyh~gM(JBzZyDy_c6cfKTUh_`PIImq2wmJozi_4IXz8O;Tw2fB zhC5mwQNM8I=5Fz(cBh`^bXDb%R==(f%6>7hkLXrnX0dnmBtSRWS;Ohx9DuHFa0VH2 zCBDqQo)39w#8{F6!LD~A8=zM61=T=uDwK+)IV5d#jow*P+EA@Z>{>l+D@rP{tdd^|7vBq${pxIEP^) zHP^(l?~UyzygaYH zIh{f^vA6&ybmN5ILx}NWE>#k0L@P!l+y2Y>l}aAYzkmRSG!lK&26p!NHOQ8D*2kWX zCF8wZ{mIqRP94*Pq#6@Ub(Z)2#_e!ux2``M)Fzvm^(hlz;Jf^))I0ZdMK)79CsEn6 z-0%6q180jI*Z2T|WSv}zWXPK>?-F)lg4Ud>RcRMKa_9o5Yt-ohr3B`x_D5G=@0kk? z?~&>JjXT0Zv5!SDDizPeoIXgXJfQ+ zU&jq&;q<*aD1FY-l0V@brF^-kKCjc3EBIz4iMpY@>TXli3`$wu#z$AivjCfV`19q? zG6@KpKM~_rlJln!2zo{^wRp9v%rY}?7ehm8q9fV2B^GUGDi#TJ!LO^Js@QM!YmjOC zct#iZcQ8MuUa!8>qyEOdyIH2rk1t35{l8l$E^#*YRAcsD!>i>dCGYLlU)xW-KZl9VuC;(Pt>ZqU`T3O3eW$r(K8N zZez5MYzf)oXlB_iNhzanxYq^&#de|2XplR^aEIxdxqa zMx9anITGI>+wRXlN;gb#raA$fj5tP`^pD=Ox1kW`qfai#qz2Ldi-Y84+Z(K%lWy_7 zi+LFI2=_@!6SiC~M`N+X*{NK%wB4alyZ1@yN}{*dOTslYe>a+t~ikHfT(>dJ&EUg*~y)x`%Vd!nkGp18V}v}73^7T1Y^LOi4fu1 zYMI}?HcYtF@0*7uKhjB1r(;_fWjoR;hMe&K{VM*?z#CE2pG6UaFi zO|mzDu&073d0Dr=Fix&1IsBBZQ%tHfh^Ugw-l*~betB~sR4@>7hSM+&^GjFVVT`+3 z5u$T-dwt;R%SHE{GtZSyO)9{!#sYVHOL@*I7Rv*u({hUoJ2L5Xb`grZIr&s0^I`HN zQ~L;(g0*;m(XCImTO?P$fJJcoUy**m0AfDy&A9<=+HB{l#Q_+YaSUt03dmXD?39jv zB0IK*6yZABT6fyLzg!3}UzjMTuy>cO%0knX5N(q0&1`scfr0CV>y2_5sPPk8C4Cay!QS*-n5T%=+XH7wR+29J;e_q8IiLvum(o^3?A$BFXEi0AZ zT0|#w^xMy7876d2K1s%mO>xf--wK`l%UL0PhA*$&nQph|1{KRGm}d=Hw(wfI*9pET z&~3roM6=6XI0!syy{HezTzIZE%{#J7VOOwON%0%%KU`sS=V}_dh8cm&#D`S|NVVFRRywD?(8tr$H?mX z(|vvm?)I7%`bIHgdF~y_t*R~A`4_*BKAHmlCkSW3ei2Fi0&8JKK`$ud*OOhpajApD zrp5ah{nZ@IJCL9MG`+n_a+J>$OZqyxC19=Op19+@af~fgN;n|F;Y@nK zj_!h?iau5frH!G>qw!Jz^ijSZ#E7mr?Y0Tx|0rPf?HCP2qDweXI zW>v#&o{`6#w*zev9Rsg7&ErKwL94Aib=A0OBp>_ zqfNr^-^nl=0m(nTYMVZ z`HDq?Kj$YIH}fzBsIzYoAG|7{J8yTA+6u#q(u5L-EqeUVjO{D#LOQyL)$6#?KTj?- z1mtNSbFhw9mqZt(<2~`YcZ51sgk`RF^Z9p{OO$fK*jXNR0dVJ6Dbi(5uvLIO0dRz+ zXBx{vm5#1^>DU@NY*Xhbi#d8kPiV^TVp$qaKrY^CffSkGJZMl3RFhlH7Zl8*<`a z%}69O?Y=qr$VirFa8&8awH%5w*b3CAuHuy_C>U5uL7}jsiD6)dd)b0PV zmMxXVc?JT0l9QWn@c%~RT*CoeAk+R+74vS%+>*w5Loi#@X=b=I;_ zv6u-j-w7q_xkJV96WsYU0ni3^ zorJ~d#$PV(vX2Re4v14`1M0F&;(znY#5zG>;GHW*QucEVng}n;0=kRHjnZT_Ca3qd zUY0wHzwk~*MI)Py6KX~o2YcXBeZw|5fRKM-0(BRV(IylxRsc-}G3goYz;Mhn8zRpA8FlPrl7m&5H#&CWvl)=APP8_{|!2SA1zu z0w2hKsd5Ze{N~%VJ~iC#B_?=z%IP`Hr?J^?@A_t0A>fXC6)H}yIz1uvM-u6pt&i$B zlOr&Jde&EK6B(3bvKBV`%#|Jx_}Zo#54Ow0lN!o=kvve>Yp3`VfRjriFvkR`oW z)fT=yD@v*M>d<;=g8FP!R1KV8ZD{xL;%fD~xFNR|$zbLj&Pyk=F5V=?eLf_Uw%L|E ziETE6lq65LfPB5uP+7_MMBm-9|LmkZt$PYMr1n)1SoB{PA@8jLJj$x>KD%K?PHnyw zjCuark-^Io)~VJXn%Ni3TX8J)83`|E8>r_}Tirlct*&~sU$v4Z?J_&1I+bN?*X2-( z2^E(tZ8+B`46SsXS4Pm*yPmj%9et9v9Ur`)P1J+;ESsqB{eBcc z8|Ql-UBc1Xz-l@F!Th_oJ@nW?LLLncG}qiFqoKl`L{+q!XE(59Rr0?#;Y+`<8IkQp zxV|e59>ApOZaG8}YET_4w!RB^F)G7C+ycTs!_MbjRj|*m1?Pl{#1Cg3tQL%zRZk5A zl`m@JnlosSI1%U6*|opX@eVr3#kinf5bIAe*O0#%%^SKa;HoFJ9Xl83j&~z{1wycs zIYwh!SbwP40gJ_=?#qBm@nj}uYGJCRwe!St4O#|+G8RKfz>KDzy02~GkQZUJBj90| z-3I^RxrM6gMqI9@J%hELj_F&8l*O~kHhwrFwDxFqCT46i^a2#M7BKJX1cLTPxMoIUCpRcJ7hm?Sl~^^|N&{%EEc4u3f7@21-K14^&F~ zN=-fy)k*|d2*J$jPo*lqu6li2-PGut@ZMg((2MI=y;Km@MD1Im7}VW|z@>lja9E_Y z8HraXL$6rLL$8Q7DUEnZ>zp{!ZL8Z&bL{q$U8H_+5sHi8AeDp40*|vO8Y)`?M|bSh zO~yvjGPu^uB zM%X(Kr-AGB>N`12z2_URWNx`n&ZLS&i_IZTQuRgDMV;~d=;zCBSac2fw1RAol7IN; zo_!6oN{d{Y!&A;46|y_P`T8wF7RBDQe!Ii7NjYf`VveWK)1i$x;lZe9xvZ*rOyd6(k0Y41ayd=d;X}0CC%H^@BlvZSeRXj0JdAe+70%sb!y=lh-|qI+fQ9}rO=y; z{FhQ8%-c*3GGI97v<&MI&hp(_%JNLQmpnSVKq>@*zl$>K=~!ZTG}9 z>?$3|j|LeqY`n~auKQ`@1#l^b#Tb9NO8nT{6;gsFPZCFm-S9j-_X`nhY;|>(>l|h2 z`iCzbdfGwDv^DW}I_BfP08@I=Ldc^ZooS|_D5l^~u;u4eK6{fc0Vtj_f_H!;vwbKC z&`vQb9Yhc~h*Hng=^=^Vdcr@`4?80JG)+j;5LSh%4C|u({MGChN5}(yzPsa>22}bR zCu^#jGKh(7KTQ|%&KbJ+d}zs+!T$sKydZsb>;wIWlHVxtJe{TBIh~> zvwrgFy?gSek+Ime6toz$XBn#WFtAFd_7Z;aF?rg|WDIuqPL5zc@njj1FZkuqPrI|u zi~HLJUycc+Hz3(Z%<0LLZTN&CnWbBwiAFEiXhcGeDIk%~T)rkmJUA_FYW~y305W}D ziPX0!fH$ss>(@G&o{V9EFT;H|g(5`*+seg9cLKZH7Q8>~jbm=FJB`7<8W;(-Zv!Cn z!7f$AKg};Nd`u6stUNA|G}l#857fjzc$`7?x354|_iksjcRj3?>{;|vSQ~k+3tkbO z%x0+_O%}mx+~4Q}xRN(+PikOwK^|wPi*$m_7KhzIwn2m78f?>gpq-hL{RPoccg3|u z@lpJeo8Hg`^-e@WGw#<-)o~zsWSG~^uffhOk>xa{ZA%^diD1mG1&yO1s|hA{Meu=L zqOlZQIv(?&D8e=|n8I(9ea@*?6OQor<#!5@#@AIXn1xt(iDm~l^JG`k>mwvEl#jV$rh}zD5cyrscEd*sb>^M z_&+zrv=PP4C}bN3e0EDr$CO$kB81O>))%W&*^#1kOVVLTP+A!JL8le@OYj{VCl4EE zyn>_ux+Z5sM(yNm)~MRqJU(;xb6p`7(=q+YU~RDs>1MYmg5M+G6BU1CF3>{Ad`)$bW9*$({F?fPd3!LMG9xmF zt+x{^1v+>G_<>MdTt5|*4gJQmd@xrN{hA$_j+PS7MPe%R?1F6ha?RL1Tg_5H3BVbL z!N{YH{9C92()AgV`}u>$@Zw3=JlQWP-S`?Vu@O%xzXtn{knY~eu!Qct&vpuPb7WU& zqI<8Gmw|gSSf7COV`)4Ega(iOH!pc~L~Fn6mjPpH%zs~S!Ju{J8*#^=p-f%#(?Buh z15(iB{V|3RRA!|tkRL6Pg6bFnqx^}lqtcsptO62saO+#0am(o!#56TTWHp zMuFun)1NXqS@e^W%;Ddg4Zh9pdVHz4bopdnbEzIua2sBZ1Nbj?`ZiI0%V+MS`ik@D zkNI4zfz{yH@ukS46su5{MGe3H>_Gsbt6{Jz74#CO&Nt`$L?~JtGJ^yHyTb=6hm7@dtR@k*==ZTHBXq=54;IL|av%k>6 z67<}K4#Pf|4$qNrDyEWF*ed>~x%NiML0kNfAhHn3;q5n_A~{;9JlLs+)!-jxU)iia z=8Ky6=E??w@k}mT;j}_R*I$;Lx6+til7iY18U}-pnE590OEgE+0z9uh1aQ*#{44)Q z6><7w(-|k8)Ao)7XYm;YjCN^voD+}SVMxhIU=Qjb*yPwWmJs;ZGx)ee6?2Eyd$t|e zo;KZ`%9ZCXnL)QKcwks|p? z+^TknSF&7LE>(sgk^tA&oH1VW#MOcZ+u48M){kOBI%iPaH_8tY zzcaigBbHa&ZE=~9mPOszRJFRO51-Hkb%QldiRaAYL$rT!VC+HH$Mi$?4<7U1qy}=8 zA_19jU*E86BBoBrO@s<~(GaM;iYtR;jezVw`Zv>_YkYTR|)EW5r;biDcpRPx}}hCe?o%KQnh&3=jhC0%9zF6*x0G)4?JnJn3V zUPa_ix2{mU-fw*r`{1ivW5&dog-AgIH?8=aqM6>9#Y)y(4+KR8<=#m_@g5{yx@tz; zj7%xWZvCT^iM(M@rf_%He|JhuFFkuCkR4`)zS701lJs=8I@TAax~xU4+v_Sdpn}vW z=J30U$$lh_BJP6U64vGJrz#n9=I~l%=tD!}daj)%OD6$hBC#@Ka@t~Rb>Hjz(8X+$ zG9F+-V~;w7wDwxBKOl~f5Y|#rw4`6kdtyBCCccfnspLBbc{8>Q_HTgH+nnA2X&8{G zdWUT~SMebW>z2sqA-W>y=5WT4_vLM0ZY#&`28Ptip+aid!VkL*;5Qk>kHAFt+dcqq zoF8w9NWDP(+Nbo-B!eg0R=@9*61e%1s8_|67k|l*?P0!{*Ws`gPGs8)B=5_h-&eMt zR|VV;6LVe5C=wVE667$6678&}ugXwddh0)OQA6rqH3SOwr+8$kV}~wV7VCaK1H0OF zR_NZ+zpklh+O8|+EksgHn-M!ykYAGo6|JKb3pnGHXW-imRBkwpNaGds`@`7$r~O#{ zGGW}DL?iT%dC2ue)x5OwUP+V1)W820tVIW{ytB(Jp=d^rO6w)V>-NVIc=Ml*w)X4z zn|+yNP=vC6hRCqa(tIBVgJK|G~fYCS|b8eIZ-OSOd-)7uH}v)qZ1W)6VT~ zqV!zJb@#hD(3xrBCA{&Gk_m|VLNmdsz@#WwCH3JCH?1*&pG3%2v>lKc>` zURvvqO2=w|u;U$qOK#Z-4|lZL{U1Dk#4O0LQ}eJ1K{11p_G#)o0Vwgw0e3K;t;&uMTfh%9M#vUYH?bg$-b4+G%JiM znsRo1^Y!L2f!jY=%A%)4$SwS~k@|CylOe6RT|e?;&Dk1ZX1LbJq(nd#=g;iuxM&vE zt}ovI`62AGoLtjYL;~z)qWi#8qV*2iHX)Bjj&$e zu5^c|TVGtAVJ>W$|2(kRjZ|RL2{S(|OYi@Ti~G)bPuTCr=gTLYad1R$hvdQiLwR-e zRiN)Unu4qnd$s0F<>kq<=(3`HWz)BLv^J}TnH$lAL|N_AdHE#<+P-B00X(~-6`;@d z%gJIj>t#Uy+|70(jXl2~be6NX$?UR()7?dh?kxY&q~;p%WN(hY0hjDAByf!BC;t`x zwy4QDs_!|DFlDvQ_WSlFK;6EO=@92&vjr6?fN2nKa#w`U?%A%p4x(hAU-~a+w@>g#;E?ejR1G$QkH*9>MC-6~^w#|F?a-sFrQrNjG{mXvt8|~0 zg#S-w2nKB*CqeQJtltW7nr&7wqhN(>A7W}{-$hkUg&gm81)~#>8#I_TGHY5}9IRKA zi^dWeUY_xYi`F0BX-ZC6{l>*UXKb>W8gdu;WEUxT$0~ju^Ckk35S_|;?%MiX_uZyp1uyTFsi*m#M`i^ey%*AvM&Lv6WoWP?{*~?UeH}yY(h~YHvUD3P%9E zP2#l~If3xXYKLXBnvNccX?x@8*J%&8pf4uL=OZj;JuQ-xque*!)GyQ!K5vVHQ~)y8 z)B8D%V%Ah!oAcA@Ec_=``8qP;t(DP1Kj!qXYa5ybx4*m{0}#ywXwC-$9-bESas_m| z24)ir-L4bR9^ZzgK5u#*k!yT>`R>jkw;*ih#Cha=`KNTar4y7$1w}AY8C@I)mYT z-amE1wWo-;ptY^B#wXa!^3I&s78>tDcEmO6QEG;H{hc7{OYFsWltV2zO!f+qvY>ZE zUwnTB2s8>E>5-2{O1ga-D(0|OFfzt=Yu%v`d$nRBr+JuZ{IJh)f$&;od_T&yY#%o# zX(Z%(ANrZAIjqyf$(r5z;V@YGJfkTz_OY?Y;KWf~hPQYLC0P~!_yq*RTqnK_e~J%g z_v@Y0hzj@C zVqQA_Llzvt7yU#9@Gt()#|(1#N~GDTI9Um|UMwmm%lyWMPW8uyte=xHSh7_Pyrkct z;T%b7Fk|$+{||ews)Q!9OAgkOiv`R^6QpHKweIT{O(hMe&d)72#}1b66!ZFxyixwX zN_x#&-2Hi1jY;Zt%wo`1Spl&aT&H+UO{R?fE|>s1C>qIjKEuH7@iglV4y&(2ech9J z&Tds`!ZP+o@=;7JZ-Zh}F2J`ODL%PwhaYy*F``usSMmPYb=6I$X+m+w()9sG;D`Sa zO>*E=c{CGIC{uOJZt)^%$|HG1@k@c5V0k*K|BuE4xxg93S7&(xXg!F1YnfBv>!9_0XpB}ex_$|^S7cjD2a2N=ETzz0V*xJ4KwGUI7WnUj%^WmNH zNEJk#fPCg~;V)}bQZQATa##o*xXdF-_2+)ui=nC__&X|`39dsl2n#e}8KBSi1`f&- z2mJuA%%Qlkud+%u6sWrEfY3f*P#&~51h`Uux|`pziZK@tk9bFOV)r8!F~>nuyzm&v z?58)GrFRAebsWriIx#xdYEcWvti(ZhZhG$)+cajSX+?*V=ue(^^&h+`zF*V2w4&ZFNEbqT6w=62`T3dY!0c2>LPR> z@WwuY>G7NyEUyGOKJq(slR5N?9zA}Nlx9k=SV>{8`p&;>?LAdhdFCI~oZei5-`^8= zq4}p6h}D{{Xc!=p`h_5rwUYj#>Xl)nKk=&u+;tej`=ocfv+Q=Vf`@t^`vQW9hf72P$waOyEjSz;#;fxPuKfun4Wx z38Itb#TzkM!rpa0}9=)R6dhPt)Kf6m+hn!K6zmQ^=&KehR^`qmg%Tj#`i)m-Nt zF;oM?hhfJx?-j>YQc!nj(dEzXtd}vy_b%xXKY$u242cf!=*$P8&I51XS9s^UX$xap z(96qqD>XmJS!M1dts3G7JOdaH^1uXZGztSfYtwXnX3Cnba~;2SOYt4vS#={z29fn# zSdV8LvPmvOiOagn;Xi=UI9Ba*ODU{L@hP!QQl0&a7^}n77EK6ItNxb7Ef2vW9@liz zq+O;aOu<)RrXsAdm>BJE9}54H1TrNf{MP?6Vq*eDw6@z}yJg-PbUJ<}oYP@0md>g& ze3N0!)yn3Xcy2_V{JNbwixNp&j%S*g@7CjK+paTV)c-luy7|u^OOUe2%%n=r?MU2I-X$Zl>|IH9p@LiZa&F~( zuN1DuVbzPd&zQlLeG_YQ49#Z}6Ubm1i}$@xW*CvTrF+}#^PpubkI!F=g1h? z9rz4QCp=N9(77UbZ}FX-{oYpa#43AO0bkYc&pbpBv1g_5No-6ZaF}|EvS-182#-0P zIInX&r-oRQDZjCJoWAo7j2LA!UUGwtICLH_iK&m)g`zDxW&1}J-^xi*TD|qwg&V0Y z8KwU4E@c&&8`+ZLn4NX1Z-5(g6lJB}Oy>GWArQM#Q?SiV{%+wOl^uwmHK-n=H<5Pc5GiJFOB%QsDsWu0w6@%V>B; zYZ7lqZaK_jwJJYzgZV`;eZ)J#uQ)@DRu4QMLhaZFX&a=5!#(bNn+)}M>)qb>F9-hV zba-J9x_%z+5uGvTXL+%1o(!y!|V5bEr;DpbsZtYkaScrORzCgyvxct2bOT1lj zKZLQLG0#z_y>t~-e=ej=qEMMF3?9Ph{rda4nww_p53QS{ANT4*unG=gVCTzFTYj z*zyzW16D%7JQQOnbu!A6z)(cS`t6?>rAJ-h@>|Qhykq)D3JV|%23HhBtQ_B?(s>T&lXRLyHfDx=v%|^LC9H z#SUrN#e%cL)QT%!AuaNV_tOr8zDYq_$LrY3raC`Q#dmz!o!si%TE}|;o)c_3-WPvT z|H$5}w82RSeiS!J$kDEZJm``(u$7VIIa81(yD7n^UTBUJ0!wh+?j;xojx!y zd_|pxo|Ha~QE$Oc;zl+eq%zD&c9D@Ecvl2J-1f(j&?;)ZPk8Iy{?d1^S5=nj=Gv_S zP8lMcALvK5)&n>v#+T+P1Zm{SxDeEusvlYWB0Ubx;r%ae2c-r1_ZCh0{rkW2L$`9I zYuI#{wWbP~qI8 zx_PZFBSAlk{S`XTt7q}aO+Bss0?2Q-3|?qRzZq(wv%#*)Wrse?^sv@%2lVULc zN8@a%t-n&Q81ODO%6t<~7}#@XyfNBsy?-h;sxd;FNEmS@f{^^*z=X3ETJFi$o}?6t zxCaHYUhFR)%xH(Yo2CyAo>FqJb{&8CdrJ5aLOBuBAsdn?rG$s3bs3uCrwp~%$%RLw z9m}K(ZhSB5G+#f)>r9Vf0+#UOZ|CfCQI;v_M63*4iye4)LUzf{L}9LoE&{%9WZR5E(M z)qBxN&maJJ;_T;k?ZUbaa<1n1jmELM09hkT74C_6QXO$Ff?cMD2o}Vi;fxh*)cB!U>-;#!u)VC&39WhU`C1053@7PW9r=9VJj>oB(+UYr=z2yLs z^y*@(M?L95OwQ`-!R#^|v9Uk+v)q7naeJPy_#pqE838IVBJeLn)^tnFklY34#px}N}uC&oS}sQqpST0X!}pxk5;!)e_`8~j$g zzF!fH_h8HUsDitie-pkiQjj236|aZw3OH;MNZ>0Cc$|_E2korAwTKcpihP~Ox{oe8 zmT~+GdXNQCtGV&C8n&PtAHSTbJdTy1B(T4KGQ3NA=q^QX)LiQg@<}_I2CvE*It*9H zd_4Jz?kav*tG?#fx4?MJdA78O>EE~FPMZ>6`=UrofZB5bdpUQ(lIM*V?%z|ST$0;3 z1L}IWuf3-04Z@xVr=TB&&{oN;Y08C%*U);D{0!Vj?|nc;ok}PoAaI2I(FXZ_{6(E6 zr~gU~@J9;un||M1V6!L1s2g)p5KgFteC=W}VYFIhEAQ>`ZzTFo4*j3WcW>@|ePS@H zb4}gg2QLeUVx1rGnd5t}(g%CYV+BOXe8#4^9O_ZPK}RCkZofP4=HagI7`)g;@9?x* zO#2~T?_bFRo!Z(OL z>j+%J?(SE!zOt*nUzkll@kjVi)VP>O!a;4rvyHn;b~M~$~Nqlnj^on8igw@{^r`jYOC-l}gHHd!MG@pb{P z1S=0%8ooI_UGQV2veqrnsW2ICF^xS=ngNnENPPDAuojv3s(;CWFNJ`&J~qh>kZ(G= z|K00|{Y3;42vh(D?uP28U7?e&jAD;=jyD}jGE3jktHyu?cvTvp5uZ-B-kF_UVhlG^SPQ!h& zmC)yqRq3t)o%QR&P2y=V6@(%H@ce0;FVdI9m&*u8e9B7`IYJ}eRN{4-x^|Hm4pOxzoUBWccl4xSnewGb{@H)vW_i#| zBY|FKl|Y}KshtUjeKJzuLOF?pJDBz1RJPUapBootN_gUh$-y$u(XTdg`34WJyy2At z2jIWNB8fCSFPyhT?IWcwuu(C8!D~A$A>!vEFF;kv%#3$Gn7dWStU157Bw4nA1>N)c zC^uubNgc&wp?95U1Fp!wIf%>77@12J_Dv^+WzY}$F6u)~39|~pp4yrv(v70;o%(?Z zF3OW8%yV(@K#bMP;;0=YK^q+zuIURwhOB%^{Cn`wDu#Q$B*r~Aw`BZQd%b*Mx5=A4!YIIl@y<}IDKCib zWs@^o*}%$3-rv(vfZ*WOBYpJQ;G;oe5NEvm7it=Gt|!Zrrh6b?(|g9REpvP;Pi!e^ z|12^SIr~{b?fYI?;c!ngIt9oz|Mm6duvyel?f+rvy5r$`-Y#pE)pzyIS}i)!JF62l zi0C~;)I?`>qW4}yL_{Y_gtdAvAxeZ*qD1uGU*F&7{eR}(nP;9obDne0%o1%CZJkaTEX`=%oPE|J(#NToa zGR>?VVoa_Y|07*RrigEZOr4B)%J+#%GN( z@Q-r%vR!}c!~c3#&(#yX22aFnfW?czZ(9TPYYt7#Bj&u97+M`aB%lcjED<5OhuB1! z;oXAVey(psr@c^(BEdwaU%Er4mf>vV_?{9z22@|Zw@jxT;Nai=mJbmPm7=MX#rm^g zfM*-uxbkJR`Doi~FuoaQ)>H+ltsqRtVzVcv5N+%guQ(Ms1MV5LdY5rgU7Oe4qdIH< zRVS#dWZEy{w1QGE1p6*y6+2j|AL@)Xc(P^4>M%gZGGvJnnuSp2dQvK4|Bk6$DvF!%fjW>B|5a}Nsea*krR3Xq#yg!46G%6j2bnOk7f zPaLZIetF^|!n85qiH)Khji_HNaD*b|A;P3T)DNm#!Uo%rsj_4krlG!T@#vi2&gMe(qMEwCjeajNR36Dn9of_C8y zOpgA+?dwnE-Whs_VKwI`30NUG%)yYuIef>o1z?J;xA^k9t9iay3tzBE<}P*dgPXj~M}f?T=Gi;KVbQb2v$lQR4Ju{$$RPGGsu$ z+9|Q-MY0M-!&{3;EM7XGZK7Q;22QMq2j^on@e|7Mw<3Z%?4T4y^6P)Qkn&mtZ;M`h zXJ<~yv}l#aqI%_naf>1}EBj4!$PL_wo7x;Zr({xADyOcZ#h-DFqE8}qFKOr4JD_Pa zxb_Ql*Y|{bs#pYZqcQj;2!6R{uZ(zcefZatZVyppQBuPsJ#vL3BY~F>!{O%#Pb!V- zD#M}bt9#Uq$ctP>cFnZ9O~JgaW$Dd`KhIn~Dd+d9KbBt-wPy{JP)*bUmjgf3o^2r0 zZMtAo44C@mNPPNXiPMSuqMW^%6z0{IN4(x`d)i^DmJs05^G#Q?nH}lET>lbBL=@Rx z=D_xqH-6|QsL<@>&L*nKGX7X7gvcU^>*4IKaGrVxBSd4B5$S;+>CHG4S_`=Bm3`2s zI^VP`VVH5h+)@*3J5Uw6@ux{|yi*gBxS6UzTGAOFmdSj=8@QTGGMYG*3O$k2^%)Db z1d6j&XXKtuPGcq}JY958KWkljs>fqh=l+i!et-+p@#nQq?;>gMNNTsIr!gf4&L$)j#6kQZG-?r`aXKEewvXmZ+-F>bejERgtNT^m}CDg zj+?gj!=*hoQpzyPUfRs=25@L@xS17XM{o;5GL25zHy@Ra+$Zm`4@P!wzW#d1V>f7# z6vr2+$Qh`(X!H8{U_S)~wa^RHmd8{%mCZp3z4?;ww7uoFyO_6x@Z_JQNqf4%L#JFB zI8|av(&pe-A-iv6hkd0-PJG+PzQp(V+PnHiJtNlyjts_1S#bb!(df}6J3CTyqfkNv zPP6+Voqt_ocEOZ;_Wh~{c(-(uZ9_l$sH6ZPdjx?tvl}Kn!P^N42mDp zsI|cikCdPxr+2Koba=_0^c#@duUXf}KU!{yRkS`rKc83Nh9i5|;H80^u6GE0S;9B! z7Og-sR1_AXRKS-mAk|5}qquh&fAQmRO(Ehq(I9wnbO!fNhRwZGkfW0wuMV>=~y)d{*RtSso`$HEMOa`b0LCthGZl#yF{ zca*}jcIBgu7fURi(jGfJu|tmr2L0pZI3UceUCZA+^9AAv^0zM$`RawNihHJ9fWEV~ zr~j$1DjunxjGW&b*x#(rVD8aO4sBcTZX*?vE2{76orlytXwtL}c5vPV69>LRG68?j zF$;Tr-SL&(HV8MZ{1c%P%$3)}gUfhVO1=I~bk29#MDO$~nfZCQZxBD)EHd>i!&Kyh zK!NWcvL^NY0n7AaT}{Yi9%lB?dVL*ds#RCB1ryqJOQiYDC=&cNXg-j zq{O20m5QsC>-~&2w7~75x+H1s-}-SIk$4_tiFVZ=%kYgcdl8v8+{HcQ{4`a zZSz570eN4_4$lC!M?=6gGvD_SmzL4zY{K5adwMi`-oLoVc77MPwH9(~_>p8tk6}Xl zA!WI+<733d#Wa|STsIM`Q%)^pSey?pF(jgS>+t(?@nb3KxGOB6q^M65J^eq_G zEt4=l;f}uTvdl_R@b<$KYT`26FO7Sxs&p|cdX+ULO}`-JKwR7k=KpVMa$|xarv~63EEfqXKXc zD_Lo;ZdiZZC)-9>Y6SY!PKJ(dyAGKaBhCDtz|5n$#0mOx_^;6ar?M; z0`J%`Ywaa&drA(te#k>C&T@(7BKdAG4GImR#<4x+m=ETk#a3;q<`i%(w>%@%QXHRM z7BuA+n6T=I$vm&n8~dsu?~@&*b~J%qgq^SRl|t4lyCz&p(ifrZ$T=X-12_W zE(8`1VT|T=lM| zU>aRhpGe;mA;fOMP?Db4bvYWG6Wu{xz@s z8dJ~q!2i^88U}ygyX;ro$d9H9Kh9V-0<4frF8VaA;E=@4_Gvo2xpw6)U)j94g;t$o zOY&mbzA07w&AnkIm+4HSTb-zjc)yk#37!i=yoYsRV+RpG z@#_sxf>uA`@ovEA%k-zf2lDM29euVW|G>}-tYH3(H{3bPBY(B za0N1TR;kW+jYHWcf`_Rk`2~0<`2R?}uin(dzffoo4UOP<3{XhY%IwgW2((LRq-X~{ zq$b%^3zjczta!fWW1y9itaGyjq&wys^{UUhD4k-RiAs`(1jdoL*Hf^S^*8IEu-97m zJ^MnTzWrg*z%?Rivh&;nHZ+QyaU&%qQtk+3zsb#FR)2Q3Os4)3aQ_#d34+`UI8hAm z62k2d{bh0V@aISwwIlDyP%F`FNAsSsyB^}UxZBGAHJ36wnj5>D+>H?zWQ=u7tCJxD zwXT&+06t47`+oQH^cs1|oSs#f}{S2ZCupeVYf0sFUy7<=@o@uiD0YAv*~+CvM$GWax{? zjpKs`K!u8m!nw$h5B3TjT*EMStP4=^Ue}rNmnHlu=VV=ONa&> zfjUV(B>Zz(oCSw<5T)`|y1%HUx^)aK`u>+ny!JXW?e3?_bH8gMooRofMQM~laX5dd zc)q)m1lVGoKK5Nk)vFyY9l*)EjEyjPloSig+$$G1<@c~!0MkmHN8zUj@Fgu9qz3mk ziMhZ;1r|u|oj9)MOAgzhe^ogX1zlxDZp?w-AiUGC1{x-N5Fur$gm@jd;xNZxsi7Xu zQngEJ^ujDgsFz6bN1`O>qbt?P67!zhp@(mo+=7jOmF)F1g8Ms`w5tPbcGZsVW#KZO zl#x)8GlXVnJ*+YFpqVp>22!Fnim6lu2ijK;z8!O;+N7?v*>@_5)@q* z_sJIxz7MRUq(<6%s_MN_Bv=pHy(C+PJst41F&*aBVVb~DIsDrUPjn9k$E<0kU(pH4 z;BFJKzQ=yA+U8vPD8VI3$@v8$lHZo|qgt!M@3#pZ!r0ElMYR{L2fld^KJ->Yv&CL! z8sW*mFVpCWFOO(ioxG{h{9&19Rp3VcPp-V##Eu9v?0i>!ykB6{)vN>ixj(%4M2kR46(Tn2@EQxgA596*m461?J=_?IS{v3?h}|x`(M8`P7#qdA=)n zICcXgOw9oK=Kp(K+s|`El&KlUB~|gM`>=Z8Q5M9K9@FfaWoFH6Qtw+RU7V93-l40( zVniGz7UlzapkK6VQ#LmF1w>2!Q=bHKK`OG2fhFH(_2CPHku8Fw^O9=yp5Dc%GxD<3 zA}*Jo7tg!oN)4=6Ce-Q#au0BH)n&p(E7577fo_1omCplZi3r4=pr~S+9SyC{iSM zBJzP24{9uBs(6kD(Exv}^N&*%Pi6&E3~@7iu|%-cd8Mu%FaG>j6dFfa!5zdbQBPfd zM}Ujp_>na6Q6H1W{uQ$AT|a-^8iTbXH>6Qvu|D@o=fcmB#~tr5 zsOPjIQ;uUQa3tWZD48tYB~|GDMrGgEXv%ykL5?WlG_24ekIZW`Li!Q48?4~SEJ8{| z`sOYc#sdu`^`$uOjTE}l{l1uewHNuvm>rcf)H&QTra6f7GE{2oSyPeK@O%@A0Dm;B zhXs3pFYd|~V=M2B3FF?FzjEFgXMVDf(`4+IIr;}c7AG~%d`J%+ovAkN77=3}OY%Z* zo8ZN_isvSxksJ921;lSOuLMnD2T6KK4?v{U6r*0i?sPJ|rXAZkRSPJ&Rdta?;J_0o zD;!Xy2&?VV(QB00!P2}+720}6qEM71gIiLE40lH@0)M;rUg1vzDx7UXK!Y}V3H z!S_AN&3aD7;cGH|D=nI&ya`0r>0Y))fM6x}dCT%U1i@A4NNh$fo-bmas>p`>2K%yY zabI2M&`_rg# zpG^qPt#rm;&`N2&p%iA45xFFER;5^2S3Ha^&Lov`lX_L*UX`a!JAwbNc*6l`=MBYw zNgRdjro!^*PuRm-<=MrN?@0-gK~5O+G9K~5<6Jafe`7to{-7=1P>Ut$Eaq+t=EcEy zR>-&!0w?J7st(jsRlWt`A!^}?BcQTgfM7R`9xSuW3!N7MUtl(5;44XF3)_#4p%!2&Z2tDUCWnVCu) zTj+;_7l3F}QqO9)P%=v#zlk@iB0|&`&1MQAv3Gb>boZFvGqS+an5GddJ_)sA_C3#G zF>YQ*ybcX>>bx<<5R%d5byir4ig95J(LLa7E!@v_DBvWu1x2~{Hf9tx8=dIQ8s zpWdyL+59KB35H#iAF-Koh%g~&9r2oqM@b{r-4y(~$>Um~t8~Z$w&-;#^x)Q~#0yi1 zmPz=-u&g5{^nc!dTDo8Lzd<9w0 zS2~1{qy6!jMvD8J$sCyKhlk90cZtbv1FYejcWMJU$Zy4g9bKCg!)B|hy{+FcsJN6- zNz9U+Idc0JLQC;*zUTBM7pvuTKO{?&S_HQ(Q3K)^ zuE}YJc}eLs_U&yL|6vb?C7DHyjJ5~KZQiUhr$H8xOvo!YqjrjhS%1;p2%*}V*@I^< z5kfa%(&n1kCLgH&bx?Dj5UIuTtX{I++S5n-F%kSpK^UMc5fe8o{T_Uie)??x$j}q` zzIkvV_ZR&NkeZ9}Je5Qw*N}l?H^|QKP|ziCw?5?PT_HF-US0MVbGB>n1?9h?b%+n$|B0Ql$TCx`AvJ=WvR`7LP?pi6 z5mJ38fi}QBtW>xHguF@hGD3d(MxQ+iNbkEB#yq(Q%aMLB*(m(hvAbqAGKJT~?_d%xE+N)&~y^W`!_!flLqYwk2r4 zc1L3t25IcMVGiVdU$O}q53c-%RI3;x`vr8Tmne)sxtvbAWP_fwq9zXAQ|#WNYpdLc zGCqURfwsW5;TH8&Zlo(izQ_Irb)xpbbA)=;@y+Y9H|_4C%HL)>CO2I;ux?pRC>+PJ zQX>sj{qk}IrjBUli*{9;>G|5-KSmt+y7V*QngqYyuV z_%r%#>j9e;k|mCWkd&*}PItvNdjwB1(=5Ye4lr&7kan;)C*J#*w+?-Bi|cWt{22G| zkqY7Vu7m2;Y+htgdWqd{l-bP{>F_KPmLaQh9vwQo0WnNDt<^FcNk)=IC5+mg!7C04 zi0Z=$B6`TRxQ;-8>F^i=ZCf)R?5Nc5l$!h#fV>!5^`&%rFh?gE9`e&81jss*^(kEh z`gvS-UN5eD1$TP0d%{y4(UvtyN9sk5LEk4=rQc-|b|Skl?u8I@DtjCl64YMVxYIeA zCO5B^h$MI-_3%pErH{{>ijiAC->JL|Kj94y((m(2Nh3qNGNOplMb_rYvgTbLx#+G3 z+%*)AQoh8nA!k?T#painG6Q|{)6X8fB}UU@I%uz=j>wQMv}p}+yaDSm>qOn)NE7jU z_HyQiJ3RdKfOc}e^e!CwCi+}Yr4lptM){4bYB7y^JTj7HK;kd1Tbz}F2%!g#2-bq8 z&06FE@ctZ;##o{r_Moj@4I`~rkx?y?Po$p$W_1C!E*%XMSy^R*a5}wljJbHJ>8WY08^-T}wJ3hgbG!7%!lU;Z$tUJy7pvq`sJE z&?bRZA@swE4VT&NTOoAAn`{{ORlDd)ybon2?!7Ztr@J)Ry?}%>s75*4QZW(f(?Kak zM!p;~W<#ZIjc;j&L zRKKGf>(iLPC^DvjV1EH$Vk0e?aUZnN4+`jK+wMOd+Xqd{w^0tPG# zgZ`?bDq2`Es}@*8?+Ad6=-IKVj)N?<9V--9`D3OJFl&{6zh)zM2E)1FRGR|9b72Pr z!*oE!Hlp+*tUxDcw)zt}t1TZ)T+BC|++lz}JuG7BUvM^rdH*_#yS9lp1+mg^{wqYT zZcmYUJ6#kO|7Zb8Ze%on5qqb2FM5$F=Gxb&+GB81`sS?35tHnXC-O+HhB$hDJFY5R zE7E|x8)ly{QC2jOFfDeaER>fPeThX13Zz13acZanLJrbdU*=cP*J=y0jkokJ{*DUc ziU4a$w^Cew{9vfN4_lz7f16HTrx8qdC^qcgQT1%VbmH+|P(={uz^sDbT|5kRL_9fl zw5T3;v&%Wx&^u0pk2->&-BKPUN*7E^DJ&}f!<{4Z$IvK+@UDI5PPYtoJTsSmt^#Xg zBzG`km?J)h6irOUXAwT4c?7t@FKV4s*XpB*TmsvwFNc8KsELmFIM!0Em-iL6H+9be zYc325SDp4_S5if5V)-n@!*s#{W!@s{5$mqS$P_0AH8pkKr~};mMqOICgS6t+J0#VR zZZLNGtAytU43Wp?NI2aEja_CitI@R>+LJ@;(@n_M(P9eKLHf(YKh6-xjsst6mp=bS z%$h}T3^ctc45sHEi4x!C zE1jR6K|!o`XM_XM-6`)feZjQ1-!Zd&O3S4^)MGaA7q3i^{OSYX`UhM@2+^elV&v>S z(l0uZ*5fw)OgMCf@UoOf-69I+hCoem*-zb>TGb?X2U=9a>U9<(Hf4~3nB;g8(+1!i z8chEE$?0*vvgEH_#_hrE@7SgjE!V$Q13n{uHTnF;yy zdrERj+&`=0qB+sl!Oh>Dcq3*%8zaVvXW#Z%qv(Z;T!m*AV^ ze^9@JrW;4yxiXk+wnB&UVshAo(*>oJ{D{4;u`KecJcv{a%X`xHf}^Bc^M{igHGfgww)w z=w!D3h@m}6VPVbP!6f^F>aiU0p3gH(!|0?N&k^f9zJ$)kBOW%6Vt(OV|CnpVMCtQW z)OPHa6lOhq&TCrd=UQ9Pn`}y%!g?B|3J5lex(PM_SBsE)ac0 z*bhoBKRp?fS>^5w8l~+=k72H+Nt0F5VkNyRu1F8{nWOJ!N40R~uFSOW!(_kxGE{?a zsTw20#9sg}amzeOv+m;PuTORp|e6VU z;cS&E2*fTWvw8U%aQsGP<;Kdzw92VRORBrEd0plCOZ)H#RzU&fa9O<><9m)Cx<8n^ z52F?O#k^~nX*hiBEnK>knS#BE>nhAHok&43gH zcP_R&;YhRKB~{PH@a@c$K7ql6AUdWzhw`tppD)VY)m7!G`O7@8htx{fd_-Rt<4KkI zL}*!(;eL-xJM2mZ3KDxhusYS$E*Slyp=UGZ^?MYJ)&fsdP-#AQ92{pg-*ZEqvo{CoW=d?Yi7@8AjbHS61dJ|Iw zLyQ~4Jl#_@XEGH|qcmND@zFDnfmd#9KC0S{IN~-2nKEftPsEsAakU4Fbe#ugS`qhG zsXor_isuMl{A=eH4}gHGS|cwqC;Wv!A4wO!`OBg_yeQOgn=VNeSr=A;p)|UNk9YFN?rK8Inz%TUylS z99o1rOP&Nppu`TZpQc^Y?eU*#JPV{(uT#it!WM(h8f5Bey5DUHERXz4ZWQV8&S@&K zbVE^+rd6Gbd(Yqgt)K^49N>o5jWl|31gv%19xR^V^j2%whYkZCT6mC4^+V1frk-QU z7t2`um^ZygBHGAbbD4#{Z`jOa*?DBeq%HjGXDFNgEb;N%FE&#mDwxfWj7+k>x-Zhb zs=^9@3I#03?Y)BWQx@9hyIycy8;sP6d_tKKL0@}}X9=WrhnGjqkztAUk!Zw>*b2k( z-1-HgEbZ{BsA9!ktW+ihfx>>OM89-C!me~ol(M&6b-*WtwxwcjcsB34N&5%S*}&GE z!L|LTU~7taJgLNs>Nq@&DQuqGy#lfSN46tlB*;M!7G)M(Jur|x!Ak^vsZhqB#`h^y=5vBPj^@*=+~5qE(I z;MWxCk=^k2P@y`cDEWwg>nRvq!IFh0;I`0xLX>kCzzypMm!+@}CIze&_F|E{0o}p^ zS+kGBc)KDp3SOFfO(713;sdC)a|KXD^1F`*x**&dd5KtqY2FF_wlgd2xd-VdDh z*GeeK(>=U5B8y`F{A4xbO*4y@puzlk#EH1HnAv`w7?3IPZy1ZBgEU`k452eM*y!kC z;7clh{o8I*vL{fdjt;!-U^segv+D-1esC4) zc1Ar9oXKYy%*oH)riBv`VXhwO$Td&6lfNaRW$q;)5}fKU#UK(SwtQt3``o#JC08qx zTu2nYy$4k)&UqllT$H3`d)f=T+z=rkI$Eh3vR0%GYbzxHcavC7ktY8RDXSbLeJUSA zMGFN%p=}GltO3fsQC8y>1i1o0z2~u~rb;sZD0qc{xqeDH3W`eZ?_U+gAG3|DJ0?ZS2ocmqOI{v}lRV5N9@BWHH(Ob-zqh!bcbJebg;UQqy_L-g zsOK@qoXQD%AEQZ1^d`oFR15+Hg}wJYxvkpm2x@Py`sUEqb2pP~`Te>1*=eO$;p54Q z<4&?@9HJ!fsz+f=OIx0hYT{D5V9%I|)H%+}0)AFY9U!G4VFFz)Uc3;1r+gGO9E-lG zA7Ks~f@D>+=sAqCO227iRG?Eql&8NikpOS;yE(~6#J|^s4yVUptb4rV1N-&}$)M<3 z+hPwb&?i+};0{PDAvBvu55Rxi6hjs|DG7PHwGH`*L9B{A5Bb0E54ar+~diTP^AWK z(v(Ytfij%Lphz{{iK8JpnugHJ2DdtQFyF&($_GdGnT8{~o#W|7Cd zJmwaA>a5iJj!Ho(2QN9;B%RO=_O*x&YTBQGXR&J9KbbsE0MCW-f~~9GuS$bo*%`_l zuLp*4eBh#a-~mq+Myk~6%}l_4l43rk0gLH~J~gCQip5i#_G9VRQaO#;yV@@YFnVCG zuo*dNEb7Rq>bCpE6x(0Gvg=Gh`(e@iMM8mQd87kXb4?*4J?wC&{-JTJpSZsG11ubm-8B z4@LMxN9FaOQ^)`S-WI1g?u9WUOXHr=u?6<~L{+E`cC2(NGPDip8`v(@(9#2tF_&(3h=9c<@FC+$AOZ7v*$?{MC zH*z1F=#nE{f-%dkS7Hs{V%7l3`c+5j^T)C~ zGrA=70&DJbQfpnqQ^wGeUI=)UB#Pw>K0{2jXxDa{(vT}Jm(=xmq5Kx-G1fZ@_>y9s zun4ge{RdaI-jcQCy4rK1WcTvZgcV-1IdNpim#MCXSX^okb5GYztO$et_X@#Zw4e??b&P2i zgsb^^+5PmbR&d=7qEo-Bkr7U@dQpYVh^LfO6up?xI(|s#Q*0}0g)buhk3LY}=+w4d zU+-f1;N}~>7)JzZv<6n`y}L|&g|BnDJ~%X5UQM5L$Rai~NA!mU)zLlI zLS#L~LC#{0h}qi!(>)hyQ4hi2E!&c4KuF6}_l$3-zF>@UkCNETW{dI$Q=Q#uwK&mj!C1L!_?s+*{t-Qfj<&&{1t%m1^r=E zV6ecpGdL8YNkh3jn4jKXygJct?NRfrvvLgsd{t-V`lIXRKO%yDNu{!^q|X<>jkiK2;I1bK+%I-WaLXO4YU9#KH_2|`~tySw{) zIh8)0%FL8jJj0A1BN6{&%k+T+dpM}h{>R1F1CJzz;khxAqNz$ca@?5gF%tFbx5tim zn*HOqh`6{BBt2rvuUPBr*qdnt7Fm7VMlR9f{4GX*8q&j+Gi9>St`(jPwwA^EzU-z66`#chVU@1Hzt~C31*khr!6z1?cS%>(r1hSx3P8 zGe~z`CT)Lw%go=3X@AH}hLTs9HzNbFB=@p+xA z{D#ecn?D3HO&MUM|8h|^0_B?c8}HJZ?$U_~qXQ=n$+}M;ve&n}V@fEXD@=%y5vQL~ z6Z&7LC94~QEV#gfE&$pW^vnRa!>mOdHgh7VE-F*wxNAweI}A0y8SC%mr^!_XD!(dW zF!tW-dmkw0jAV5Ph-&g{ueY*H)%hXLSVC%yK{3E4oFO8zlO`ncqnifJ>o%Q(ua!Fb z16b8K!>%r6!3)u{kb?P6SRsH>ef^XSUWY13@q%VjlGD%%(F7ku}3n;SWcF2b~Q;LIBa4Sxrpt#2s%dqiK&?nDLJtu>V zI%!s(`AK~oh1vtV^yi%w+TJ>(efuyT*tLcMy3#ljF6f9JSHZxkwJX<+(`;`y^lD6| zldR&j(^#GqN>pCa--zDBjTzjEqyxRP7Uj1}Zniwe5h45D?VibZMwwsGLJsW^o4@)^ z4tc{Xyey>^=6x_qFo)MEwZ&;w$AKJH3sUO^T4ut5e;K=7u<^B$D-r|qsN!kdxa>tr zHi-7xoV?qhI|#82_-j`C=ne&9h))cgTU}eXJ154wlz0G)=LzI-B0n~xmV6Um8iv%= zwJ*^{`K0(_iOKY<$1wO-Tlr$)Qd|GBwYM$Sntr?qPU2qKto^w2TCWkn&)YQxO_w&Y+V9}tuH3ySt{P0^a`#4vt^?nz_CBI7F2FM)lNoP zZ`sfPIB^d3JIk$cH?@+8_ocWdBnFSL2A(lw4s+4i+VtBMpgeq^ z=q2c@9%V6DWw%a@N_VS=bo{SNme!#|M>)Ig6JDa&bLsX--)@1&#p;elf~YG<&CTou zwe7XFuggaFwP+Hb47;pkV!QRf)Oex&i32kMN4g{m*nxS`kA#5A|9En_wPzn?{v^ft zSor908KH$RV?YI(;9-7^1Si%+V16Q$=}2RZ93(YUdAkD&p9HunpG+`@Ljum8MNT6U+~MHu-Feqq@kFFc+!eXa52YVPB3QwdZs227T`7*tr$#LsJjJrYUUKl zQs_1H2jV28$Omz0>7*ySf0D&-*_<8nqANHJ@12`%D1`bKSwsIWfPJ?H1XJp;gR*u7jREfmSQ{zc19@J7rc5x$3SCfmgtgrQGoW9$~H4ZB0I}eE^;N$Mmzj_K)Zz z;n-vHgowY<`?GWkt9+9iP*98#sW8iNomxIr%@ASOkP$Gbq77C zC6k6NMa}T^m>hOM!o4Q1+{;&yVi?*gy~`cX;OOHgacNeucm+SZ{h*tabm1&E|AN%q zV19993u6@nm&cOOXUQ|ay-l(IV}YA%Pw{DN;n_B5NqDPcwpYbxOza+0=SRaL*s9X{ z%Q(}^&P2SXQmH5ZTLH%ITX|c_fKU_YqZ?aXr#Ej}8Rv=gee|_1#%?&+y8mWoo%3QK zvz7y}Ar!0oOS2QS9xI-BQ-V)=^pAf>sf6p591BV@7FflkAy^wo79~6xLsNH5e8!@m z8j^{sJnbZ2e{Wr=cZ}DJbk8<-UA%fkcv?vRvM=U3i1SRkReB}`1I&~uL9r~4g#-PZKH5fd_xAHzoo-%lZeM^#0Jp zNQX}V=Jk!Ho9FJ>pc}ji<9Ix3!Hu>0=^(@pt^x^`*qE}}WrSdg3PxpycKO?7_sU+x z7v{CbhFgDS+J5;R2#0DSOJswzFZ2_Zs)k>+7~%r>MHb{uR38RRSC^4s#r*L4n2xo3 zJsIo2oj?gXmhheNtDp6w7h_1T4DQ3ho07aPAf1|Q#*!*D>7w#VO#RXV*dGW zjNcT6q+PLjW|*v_&3ZQRz$hYWMQFln81HYN*yTetOpxKV)v}fSCdGfeV~ik6ZPanr z9~)eh{O3enFGlyBD1Wim5S?efwMz&RML1!wp%p3VHm~sHSZ9Mmh8ts?+JaEVy1+e35r@G6Mr+# z`0#}ea(h$pO;b0LLt25btX4yXRbZLW@OcVw@VFIj*W1-2)8=p2msXV@?}4AY_zK!I zKT%1y#g~yw0mt}54)`7$+FQO)BMq)P<1V#bw)X0|H1bz{;XQ@{emODbdOOuUPajUW z44j!DQUPN4cRb}`#wYZ3FT@*U6HuSV`EOP^FfW}tTczrJye7qPmLzxhB(tso{fAnY zc>G~~3wqiaI#BXj?EJa$C)b!($soGs$3Alo&AwH%w?TdRcz1jYe18WM)S2+ajehz< z4QE~uKUPfYrT$+#phCpe*q%h7lekONaYspt(5-}w+$8U}%0G^rn=p6|FNj`N_j1?9 z&o;u$PgLyF=WQb2urU_2lM%~WmZY9%DG^AHJdiP-fr?(O*3IkQK+6vMwI=)K8r-x!7Jj%J8Y?&nTeu+An804wlbT43y-Uk7Zbr zt%c)&p2abaG-muGUiK+_CUC_6jz4>}=s)6&<13SyF`^}hP2O32Na2_L%^Pwcp+oth zZ6_Qxza-ES(-akxvCp2R12hkaSNpW2k9DSmiqSm+)Wd;qNgY~Yti&Y+zeBh#HwQzw zVqTY%oZwlCX4dA>?Q=9UvI|l$dc1t$>7`7ot)jZF6$=47mxMQ-7@v3s*e@T}dM*xA z4R&$tUGcGFhP#%V{Kfw1+0h;URHyFglQdbv^~Y^xjD(Qr%zf+@<0*|GYgnO?;Ge0n zp&JbAQIf}s7Jlovv@3VRw|Z7I%N8aQcTqI?)y8C_7I*R1Dk?Jy`z%=Tv6>wxaqunl zpUye}|LmJ?>Sq;TrV%{Bi;b~mvekrd=cE6Va55NE$c|37z!`E5M<<%VOhFy;U_L!Y znHIfSN(6h$K4C($iHH_%9_znW_Ud0s^qhKGb7SD8`OarXKg2(%M>o#?$115&dOCi4 zT>$_$pvfcg$z~6Y^$a*WfOPr;AHfU1+bFI+cDj}lEuM1nst~E1Ic)o%SQ3(=yz@5= zq2T11ZqwJmHKTO|2Pj9h+Su9Gqp~X7Vm^d<3X?*nwLfj!AN8JEQxhj(=qJ&P=mY>@ zq+-^Lak9NQRm>0{L z|0ysE`+LDKt^9Rad#F4l_ zI{zQpG7hT~!e}<0ltSP_eJPu#ASkYfr8s>VAw^lX2YGMLifoEahI=@Yz#k2(uXgb8+ zOR=o@O{~`B*fz+!TS$E}$3v~yZitMUn9*^b*QK#{w1W_0Bq{jjMb|$AKd(r})2i?y zvcV+3urUVoD47uH^i@1?PAeZbfo)rE^hD8X%!-!6?YT3CEn%=RZNVTE9qW$drV9e||eXe^mCc(WIo0)$Ozcm$`z- zBjsQsPG3xR0OnG#y#3Dt zg0ed=u5}UQOdTBARIdxiruUuS(ZGCln3>F%UKT%og~Z(ip%J=|mI0^zLArla^jNnn z`f*HE)y%7BkLMp#5Mb&uVaE%Q2Fw@03>)LdyiEgtM2O`VtNoX%9o_yb0pS@mLULa{ zH?dKdH%U%?;gSE7*`Q#_csYaO2JxpFa0Hg^BQQWb_@7WPudSyIBa7hbE%H4L*NlXxgIRq2at!UXxqmk!=;Y6%i#U zDOQ(>ClxZ%o>(04Bno>tz-`jn>`4xXA>(iQcoNdI36dEDi*Fvs`)>*b45OB$vpLeY za5f`C(m@XrXpldL$A2iwbfrb3@}y%)*Zv`V0&L0bM-f)-0Nh? z2^}koU|hlgo@Swt*ITL2bW%;Q-v9J+n-o}3Zg(>j3Ejck?xW|V29N2Tym+}8`+pr> zcT^Kk7ga$KQBXiBf(TMn5EPIOQ4whZO0NkBh)M?uB_xq12+~3mDN!UKCG_5+p+oS-y{5JlViq5HY zt_Sm19*s*5oqx7V4}HoUxBdA<2j;tPKiu}mfgDw@RWUsMR!-h1qjLIcTO3ryfY~mr zKWXLZP28nR?3eWBq6Q|t-*|;pKMn7T;Vqk2xltZf^;YE9qfk`pYQSCIE6xJ^f~a`a z+r6K7dHHLfXA0lv6O_=q_2VgX_NfjpF#W_aK8BYozWV*;@5+_`&yK!Ocr%q*%Ok+B zvU8Q6WM6oki%XpMUi$43#8IC|@#6wyT9lrS+d`S)q4X?Q}*b*8q%9RA)87 zp*>vNGfn50%}+&vXCct*d}no(IM4kT+I)#RzdAinan1=J2tUH!T9yv~zZA*&;wdD| zniDanKwmuSf001fGWs^h!TS6&uu`W)*n!}B#$JKsW#6zmRWrnOy#C?uQ@ILxfzP6? z4kN}oTfQG8n3S0%UE>;%H7d!aV!Y=%U8{g9kFdY`5*dq&x?8=2!(yBQ(h2!i5vS&6 zvVXW=K6J+x70 zp4t}t9|K*on!6(}%so0a(6>TA=~}b*UE=$XpzGJC-WPc>0AU{HJS|c;`}jY++zRFN zyid6{Izn;m)?{`Fexvp%3UAW08X0m=eqfiIweDeS$c>dRFZlU~YQuJ)x+yE#?WbC= z>W*ecy|Ml>%{#nuvQG~~qk!i=KhvkNX)%$X2THji>IA+ee$T_&G}i9}Cknbk){Q**N_Y z3nuN4V;4=;`1gCdzcBGdLhN}x zE24jCL#)+$+a|^qtue}X&MCYvH7yCx&(s)ey73^>6%<|R8)rP(QpjQw&2wS5=+<85 z0RS6paR*b--RR46Tde6tA~=m7RNy=C5+GCS)nlZ1k5Eu=5$UVA_(S(wjQCeh4b6=9 z^?-064$`-T>n(*5y-qn9XK;ltPz=-int`qU$x0%V)X;jaoR&cS+iF z^s3Hg-<^}HKE;S{Xxy2CoV4^pWoq*16!!)D`1)+g?XF5(%nz#nz9Z? zh6K`i_zFt9Sq3%9e+A$JJ#!?#=5+BVK|6EM+*OmnGoNU~>6QasPh|L>yDX#Xhjr9rs1i4c7?bGS` zw>|#dVv$nun$SF#fJ|kUi{ zd|rU^Y#nNBlAM_l@tv8BEmxMnGq|-oLTq2h0?)b>k0s4MUPbOz=lw1%*kk!)EMaWT(!5yp4VlWqXQ2D3q3@O%KS)H>j2!g3 zh51ZlaPT(Cl1SLJw840H*zEAdH+z@k(6E0 zV7WmUS&E2v(d7U}lGPN4jqmYTszezUa76Gd&a(mqgF9Nh6g<0c=qULu3BQ zZn!NPeVjICCc9xUe$RG9iXtsKVR%O{wl5SpFWS-Ervyxyo|Sa z$Wj~)1`kVEdk11jh>2LmOUKqvvNQ))EodX)4T?hN$ZAiU1A$K(#yn@;1=H2+44}Ea zwr+;s44Q-qXLQV4t3$8-CeD>~D=hcgX8ZB4ECb zORq*~+&z1Y!??U0)2^}1a#nG__2 zSRosIn~FHC&L+D$YxPIOMC-9t4hNGg>c!>ouJqK*@l`n@<_XhRD0|mPz(t67x`zO9 zJYxO!jOF%A=t^z2ZaiN?epH|!^96(eqLLaEYJO=jl0Xr^{g8`U_$Z;x=1LdSb-}O{ z)caGExB2;%v;k@E^cF>vdpK?CwePERXzID(wZ&Gn(2%E{mP4B8a5a5?^R~Ic7oq+B zkoiRJH~fL88>H#Ni)3i;HnhRIlGv#zYH?6^Ssk7_o{-0RJ3MjN}6XFJ9i z=3V~#r6Mc|@xyV;BN{$)57AQS4OL?{PZB9KmoCn7?o*G1Xl~^j`-jKn8wVwq{kd%b z-}&!AKR(N(ozdj{oNc?^geqMXYaw=k=VpWS@cV*CHJIl*8E>(6+56+0@8d&2KWBNdM>)8EB!&kIOV+fTt&b{ zZ5G2|@eF#S6aHG(ZsV9K?P7udOjvZ3#zo6q7U##8Pd>4$Ev?WlVe;Yaowd!#!!eeG zVp8a3D6eI`1{zkYDp7)`WWjjmeeoSJVUpsm7(-7(iXT zK$#ANVCAWz%+$pcemuM+yx1jiv0GrE64ogaj~>|_KSAwqBH@G9%&f7&e~y;hqES|6 z88HHI_?c|wIe4$|UXCK8P_G|TGG>Agy!a~eU+c*lw3Hsl!UUg)n+{Kf&N*FsHggK` zQ2N0`;iN3<_TdgmpKQtN3 zxo2sqK3tSlI_*>$s4MojpyD4W**MEuG!Tm4?_{OKg)?V`=9vi%?8ZDPlzOo#b<`?~ zTo7l5YB~HphHV_1A{>%Mb}K8?t(KkX-k_Bvv0ZXY&*>Pto$UC*xDqV9{j9YM2lzmA z*vvUNbOh6sJ-L}+mpGV1&W4=ei>b@C$T_<9&scruR?<1*)dabt4_c~P}ZgKskqS!OuW>uu|8@ zHkCJL#mP;cVbMARchr8#8eBlC>g7=ou34oU4X1oSd(>Y773i=#Y(5-BK6ZEctrWY& zE0hcBG2Eg7Uv~EWI!!oM1&a@cCs?kd`GuU6xFU}n+fDrXKJeRFH|oMPcXf4v=WRzg0H zYpd4Poz2XpS?4En7NVrk3xTR)fdIYZ6ap=EY1Y)%XtF3~rwiXNz63Sd88ho@%))Wq zSkOO$vIJrc*J||<{zC`463DEahVYoxb@c4L6v;BEAtoH%(@M`%zzCN1BLYr$x zjAa-0z6?LYinqi0rXXEp0f;;%yW>bU=2L-}fN|@4k;09;qLxK(jZ>T)x)%cdj+eKx zXVHTh!@zQUy33R~`~j_=1kX+s$5hY0lQujb$PTo9z#^J}@qN#=#ZJBtOt%q5CT8>f7b|vWeFTIA98E5ZR zxQ_H6`F~#J2h_bwq0N<T06$x4wyGN_KC~i7^X)a~#qbR8Hl&Zw_0W&@Rbl1Ysd(&~lDPqdkko z+DQLn^k@)yswZwJh`IkE_NAsG9|xl(F0UcW3CzMF(`pV0V(BK@nl?G)`m9c3&zHWb$|26pnu1xop(7h?x`4j^#@HfiytW#4=)%|4Q|bcz1`d=) z*&Lis7lZhD4Dk43aa{YGUzcviyr^j1zgJXkC?wjvGFn5FM9radQDIR; zz*=1OM0$zG``Vs=T}tfKtFsf;2I#0=7ZS@%60Ahg+ktaaUn&mHVl#jKbm2Q4A_gHP ztvmiWy1ka)UGS#WhO;HS5|)K<*-K3&G}lfo&$cHSQ^!2!F#p;c&vGEk{98GRSA|at zqV0wiQIDI>fy}Xi7b%ElmadOPZoHxcN!h9qMW;nA3EU-U%LS%uY-hc(FQN8Lvp&(KY=wU|)!z)acZ}z9*#l+f>=lD8c<}A939zMTgFaXfVSWD8W^E zxnl7*j7xmR=t{AZrCtkHu6|wq)KkDl?a-0yMkVX*$?j>@L;cw1p2X?T|MF`>t6kP! zU_x4fD?yb^HWsf)Y+^Fq{!u>77jd{rttB zWP=n*O`RpH(-u z&ou&S_gp~M3|rz+ZpQ4mE45aV)QW}L3?3JTZ)Bpvgn?zd6hylkY{MxY*XV8WC?I70 z?R8AvyPi3Y^NP-$LAbz3ueD2Rs|PyBh{@Wq;x=;SV)92q-5Zj|yWPeHZQ4F7qMIr1zW)g~0b?*CrKC zuw+y?d6>{W^>g(t6NHGkS+NK03pmnF7e|H`XtSejXX^|zKAd_OC}zP4c7DyD3PK5;7e-HwlH zb`jX0du%C{U~f?OXuG7D>M*;v!-eaUDROtRZlu7{yme`@oGtAyRoEO-_0ticZMrB# z@c8OaTsM(ccz@=gd=KqZ+F_t$(9yO;kzHOqUg*|SBEJH;;cKM+Hc9O`?zfl-z@b>+ z96&1$%E)snC4W+CdCAd|i?1)lTWl+^g0RH=qgxS`wFrHssh#gmq)kM-qLbzIUl~nJ za2bOj!DLw0Pp47}UU$vzMbfI@OW2B$w&7>}wJS;obS|a)8p(uNE$|$uAzkPztiJhB zZa0^>tG}z>zdFHVGrjxg@_$IlVGyOP`c0SW`Bsz3jiRHB-)(9*V3g<5oN!Xwnp`8E zHr^cgGwfoGP>u0$f1C;b$t}&bNig^~`h5M3)h|s=fR+rhV!arnQO{>ngwQO0EXH6@ ztajZo;m6c!(?hs+Dt4pyrS&k)P9z5__|pE3q9Y?#S867rd8N~Yx>}pJ-;%POxh6<% z^&aC0Y2cKZ4Ag?`{SeIBuue*wrk+{rRJR}Vb4nx7cAX-tJ$DF7sK0aAZNmF^*=@iU z6NQNGrTD2B86zUc7tjR5ijhL7Ugayz+`@2)>H~PLAxFQve&V@~>HnHw;YxBJ*(`r| zuwMWwo^67Ia)W3>4G|I&wm9Gz$C&TR=mXWc??L<5^YW`*JMYBOiyQ2h#WF{2tgQh+ zw1O2+l)bxM*{(4^QYP4BB8#(RM7lx!d7Zz}{EAt>N)k22lgG-IsZ2ta4y!4kAk9uB z=TqHN_aAzGSk%}U(@XrmcoOF>FPC>dfZxCh$I!L3_m?w04{l{jRlJY-=Gxh_o35<2LA-s9?`iNJeKA+Hgmx4o_bkJ=p@F{gpvitH-6~! zb`a>c)dV3%uW9SL(etMwm=Txh)Lc;wcOsL@@mKv(BZk9Xr6icXQ-v5cf%$)+LtGF@n+ z5T~ZA{@vvyH6#3&U!fA4x%Ez3zV5Xd_p~yUH@VQ%b9dBwy9r3#VgI&fu|N^&WK}3l zUd1G+Z8A;MQSxyCq_6vg=5xEl1>T~nOH>+6GnE77-A21Nc5fCE)AC{=;^1>rQ_2o@ zqRNHZMRS?l?q>quZ+U3cGj(GJkaeRoVe&&0XWudVMThf|Cxi_7@b@ulslSx#oHFIO zqMq8jZ%!5@a_n6PtC{Te$SA~TfN(;==RKc;c>y1%XTV!?MfiA*NntG)n!R4>2qXI& zm@lnSv{8R?r_HqAPtv_~G&n-0vQ!SD-!!oo`Pcjp8|2b{mhhwKqz6VzV59P)?OJ4@tV^j8%ina2UZFK=-kF(`w{d4Tx9_MvL{N$qp z<$2#bfsQ|fOIEY7XTwX+IjZik;w&SAin}|x#@;ps{E~9=pEls%2EKC`bA(>Ob&Bm2K-YpqIZ2+T*HmU9XQ*TG zr~3z&%zkiLTX(@Hj%nZG6pR-piF!8=^oa-K0=fj`T~))^1~$p#0YQnq6a$jl#C`(Bpy2aDH`=xUgpQ>%v@!ID*GZm^m8TJe5uxEMu&1+QL*pug)t2!(wYMga@ zPNsg6?M5x(xDHU4RaVn~qe64FXWsw}W6dXtbRL8ZGwg03(UQU!{RfCD-e@GVZ)!luh z9tQ5359E5omt*AP#vY`mLNM$eytjn(z-cSqW223C>Cdp=E%L6vJG;M;#_InXdudO` zT|?&!^)B5Sn{vF4H&*XAePfS4YF&9bm!3cSJ@Arh9eeu<6p_s@;oH^(2=I(`-OP}% z{lqgpJ_$t*(bKEbXi9irQ{S*fi*|=dQ-**;r`O@5LJl#3eIIumG^92U3eg1+pv5b( z7J2)T1T$4{JpDZ`!}?&51H<3?UFeuzIn{pdi7qByf6U#v)zk1_=WfTaXg_Qj=|=lteR81>A15ro+6{Q9_o-_N$-3 z=_k9Xw6rp_(|$QHIdrj41qWcagdBcVQ_D7YvmGOvt!b~pRXa!Hv7U&Y{J4MGjawaa zNeZkeSeRqUUjkik+QS!k^ci;G=KxX|Dk<~!SOI2csf%*ZVEVlKmJrcE6eXEr4{XXz znPVxZJ)=|ag7kfki2D>KU!5Uu9e^3jeT|F6NK>H|t}&GFAOAD^J9a817O^hVcvsLr z%{moaC3fhjJi}=lkJ6Zg80BqP5H=33jjgPX%}L9QWuwM~z?nlIvSvEvRm=Rk<}A*) z`nqKFm&;XNO+4*kS>&GOvG*hBnn)bvqRHY=N2wkBBJPKQZk#XWM@G~2v`PhB8`#LW zu~B>`LCtFmTN&2;%&Us3=AcpV=9sUaPch_x7xt45T@S~@b?X+hn#?gC3_aMdn{4;` z^j`6+Xsg_&G!tWb*@Hj)W7_|Uca6XDQ<9=i`$O_+QJ9=Qf{r6GUzaRZ-5c#7_1cHQ zTCV=6{lcs|*q5dnyJ69i)1&)4!CClA>TcLMnezwjk5wD?eE>P5P66u1P!^`S$wf;%<|_g)j3Hb#02aVy zxI1cJd>yB2DUIPlMk>kVBr`TL$xgzHrHIaiwVKx4F}=oqZRLhuFZ%{Q7&dvchq4|| zeSU}!#JVRxPa3b8CUQ*IeqPIOu-{Al3OliJUA#Tqo|t;#?>wz3$0P}>X`)8Xuuom{ z)Dqx2&3-|Hp@s10a3B&mWz0R-zA(J+IghiQ5aa>{E0>8%;mRfW;nj_GI_vnl%hxvf z?6h29eRIzNLu@9)go>bH1@UggG_8JcbrWZv3gFR)g`4qW#P^Do&;A3+kskX0{7v1Fv#IUtISVw7uLn z7C%(i^eu%e;XCB(1A20pLO2r_`y_WQxa#KelarB3OtlT(rsuD}noMGzTJZm4#X{83 z!Ntkc_~bMV9b@a3D3LhH$&lu%462#-?~y#2>y>F697&OAG0322zG$P93~gWVZ!z2k zzCR^Tbr~~*u$x!#lqe5xI;ME_xNN;bCwraPkXL3wn3vr!O5@u!{HH;2s4}5Aj76I#0v#mQ24b)V+4^*7GYu8zcSAR6vv7Der))e=VO7>`7=~*54=xX#?DrQY*f#HQDXmZ>?zVU{N5Xm$3p)jt66i{9xe-Jy`49;qCAX>>j_bFT@c7XR_-$4ud7;bCx=U}bR%qR@lzr?Y3~z{9iVL$v1wXynl5eL=(r)a6QIxwc{bCz+J`1d(*3p9jDosCQ8aS#0(wI^Dhg9*D^qAqDCt18zR}R&(}ID zPtC0foecB+yN2c}%Q7=*2xLFZzhEzI^H9lxcghL*Xlm+vN_?nzIFnhwZxr3n)M75S zjntSVWq1##@8hD214(oG6EZA0PG>Y7t2j5WBk#>1|IcIngFh`)$_93Ug(pznv#;F;6gl1_1Tx^6U}q#9@>+UwC*mDazqrsHC8WZKU?uWVRRUcJRzlQ zS2r|mjk|CCp5TrP0ot_WUP?LyMlI*~I#M}@V+-3{t$vkA zf4fF!qaHO!EM`l|>NU;W9fjLkdZ7jFfNwI6l8mPoZf8Yo0ddNvi5uti^y?=1?wBkr zf7gwxJO3!9Luc+xLspJLU>`2XfMQ@GN_ui^m#2E(KM;FqEwTy2xso2;;frHOz(M%QM;-Z)`0QOiSK4j{i9;2s!ejE<&+evtJnFt$x!)fi?TjE}O0CO`QG5 zc>|-^NhlV!`Zvy?nImI?u@FUv)_1F->Vy2;b^Qn|DO`eW`TRUvzFJ!z;;Z?EIH7fMk%OENJBL z_od$MA0~{{jw>Yakc7WsT`G^Bi}11O?%HHDNU!cc4a)C2jv5zGBWGjlFYr5N9jg!7 zA@qFtc#`kXvBI+{#M>f|2{u${G8^=IUHTf^$Dj;0aNfvx&on*d;L=g?0aPuv3?=ZO zra^V!$R%CFCYBxnM_C)&G{9!Y6{?ctTQc?AeWBsTYnW`ZJfMrX+;Rc(a5L%FclQ(F z=;A2AvJP%g2gc8h8fklHAJ0dr>9Viq9~!P`YkLiel^r-Te7vyLL@+hhHA0S?!$1j# zymjE|ZP*S%iRUW3JH7MNO4(%~~6_&W8*S zU5{x`cg8x|D^J9CY?iAeWqgWl)83)aqUylL+Ey|iylt|CHrml^a{F-<6TWz4v$MML ze*}0a;(K4gp9fBR#8iM2UB;;>aJu4FTEPLioQbg!|D(ok85_x+IF3}brVqq#gClb6 z;K?hi!%1qDKdNgbJ!SsZ0qsnqpNT>0jcQXwc%Mj?DjOoVAtEig;YruztmY%V?#3L1 z$e0GbH^Pj8-UkJ9#IJ_YwpXg(9!I+<&!l~O{nPGhCq&0YiCh_Y$ts)^eyHCIy)*W3 z?xnyk(?hN|)C|OAr`E#-mM>6l<$!m3`bQyWT^@HPUO&;)mL}6uybfvHaSEPd*W+Hw zyA^>j@NGzI%9OK4nX6fun80|dg<+Q_V5wYO4R|FG4HM{nQukyJq8zweD6%qs8gQ+n zq`p9K=)^#$*Y71yEd#^Veb1?i8nq81(?QRHtlHBGAN6*A5kLh(khbx=g@0cl@^`YE z5HNs`Lh{mgp>ZJ+_gIOG-a#*+<<>a5tC9wV!T(9&7J^3Wq}TktTwRhau#?A%VjNk) zx9$4XG#h9U3*H6L;P`(`O0wUmPwy64z=yRPR~2!C?pP@ zqZGd=qkvpxL?V*P4|cznTK2oQ+@;((pwy440@k9@n)@Q-ED+dccQVJMchzYdDYubx z+LTGE1oFl7$TE0>q1zd^5ngYGu&W58?!dn|375)~=5%@Y-X1tjJirO7ez(OU~PHg+ntJD-;dqrS>2Z(3yi$gTqB5e^)-wg`v+-5=L3`W0;LISIi zMdnmz4qh1{=HbHVEXWmtf(r-%1LymzAW;jAS`QqSze)u+I!F|NV=M-p`uXhJ7v(vyl zD>m=as@YgkwC=cf!`Z?iTrE}Eo&Jv*Vz?i()nELpYoi>0zGj3P3FSr!qWofLYzNPN zU)I~WMm3ot;Emk+^pTI>X8u5P13!+@Xrl4dxtb32RXC46U@RPD_Ox@Tp}l48*(X&m zG5Y3BdR&6kCMiU6+httB{``b~&l6jgzf*sHU;OXFjoNGU&O--iCAjl&5qN%Ad4dxz zB237tV{#dPN!imFmzAgo>mLiZvRq$nc}@x7IP})*8 zUY&6FNZmXqt_&Y0(URdEiQhhawa((jsG5C0pCmi0?aCGNFZon``UkH5`5iK#?< z`G_nq7<(cqh#fr9LMa;`%mJ#EiT*|oiUwU=vVHep{i-9bj&`xo~xm&1r(~+l64hAFObhxR(8?Kj^Vbi(QXaj~j8c;nYgvz^|^5ow4FK$4E zQV(#_tiiOvl5mxxI{D^`@!7`-7qqr-hen1D+~9_6+n+5^yc7K`iah_Aw|X1f2(=klVyj76_9kA&Pj4i0UDm}$cVa&lCrGEt`RR#a~O z*TBC58|kuJqZ~QUu*R{U!o!oU$EBnOWB;aJ8FH5A<_WTnW7Uw>Qv1Gm)%>)v=F6(J z$vaia@gkoYS_Mw0IUIj0d&)g~%Q9)#9apvIcIHtwag=l+lVyj8b20UOcps`)P@DHF z_p=dZ(B@=o;bW`x#DM#`ZvF_LOSirTp>s~H4EG`5+!6X_TN;&j>Vj&dwI-PR-ldzC zkF(u>yH{}zwDLc0RHiK6u(s8B6>1}_AB&njVE{uB7bebj5XO@v21BJb*Dnc%yZ@N4 z-hYM&LoqRz3wM6z0u7a$ocnAOE#Rm5>ppWh{)2kO$M>}fHq{cvJeduWAw}lCj7q%Gm6X-DiyF z=i;&+M|DBDosNST7l#%-IK_lRx2{o7m6)HIOYc?dDA+v3sn1NWH^+x1m=T4Emwo%dmp(kUeHUh+V>Pd=@k)@UfWkIMM?+Wg3Zv1g*L u$ANC2D(&$kH|iJWX9jd>#ES<7y-hKKS~rE4N`E>_cT#flU6%Pjp8f}pP^CEl literal 47300 zcmeEt^-~*8&^MHRp@jlfC{8J^1&S0)p}4zCad)=_ij`u;izT?bQ>?hVOGDA1#gYIC zN!~v1`&Ya(&)nSY4|lgayR&!q*`3>GczAd4YE?|)6^AJw<@@^*B0W8@d)eaFks zDC6tsV#6pPD8Tqmn2%q8Pv9NDAft}0m!G4xEu*HTwKF3>qmDA8fvpvzoQZXSljMii3}=?@cJ{0D^W-cSMGYF<|S1@u8LSmUPH za(FvJzcJrEdc|-6j9jZLpZ;ts+5;Z34Tuaj1%YEIm#ADf$RDb|;wd`6@jKmbvEB&I zZM5DsSuCyO)tH&?XxnAV*7J>a54mn96p^q1d>;X4Tuu&jJ?Tjt>Hff1xJ5~Ad)54D zYkj?^?Or^=g0(DWgZ~D~KsYlnJ{{-7Rp=NXKLTmwO1c zdg01fZ)BObx6WxmY~Y;KywmmSJ$jv219l<-(G`0+$MZ$+2ucPN7sc#bo|#-a>ACy3 zXxujn+j=-}OamDmng`0va@9u#XC(-;%{yCBy95b zh`7CD3s1yCksF19#+|DF7yHS)RH+lTk)){GnFw=ijDdE-fhB23Aagy(Rb3Jz76dgFf&itmvvjf%Pg)psX zNjF)C@#NBcTU&sa^_X6_p!_h~8#hCcW$^v|u_qTu+kDuXD=ke>kaG~m=3bP?Yb>G&KvA98gUB9A6*4snO;^sITIu6Sd^QC5Hn z-(u-di&G-WR!Br-GhCm()n4jUBlsk)c#apeJJRs&Zpxuva^zE$JDUYuq*u*xvYrhv zQS*>;Kdvn=i!+qm zwa34&-b1{Rx-QsWkebZIhWI(hhg$3*u9AB)li;rbV93l$==KUEL>dUhI^E4q+&BR+ zusX`1Du(^-p*MaFFYgvc{LO(^yNEbp_YYfTy;r+YHg`4@U@l ztPHU91=()E-!n@j{Ql~4l0>c5_6^U3tpr~qOa$)+ew!AZ*Mt#IH1Cl_oSom!LGG1< zZ|@S@=qj_}C0(IZVekT z*JGT^MbHr_T4Q&?F6)^J{5wEb^)`RQ%dvW@{toY~#pc z8H}1^)n9L*^lj}&-eEsC$LiR)k2^%2VNlXkpliTJYbu`_;rQ|8S`Xk_Y5w|zB(2RU zH0~A~6p2cd>$0MZJ385?tMuK}G6$G9c5gRA*ROxDxVyK`?B?)HT%ZLRm;ns9?)1!C zsov%y3q4SYWO?0M7(DJE7;i+|2GH6tZxZT|1k3G3&qJ@vLV!Sd^ev)-=`J``gPqUO z_$aOmlK|5m0Lji(;EHmlNH!p%Jv$N4$T% zavqvIlcZ2Qa@RQLo(WEZbzww7f4L;DL`+hm5e5-jP``r+mkFy&=d1Y)U{7itTPOf` zS|hpI)4Lm$1cP49Y$Tzy{TCot2oXrzTgYXRgcRV1#Q#_Zq9CUB!`?*Ej+6>(KE92-?0_Bdfakt%b#~AfG$vFCRYyHp1qF?SEaZ_zKyJp~?GW%;lXLK1B zd-rnrI*@oF3vq`iXXCsAAR1al9)Wfp zVZ~DtmQgTnzv=Fe-z=-&)-ZddW{m0gZ&&;blUV#EA5U^E2Ou_hc=K*vprrldfVKHo zbev}{tImmw4;MK9$BvhNN68P`oD3t&qmoRszYyVqnfnNA0w)Z>{rR|Nj;HzefIFm-_$U05Kn@ z{KD+rb^|6R#ytNys18*D3-jT;hH5}-svWwW1)0NNgEC~^DZ*Wr3v5WeB3Ss zI&F-uo*|y14V6B5OV-dAKD_Rb zvPwCA=a~LTkFPCyk(0!KhD>~xG(;+7y~M-V!Hs}B+PZx zYb4kOvukt@yND_XD*Fq?QrRcI=!Jf#4=IWwvUk|-6I78Ilwg*`dbQbSKQ9~tit6D_M8gUcUhM)wUL8(q^`tK+(vmmZ!xu3+^p zXT5HnwJH5ZPjIy@wPMZaqij(^mU>e-0@qtGDQZd65}<_to>%fpaY8MZSuLQS)yt*u zGLCUglU7gx8yNiu`_21Ls`TErmA(9VZ=lo|vFq3D6}&y*Nq=V z$E^G4xb4n9D6gPo48~)BV#_H{l?bU5;UisEuNHnXXnXnd+H}@#`^`Ap_XAks29R^g zl^SE4K|jy+d&~1D!*=^w=_d5F?C+>Ws(7qR`-HmBV8U5WL%( z>?K|N}6Dj)hd%%r^K!D|mW(3ZT`&!>cV z#oZ`9x+rqZVDG;sJ_ygJ(H1G}ohVV$&dvq#X+Bkd+bu7HQV~hUrY!G80>~$DgILZR zqiKhFk~--SFpMQHRJp=Jmt~1g%X)0XHD}bGv}Vde@W*fZS(HF0MzwC^dfu=rchN;8 zu7nW4kuz_W{+st(&4IUH@Ed!PN6-H1cP8+~hK)T_9EK`9J*B`Sk8xrl7+cY(^JA!5 zc_NGcb=mf)I;2X}@9AFWa@iaX7^L-7PVsRa5+4x_ZTJR9*B~8)SpRW7ud8M1?&V8VP%by9d+-Oh z7_PP|V~>q?gU!>*?->%Ko*Nb&zvsVftatB}{+_CR-h3_stzx|}X*e*t76>^*qr^v9 zXwkw8_mjzwB77%VMx*e>E=6;ToW<@;t+L#=HWg(>6-%@DiRXq(xp7_(L5!_iIzkO= z)Zu%C_&M#4tXzA}xuP3mZ#SP>R%)j}xMq1Bo~ip-(^JdlB&Y1J==i8Il@+2$(xjoK z%fL4^3}ELv<0zAj$(a*5SFGxsX4mfkbtmL*V3mxk;gw%e4D+(ooZ*gQ*z+BV90Q+C z>ZL*kpBY9TT}-p&>2s0~-kX8%juBsE)c6*L&R>;ytr*vRU;>OyFusa6O*(E#nubo) z3TqsuoA0LG7q2+W#+uKRo3k~~p4fNKpLFD!OCWl78VYs)8l#=VpLc_GyA!p$9USw8 z5nFRQad@^EcQLaDCqDJ-Aeb+`Xg5r!`xr*`{K#lA4otp z0BE*;X1)mEQS(^eJ2?k49UOQ>o8!9TQR)0d10GZ!4hEx^lysWwC%p`f9OK1p8*moC zPPJXjREofpLyAD>Df9gr4cRlY!|ul8ycrXbN@!Wzs0kWb$pH6Jt<&(88a#QB_G`*_ zcI;r;xgGdt_w}~YriWe}(1YZ0;U2nAXq_Cq2>j(6xfMYV4vG&Yl@!f4YHt`yzw_;G ztm2*WXEbN!I${GY1gzD|LGMR!n%%4~2T3r&QO7NQB;9Ve43#S{S+GB45sh8?!l0gZ zgP?Ae)B;shh@@GpIjq7wr}bjRBDloBedJAp%ylc`R?r_~uTSmz-e~L6{1QAT9OdKOolN zrJfe^>$F3J_|i!k4_vIlAjsDZ3h0upv(~M9YjWtT`;UcM-XAojG{JdYhYDOw1|cSk zIL7zSAMSX>(r`B&&-2%$i$peK`}PjG9?{R>)3XTe>KWyQvMLLCRj)TE?)onA0)fGz zE>n4VFUE%5Iu`_-&;LJ_{oA3IRMbmN}zao&Apjj`lrVHLw9X~%OfSbCYB zaPkc?gFm#u2dx~!(z_Ib4wq^;(P z-yV2koLi3tXl>+|3nMQVb#6w!t*7YpoU2eSBrf|6{$TE&Q#y?f$w18+2TR^J9O*XK zHG&;<2FG+bcWtz(YGH7MQBvq{lnfe^;Q9>?7kf4`0yZQ!s_oMlY)ol;x-Dn=XvUjq zay<7EZ$@0mV_e6{*KM&HAL|LEg=_gEWRu*NcRSsWS+Wzjdr9t*AOO zl9XfSOV=Z=$U7mvTC`qBYos23%x0K#il69<5nuzqF!bjg*3UmaUFc;?Ti~4ND%6{) zx`PtFoK$Ru3c#3nAIlPE+|GDkd1c>kq6OZGwM>k8A9$uCz6JYr=Bo1T8V)I)X0*|p z1i4{X%c&_XGGR9Do0;BLHChebefJ+M^(#f`7?SH17t+F&rf~7ueW#n}V`DdOEZCab z3!kWR7matf2>i%HhrVJP`wGmkOS=j#a_|tpL364XChW^W5){LAF;E>IvD&KzU~)!$ z=Gv{T7drIWzC`u61^H)GGye(8MQZW8`I)!W?L?X+|G9L+XWRXZ<|NZM7*aZZGH`FR zh=G>toh7IPU94zgy}ID(@|Up?jZ^TeqP;FLZL+C^G)XaSZDQ?rjscsMx=KvTQ%Pxo zynb%ES1`i59rzY|N7umW>l_zX)E)krD?U2Izwbl$(A7?Ar=%!Xv!WK{GXW#9Y$ z-*1;3smo^(3+=?oH@0BsxxjR0N_nc~dAl=Y8xwD&p6BzE1BLl6Dcgo0u+{8T_|IXN zbXZ22u@5vmRn~!@O?&br4Iomhmpk~0f*-0e+~*_cM0f-9GaXX8FBUnQUt%h9Yutdc zAIy+Kvvsg2=Xp<=@)4}va#1|k#60FcP+Uxjd(YZk+v7RlPH3U)pWWoC>0svbYq zKbE9nP7ZRR5D3L|&>0xHPkm*+r?#G9rxq!)^8mSx=;cPnFhJyC`dFfj)cr@}eiQaD zIy3tZXLc=Ac~6|WTX;7p(mC_$k{UQ}0tbK2_U=nW_#N_;-B}TsM7@qgqED0$KK!_g zAGq|H#RUf?)ex<=|2(pL8`AV%lan_3KOaNtB;-@3n8;yk2c2A2pkX}toTz+T`^+Sl=wsR{eazTikOGQO z&B5;RazU|F^1|{4r-~xP%Mg3>mN&TpJe(+hY ze{0`s-Vqk(v!Ozb^}kF@+!4UdL%ze`dk|#&sR>63F0oEhP7YBzlKsU`OxQmyskiN_ zyZ!F-tP28WKCDlc8gcr*?5BOBq?lJ|gPuyCUVk5`IoH@jU$z#TSVTOxH zH`ATcg6H_ZrM)Jq9_Y@^$C96vPf@)Hgm~tj`0@9dPa`#Xy9%vW;bPIxq%spNt3le< z2a5I;QO^Xci(Hxsv)>`xHF$=sd7`ah>1a-s-}HfhoSlUx`!0_1B)3_Cb&B;z6c#VOBCxkOwf~Kx$QBjSiOiQ{v->d1YXj zMz%3;F`qBlb6!1&&hz@?VO|OpA(7?xWf=M>w0_a$1*_jin+KKmK+og>&tBi^Pd)2I zOj?uHbG9>{S*-5qGw}uwVSYm%SAHexw?awZdy_hFm1RiXJ4G95SB-9l@Up`M3(5fH z-5TjFcG;oQaUB7!(rA6Kf~uB^@)bimT(PFOl;o4Plfu)>dJ<>n^KlcDgu|8D;HIwn z0&nw*-&oq$hfX##v?ky;uKiktOMy`Jih120mY6#pAI0axdyUE%_q0bTnpHHWsyo3{ zzYU5KZ_{2kMSEn$DVe4Yi?ufWxG!)rrbsn$2!(2sTW$V$(VJ{M^COq0>w|DI-)_=% z34y8Vdb!$KMoh^_|NPsr*HPf0Pl93_4Y;T7(x!L~;QKSN%!`<9NASjt$=9apD|^W; zo&ZvK=Mu0QwmK|E?`#@95ctIk{GQLNPV~#HmC62?`(UdI*MCl^#?;95Le6Tv=c!d6 zQ@ILa6Xs3uvZz3|zs?`r3)m30#tb)@K1Ss*?wU*{eJPp#hzWKY>(K12zfiS%NOyK* zX^>D%uNi(-Ohv*oy!GzU_>Lq#jA)3o%$ZqJY759E`}m_J(NoKUkj#!#IcmI^K)s$2 z^jQn0w;9uI!7@e_rbfD^M1D2c1NcyCE^*$MG&f+)aKt8l*B!!06GqL;os6?y4KQ2W zSU_ZkP2{Nquky*fJDnROK=SiX6>#3SkSkIdJ}HVuCPjs|G&uN&p!XaHO zSCR4~9tlACUztDYMaV=o0YNe5a)R_R9sGUPV+yc`vLoZ=5WVh^!}b|DBFB8A)djhj zdFGDDt_BiV$KeISoda{3Jwk;s1U_Ft3c1P9x-H4WnkX!EE zHkbcgr}dw^8aPj$kdXDWBqRA=`R{)urk}Xl{+P4ucxk%8zfYDx)}@o%+Uexq7s8u4Rv_F#UU< zelSgyhmpjH`?Ll{&zFTP5OW*-=ek5;X>7`7up9ytt9qt)2Dw~x>ON-&gN)m0-) zfP5c&U&;Oz^(Gv9*EyXb&8L-ZlYalmoAOlVB^i68hqGHxg^Rlk z`g$hM%Brw;xf--}+hgQ`j;?DTIbm=s9!W*J4vs;#$)U;9}~ zQ!1GY{25X0tenu5TJZXBN7iN-v-G_9j?G4T_mZzgw{2WOBeyaOF7QW7%3QqmNFdx+ zz+sC1={~w*e&)u9MmkgbZgPIH@3_6p^el~2B`N-4PmN7{sul}n>gH#?e{lPGYrGRz zQ=f+20;p1rQm=aztsbt%7b%(SVZDcW1k31F({3Wdh-eD0i4xxyD$8`-5ZVW2yz(&q z#d}&gr?))Q@Z|-G>jUiu9(+8a)BY)A$T@7qk={hPXt%C@@O`$zO7xKKghy^|?>1c^!N_ckB+lp`^TU(Bu_nO{5?;fxX z>MX{p|5WmxX&sF`C51EM1_V^dfp)I)gxS6XFEhM5DB8_)}yiJx7QBUt?Dt+`7)PntUU#M#Se%rCDfi#&0a z-FEhg0wvUBw4+SvBHoZyUVcW2aw;uc3 zkAqL&&rZwpIz?1^5G=kWmOK>NmJ~Lgzyj!b5^xDrLcfVakF2I{tT($--q%2~STek+ zMi%TPn}+F}9$&RpPl!RSWd(?ZH~wI9OLT#d2C`Y-$*z)f8Fa(O9XpND3CY!0?`(Z?a%klzp`iEBtxaq6+f3B$B6b0 zB05@p_v|qfm5>xRc7DE#0ENYc7YFRu4=o9Pvqi@6zGt!jg7$Vg5_(EwH->&gKVd!# z4_vHE6a(uxAt3~o+Tf%CjT)Mh#ChkTCWLWL=wC{=GqVqq(+`KpslW$^* zBBo;B z4m2ya-l0tk6`(7;r@dgPzIxUZB`*e zdh5j9B%H_tLDIC19g5BRo5h}z%~BnK`y}=r`o#y?kt6T^V~xFJL6jX!NJ6$faROar zXX;B1JFoudEw z_%-FG)!ZzKE^Sh3>nlQ&e+Ed`LG%8i*B%0Q?NSVcgfa6Y&c@7i}q(lpNj^CcOVT(wMP#9prK#*}Csxw)%qeC#ET&5Oa5s;Q@QAgeHO|51N zztO=R95EE2a4ILhZ2g~A8o2$RvRjqck9B_^Rv0)qp4!J}_A`1fxxkcN?GEd{d!ZOt z_D{^QT2S0F8(#)u$wbAW)V(C(FdUXu*nEfk+n(;!6fPxfmhsqru|w2)!GvIuAkryd zWO&8ejshx=8KR~MbiDxa|6Id9OXx;6ODk|M+R-f8fhpFX)Xqj1Z7$cA-D$ZgbT_u^ zwuJAELEf#{(9mD=@SOH$>7fn(c;duN2s%#CF2Z#2U3PH%5ov-qT;m4z=6#Oa-6y@=j=^( z(%|n!YT*d(M#57nu&*^xivqZ5G_U}Wt=Xi6Q26~E8A#YC0mTzlHAw381CKbC!F*3&RFYj!X)X$f>+nZ5fOb{1cxWO;eZ(I%W zQ-JyhQP&lPtD9yg*Vf|=PBm@5kr-OZOM717H7+HbU^>L8=PTA}dP6GvVoT+LEOBZ@ zTL)94W1`f_CFGIT!o7v+#j@OIOc^HwY(nKDj>VQf>rZEY1J>azCzlVxEBvX6xx)gM zvr=(ydp!k_R?6rKeb@aMFJ?#=h@8s#9fC41!3|JcuR+pg0g6X;e-5|K4zGJzl5rF~ zhq$gttfex}BoRxOh^}a7hP?b@< z1f%24z}4E}%PIM5_N>BW;upE~(ZTBTV1qIiT>kQ}Y-#S(vrZ@XJyYXrpY~5J961^r z#$z6um&@iK1#}Dzj{px)GfWRgX(YLNCghx5cI|#mettQ8-PTeY;=VUdtvT=+FES6ahohhPWloAFi-CT8fAX5`D5hTnN|QygSn;RfVjg<|#nzAA{JsX1 z<(uRD73NEX2d+CC;GaqGt%Dy_Lu>c0FsD?rW%A22-KE9W)T#R7CFAIMJ$C{l?VVe{ zHYtlfZ}j=Mk<3X+$ciY~>z>ijH(@j;y70$m0qe6N5!o9$5(a~2a=ixFTVUq{-}gmNHW)M zF+ep3o6m=zdS3m<5v-6iPX}WbQo4{#Je1~p>}lj~lnkp;PWNX>lz1AQ`~pTr?wAXG zKz<(G`BYMr;V6jN)vqZCf-E-E@Pv*quBgTS@b+J#7cnF1>h*dbffJ_0Z%)U&ql!(D z8>GnL%u&LA0XDpwCi8|CLE}3Fe>=EC3%ikX;^IF(mF_dIK#TXeqlSXFjwgq|Xmz{R zj8!ZD@@}lwNL+$9t^WgejHLp*r(_9&Sfc6kK32Y+5F<+aT=GK+u?A6bv)yVU)hWVe zku#MXoRa;!Nqy)+ymiZ}M8{k?7AFjqyZ$@8S`I1tqTmSl57sc;5hG5j>t% zI{ntWh)(&fXNYSOQvIPegfv%z()dTQt=TFvOQ6!-dNN`(6R$6NBF zDIez$uTI*Qq306(fB5lD3jZ7>us^Q*4?47jHmZNH+kZ7_b{yLnAKLbvV`}w@e@0iC zuz>~!8hD&}@v!^jy~wjv^!6rr?M+sy50AZ6Kq}Y@EU_7=+`aOh&E5IUmN?6m>*KrE z*CXX2sq-(qa){qNlaxNYTk^1zpx>&Ya^(Q$d+7PRJ|=Wlb)I=Lb1W8@`u?n2_`##7 zV;<|DE(kmd!ka$juo<2v5vp#5ebMTYj;vB4p07=%inL^!5Ck6K7suc~L}4;(-sW$& zUo3AJ09HHM6lucxRPG?Be?K!6G~q4R)!e&M%o7-b0k-w0{ZNzltr#W5#0?aoClzn$ z*mpf#!Ny>q0j>m>-itUQKj3-x)=b~1dtC9wp&(9>e3$#P8YB%7@4p~W$SxS}_Eql7 zzK49@$NkHbBC;!~*!cN-Y-%7)9_zGBhJi}MjmZ=32tWmkhlUi7c)$2E{Y~5h&VENH z4m&nn+9~;Bsu}gQ z))K~we|}tM%LRkDztw)G^jqAS=k1-Z5hm&B^k_T9eWN+~)AqC`zLPsy)=f%;z2r(` zhrN-SKq#t5WaxtH-+fm1_@r%=**)`?Ve3C)Myk^_OB6^x96uiR+EQgX$&sHtuq{%m zR44q5eNCm6xXrD+w(2Po<~jXw;;oP^usmzz^JX(+1|;Xs&J{gG#)7`2HXP-xlpQF z5el?m`*TU?h0NbQ{n!$ZNK~5(^0IY#fm9AdgptT-#NGgvSaBcr23{H8D#9$&K%xR2 znku~-6lA`an94XvLIH_>ZGlG}U{4SyI@0Osm0(gWJQ2=x5aAR6;0X~uFq z44%IXJNJ={9F>cV7ST0<9yRLmYmzZOuW12jb1Ur?-94MqSEzh_O4?S&qeLuc1~ zLfRt|8imw|Ac796O)&9pAlNa!dM5J_B1BpOT&-t;E;LFm=(^vyOHiaG^<2#`RWkBX zafI2e?#6;ik7PB~iT@&s$-N1a`q5@=V`SZ;@IHoMF?`Gb%5cG}zw+i@)Z9WI#G zb(}W4^uxl0MVCJB+Mm~?K_+=e_)lVsa(ro7cyd;~$!)LJ#JTR+Zomah(^gt2J-sLK$@Av=(<^& zXvY#GE3dH|+J{#Esz`YH&YyJ?sLYPLJeX=HCvxs>MOIs{{g<(a-m`ZO7W%4drBZ+1 zb|d$pZV`1a_?_>V{R0IVtMVM36a3yIA89fyBw#M$`FP~HHhg2AdL>sQXNys(T^s#7 zF*|DYyr%|}f?a-1X5AbLt+ROZc6Y4ovqnz?Cb*u$QHOB;7w@FSy!qw4_~tMPZ^b#KNh&<=TdnELE^GgtRZL9F|fb_Zi*a%DQ z;f{!ChCE}_yV@;Z1NDQ7^YXH*v%vj`r5caSpf6jd#*?X24(0 z)0^^n8Ber!db)DCxaAtk__u{+?w|U>@|2`i?GEiqX!;)Fr~7Yl>1JC+BUI^aAFz{~ zi&5Zw?&ezyT2LK8VMQ;0ChFLg#%v9|Pk#t_TJ?O=YyORfzvsgK`x2JEclX*XQ%RI; zzqFf*pa=MAZZs><9WvaRu(6Zqaj0p69ttVD$Tli7`Xk)OaQe%udXj7B44%%)hCr8| zEk<#|R$Py4p%*Io!>GX@+H59gIk0Asgwl4bj^lMJr z#htk`SRMac(l|rL-G2Qd}%k&RY7k2O}C)wp0q5`(a6*by)kJ zA_AlcdUN*AJG&2K?dn!4Qz({)pC&e$(agyuEjJt_EdT=DVWU@(1Cn9 z9_2iE`<}t^I&PpCr=Xu-PsE)VBoCO0{WPO~5QL}eIGZFIFvCYNUMDuv%H~Mkwc26H za2D>;mbpAo`iFa8cd;qa-jv*e{KMREV86K!)sBm6FBg~Q!GrWy%rV2)?vBl-MOBJ- z4p}Ni`{}}kQ4ilxek1&}5d5A*#_OPp&aBz6=Zo!*9(&ClWeaPK?Q-$(O_hjCZkb3% z1RhfFYnj!T;R(b-AY zaLO2=Impk;G;eN~e<=^S-;eP`HD?@6rP>@U^X7VWi-Z6_F|V&&iMul2LsF&AS2d-I zl0w^C<^E>r@6(XkxI;!F)!QG)G`_nD`QtT1O|9mgxd+bjnj3WJQVJ$HswqCBoY(v6 z85W3bf_YJHoENQp9$~E9M!LO!oa6jHbBfI6RT=k&Lzbdz-(3L>(=Er@uu=lqnO1nvCzGUCOpHtrA zzogefiK>@+pdh7)dIe*oE@q7$OI-e^{QJ5+{$eT{O;-V8*?h=GkK4xCni7BXF}wE& z56@ir+0R3&a^fVewtr=PzY&!?|K(xct*bsyxsM27sjiwcrf@~d>h-kMR?T&F2E}m^ zi<8XQh>r??I6k^0Z4uGx1mpuvlEwQ1@z`^V4i5WbZ%UVT!4BMa4p8bfE0tzkQFbya z?>}b}VXQNF-QH?!HOxQcfyY3+m~g%1+q^S~j7d66uHJmkWz?gcXjfCT;4h1o4BwqV6aw_9qHT{d{#+lwhar-kI{(%H~+xMIf^H$u3cB_c+VZuQ+2|X_h%}O1X4+IdH6CA9b#CpiH8(s1i1*1Ey&XvRG5(PoMMwtn%wH2# zlv{F{YwHwiQBg9|S`VLQk=&Osq?X?7{&B)_IMrwKTj^*+#ALWYrU+2TT?{s!lKYwT zvfG{tIt!jpb*|HTA;lT{Fh|b3{EGj1v)bN_YE%I;?U}%1%}>>X!#x7w=b(|4OpsJM z$Tl4!IkbLlewr!9*J&6`j1hbyIreu5_$5eI@w1f(7;6-1k^lG6s=*Y4R*2+aV)8ex zm{lm#nu8^P@r0o`CO+&cK5lPL4E20^j%-H7^QCHB(x8a1>P7aClGQ&?Cz18Lsv=H} zoYZK=KnotzgKOU zp?VIrkLbXzDh{}!^LZkz^~nB2e7CPx5nJRNZ6<7&7K(q(la6~rif10KDH4ajK)&Ko z?g$i{>lv9ZlFX7su(uj8^pK+BLnhXI2oNkh8C0?>^2=OP-{*OmB0x$GKxZ``P^gs_v_3q>y?%!eK_QcIM zV7oaQBZW%!HzuCBxpfBl5`SeRNAx5Xh^DVtH6;ur;`$?v9K%?FSdrZ|p59Ply5HeCuDkrZ_qKEP=I z)(@dOIHf7^S$(M8>Kb+zLN2SQlkL*b{1NA7#`}cizYJ&7hPzl zy9?qze$;rQc>d*$(e2_>ERpS3w$)9}fNiI|YZ!XuG=BzBm1*-jm|(Lp>wAH(N! zb<~X;ePF3!-Up^CeVx&J@FnlHcr`9@y^I@hUU3E6y=E=JwNLbh;BYj@1XC>A>Xf)Z zTvMIV{r8^nv@qKM8LJPbMVH~nHV++|NRtc<&VD#u{qc95@Qd+K}Fq zW3Ddyuu}nT_hU%scI0(FS*c1LRq#h01D<(tX}l7PI}V!O3!BerNp9@(AolowR|i(- zA>GL}GE3)7FmssP)7%r;LE5NB5(?Zsy_PK7;#vn~EM|QsU#A?4DLc0sgVj~E;NB*U z$e{CBLpj0cyxs*D`f~RV`0u-mL8`Uz8-1)4DD=NIz2$eZXm=oR_-^UZEa)T5{(eUB zrU(bBxQl8~!Ge}vWru{+lQ&@Xrrhs3FAU2P5it*vqu`)z)JSl)#m{sgxyQFtXPu+2 zP=3z@jgqzdC=|N$KIY{1v%3=v0ibE*BLOA(Uh7CzJmR-9lG)G6$8>%!bt`v0quMf^ zS0UJ zM-e%fGGiiWF%?VNI)~R)lAlfY#>iVjjWk-bT);T#ahzszFHviN5|heOoc{o84rO<& z^E~ljEf>91BKvH7qF8igX8hYPlY68=3dNU3a6Deu9d^H90<%X1w;K6?UiZ86A9KB5 zZzmK7<$4;Gx~J?#`WRMFT7Jo{9Dm$Pehzggczr0pd>l4*VPTw@bSKU3qo)bf=a>jl zWq)hr$B?7Vx@8e|6-??kut{rc=aE$=4Ji24azE*o*3bPiM`%qg--@VpgGAdEANZ;8 zrPYkPzJn*j1wiKfMM$#c!wV6Ome17P)W+|}-$W36IHtC51TCiUM_+b*DGGeJg?>W8 zLxPXHNPEjct8FRLT_pSdWpW@bdvha=fRV!@Q_}`nJ%K$iK!)q0!$A80$8aFUWn_98 zCmhewM@dET5KCA=(Y%3B^Fzxs%PU<%qIZJxxkf1J6cQsiMeVdNzWQrfKMwKQRSB3~ z$a?Mr%u|->di&;aDd0_OEKTeuZUrBW4LnM-+xtMSU?!fuQw~4t&U2Z{(9e*&f4ac# zxSBgDZUswjnFhLkF{C?52Sev=G!oStQ$}F1)A;}GWq+oxZiJcrvfoIb9WHbr_P941 zPj2~9;&ZaH7x0bAPyC7B31|I=L3i_pPIB~W%)f6wIv9)9elnAOk?`hrlBe&i{#$T+ z^oK)RVsz)4_|Ht{j{nelM;Jh0DG|B%B9MmQ1uk6yGWe8O+(5I3{?@&8w?z|5e~T_l z8#{fdIsD4wPs7WG4QxHlx$^5pZUFvE;~^SW(~f`%)H%B%g62Z}-Bp@s*X4p2+Vo+F z`B5Bg;ZVwV%Ozcsb(p!s+znH~l2SWef1J3S>b%m@5KJZ_5K1gn}9$hrJ*Yvh(av-^)uivMfd z_j#9A`%1dAyBOkN-Y3NOjbcsBYuCSt$-%@S-xryd|KMhgc=tyuV}4!22|HsYZ@&D7 zx`vTN8KG=uxXJGR4@XxW7gg7UVOe77rAuPz?(SSdB&9^@QaS{rVS%MXLZlm|L=Y)q z=}rSsgk8FXrI-GAzyIg{&OLJ{o@dUTIh=6)5?qI$Ei#n%!%qf(JpW~2z%vu=wIuYQ z|4zka$FJ^8mJC$redq#rEBW{zX$!Fi`eEXs@`;$OsMK|}zSt=U9QMdWhd`MnQ$c{d zsLYm0-4YKy%!mXR2#{jFm0Lm6k|=}6aX9y}Tx|*oC$({*kkGW~b!GlY z7JQ5W5wZt|Ne~ByNPC69e*veCP3IHZE|}=!{#Bl;S-tokY@uFo!Dtl#dLfinnD$Cy zC+&MLk;P?dAoWJd6o)L}DCXyc3KMVN4%Psl`R5$HJ&h_GY?f)9I0wUcy|=dQ_WmYJ z;c`ZxxWXuTI)8;bHiWW?Ys^qF8+(YpgVMw*+Qj>cw;@G1jfpcHQ#=cmw0I_z?Fsvm z-FzUX!+^33J^0|?kh*BJ-9z}m#PAj4?p1(eepqk)D4XtIMZTLb8GIEuit1Y4bd|5P zo{kYbJXpIUMzWMk-YMrc1-lAi7v{NxTB{4vG~a$VICsH=Fy`wR}U zWc6paY0-VU#WG!Zn5!=f>jyPyBah`B6nVPdk72^IE{V1qfd2Ep(>kL_!+1_4jvUCg zYdvDN#b`3ovi%Js86D*I;Z)9+``_^E_W4X*yNMTRR8EftEqilu8S9<}lHnHo$W4@s z#wYCl`s>y692^pf!L-+5 z*@sWec57qhk$$gLG1g!qQ8XR0U~uQ2PNN>t11#_hSzU8e+Q+>kK*F3j_nNan-Zv%q zM}Etrn<-*?IK~3jlNr^%msRG#!4&U--DlFp+xP^^TC|5Qj$QEIbhs7sOpJZ8{~EGK z9M!2%pV?OZ8UY8@G4oQmXg#cZCH3Kbr1q z3dwhdOPKGkWm3L?u51NK$UI7eiJ@YU!?8#|1!}^Tgl=K%ZbBqKD>u@u-xj@x$S`;k z%82GynMQ_Yt_C4gvz4jB{apA|oN>9f4nFh6yU41bEP9iJv`||J8!T_7vlhnzTAh)j zHoP%>?B?0>#Wxw51F1AGdwPDny9{T%1vFk#tQjgKzZWu{i zI-RoM%iMJmZ=?1^eeOvWayc3S4S^>?iE7~%jR^R!atycxEKi00K>jKc1zkF#V-fCO z)Y-vsnjZu@C?UE<7%R%P&b5GBR>@~iO?E4yLjgew+R~O!^i+>n^G$BeU4b8L=su_D zvnB6oV!5?EUkrkRplk8MFYuL;_@*&yme$%%1`dR@dBJz!Z|W=OG@pKN*(0b+Iv*1? z0R(#|i0l3GEIq4ua#}Yn9>W`eT!C7Dl4!oFOFe6+_Rn)e%QikEy1IKGd1#8IOpAU* zgxu1HJjWEw=gr`R!vr;Gl|o)KPE|+VRwpY_JvY;!x)dIM#{7)&vk$f2=_ZYt8tNIj zG_UolDe{%6My{2@>7X#a%BEf^(Hr{TNkVDSw0>d(I?jpZT@zL-8mZqpR5tb5{PKFV z+4-HCeiWG3+Pm3|ypeQN`a9aCavHpX+#0=l-bU_Ciczf1*S7$R59u*f1^ z-k@t9=L%`hF1XbCU$T9gj-A+&ecp}poWY6mIZwV)e$i=LQ`!Z=LCU|kF!q+gx&4`2 z%~-!K37JEG!K~#Wm`I`7^|)2 zt4Gz^U&PkS){*bj;5*{bY$bT=WP6$J@IS;gHpXbP`~3>Ks5NX&yMp{tZj?~f8d(7g z3-(6O|r=$^v)}AcZC1rXP=K_X^f?R z<7bQ-Py=b^QlngQ#!{XA{D8z%J)BhGDExEH#x!aR*+f|tXbib2~T~dHpR^rqpH8DS-Q4{iyp-8Ax6Jt6(3@6 zq0ASFca#Xq+QFD3Pa@)j9?>hGvXdV8tSDq}Am_};z2^B2Mkl|YgcYohO;m9A|dhOhBR#Vd_w*MT3kyGlIcL77|Rr2X}x=go4e{j^6hpRm2A$Gcn~96igQC;TFx2~ede4BNpW+V&V6+HU@}0KJq-GOEX_N>mERF$mP5{RARB zyYV$0b%!S(*d%7j{JPsTz;ba%jAdH`=5NB~ckAE^h_vs0Hf0Lm8>Pd$$+>oWr>uSPozenTm@YX4PXR%{I1&BvkgR zM>z>LiLrWGedJ103A%A1DfW1}5Y|=eL2*Dj##jS;CF{jZ5PDzNsS||n-j=u8$go3j zTl__k&0|DniSC?^dhH2M9jWjH_E?0ba+_qI&zI=qSBA{En2)m0QsQ7>w!c}6Fs93v zUtfEV^!D|0#St0PJ%{PWIc;({S>{HMB9Q(|B$+B^EyEE)-pe<YiF32r*l%AROYKgz zW(E%u_23Lo68ZPe*YVX0etRcT16_M;;a{41wGV7Nev));lOOp>qIHc>cBoM%voOa> z>+}}9&T&qTh`=pacmM&Hz#U=Z;xU2|?h9h*xf=d3>g&hG2+|x`mwCF_3X*@BezqPB zuwsH#NcECn*@bNQzkFY+ak5vdJg=_TF^t{?gqXG@{N3kRoTCRNCvUUZ*|?+Fd%)?F z>#<_y*OtZEk8{^lWbfVokVQ?`S|Ly3KjZTxk?Q!`wbX5Y<`y~n6mgOC;R|j=IAZ13 zFR!-!CZ0{|XuCg;hyP>`@s|t-dL%RnZZFNNgrqHaYa;G{V&%G8W22=J=4DAW%~8KO zqv=-z@7HKY_mxX^r`M#%wm&Fi893K(X&kKJG97l5Jt|s)TWPyXyvkTSk(vpjX>oM2 zXj!1}pYljIYeDictq5_4@s{D5pJaJyXKt~M*Og#sL}=l2-Z>XwU$Be&X&jm&Pg?U2 zJ*v~7tgC`g?8LJQ{mFytq`pM8cADiw(nM{{lsd`hgo$y$oi~oP<7W2nb>#RR?LC?O zF|YklcOiF<4T;S83f;glOk~ZPG|~6ovIW_3I#F^S{xMeLSi_Z#ezAr8;ART`fREjv zau29P@A%PsM$K@QxKewnpubsa+G*)WCGZP@{^X`gZ%e&OfG#A{$rzlIE$~r?J?4;t zOd3%5iV>+6(VwjJ`(4Y;?{zUF!mGlJ>A)eLrmqWpk<+~I`Q(q}yCYw`q@P3H-jrr^ zU;)F-H4Wd9gS;AsYojodw4ZsA zq63>z)?3x}$Z_h>#O$G3AkH|dktC^FJfB99(z4#1zB^|cXNUYE>Af$13!!EUVynKU zp5ReR{+t+bxk4OH@bch~DIpcjwHZk^-W8A?toRNncr0sa}deWM*pHP92b|Py4XVTsq-Ejgcq0(@|@J3*#0=9Lm^SRnXpT2I%#& z5#F>+D&BBCVm2+NU&Y1$K~m;()NzS+^0btrY48Kn5N?R~C~qX7-CKM~ffZ%g)sIUk zMcU0!u5%*^6e}RB<6RBouutp>`ZwKN=XfW_-8xKJo6#}w&vvHQyjwj^_?wr)XT=_m z!rsb@Fl?DiKtq5$qVGGv#1}_=NCxTczZUV~J@2ZH&D#96(9G+YrQ5MXsnLSPVwM^X$bgAJWt*wwF zl5o{5WjEF*l4}G(y&b@MbA64{h`~%h=g^qb$$xBrtD`{#U*6%#V3^6KFc05WCLDDy zBQe2L1-ya$w6{OacWnxb^~huUs=}BT1*dslQvMo8nr7DC8F7>o4lii~3p$Ts%2I>9 zZ0+d3RlNR1X3*rUntKyX2pY2B4Exjz*Ic)16T8a~GfQG2OrQ z5g!MCL`J+Q8DvHLd{g3JB@$608{Yqkk(5cRMWsfOjfwt4Jqx{ZERA^u1-8)_@`3#0ETZe#feRuo{ zi-A@~b8XEqS}jJFEw8924$kQWcFuHJS1H6CYM4UTMbq;Qi3SuW8>ykeo|Y+)`}DR=5rRBc&C2 zo-chTZL6CE^3oirD_Bb4w900ERIo!LFZ0$t%ZzYL&HJ*o<`Q;;Tt189oxXX44i}S@ z3az5;a7OR`tT3q#$-X9L*4XS72+W)cw?^s5cBA)OJ|j! zdO4z-&n+k8w=>d8lzC&^;lWgBt?MKk*LyhGdmBx;wmY?8CJeg?0}O8Cc^H#=lSv^i z{9)l44vYG*J}jKP=Y}LpT8}O3;H8QZES0}c*mnaG{?N`4f_?fz@0wzjI(FJ}Fvg$V z;0PrVo(4WyVtslNwTq9b2N9Y$cqtxvI!0DUo*ia=wm1wa>9TG~&7j#+BxtPvWy=}0 zf;$@gb?{Tl-J1+^yfZ(kH%2$(SMe%&UiGuaD>uQc#?vOdz*YhahZhihxc#g zGSJT4r3z$ROg4Z!BSep=E;I+wLybA#Ts(bpoR9U;L6$EDvVoaOm4`1GSpbe~gP+0S zunEnUzr%8B`5b<%%W<{-dqW)!3;U;40cnpjzp6kRp2OuHZJrl!n-K24%o$*kTJ_@D63myU=Vv$uBC^l_~i$$cM?QriL`g{jL2wiO6LvM$RM z%ctM2Lv0V{SQE5^NI81vUCXJ&WyY#;R({oPq=aUm6A3|IfrSmG>>223giWhzUpyPz zR{xDbvTq9O<(7bLLuKX!-3K@<0yp&0LVnR(YxYdEaZJj>2iV0ll;*xKlKmLwz>dv^wB?;cwvfgK&k_qY-LWiR}>4;O|n#)*r+sq zVYBKpbSLEZEJC?c_4qF!Uf3_U)^8~q9hoQl6>+5EO{$gR}qizh>9?f&G? zlnpD2C>`BM@;-5W1utyMh0c!2?y{S-lQz0?a*oFJp~4%rH=)P;K49^6mYW; zjvhk2zPO@7hPPZp39GcbiM(ZMEs2%$%#&cyNHGT!?{;cT$PC@DR&cclda%GP7@^^Z{$*y3=->uXvPOGWj1(;W>ypG24y&6S`(#swTVTu<-`aO%0 z!f5Wu=|)~dT3$d8v3JafQgbi>6h%$N()zh+Ut(e2-Iu^0CAaruXEz-gkt+JCw?)zp za@mY{UdPIJhePzq1?=~{diF)hz5d|6=)Py@McpUaWdt!s>UBjnM_MHNaQBMp%h5k& z*9RruPKlb`5Vpopb90Qn5r3~T4nq^ZsQo7V46wrNPZJ9ZQIR8CX;ABPnpJ8REEZW` zfOcLGAT)b3h&V8@%*{|L7>Gw-!{2p9%JE6N0sZ{l{FO&n1-vHl`_ylK`DwG^CfZuzm0hs z2|^pT37>in#>JZj`6(h#E?JKXXBHzv895Y4DSRh7__$G52n8l8DFANXC>*u|yr}@_ zoX2wPH%9=KcJg5CQN=owXwk(0AKFXHsJ3Jbf@0ru%YrTohEz$U6bPl(ttRVbLFPD{P9=zo&e-xgE9GqW5<~;YJ*}o5Pmnxa1sl1`Y)#3g5bRZf+DILcvlbyHa~Z zIO4O{XK75Z0Q-$sWPT_Yii`Tc_fl7Z9($X+cSvkA{bohEdan~s#H7zmx8wqP3b?%H(djs{zkaKelGssqu3Sko;iqa2T4fV&LDnRvQpRO1QZ zNZ)6Ejx9OjZsXq~fehFa>ME)gTvY>GIgvJHnc9wU*y*4{WJH{`j{A4lV8lHLLuIr} zd?$!tx9S+>u%X2C=p7AijstAW`JyG-8O5<18We~|ZBm;7qfhc5&LRvg1S?rH4~YpE za}%}Id6e-&@1$Ky$Le1K#~nqOohZhd&CK{8sApk4g4XcPPzY-6lf5am;F#x1o8kRJ z+gD2FfM}H69AyuW~)YJ^qdPhz(z`DMB@i)U$z$s-$ip&8c582&fpJtfD?6(@?WG#g33_)xEr zQ|*$6>(|T@;v$zX`lUY959Am3n}xc#q)0?0a-3in8~jD{YA7YSEZeIhEQ4Q>lLo*O_#1;*v!ui;NGR7Jjm+RP<-ue^W_dcjwKS~0<0aN z%{E6Y#rt}mlv@`1bAoQ%W=0v(#LSVGD92CP+s=O`xH_ZD7h(eXGNeqeRIMgR^1Tnj zv>3SUDX;CxzO}z@$wuDOf4jr*VE?*cO$ol_(1xs_`yxc(Ed%*C28(YvIe`+S^8lML z`+Dr~*ZbLoiJgzxk0)2XBW#NrGPRvEx^Hm1{2KZ;7L77siqSTV?6d#u3kYt+5ykTu zYl)W}-sf#RDi0QvaoA%KyKoM6m8hNp8K01{c0ty#MdLWP&-H%-CN{+XNWo2I^LmYql}CcroovC%4eP{^$;Os zi8a#eIwr{_75W=fWX36l`gH*5zfA{nu!_C5~JPRVo=%d9l6N!G^q05L%rqkYve zlfH*3DXCfIm?Ki#cY+;V2V(^#u&5N{ zr{$?ZX3vb*jRZk=&u2l16|5|$Zk@6Dhs5RX`ec)q6{r3RBGXWMn~Z_whD{Xi5m+6pA2!RK<=A^BmItVlL?I!}%mELMy9WkG_WwB_uj#rQ>@@D9}l65LoK zHeM7yjMNDgwe|f`1suvK+W7PI_#0E7olh1m_7+_`4@bs5AxGASYk!iCP`u~%$s0F) zH#(2b@j@T}FuEC(A724(Fk;`UwtO_~#?H#W#$EZG02oXen2RfMzKu4Wm^z6RJD82r zG*(U&`WkgCt_F1z1Q6QWgm$tI4n-gVTmhxOGD>{IZ z@*i!+gI=`B{_XEC6VJKN9@v8lP8Jz-n{st5$8-;VCaNjrye5cUtX32GcO^U8d#d%v3Dw{#b#w|&h^A*8uoQgBEsE&8d>0(A&z}6nK7}8v zdKk1gX1S(@5cg^}L${NDTr*}hy_?3BZOAsxdDl)&wVi)v+-BHD>Wxt<;f>%~_Yx!0 zXmy`V&gdN|x(9xhWI(E2k|J^iB9#ecwv@-H*FAv)GEeBg@lbKqxHMNQ#!924)_(Ku zK49Z8!WTqK^z4OgYFlo|Lvy5$Qh7+Idh#um=+I-%&^GFbBR&zvdl2oI_5EA<7qBLR zf?C=F2S>Tg=$(-zk1YC>0=;quV*PULN0tJe*ZY1G{Qf@mRh!wj~c|(~oiZg&NbyQ%-TC zKpBv%OQ!2&LS@!DunuN$UXuZhdnbX;qg07erc!gp(y*e0D1xOWI%!`aLUq4zO$E-~ z<#9~lu`>oGxPQfou-owKe(S-x-502?4b?SY(#N`cP2zLslB-9O8}j>IGcNPWBVN7f z_as@e#oX3Iva|2a_X@-nNUS#@C$#2KQZzRUTsjS!^@Bah8fsB{rl(0=-!!g_393`A zJ87P4QcP)WV&B*p$*mGTYFPGuk=66!9g+8x!-qV>JF+$>F!Y^8_BZbgswD5y34Vum}MoPoywLGTlJs zgB9fP+x#0IrVsUXMacXK(K6svHl%xA-14O&N=6ph)$M$r2H{}oe3uniFrfP#`|Yps z1|rz;Y2=^ei<_EGL+0!=!?0Yae;zi52%b9DgwMo#(fM%ZJ2UQ_uwqUaO5;>m^UctC zU(^>Kp*cW1)`??tTbm{8``$*Qb3!)|5-r<+BF8ILJn zauP?U%6-P^p?PWcLt*S%DWW2!@}Y5PWa7)|kPnlbRvvVnM}cHQEKmHIw;rSL`~T>H`fRnW<=v?MDs5P^zGm5UYb^yfMHE zW!BD4*!Km>u~0L|+c=q?S)*lndm`NK-pOv>+RVPU&-xloQvKvYU+_z&`uAn97w*!* z2-#YleY^9oQ}AMknhfd&nhr0JBVVau(T1CUZzdW1+sy({e)ZA3=gJQ)ETXt|zt)~D zThkh|zE--CElS~x!z4u#X~==-!IYa!PpPul>gXLHl0V$ zXf^%@eBc;$&}YIWm3t#?HRTbC3RB2;(@Qbj{0=zg$XSG~0m`pj+|1lYr%1^`SjY0q`VQ4= z_ca}#6N-jI{N5w)z~@7AZx#PWYW!Y5ocGmj$ufKZmI~P#byu{Q*@hjhj-nCbu6A$JF=>7$CBfWTeTr^c^}Oag;Vfl@ zdqJKbU>9?fvD0@U)7H^ z;?<5ei{u|LtzUF2mm-$&0+*vkIVvVnXdniuICwwr2f>=5SVtm&00oqMz~+}{SofZ~ zxe~!-kTtc?@?nRg)WxVRCR)MfBY8X~D27I8&;3!H4c{kMsJO0K?9x^c3}mV0EsWW?Q8*W6a+|@~Z@0rRg$$#N zf-s^DGA#44s2PsMD%C(W`yN-+OyArSzseRCFc3M^jgqt#Cq84c=KMDF&f?-s0~d?^Ri4jUSzcJMq+=YjR3E? zB8x?X#p68Jj%ZawuDph~Fn%GNZoKDi8&i9UP$Y z<+!S0y(zJytCjl7)W^1MRkiG$K^`cnY}6-3ikYrKFPc9rDi&kb0dOd}8M{fs%}?lW z@+#%eBQ=z;19PX;O!+uDia-8zIycscVBmS4!Y?$?jo0ww>j}+JlA%pZgNI{+4$@q} zF<_=|0^W9G@agfKDiIkjbVxXdRIX-k9XW)loGMJR+vGZ5EK0R{_huFE$G`{qkF^_B zjq!W;vB@K@zj2fWyvZzx|C}*t4{2{K+kEF7HPo0}#h~ocs|0!l79IRFq%={)RWE7! zi!3IyP3ihidG(AU3R|q3Sf9C~bjGuZ0t@G<$-to`9XXSnT=lUw*H!|_<_P)y`CO#Q z65YpBeno_s_iX^dsrygAQ#D_#b}>Egmc7tvUPeDI58}0|$@!93i|!tCyH{tJ>{?)9 zTGf<`M#D|k^PcxM_)2;r_{xiYeK^IOXvogM)I|ZOE$Z`Aw`LJPk#WR^okE3D(@8t% zvBQr0v@DZ?{Gs`ay&IcV-7xt*bKikAVjGU1p?qtQswlrZukr zzD(9`nAk16*qbkZwdEqxf?j|06`g<_k#{LP^o!UwZ{E&z56u8UOf8W zlwG)Rv-;F8w6^oHY@a7lYveoYFT-{S;fCzm^;qjr0zh=MPL)U&~Idl!JnMr?Ps_(op*O%&U^(GanHnY_&P`}8tVOQ8$l8X`jXxpaM5<#WMqxM_AMch)0h1A$gTKi|0LAOq zHns%gMB!e+B`;TAqz2J({BjqDlK&(twus+}1Fcnaw+ZJ%KTWOirpTnpI49R2LT{7m zn^eKtts4q`x?U-W*Ag1xVos-y(;Nx&?~YVYTOq54>l9s*Ot@bXGW9=}Sew>mL`_Ir zY#qV-&xd(@CDbHTo51c8pA_>i*UJ1jpUc&@yz%*mPwvGW`Foqj96-|edsvP0v1k^mXvu)|C z7s1N~eDxq_1+zox$Rt}O2yx!?{?wD}spl@p{@XCofq81MgsBnmR2dQ%>B|CHy;oL8 ziXYzRL;4iFu0Ip76;$3b^)ecU!3pf%dL$jIg6n!@`-p8rbP)~_clQhOlvvLacWlvK84CGB^rS*XfrEVJ^!6}4-nYmL*8eICxl>#JL35O~$lmeLOikDr@LTM3)|KXvn zD{|HG7E@7)NG*0igdDLl9U;g;!*EzK0hL8zwVI;}?HCQyQIU%SsG5<+SQZfry zfk~4(=`y1fO0l;R+W!9IaZ_CNyTW!?do~x=Pz<&H_-hMI;CD*_{-OTZ7^Z~2mva3d`rpTg!o&LZ6zRU$$)Sq;N47)fANEmKuw`Q z)EmhD3m`{Lmp0IGv>ORV{z`<;6&OiF>nOnK#>WJ3G019{S|I-F6S*|^J@DjfHQt9! zxzs}^41o3K6JXi2lK65T%{2H6k^bPcwoq_W?ZX`f;LYPQpA3s*g1D$E9`=_9% zr!mm3E8^R#XB7qAw(@xGHmP6)QJaJb%D5F6%!od22vN>)?`#g8-y2H6if>XfmZH|x z@K0t0clCV%Kq|gNz?#~68Y0jjrb#{^B<7jr0e&DLaTPdNPt6aB=za6LT3;3_zE zwXA(5HCypR3XC65mZp5KWdm~rJ^H6RO0hxN*90ZY>>74$+mOLCJ$3c1i3TV_lOCHT zoKnLVXH>z}SXT}SU!873ky{B_ElWOy z&`$WBMevg{J(Yp;>uvSY@{-AVMX;;J_zjKPAQQF(6qZPv zz?nwZdDV48?gM+AUM0zl{e#l3$hN@-(iaCqbwLXvtpDr<4M_PwfBxqfYhe6Z)i~`~ z=))>VTn%=|WP-F4jUR7-Ef}Zo!qq=gaA^jQa`k7oO*Q8TAyQ)ux0gRf;>u^6vm;*! zgV~N03#^FVdi+~cLch`j6tVWd+{Dkqej-9)A;}uKER>=0ZFupLD~Td zvlkg;RX;1sq#;twdT#7V#+Pm*2$|qd*QsF#)WfMY=(7A@q=`Vzf9v(xWA=vqD=g@F znNjL5YZ}Kl*vbyE1^GU)e75jUgfu^mgTESMm#TefmvqN#&GGLn_fm}+Qt^60#Rys= zKq6aUN2=H|iApz_r)B;2=ep}By=r&EI?20bJl~6SyjXoxIc4jom~T|FBx{>_ENDDO z0he&}(9REL-q&4B5SeNc3rEp4lARmdnV%2&ICwO^mkViDM z9~qT*<8W(n_;J4R`@Wz1RIGC;5MI^-n-DPadA$`C9#R1vUX|$L7t{U*HbntP`{Bs&@!D9dvMcq| z<*^{@q(CF?F#%p1w9jP|ObC9BGit)d0Q9||THl=Oby*D|7r%1jp;SL0pgW(;CA{6M@`9WUa89mfeb7iW|xKEj4j9%EIr;@ z&>4rR(pB|r7SIg43!7b!!|>2&1pE4&huC1jMo6t>3jYKszHFREKR%zqN}~77ZAS?W zL_PqUFO`7{Wa&BDB~xqrHx8pbDt+qIAP=1jHx7%@-{~q|HTh;TOwpclA^vNQcyy@_ z1mZYsQOe&mMCvNzP(kfL?z;1am#xpqFK!5;!|coVoyUKbxT;6yP{u z!u|FQMG>DRKL_?&f~yGw>8i{GeVq9I97X$U838uUQo$XiBUk}`g$CV_@mnkq@DG1F z#hQNwgRivlC_a@qN5!-4dRR~MgR^*h_GfwK_EtI-Ooyud^=%NqC4;3?n5n@sW_3Rq zNPK?B1~51eEF#vG69$(l1*QLEGIHCuihdJK@8$MP?#_~drf|=0Ht*rSpJ{T@Ke9uD zr-Qp%w>szEN*X+;=h#hk^$EpUAM%)|u*Sck`hvA0E4ga3s`~BWF)(E?cA(jNHf*nj z{i)xqU3a=+1avNnFViVNAH9gx88ju37k)N+a-Qq2bPD28O2%fCy2~?#Nm^Tc;hcvg zyx=AE>0lg(=Vj4$g=nexCMg|;REDwK5uQ)R0=yLe**;OVm$cQ9m{}qxc!7lnfoxQAnCn5*FZD=ttl<<8s%JgJo6gdS zQu_wZV9@<*!81wrmu{eph5t#2hRl}q?vOCw9M3lv^|r2@nxSmVzr@gQ#VlA|8zVWu zO?*?O(rlKS5MAO`OumbnOds_DQJWCV;M&LBHpAt}Zw#T##qmQrU*+_^W3MN)Y` zNQhdKSj9Ek62#i}8V6zbk9d#7-cgyxt6o#$E+>Ee;rO_clcM9K?iEo#z-wWt zR6W&%z=zp&+wBkIz-JnAW#01TwVJZ7^G7;p=dQ}EpRhL+6;4x>FSSc@1#`4*N9f|H zHhP7&ILW3&0ot++56(nYWMh_2{wpFFsxFWb`MFgsa*eKT1Z4Lz8*3e8!AOCrkDVwG z(FA;ZIfOdqq%daEiu&uT)c%_P8|wZ4!4$V1r`C`nJ*Zj(3`6tHNRc(w_<>=r~)HDEp5M?{` z?01put*kzvTfeK_o)h%2Zj=ul*IB=@B}DZBbGvK_3uL^~sR^qc)MoXV_Ei4vP#XhO zyXyu>TAYINKIhL7Qaqt{H*xIMjwGN?n*yaHeT+0>l8ZZ>eE(!P%_Ub0j#tN358HwQ zG)kyP2q6!s+j%*XyC%p#R>VMLNf(Z^7XJY+spEP~M&#D!ZSdg?kY}Pg@Ej=r?780B zDHjL1?2yISTzY?$WrMVLDmY`)Z#WCQ+ZAQk%heqXo7Ub=ZQ>X0vo0JW;G{79Y3S7( zqW-Uu!mlAXs*=l#ukajCq9b03ug4((dK8d)f(J)1qJcWRZ`2djeY88r7T1649GlB) zXa0xeKl_Z)A1LDZzj3Fi`WD6JlW4k5|0Y(tKnQc@VBYXmxqY0jFwscHRXgT+1~5lC1r6O}LqsMR_fFg%4b$u<)D-YU{9%r=TMjBV*h>b^ zy@3$gJhClY+2?q{3>OJtwL43w>AHO#%-Y9zctW1V%;*7E!KPH}K_BiKj4u$fJaqoxlOGmQOkE@fkyE4PxukU_2QoSp~^qTy)kt;*!B`i5F^?TmqqD6c?6yy$tHXGA5j7;gc{A(Rf|55s;Hv}KJYN#JO%h$=RdJr zImpb*)XMKtrWBNK78t%#L26F^V;H*)?@ObwXW@%8XuKQ+dr7#6{Up2YH!qpuU;0^O z>F-1Do9t5;^hF|?D*G2>tO@AZ0b+(zaGa2iPOx8m0;6cbo{n~cc3LmxZror<#jku= z11sun^kq{0SrLoK%YSgBojpoZM9y2hei-WqS<)HTL&QDV&Zwdoj9^A%L@mEi;DIMZ{{jkD9%8+c3^rM2|Aamfg9 z#_aQfUG?eUW$#<8Daxi#Fi=2X{WHgiAPf4PP>n9@kGE<~ee98MAao2>@G+=j-`X3E zHfd+tR1+M+xGz5xqSwBh5VT}!NEJK+ieLX?>sVKdjE@yag5IZoV<9j+D&uFDCqgFk^c(41{+FS{>8{H_bPwQR z?4{ZxkiY)MulHIG22=2S1`_?awB;~tea$gqPCJ!Fn9YI34J*p>krOAzweHpc z(4{q>kP&5CK|@oq{sTmFqZx$k4tNZsKlD@OYc|H7`7YJAo9!zROOdf^rcr?8D%lu> z5(z4PE{BN7r$qi_p-?uY^!JICMsuDO^Oa=<y$wLBn(f} zBgLAyT{vvJQZ7X)6i8)lAJVai@Ibq$JO`pq5yT%#J2rjgaH5vjU!$VtAFI;WoJT#h zg*(Mg$M$a)F$whJ3aNA$A>pnq_!42~qJ2I~H4M;%~N)L!QPqc>9neBPCMq6|LnNTgmy9}O_N zuW|f+AV!cH2MS51u_#6N1nVVnR@MIi)_gp$V>9T>3su0!!6ITUB<^X8e&qj?65w@$ zwVvAKA0PgsxDGZSFRWkOIy;CC_8?{T*OR%`^q@L=#(J$huS&024hCrLK++$B0vSF@?V z+m(Kvq&-xk{J{1Jx5kE-jwT3~HGxo3L7vT-HJYuL&BD8gV1)BiUeAffD$qbB#e^Wwzy;;TTI=-G zn|LKR(KVSWupvJS-iJ>b2Ya&fP`ojBeqn6u*@iK{GIZ7JFi@5@eCg;9KPmtlX_e0Y zp*n1MN0E`oSj3xD243F&^wuHkQAQez+ZIN@LGloI+2hOKA6Ihv)^jKSg-fn|Z&B>Lt(anY*6rzOoA~ENwP`x~ zv1Mm~BQ`r71-XhN=L3Vwq95|s1(5{kty7`nWT2$U9|}3lp8);(OXrXpga1#fYF;n+ z)^7O>A!^lU-bL_7UqK2$$kf$`bi)5QL4Tj_1pj^zUp*tuMYh#NZVe%%L5NfMr$q_M z)!#x)KZ64NvNXQ=#QelKM9B-2UiZ;jOgQWOCN+lME+3*d{E*)JHNkck0>g|GL;@a? zd3SXuamu+?!e-LuLH$30Ygu_tqKO4qs1RpHJJxivvSxZhz-SaesjW)Ym>n z7jjFNWVETBN@-UO2jS(7(G*3VoQVE-@XHCJlAZT=a~394#43@CiGiErcVj1lWMg0|BW zfJ}PC+?j1Zj27!wD@Lh4(MZyzh@>p@`Nn*X_qE0{?ZW$Y_`fF)QEtaH#Y>e;1nK<|uU6jEM)a^$TkL?sWfo*|17Y%d4jkKEL*6x{ zzZ`!~Jw$X~vHzk!M!G2zSOQEgi8tw2tGvd-A6l!a3T?k&o6iAOZBnPR%17g?;3=lq zxrtEFNyXu)Um8178CWEatH0^iFDi=sas+&>#?KmsDlx$UpZX^N3DEy5>AK^ZSi1JL zBBCH5(m_f@MT+zu6_KVOa+MBJq(}!TArO=tTPYa;xyJQT!uOw#$^QCL zM@2QJ1Ex3u2ANK(Oi)r&bw7v-<1s>iQ~%29g1eDOa>cmCtgRQ?@r zQPs=*<%4*x<@LmX@U{oCI3!F2=~*e>|MSd#=6vON7Xk zAFSAW=@?$H-|L;q{^K$!UU>9){z5L=R8dIjeBt;1H=f1ZSvhC$m-b1V%x;2M!XKWl z4RJiGxBr+n=n%RBE2Qr}4v4 zG$m6wU67p#!onudwegn63DZy8BOm@zaC6xB<;AqdL*2w%p|XQDx`XjQMmolZgu9?j z+4?tZ#b4F~%rdya*|?Y#GN1dDrt>3D)w(v7ySMC0$8LK&T{YBdNw8+i)2X^=cqMX9 z-*vE^Ky$QRb4`GsC#l-Y7yHDqggCPLgg;IpA{opqiLIHVZ+EU4A%*~@-`zo@u^ zZng^Evl~4@uLcP83IN~Rs#i?oA^_tq22nL8hqm^ajdr@=t3CNjQWF}P&fjWh1nj$R z-=_ceN}zkKNkyL9UALK7G4AD zS#fpHv2SV%KKnYr$AtE9X)YI`^;O+s*vnUPdm-if>YuPwjf1OS>+p{Iz)Y$RU+uS9 zOmz%@^S=z=kfN0m%$wSuVuYU8f!#bEn_mXq=A_QwxB9Ux>W;j~9GP9!F1OU$cXvh& z1qwuR&ZYQ=HKa(f>!Ojn@q?puutc@o8@o!JOj7UoUu=(tU6s2$Ulpiq(<9yY#+6Bq z4bXK%{KaD3z<=2C_=bk&joNVh3$4q_F$vbHmur>iC%;t}O=#S=bSk~NMQa$ql#cOL z`d2e3k84a^<0E@E?rM+ajEBa-E3iboYIQB)*M&o_xQf_W)a$i?{N#|)cDI^MFq6Nh zwV<4-s)`>KPh^l8to^IDPgSSyg02d?#*y;8UVfe862E`hXsDmoUW)&yxN^XjdGjtK zzguIzFMp+`;>|le-@+ zL!hfD&{U%hX<8{i`eniP&PJ@3KEH%;U&>7LgPuG0yEQ%raR~$|=tyvZ#t}Fjj|y3* zd6S3#>D=Xq*Czm0_rHm&0R5jAJ25>5@MSMrJi-DxWx{cDH#Fi`>)!v*xbT$2qoT=Av=WFwUC#n6|9uq(W}sygWQi`E-vSASDd zHv2^4|Ch7g6gp~m&(3_@s`@9N!|n2`bXjpiQJ}lak63#-#lUZh@;BqF-B<$RA6N!x z`~kw=p;&UiLMC(U1b5`h|6w!4UFE!4_&1)p+Fj`?lVJr_h_Iw+{5tESPsR)Gh~N46 zGFjt~o9>bEpyD+>Loc^5s%Y6FVAiPz)8xxA5+Xnu2uMu2EI!dtA&P| zLGgT>(Iz5HD*QQb%kJtjTNpcZLm2j?mhYKY)`ygE;iUwxgn42VExpG#{`J$2@8r`) zE=?GQ9o05o-XdUr#xL1hizj_|xhZ(T;&EPZNTc%rdV%@<%BDZ>mG|Go*O0#>U9XX2 z1sEOJ23Pr5s*Q@xLw#UedwK$U-Y>-=Kn6oa>QEKek?IBmcOFAoDyTf|4i1n(v-xSS zN-|WED{tW6k+a`aTE1Jb9|_Z!rMHm~B>g-LANRH>SCZH#WQj!Ou?qRc>n+ZEu80 zWTXdut2Ptf70HF`E8w%Yz{605E5*be;sFo|W7&L|ZIHIg854rh;SBOYad89t3m z!!60yVG;}HrN9=@M%O8&c z0g0Ado|adK`i!~X{m~L+g?LG9e6jk=sC@BNDzO^^@t%O4VQYHF{$YKk^zyyR*R$9U zm8`weAwZtuXCB{+#Ti)EABg<^*?cWb(3V^7KDwEQJr5Bt7*LpK3vTLGp#IBprBsei z?~W$FzCz|`iXnmVX4hXs`3jL!llGtm-88@l~IW7ExNfyF!1o=0@2mkZO zr`>l$Gh;2U)Pw>`oZoVeiRi+|jv^v83&fs%;}5g7#<|CWE!iv7+c#d}`w4)BJ4*P^!1H3GoTHgw5{K=^XI= z*t?&{Sqvr@OAypltGsr_KBJL`WRC-7?s=!KfA@3fgJ^)kRh(I5-0v6Wf1UQ}+|{Kf zd)*gKJho&BanFATvgR?0cr^URUE0(xGl6;uR~<4X!v<%fZbuQ5oZ@RTLs%~!?Y;1P z2l;Yf>kpLL`JI0!XVhoF|7Eb%^(fCIV(aLwVxrVNuZ?V;V^5q2Ny5m>h0>meU_eoYW$y4s!5gtVX?L4@-8S!Xu9*5$v4|5_^xD zvcIG0(}hiE-uR^(L$i>e-p8!Z%uO^z^kak{WIcEB@KaFXoeby4_gS4I!W)U-EWaq} z4T{~WLP!p-)KdX4VtF2(HRmd@xgAC>;tum)RU4cZm@+yF{yEAZXW`MnuAFBclkBtA zpJ1;;&JkYGWjG#PCeOkZ?*V1%&2qAb+k@lXy${H+JULWysP0O?vA=8b?q|tHPe75_ zw)77xY1%-ognYL6p;!R+aYee+bQUZv_qW3@?||fG`Achd#&A+kctsieCS%8_wH)@c zpG%qV%!D`X!KKa9TC+gbhM&s~;}#m8Z{ul(`JJ0DPZ@nF4sKYmExX4OuVaf;o~79W zLE3F1q04L6WYP5$Ji>3495<35pTx6*-RzJdHln z=KIjqM|-;`oBJcpUtdExVn?2oS7)R|1w>@>ktSS~q6HAGR0BJ1iwYVY_50GS)Qe4* zPepGR<-e#tikL%imIxS;FHsC{F{S2mfv11Uwzk*am%d?FFh6VSw4Es|AQ|lc#oV^| zn3R*E)c6jTHS*Z##SveD5b^Of2c_4iw{Y9DgTP7`<<+%c_A9VF9e8x^z<4_EV2eCd|M%uA^vD+jOJgRYfs3RQDD`ugxr>jo5#9MU8BEZM@^&kWW=r2*MCpbT(+L_^hGCtKj-zE zRFxkk=2Mw5^mda41!wfD_6sIwQ`KIO;4;xrv;2#uGV= zla~W#hsM~ak}OI*=HlNN)0_%L{^lda*HGZ^HE12`15A~k3`f67+?n%Q+&|<|Rh=GB z%guTC*r+LK)PUjBIqK~Lb02Dj7>PWUe?LnL2Of z-EUbR*%@l;Gkb=Vp3Oq?RStIwa7R7EmgM~DFgD|+{+EsTMc54VB!h%zIurMd#mf6& zZg!8Na z<|iW$<79hgWl2t3d`3Uz4Gy6I;mVrl_ayW|tr}*9U-oH1two6Tf)}|wOjkh+8Sy@; zo80<*3}UKKU}k)Y32Y3&f~#cNGW+x*S5!O8;=|zd{_ZtO<(=(@cY)QBsQoA%!hpBl zD))n<4fgfSAf>>r1r~|FMnGex_i`yS#TY(s`v#5j-~) z+syAB)3R*bh{|NQ32a(Q@zON>-hqg798}?Ke1ev|E6>LJEUbD>?(rH^3P89Wka5p$ z@wO}S!(SXJK#-jkKzRqur77{u(r-X|zUkD?M$ki@db-z)zcz^B`IH=f{_WR;35z%x zP2_^s;6t6`c`wf|92V_enY{6Nky$+j+55fT4Y4A30-iyk2FgB8>jBId8QJO$uAPC7 zYva9l+R^5(%2HT%J>sSG@9agHdclZ{$K>`Fy%aRZ8#z~ecEe^IXHRmaMoWU ze)g~KpRo}HRD<3XxT0cS5`D_+r%bJKH<0mB9NI3v*O#Mtu-q)LR~f?)W1H|6wydV+ z07+H(X|7+fb|ux*G`&LdbJ@>!L0ArR?MnOH?2qX>D{#~IcBi7x`GVmnN<7Q>5jks6 zo4N%}tz#Xe19ed;T50;dk^~qz`)f6}v4_6|Q<}6}6$jzRRb3-U7!Lh~hG$2YD7j@a zj&SxW1WUtsJ!E1VHX;-_lzIRrkkGz*?sz}7enHo(-#Dk^o?10e$yw#pG@Zx$aPO9& z&_iAa;Zx-l4-X5V&54^b_Z<lUBzZ} z7g#&AuZ_e}MCK2xrckzevPYbC!R`*kCT-M`p3Xg-A#=no$ri=J7S#VGV(kanzSSv9 zxI&%gdt2Sz1+gG0(C)E130+=)?h@&7{($g($gv?kKqkwYVgbO6-*L8W%ryaN=FXZJ z*Af#x6WP?3#4_7wR#`QwgdTSa?-|wlz>*F{u5@9fVEhkT!<$rM_n~1x=~8!HA&2`MCfJq=4kfa!l8FTS8kdMKf>!1_tJwmJd4Ly&pS_ShBk~*uQc0sJLi#?NbD^+O0l*-Gq$WA z*j4?`jM0nLW=-C|w%FQ%J_*ealzeUN=$2ze+HHuw&c`hl00y!wHH0ZBE+Y-u2pm;+ z#SWE=^E>KCR;O3Tb~laa_?-RJ71%aHTNcovNg&@-e=FAkZQX*f)6m2#LT6hWiA0XW zZpE0tieU1Y89!*W#a2Chquj{ewk7@1VYgTJXB;l$A&k`2JAzF}3x9KfvmBb%mS+d< z;H}y-R`9s)v-Zq(|EGkqU4!*cO@mPZ7NvCsz*QANP-|dEvsv=e8mV18_Dg_58}H=W z>!f&@4gv1uGd1f6<)_F%d~5-$)@oW20x}uPBvxK(K9It>3IB*+2J5ID;BlIo6I0PrJMX(jCso^h=gi$}3v#QR5H3F)3*%V($` zPMc~m2%P++Ti|f9S|V8vVbzl?J$xS&hE~Drn*T!f10#$P0^K)Grg*^Lg{T% z8Wvtn6rwk%O`~iovhZdOMtwG%QWBgq`PSe#@YLBCzMsc}F(Cjw=4T2Y7 zgM5qeRg;@-;NBE;3S9I&jvsz&=j{{&7nrE1^OxryYAiQVsjNu~4*l(Tf~DB8>~n8< zz*5sgsCJIHVa#^6qIUw$wOKJJT>(y<%->(SPBuLI#M{SxH=*J%y8|l&yrlYYVMHaG zXzvq^(`x>pMa-an^#R!b_=Xz7Wsj!h#>klylQ!f)&l1ar-Vi$KVOTA*r|8vgtm%!j z*I<~&k80~}s?4>`mvs+CzC%ho5Fa{*Ig+T|wBtA$N?P}a>IvORnrK%|H*jdjWYlM^ zCvL2t1axi&ym{Ioma^F8?;X1sVDHZ}yD(`H$0N)IUA4maD3z6zzh%Q_xHroebH`2@ zrLVx5S#K8smeJAakB*mOYg3e&O~F*3+U_H~cCo8U36UB+H420BXw`m!Y>a4m|6nD1 zlka_|-TsuoTSo-!HSO!nlY@UDw6BvJ%(d&z#lc#nr=odf-WYi+TAxfeB@=DU zk2OI>1LtjEqjK2}Q%nK7B%khl0}_qt$(mZ{nvL<9N;uJZGM297B?z$0V$~)jNRl_Q z$=Yn5hc0Q2Z%D7sBiv&fvKGOOd!Pq4a5^Q)`^7}(F1NTVMpu*IJYyCAE7-%W3?2c}xJ zS!5kRjVaA4e$|TsGa((`7S;{u4h76-C$#h=RP3#?H3hiZ2Df61A$s(C zGs)08KkWf@Us?(JQ=ng@+niX@xw3|wQ=_|St@V<9kK%)~3}qpi>ecwvO}%Z^q17_Y z@h;r<7iF!1Dl_OpKwDzR(KVP(%Ul5^5pp52%ow?=g!THMa)K{Pln&J%7p~+LOL~Iz z=ik0~`e<~AWskBB`}(I36UDb>$oX8Pu`AQhIAX7Z_i^ckqAflscxy*rz9}f>bg6DJH|8sLf+HJJ96T*h_phZRM zf@^E2_s_B4Lf6S5X$u{nUq^iSdKW}a1Ho5oULs9qpsopr4}isMvf;f()?0u;P}pWy z&Pup&OhKBuQ6r?B73L32KZP9$AC6|*HYSdb;HL%SP|r;mv^OG%g$}SeRs3|RyP)E{ z>TS|(BbDU-1Z+U)_M;0bn0fJHE`7 zqr>-yhj1NZr{ zsVNB#btT7jON>{n=s;Hs7oC`}G2IytxT(<1QF++N>g@!Kg}_pt5QtR~BrnR-nf*Hp zmUwNriv6Ue+bTqcNuH{*piD$UZ-SG3Y?(HQOBY2VQ8W2o{cA;&Tdv-SpV zrN->2kTT`px7Zc%w@#pP#&z{N4u7D2fi079Ew_ghovM&K{~<;>z>sN)+#mhl29A0u z?|e#-1r4#~DvL?BlYFiOv`>-W%n!8&KCf@9-?!;R=rr@b;_?Hu{(RSSeniWmo)_J0 zdNIYz@FF!I)1$S4xuO?K`uX$QF^B6xH^qBZGk;~ux5s=>WK?mLklLO#^Z^Vkky_P> z@OKk=wnU||(H4tj^Vgp36Hr{tLD_fj3pM<}<)_E`XOUikPKfVH{>hGa+IV&dZT6CM zF)^;fL#KIrw)kJvlelV{)bAi%6dEQ`J~I@n}h`uFJdOQvgLp_!c6khVon|SBC+-9d{%A$qQP${ep&H zO7xV7cA!mjBILk|ZR%ZH7|T>$x@7BOMI_&HYw`4n{08Io!T;ZG~Q6w`iIVXh@dLZ zMF=W#Zi5?7xjtLik8a9;WrfQ!1U~ZyRNnRx9p8udS&>*0|o5M{MfS{WvtACC=oY^K}lRUX5*n94T)0lrDva)>kwdGO?2yPdu2LqF3pM^fao`8>HLi+ z-tOa!`x^};$a}9CuIu_sS9za#K@`UG%y^47a8^Sbicxbe-=Q&6F^&#x&<`ByGZ*30 zx&xjR?3|h&(9y@7V(4?K!lt5{o(4pcuJj>3@2UgaCwFD{Pfl7kcNr$iGa=~hSaUT> zb1bqgrmT;bxVwrz%7R}&9)lRn!XSj)4-Wp-d3Z!YW>a9`6A)oV_N+xp#k{a)B%rj7 zmSOxXx1G+?>?GMOkkh^BR2L&9miOmrm9lR7#$D$?<4kz74yABz7B1omo!o_6u!_FV zIz!UHh3DaG*$p-0Y3UGZ@^l^CQe1OvIzac5w;E31l*vmy`%009`cMvO!BF94y4lJh z)FNhSq&0qr$%*i3m?%DzLf}-|-aMyNn~i_&@j!1;_#km)*9T~2;mI0QQ)frHS~(PH zz&&11=P<1})n#}gI~GM$lahhIAmR>eIl0=%!NC?$-Q>SH{BR>3gAX=bsQq z+-gg*Dae@cdzhWgN36Mu_}4HkPs*z{@Qogyz1Kigla1IC`pIZkA3lj6R&;uVs=@FD z20&}KJFQ8U;nZ}KL;b|zHmm`E9lmsyGmd$HrhIer8Nn?#!Lh38+%4yC&8&}8Q_+38 zEwN2RWi3c($FghfX_1sJM5xi3C@^|4wXJ^f$f)XrlHj_wcl*Pdq7ZVsjWPlwpe47_ zGsPvQAnWg%5MZcaQFK14G<4iOqz9uWap$?HUoN!`b zn;AK&NGkVgRv$aw2ljgpoc*bC1pwvhlBOpbvCN z=dTn{12gBYoo0dt~_sZ0(l5o{+eCe*ip2bF=@uRi!)u}{aPn56yEra!~ySp^lLq?NU*jMf< zeSfFktiWw zDn>}=bO0!Sc=(rv^SR|gI|KfG=jZR4<$RI3^PcK%^+Tj?3-{JAq`i~qO4@cOMl7W5 zb8pJg6`uq*1NN>-Q83>ewR$iWKC(I0SC!*ATY25rE^4)2Rqq+MVo?lwG?{4keeNo?20ZWj0fcW&8BzetI+QPw#$(=#8c zaw+v&%|Lj+*wW`@{kfgGOI zEX8LOayhNyJnuwqXg2qbkJ;`3UI|MK7CGgGZ&$Hk3nNg-!}HF~>EDRe!;+4_m!Ed* zvqdhe4^>SZeyW`%lzIaPalIE%37^kSlI>8)7!+l4B?9Q8BtivX--Xn zx^HUq!Rzb>x7R$BE_^Lm-qgY0l>e4z{}rLdEx&c;@e3{QgWT>M(>8f7lJZ-&O74=g z(Bohf4{Cedg0!)5%kpmsD6`(t0Ifd}hHU(;K0p!Z>^a_gdU0@GnKQpe!u@9-(1Ylt zfN~7#H4mAMH8ZCWp6J#Hd$d3f{RPEDy)jqdU4B<<{^O*c7K|b4t#Jfs;i&rsMpwB3 zsO6XDpZCY|5oYfd_?znBO;h7UbRMR)ak?r_@!@dIJ2yY?)G%bsR20ev4|MZWpKj5T zE7H^*T@W7q$48lkDc~*VZQ^%~8P6Uh|K7367R?ao$bB6mU9h~=q(I|TR=ogH~NHmwse0G00e1GXAOp)q7h zXZ?%3rx>^7@fUbRVqM9)mylbXq*Zb6h>Bk9iT&5dw-eg0eNp`2d|tLL&uF)?EdKO% zGmr%vC?IM_gF828!}8867-s7F#8QrN534p>;2yq}2O2{gtzns_ZI4~XXP3LdsckP? zxGNE^C3IxVHFT#>IEu*Wd@A0LKh-h+Zil^u_wTon;7NL_`JQdtw1lm48b+n@gz8K? zPGONX(WED{G3&qVR-fe#Jvrv2{8=VMKCDAEslb*7AadgC3g#pws6j0c_fmgqBKORx z_#CgXf$hGm7slJpdf#qSjP<4+QAitq2~LNW&6T#?_pVI?Kj=AJY9f-le+&WDKmf2s znIAUmc3!ZT_e1#qlpi`mX8TifU*M7(z})xT{i|DEltS{^SE7Qh4^9D0Zm;&~Pu(bJ zr-#>xw+H$m%G*^ceF}q**FOpE1{NNHkypi*BGRZS_3^K|CKw%2sAg-3xxL+@Iq)5q zIn~cui{~!V70tyCFOoOPyP8#o<`qVfyJh8yZK( zbSUS+e-hZ43>g&z(0+jLX59g7|5xi|ORv8fPY76Qs!@1P+a zFQo)t6sxe_X`<{o#c(vg*N0IqasrvF(qg|D!n!&~<`)8OPB z?`1|=b);+_6_{0m#@}bJhsvL|MHX8K!HjP>oVdt3lI~)YK4*c(2st_JvDCvqti;Gq zjdw^Q^>(~#@=p|WDuSD$JALP2(Uqhkl z6XlK;%-Fdrrg>>?H}RkPa`-DZiV&h37_PPA%7SJe;4JTskVrQ^dCe>Nv-Q_B@J*ja z>X`82d7C*OZXGd+ZU5r2psf7^Kg^%D+kYew6`vFiF-R*Pi@w94aen; z4gKB*|3$|=q7pEa4})d30c?oL6z;ks#Q4pTb2|s}d-nzYDK+meUffaSAn^%?@d)VR0XXK);Xl_NK=3+T0BjYdPN*!A860*fbSbLeI4< zSuKqD-r`GJ+NP%tvf%||Wtu$r*|*Tj!1t_3&4aL!p(_IoOJX_gO!Sn`Crj&#g_ueN z>1>j>vGJR5!^oLBFYnzg@8)syl+eRNEj#s61ojC!i>opz{9fIiIr}!5{Q8h!X7IiD zR8a@>&h_X2JAXvDHj+-DbH?RyRR}q7{Dbk}+C-d>v2Sd1pi|SAynd{%2R+UykK#z* zDvoFA*;-`r533^s09;@$0;7JjPNv$t;X9qufnS@ z{JOc4OEjyScR;SAK~N7_3drj68M>BoA80EEU->Vkp^Bsf{mAr=a`gvQHv0o1Kg~9D ztpS}3Q`7Cc+`At~>5;-F2rcikHn}5wCShd(!P#%StKtaUriw|wWGHfCF$lPV(R^}J z$@&2g1BEW^ZTA|{z*E9g?r2G^Is6gDE!l_zN@PXq=&XMDY!aY+l9Rtr>lrwNgCAl% zrR#G-ZBwH%-a(7xl+`(ud_L%%1lae7AG?x#q7#BU^5*>ejwgExL;%1o(4W}ufJf`q z(sp7V0XygCEn=9nUM+n^8PsJhuR6r#2FLT6*qqJRwlv_YOZ%zlABbH3<)O*gDPKkH zV^iTQ$Y+6*RPKTL$z})oxY~zTN*s%%LbembHCHDY>0Ff0o(0@p%~=v{%)*7|PXSIY z!B@PwE!OwFaaTlSq6S`p0Ox3xG)BGkxYJv}<7TeF^9=17kl9I+MHqs=fqV;aZiweC zPFE3=Y_sAZV00%v(FOzCD**F!RN$`~5b%&%C+zqe#M(65z&$XgWuMTkL(%Ext}$rN zd9|2AzqbE5U{W~_w(t!C7S1iT_fAHVU#0gpqJ1}VR~0|)W0|SC?#002yQw%Ii^jw! zZ5M`_`(p9!!llmBlXG2PpahNZOWJMnfht^VhnU~}lqh8=0EIWVMtI31kvl3o&K6dkAB+~vJ$Q5n}XYs{x<~15;DSx6VD{7dsrBOcb6_SAqjc>xz|TSWZDAy&M27 zo9G!F+j(obM9@*F=!1D}5A51Us#|Q3&Sdv2k_?Q2zO3~0@+D$b2v^q9Trl=Qm17fv z_uRNu3^smCK^8qg4Z>Qau8}z^X2C0|O99K_Q!VcJSnMls^@Iym7`Hy<4Ap2ZFH(ku>gwd*8~jS?R$jS<9w*62k1 z-i;^a%ujyq1_v`vuQxLP2zVEwn|r|0s2WjNk_h_9y_+e1FiTS$rur@>vNk8D?2RT<1 z2|9~#UU|EK#K~Tp>u9-hsHd&)VtWc1D{$-0O#!PHPsnJSlxm~Q#AfkiC&xq#$1AC0 zX(i7M@^-`6jg3_hEp` zVt>bGp8^vj!@roG;my^4Cu$B|JW38m(_XD2=oDE#0`T$qFc0mdtUgK}$Pv_fae3_# zf&RtV)pq$D$N{s|<4cKJSJ8*!b6u4^t7Iup8Z%LGuJ1h$7c@J>v%+^gz2L2GSH78x z&(QxH|L=ljYjaVm8gq{enXR}xS*KO1Zn^2qHG5C5)&9u`NKv5mGWR>>-QFMq`oj1gMg?K{V#y{g8n~H zN9KQ^2jsagVm7H{h8qpp_wP;>Wuq{VqEwS93d4;w>`7*3u`KMeFpI1s5D8{byfDnv z`E3oG%U*9UFYRYuQAm-Iec!)#bY50$ec!66Gen>bf-ghA6;yK7cv@T1FxdFaKty~$ zOqqZxke`{5){Aqh?6kE4R=LF;`urvK=c&!q&oQY zEojfY3VFRre1Vi_Hq%de0Syc%5C{FUtCzx!m^SipAVJZ@A2L2fV*;2iz#ywwwbA4| zl95GI(xUJ~QNhiQvn&}UX{X72Kpn?>Mf?8xxX;pGh7&SHK~0=9G*Ko6F#v9|;r;rX zwROKquz4nAlD*-&&!Ub2(VP@AVX3$RU$X^2T@0(`+-XbLuKUt8-2kOE5YbOU0%{;H z-*dxbleAx=U0_o>nBpC>iNConWd6PS7bM7zDXzjxCR;v_Kc5CIqB7HMtWxZ)yC$w) zpbY-*NsGa?JaKcuOLNXj>E|(NRjHi-=0>zpyX{M)7$#oy68tKIMMvpO+f*hfE8J) zM4{7c<>0I7M7(we7ta9w#5ud0;X`X+@4z90Du&5r?GyL9Zx^; z?o%#X;f?o}3OEuQK`}K;l~ypZk}MBWn3vkzB+#-Yd`QzyYBe>fm<=~(VFQ4u2AIKnb^}CRu;8@R;f#^0q3=^>px-zZ3E#a zcv$>+v+Cr!pa-tm=mxvf+3p zbu6A`A(tqJkmmLuG$K1N#EZReuX zk5p1YkV&iLAZS`Ww^j!(iQ_``l({J$bzJ)BhFIB_T`fR6h3aK+#%xt|^xP(bMJRnY z0d5GlXocpE;NVCy1LWTAQ`WEJw&h$HWfUtGzR?Zsh}Es|ahivBmR}xt2Sol@(}=Y_b=ueV@!^;u3ERsVJR`n7wp_OvpI zzNP(TU^gAk-jf`yeBrSMYiDs%Xns*PE?r zkFEQDYcke|%-m55z*R2l&|9-I8i1x!tv;GTpH~k^pAEdi4Gu(;78Ll#8 zs_b+}k}(LOuafvlZ)WXv_4A}hEGt`r{?6|iDNVu+%7VanNpLCF{hRrph8F(D1=>14CjDJw}dqm(Ga~R)0qzzM1-q-lJ;acMGoGnS8(V9l+h% zPc5JBJtYV(Uti_Xvwc6pLNR?91?^gejzBzO1F0x+3%OJ+IGie|k{s7MyqiU`;uQSMiZu zi|VYL+*@k3~0pr8tmK)u>RTo!c~L1oltyf0;;16!WaOSx`>_-;(m| z)1#>@Ln#0RfeP8OCf_I8$IU)5bXu-rOhP0|rdmrUSgbFr3grvaUSr&U`d4Dgwro=a z)DGv-*#T7#&S6tOcv;7uSuIohj?iURamShItVo4npH;Z1n}Oql?|{xmO&U!yV)8?@ ziN2oB9Q;5DYOdsHVfmBW9I4&4WIt-@>5x1ul6Rtt6IdLI!FSe!2($k%m*lJURv#`P z77vzk0RI-|dUt)FHy=k@s0|q{T5g6l6t2-;A6T3H zybt0Q55n488S6kBx#4UD%L(NngW7R57-m}d6ZV@r#w||u2L((`4&bc?brM|s8V#K1`jHZ__pf%@x>8lBv zOLPfI7v3LjYrq<1MXlDt>c;=tKqQ~&wD}7_%uG%tcR5~B{5`Mqp2k)EX6=Y@ zz1^g+m}5{uP|U)={P~9>hAEgJM$j5bV;a13$A+&9FabA631tqj&IkCqHTczCYMw=@ z6UxP!5LK)nA6Mkz6rdWZKde2<^3Z;^_q9FKWxdj5>--=a;h9fSY?x;px7a{NEFGg$ zoitMQ&@aDloH(Krx&7S-PwBz4p zi}|!NM5Z^m3>4n#Vl~=pQ7Ah76Ot|rgpLog!OC0vf&NEJqy4`oUda5$)o$XcIHlMo`Ia~?3?sZGCr8Nd$QH^xwUus*(5MGV5yee+nT0Cn?kt! zS&ioK7V?V5jevgp=#4@fVO0L-o+j*%KqEQh*w=me;i^!$Z--`SqDg9-^CXJvvaSOS z%D+vs{@l!Z%lUJy@>kS*wl$pdyIM+8Dg-}dw4ARPMn!C^074~hA{liJT1hgED_Sk8 zQk|Nf)a?aHH0CU)BEOb!K6q(n)YNJQs|)&4KAfs3R9dsmxd;-L{<4zJ?a35Sw>yXo zY|+JbG~ifT13kRI>VFK#>smX~kyflW%Jp)7a9S7Ad9Cq<$t}sZ5EhOOqQPTszGSTM zG~0S({W!$k_2PwS(HlH3Uf|R3treGF4p-YRLUdOZjkST$B<1@HPgunWVf76ALrG_!%-6phKO$iO9 zX%$3R+wr4AMkp^*>i}!7-=QqUTLWAzatP;xGFcpK0a-hL>VNxERt`_ND0e;c&J77Q zxK#POxX#S?gL8X&=D_v!bKnCKPe$E%)<}}8jEC5mL#i~xut2GXw>~yM-6#{RtfmBc z3qw#+#89Y}6Yv8E=}^sb97-%R^}O4Z_tDJ52m4r}C?27u(ESeR_?#v_?cJ0O8{T*d z0F@P5v}Q*SiYY0kd&>Qm+2JI*ol{h=xB*;DnYL3;9NnCen=yMXL=k2l zy3x^y^|E-dClNep`!RCW^%LO()g)!C7<_}&ihdy|D?#JzIn-DMX9^4xu*2Nd zuH~JRvhhGaaQ3^LuRCnjU|&08(GIR%jzDE|q^cEmR5t-{rS|)uR}F>=t)W7=isLFR zNegHVm&MA$qQLL(;QjNcN4Sb>{`|(s7PyLSo{F8O>H`f2AZx5*>A_gtaNF#)u41J} zMcfyZS|iB^$AXG7UM4K1f5^^*o{F!2+4oK5+Pd@*U(+ToTVMU@{ z=p)%MkIyovaI~rb;@uC^S@NDrAKrcR-a-CB;@z}GoGk-sA9vTA(;(b|3J_vc77USA z;8Gz+TzNjIj}sf_kXYy*K>cT5y-j%DugWKubFB3ddZ7t;Eg{$4hp~aP-sSb zY*n#^vtM(8zyHqSwq03C*(rm|J=`XyASPP_6&xfq1(Xsm) z6T^{?<7h88??-LPf})DA;H?g~K$OzoBn4pU2B93X|11gQ;IQMNUu&P6CxSD$RBSnS za&MSBxHK!8*cg*~+ZbCKzxC`-y~xA!5T%`K(=nRUva&C%T+2E>eWdQ_=#Hqd``?!! zd;1py`dlK%B;TAXlbjC|#n{2vUDmko+J=>;R!uH@kFM6G)3-4kdR{X?lr~dl-p5Wk0=aqfopI!+^b#KzAXRK1WQ52@xIT3VyDKn;LZh57!Cqa)9Xq zF%5I8OS{66vgXl;UGI(t`+Z#Bz%4i_ZKq(%spamm0+NmEr+wadBR%JiA2Q!kWrR;y zAQQBJ9d5qbMx?y66;I3OoV=aKZ3P{+k|ws7>!Cfp1t(_o>k3#}x}84$6;D8)WCEEx z_ezW4MZgv>wChn|G#?`=@G)e$)f44ACmZth8&|FDfn9<+;@+&k9j7k7|23*Ev{{w8 zOm_0NmED<4ox`5)pYGKCNz0(i@1Wm`%O+x$cW%`jU8!;S1siKBPGQWr0r%C!ft#A0n?v}nRWuWeXbz`qwnJ$9~ zTmK@g2Q95~Ki5PWEljv-RN&9fWz7BT)YabNZYkX1VeBrN?}*dIt*&nO1{8c>VwaRml$RZ(}f0;#PjY!hDHK zGZjr4VMJv3_>Cg%%>p|R)kJ8UKvEX)9G^j_fVT=`$Y0-Ym-AKsHp|V%8yA1yN*2-t z(VUM^%hrtc)RaA3Q_GFB`|40QYeKGAD&?@*=9`zd&iKr>;fYNVqPp}}-GQ>>oAd3d z#~+G^r|E1dzP-i5oF%USd8+2Ae7DZgJx#g41+^WEiqO3K3gr&0{s$qFy@UgbT+2(6 zE??vhTqLLKzwooo7($2%4Zhf@uC0XT;4AgNJnQuCT5iWOuaPxtG-#kWMLV=g2sdcC zDp&FbtjSgt@RVF|9rw(7|Mi=Y6XFZcx+8TWtAo8=_x&Qru3L=TvnU30IOFlCgW_k?JB`_Kx9Eqah1H#@3^0938-}%S4N|M zd!lRr9n@Lhxsn$8i+?GcEd*}PS|ml5DrQONI4*{w6YROMj8PCnIBN<5Go3XF zxxW>=(@UCwU-`GZALIS`#lBvWQ*cC{D z(0`JC&%tZ~4TamS)UbA#Nq2p?B4Z&$83ti$*UaZ}Q6ZV1%sf0nQQTQ;)O?PrA)L45 z=70N3YbZHM6Og38Ws4!p!dp z4$(~J-YpkLrTJ&Y>{jE{=6 z>QI4qb!08E7Qx40L63q8@kwu4*NebzsNZRfZ=2rkN(()FgGb~lT5gy|Q(IYXzd(os z^fjmO*_h7-%-)khJX3;b#3q~KRo~e|vaT{{z(Bs2AyHxz!^E`)pVvz$=BIa;@b)5$ zyJ?QzUg@jee7os1zQJ{bbSs0H+qZLPG?#siWK>q}P{QhgYvS$t5reWQuGs=jVK8== zKpy_4d;-e@=icEuoO^hd-|T&`)cdw^daVP0r32%&#U$^#$0GTmv^A-XkQX}dKmWXfFI#oXi(6IHz<4sY`_XOCuTv#JS!Np*R@3HY|29I?Ce7A%cbG>Wh1PK zKCrxa_T^*!J|8o~ND?L^bIR`imJ-#xDY%ziO{FI{1~@4v$rmY1XIA+&NedrA;an=$ zeC*?Aup^TbfVqwa&*Y)f|1nWvLHux1TqwIul#AfU(-oD0ktngBXF1fQ2;K-tu{FPe6c)xGaWZs|M&Wu9rw zmKDqX*isTd^rJjv(9r3yMMUBA7S+tv6wDtl4^A7g^*}3@DFpRoVdzWdr*GCnl= z(VxC)I9wyxGQu!y_MwyHKZJ>Hq*bm8c&@c&?zsY5^ZjnSFog99_IQ(yrIOj-g^TeU z1NAW$JZHpxuw%o>KCFlalX_t})SJCgV!0`MFtsI1jjIM`T0#X*|kwkFw^? za{Cz??DvSbe+BtL7k-mQ0x!liLO8;D62QU1wF$GiwY|OngBN~#yE(o*%8kwHbs#Lk z;thE-x!t6L@MLfkVw0QV@b}UV?ejOR66U&h)!CYn8=T^?JLMlocxJhu)#*o!;VXiq zFw>^zdbd7stN{HWj+^YP^dsIVXB+O@a1$cr8@Bgszr0s>999QbtG8d#j~5HCS9G19 z=xZqKCl=RigQwh4*fC(21>}P(I{uJTt5>z=8;&nvGauO(bboY{hbYoq=jpA62co1j zEgInC&)B1)n_juY2g_iYfnGw?tA$(IHJIF1h3)o{V$T}RldudJ6M>l=pg$&FZlywe z9^3T^{n^3G{}@xyxw-DMr7i#~^VoC3M{FyNPe#|_ns=)|ZKu~HlmC$ph_Eh&dW zLp24*@&67ZpYD{q9_^BO0Jeid3NUO*~{Y;(GkgA45gR0o%qT3TV_zp$1F0kF( zyWc{_Oo8V-0gOx2kC2mg@F6`((G4`B{P9tyMtS29>{B9?yYd`i#3_+K(%CGuI7Czu z{i0%!N4M9$b1K!er^@hx?D<-Vt6oSh(oW2ea00V7N$4^ zLUN+F{I6nOb3&@HY|+5jeBn04)k>)-1t)pp|TeKe5OP{ z*RnIq4&Zp$hP6k#U0>Ojy~qlGL7m)ld>)46hHA_5gl7?kMHoBYa%`fUanX6!!gAB> zOBwr#&)tR^n5~B{tU2+#x zO7Srhz7-N27wHEH{}vUMA-uiKa|m_rWefl9X}k_Xu9x6-zSMWbTiK5{R`h3EeZSjg zWIADLt*8&vZY$X)F#Yk30rishQ*bwp9L%~qv)zV%5`|$D;z7V#Xo)rADzg=|2ZK;D z1BPiL?YBz`Z+{jfyy?WatJwQRRF(JfLPw+~Q#&*lw&%Xx-#S+G3H=&{;g8G8?fRE| z3U)0HJ?RQ=PT*?ouLGI-1 zd>)j_gja!BK%WtCPo#PTshGMBmG4L1MgoV{&B;5$jZ4 zau|PI2tBg<&vJjtpx^pqFP@|k6g38kee}3+hGS)|TI61tq~6q`m#|+4f8u$Z_D=yO zA04rIYW*Pcv^%ZdV%fQs6n3^C75;Swz&0o692`oBMGcWc4uzy|6tk(38gci-tPKgN zFzP(dn-+S)PijpohH)3bMqHv;^K;vz>vt75JKyZOy}P+E8g>?YHxzkayk1ht^C71m zn*DsYBsyzVuwCnMy%;XPSi`Yfs{`Y?4ApE1MBT9bW8!+2TBXu5lb4S}285{!E%@han!elO$v@^ekVs*9?DyIiJ)bUVg8K%rqdCW9 zxRqznmGeHFTJ}xqV22EHqPQ4zdfTdP$96BrE>Us}te0!8Z4DON@gf;lXE%_-kyP`7 zMOXh-uZx?{mJRBzgQl{S2Ld|yZ}Cn zWRWjL!31wYzGKUlIy#?JLeeLa2IJ#@E}SP6Kz(OLCcZ*~R^?U9)7eR^BHccZfDgby zK@VM{X_4!+I*n0xK}+(@%d0&#o3CH$6XIo(1gpPG_}#%1jt`KJ>Z74ZIrYdFQ*!uy zu0OGxvG3EXVJK7U_uXx|Y)^LbV5;OsLj#+TyUx6O8qe9Dq7GJf!z_;6?M*!~gZy|@ z*NaoDB0z{87Z6e79meE5*W)W{?)q7~r6l{=0Hi&PL;aiXI>C4s)6g(@cH868N` zV^zUrR~A&x8W~Iv<15xS!83LRPJcE3jtI5y)1Qs}d&i6j15$D4e`<(6FWM@0rjETQ z@VU2LfGpQ0PP4vb_%z`?abK>5#_ix0k!iZgj;}0CvDs#o7$o;{_=>#+6A)%YW}5y! z!Tgb@jAJ>SfHIvhMld88OTZ}q7xMu?eOC4A6LY${Zw&(F3Qzd7R#i==jX(cq_TUms zPjB5MG!|BFPJrg6FyQuU^T)W|LDnEE$8J`qrjAqhJj29!;&ap*(^P|?McRILkzF;e zkCx%nT2E(fGL`9FSl5e@rIG4ki)&+*74F(mmQcO@ONh7aohT=6+eG3c=R`8>AgII& zw^ivq(;jQ^vY~s-++rQxR>134$H7SFgDd$WZA%#N&Ldy%8^P2){f71wmushY^`m3- zjx?qsIFn}lo?$O5s-b=Mw}N?NRnOJ5WbaJqWU>8HV`sgi$KdYzC{1wG-Gu%z8+*o` z{JqN-yM0qn!wp&OMftxrgIoY0=2Uf9OLJ>eUGp!nopvn?1QwYEFuhtbnWe6F*eIl> zOoisMCzTrpMobL`Yfm0r-mOfYuC)SyZ;wY9x;)m844v?QErmzB(g$s)}oUI5dH^*9|#K-dGd;zXKZ@-80jOSJ3Y3l(g-+24u zGk4-IUa4aYd-CIcr#41xc5%)v**7NU#St8}G>N>%FI@~4IXyztceu4wx9`h5XEXaxSg-suM%k1V z$hg1S zFVE#siTd*+5bSt{JKw8g=;w>wp!8SR?(ORApx|+)Nx&>GV?56q{HFJ*{K3w+<@`u5 zB%dhU8_m@u%(nb0JbU0Q%&?02mV5V}oYHyJd;Y$8DBuq`Aop{B=`sC0%Xyr*H`;Ad z(!8|$RJg$1MO(_7VkT9+*c0CAW_-aFoZ~GfyQbEe4EZG{7zDCq1gN~VeN`bVOEIPs zyTFu}5LIjTN=111)$t8W`x#OT*z^+G5`s-nmYX)J02Ae+88sIi>KKghzzBaUo}PHa z!$?boN10TEP7q%|2_z|bVJ|$HpQ4@Lk}Hx=ugP1vY>txsj&dBJl2o{;CrFCBk(Wq0>xtWg|#^ zsYtf1fNTDCWV%01TvQDID0d~Yl*?KmgX*Pqs}l;67dR`*;0hhMQ1m9%^~#2nXtmGp z^8>r8AC?=)Xw}QXtaB;CA8VHzd(S>IrEcZ^1z6UIb9k0{EtW<3zQct%NFWPFklZX& zqa|g&?{pzb@S|rG7Ys-T=Rz>ww#o7e>VhlE4aQQq-f|?!tw>T20yM(_V$JvMFGLA) zYnSAQZK7uY-HE&vN)kd`$m7_;T59Pw9pwA27BWI#(Bo8-HZ3rKq(${i=Y-%u-=iK8 zXNMU;r6T-Gg}!i27*THcmf|=UclkLcb4>6TtvD#3Ih;Zxh25A4bif;!LkgV1TM3J^GRhH^oHx4A+7=3IYc@^x{>1KyW7BYe@jPPgDOP~fm6@70 zhilf4&pHz%U3eQ}w8Hkx8>zpiYm*AK>y~ve!&N}GM?$D#$@sQ>tkS0H0pE#2E=iQM z&km)nA%pR*g@jC35b!JlMJtM>y&h7E6xM!iC@}WIt5RL@?HrZf7TS0yxuVAGr#+og z0QjH8qB^80N+jY3AC(IOn~m%;)P7GvBtHT>`6x!{&uXTtw$Nd0?GBiUFP7?6YIl(a zwwSN5UwEHqT5lOb6AX@lVt08|A%3v$Xe5=DDDy#rHO;o5Y^A%J4HH6IfxJf~(%}B0 z<2REa+oi1VavFLVkso3Wy2Iy{Vg}x$I&1IJxgBhOHpt*-69jf-QmN!_%(doaIEFTN zL7~2H-IDcnBr&mY+P%O#+!p7XrHnhJ zT3?hT*PxqB@)cvvI?Vm}CgHoLvM<`#;*!sw)&zfxSoUzV3EJ?L+v-)&b$Oz&x!95R z4$wP`grvzEUbY5MV1wrU=-R}MP2RlqjuW^F9NwB|c^;Iwib?DTn{5^2MiQkdN?fJs zl512X%TJ}$gbUTKhbVG5x3o8yiz1YP^3^0Q(rre6*fPUAG|}{`R+$^^7wY~Q>uuoF z{9&xa^mhPQ_Ne~QQW;0~;%57HAvi>7kfNKp2Kf<3Nl1UCUL`A2l3B7Hkly^8wBABs zRUiBLf#u9q*o2D%WsS1RN;^()&-5_p5Zt1@LSihjVzT3`?!ghli1YZTu7rMt{9kdr zzioJRZzzZv=Y)^#PY=mu+NwwhBNt&a2Y{Ble8==Hb_h6#VCljUqUWt!hjX|6H8AEB zBlq_tTtaVZ9Z4-!BWk^@M4jjf31q$KbfxTKaz!9AFFEw6wj)fJ*dD6J{R45 z0gblOlf!oQKClFh!#Do~`Uk1yYhTYkv_2ZA_E&*L>YB${e zE_TV-@n(E9rk$HCObwr*IfyO@RICNK?E$|`tv5QJM*qcSqoK29h9Q@>VpiruN}h%S zs022&iJZvMbwRc?!Jnp&bCNFrduG@EMkwKa135C6KPCHJ)~op9Jmv5e+c`$OlZ{%L zzN!b`R4m#p{8VlDZi%IOi$YDc_4EG*)+~9P7i$fsot92=;~a9b8FF-V3v^Tfx!=VK zmC4EFDT!>|mLn|RkWh>bb~)OWK94A$;O1l^6CtvkSc6~gl1991{_PVl3r>v zkhNGzCpE(uF9yfiH0Eo_6t;ZcHRO*vjtfkZe2ml*-@;GMKl4p9ryom0n_9(_zs^Y{ z$D3qjGY(W$OH|~>tI`tLs#R4tgVegK4Q9AEl-$!#FZ#pe8dSKK_<{O-rzNja*Qz#b zB`de(;2o-JVE(f-JNR2~i7?GiSL%QwuU|h5H#5Ohr^4TzW*dm^QZbY`(;j6GSjMjv z8VI(lqW<+7VG4kPNq={YKd4ZnnOOZoGACZ+&hw^tsj5@k-wNX}@IUCg9xNOx3HakC zw7g$P8zx6;MlA;yaeB@|^}DP_u1t>8Onu_g zc4Me0)Y75~yR1+*)v|IY^mf%)s9Y>i(@F8Nl%?uURiCdcO6&%Ge{j<)*O? zMv32>mlb9aLn&1qZHZJkTE9%SsJt0#I4p5B#5I2H6{=oL!6@@#5-4+4e}8cGE@x0P zE~kb5Zkv}uiKB6wDVg$GH(<@+=NdUNb)%M6%|RpeFqdYX__k3@jEbCAU?ORfPb~z6 zUZcElm<5HI7oa+hr_(YSf8O>5y=P&$zHYC0i7~j+J4|ZWDV=A+7ADpDFlqj+y8yzcSzH}V@w)iOJAQ5mwH^rgOW9(jtSSIhlJErFW{i0DLl#N2&xwl#L0 zn(JgeV`XQ;t2hpsP`bXf)K~$grksikS;d8kEc?JqGbYYVy?8LhF;_aeuNMyeT{45I zP??Gu@e40pN5>*|VJ;Dnh!9AG3nW65@eDSEYKHhexhBuGc( zmcX?97*rRp1sAGMgyUqTj9}#8zKUXoc_~T(!3#qTXfJL zDv*vrZrKO;R{C0e=L>-f?dW0VQf5*2+uh9apzr6*bAW$hJ2X+C&}R`mMYIPu87e#B z1NtW{LPf5T=@3+)Y8pjhswYV}x>GU`IkpO8QU6vpfal0QkdFYbCI^#05=x*u_dwSL zKy@|?OEBNUVi0KYE_<5J0%Me}F?=`9vso0uviTKFqHF<0#*=}gdIoC1lpUB-L^&bt4Ftjg(^`L?sX{o9Sp-LYmYUggS+vz! zG%;(@vdxr-dowvOJz*BM5zZ`&Xg)^Apj2Jj`iQOHVwB9h#&K?BlZZ9SQa^m`1A;T<4Yn!SrpsDJmoaoqde!FeUA1XW%oEsWv)j> zMvGQLMvjY%euymDPkgrYl>y1%1u32I{~pvaFeQ-zhF|Z1k7f2*6{Iy|YV|oc=ehan zGNJ8Xjz=quuhRdF^yf53O%HPqL8~G5NEq7Z_8m>0v2JG_Kj2*(KX@TO^LYybaRYX) z2X~FV*Ms)n@Zetn%y~Wz4@EDAGcqtx^XfD>8viA2U{ zLb8Iwr*?jo1c|kHh77K<92)%8iW;(9$EZ5`EfRtwd1S3%KV#{y=_}+4$?`r($Q&D1 zcYQw{%nTi`o5m1rh#Fpmxmz`?1)*Z!{w8No-ED?B?4`k3AQtmQP>fx&=!C_LhAfw} z6y3#9dv*)DP?Mwt%?l1*36sJ}_8l|obekI@TG$$cd4D5FHH{f*)Yf=355m!<3%!A# zA>=Dmg#Z*fpRe@vWWW8M%8q9zCNKRyebJcV;P0o&sHBL|{`fC&Q$YA`b#_ilGLu&Zf z!+9#tP(<3y;mP-Z*pX*MA5V!6>Ip0%klUk(Z{h&E*pXlI;VG(adj-*rQ~Ym5B%*|n z5R}ZsKYXDpC-)|0+sn>oNJI;3IwAUvBIwsIFcKF_{63)&xRD%m{tEM*_ZoiEJ1llo zHv=p~U!H!6DJH%XD)T%&&jdU{1PJR{%vE@+oI44sEHkyD2ezRh%* zSKhDLvg_V3`gUr!Wedkg^0V!%JrmLYC_!|FAdK$)iKiTh9|@sroqK8%2< z;{~%{%!y<}NI1TgTC@R{u2;svn}Go64_`)8=m~l1X`HwQR*ADZk+WUy3pD{TiD1Qh z5e2I7JOW^ser2K{2IaHHZ3;nx;FtxaA-04*f#yEF@u&ugOg7y;=YJBJRv7tm2>CM9 zE*^2AKX`~z!t%`(?cWf?vfsh7pB0d7{l*xFUU2acaLq=yQH1&H@bb}rgi?OH_b4#@ z)DIaUZ+WxDYG81c|1aX9K7boyIK%BC_CgPdr{5*4R0@*!t3?xZyuGzi+cA&m3{@UP z{6I3)odj9g^R>)GLtww3N}@aoES}4Eg?=a({kodH0kPb#Kmio=Ujlxh@j%1R#OvaG zzAv1G8*f#hF;NM6bjVHyR3uM%?NL3>;w69jTfqP#*v{)qp-a>I*hjPnw74f^#b>*3 zBRWUj+*SQ=0_dy}K1NPj6r< zZz=l(oCxoSj?eQy3VM2P8=KWK& z=?R;UT*Fgsu0Qi5+?=qWa^$%kc2t$e5{>rrs!YFlL|TH z5R`w5Cd*4Go0~_?%;P@s_X3kp+a4#RDki_9Vxn&jYd+ca@fER)-t7`qh~xnID3Boj z0ZGIoDM|@~fs{xm5?*Eab!5cR$Z?YH>mc`bfbGrjnszVRogTi#kP;k59ukERv4js?c)(N*qIeGm@EtGy7H$h2$4L$ER@iuyF+e$` zf_ImT%(C_I{DO19X19}LuqV%S9Ak?Z_|A#^qKDV;CEn@mF7Psd@_LHD z6}=Ig6%7H2&NIkQh%BAHI!YWpI{G6!fCu`#mfmq?Y1D(3jHnUdE=6*QK}lUI?MbFQ z96|}X)AUxg!>E=t!>W$`MN|nc@~CIYgTcbV>;o7xi3kDeB1lRXR-KXg~4&8vdg~Vm<0ld<4t> zknyOlh(~j*r1FeI?I&HHE4Jeq{VtdK;1A8g99Sae^g6m@`@vQ0P+J&96sYxp>4)sm zu41UeY$QA%>k-cM-Kq-b4Fp#H>{5)?FNgT^gM%)gJ!c=Y;40~jXO)QBn{P5Z0PaIOIrswL*Oo^y1REh26*Vz1ccdrnH&W~Vt zisTQEx?H7@P@iFFj33}CcnbBGAb1J_7f(DVNxWN06gr(uf8zMtq_k-Qk zekl&m1fQmwLIV?7=NIx&kNB*MQp@8ve_W80VJn-(K$44NXG*-WW|H{X#9k#v-8fnFej3#0L2GTI^deCB;Y++DAz11^+Vs~B#AgLRyiKii_; zbdv2plI=c;4ibwF=7uN zHXi*Hul2Fa4I&>B-TYf$*9@=$fZZZJSOWFxeKcyjKk%bc1&SIQe*?;nno|EtD%o4v zPYGqgi!dQm9HlVD^T!`<+B=zg?M}vIQQy^`4dOp9%Q$V0GkZ7Q9-9}h9-pg){QGdY z8mOIc+P!zCu5sw<>~N&M_ROU(K*sp6#=9jFA^P}suY-f*L__8fVL8-yi(UAtpU4~` z_*Hsl`?&%jjyXU%@X>zD(l-CR zZMnjawhh~-N;Xc{)|?%`rH#jpb`2mu!;9xn|C2x&T#S}2Y>bu7&UdxM@N18scGhlmEK27$WnfNz^cQygy$?cmoIigb9Z35R<5!5z1*Q-ZMjnt}CkUj+58_K@u)8u`Z?3a-w6>PEUfZpy`5QY;b>i;$VmzEx zeeXFsAfXYYR_JX*QEYS+=^rP`wz^hD->Uw_>da}@b)W0$$p zU5PX>-HONi-6O#q8#o=qnREzCws72FWmv=#LqBWfcl@WgedgD^K2#P*9s$>hc!IeH z45~Q4W@$oPocOCM5ow3}6USYnXI=Fni_?!yY(j+`o^K<`VPD=N@*|Ga5-ttWrLU%e(U$h7T(sBm*tMV=nwrbE!Ly)?mXY|h_ooD*mjwgPmHXHZs6Rj znJ7P>V2JHq==^fS>_jrzP*9F?@T{3NXaKCt6RbxP_7FL&wqxl>l~N|-_8~KiPw-P{ z_;P6fE1(Bo`07b{>~qG3-e`Q6B_B`IHzb#~pNT#==QmU@+s!^c0dW@&)0ba|KJ*`! zy&Gw~*coH(q52uuq-oikk@oSeN7*{?7+=FqZOZpyqAquyM& zU!?0`{+CFT_Ep4qF-~EAqG?w;XrC9f8#V4SLHjw<95WnStdo9aY(_e5wp7!Ny$%8W zU7%}UV}GRwZX2X&Nq42WX2ce9WxPvB9{PPylyP8Uy;Hv9cBmp0|?nkw>feoJwD zL#PT5G5T61u8OyDyhO5Tc3$enYZR7));yXv@cO`$;3xN&kNkfC00960cmbqWdu$X% z7@q|SE#+0-&stC`g(3x&(g%gPy}7+Dw-0vrkRy>*DNrye5Ns?7ii9A6mu8R746y2M=nwY$XTgr2-tfLA)rDysfbY4v8lD>qhmSJ0_FulIj zogb52e@n^Sb#=`&`X%#$n9SOn%H(Rw=Z=;|iN2S!MQ=WRupa_3My^mj-7@>Ox`HiK z7~62c*$&FFaiN9}>zSm=ouqGYlWW4kIW_>=Xx0I*>)+1*30ASG&~P_SoB6iq>n7xx z3DMLII|H-9^AKABKm0Az>2oynxXR=P;LMce8(OdfPA_1OLVuw7r33gi9vWgXIUwcYiIdTL4bX=70qKSx1ByzZ)LVepvbLF_QhzI^eI;qU@WLfu`>jB9~*E@Vrf z|9$91sw$}kkFc)i_+BD!;T$#*@K>(C(+Mv&0UG%whEH;j<1AYVZ2+r){^*%T!^0cTDIB6m~4!_^nU40H0 zp)#~6t=q~pip0#Hzd4IjrQuY-D@p^afCwC&-DbdeWN^=oltyL)AoXAN1q7o1af49* zjGl0~lk;t^=W}Zjp;oQj_7<)f5w1`(mb%net$Z|xunRD^ao48L;1zkM8&BadnN-Zs zd9QZP{{>Yg%+f;{b?*H3-~2$^nf4l^?> zD2$&nf^gQ_T*D)PlgVIOj!^(CV`%_5sjDZ}!Fv)k^o=2mgm4O=BT44pokfQ+7`>D< zX|Nbd1G3@7{#JP9Q^7J-M|fDy-PIk=iUBn8Tuu&19Fi)<3kgef37ZN1+XpXSL!2}-?g~y-S<>`8iIr$EEfSm=lo`3ebMq<{qYd@D!1S>n?rxKI$&{FTaLRDEw~n@MER#HRI42 zXy{?$czGTN#|+AtMP&Iyd);JooYWhbgA-#1#0@iyA@|KB^kU`dd8mV|cu$7|FF-1@ zBLotMl!xg~`4yrnBUD#6IdriL5%)%jRe+GW2gj3cYI3it2!q;4Ow!6G;7|?a+!tXI zfRSF);Ytz$?uh{BL5egfVL_dRh$JDd9IvJ_kmX`?2bjwfjv}Z`+OB88y%H53{;&Ecj-gn@%>DY<=jRV^L` zED3Fy2U(!{ZU*SH5f?-xS#86YE41KtE8wESJ<*7RK`=Bw!Clc*k^dW#A#Ydh=va%= zv93vaq8S3`s~qlice;ZS(;ut9hyLAyi-dIhR+up>Ou0v)6?eSPUBq^Ev-TBZX2{dq zfOE}C<;kDIq3VDLGIR>9+VfOv39?V`t6aq*f1Um9;A&hikC&V6q1aTN9s6r$AT-9Q zs%3gyH^WlK>1BP#q2OS;{Wv)Ni^Co7GnEW~@zY&zehikOj|^AyJ+Z>`qeCJ%T+woN o9-2$$pPP3mq5xb{fL6+hD8{$^Ngl!QZfb5v!9k&^NTFkCMj>Ni>-O>FHZ06XHBeCF68|Hh zP%!_u^pgKS68gd)IfqoH*^_<;rY4YrVh1X?0Q+cKnKKGy(ZVVzc2aq7k_JnCgc&E2 z24@t8Aw$~0Wyt?oQ!Kyd_;us4{Wna_%sXSx3_O;FyUs?S9|Yj8^L%<$QFA85rMI)} z^s2p74$(VXEI+$C>+$rsTx&jeB*B{ZcaD8Pb$<}U4C@rHa#jC}#c#FShSQZ!4bfrN zZT@+=lScmi_HWd3bV!!VjoOa)2Ep_j;?MPrIVCnYm1Fr(&|va(DM4dCmT{(=g84io7Y0w9E*8^kDm4P!Ix~_F}ras2iZInB_q0! z7`rRAsANk%xt^gLT-QQ*F1Inu6HdO%Sp5~s+n$ER*4CpMQT)@Ud~O{_yT-X#a)UeC z?mrVvc&DX~3)Wut?Fk8&ba1J-^f$rl;#%GC~25#mFiKYXYyiq)D6&t0!pSFKw8(}!J*!s@HT8UmN5PL*8 zHcl&BJNof$0{iBiI3`*)yX_D~1`O35(Kpc79I994oEB9d)|E*}a6X>%cKe9kh~8 zjb5gdle2j>*^YSq!$;DH0|?01Rlb;^ zc4rWioVwRi7RMc=yEE#{N11b8a`GM1OT{Fvmi^8awi{C{jcXV9_ha1MbsuNInme;& z%+Rf6f>$rCtHGYVXX8emR5VZf?ZLa?@cH!qaEoGWvaGu=Ewia_@Y3yQ*mEIwrkMPS zu|ceRJH}e(jXd(I%FzGpmBns4_g|ahHQEhP*A``)R!*2z;Yx~_CgNpo^gC~7)@_iA zDp!*kz+&5Cb;n+?Vl5VRKxeRF+QQjo>YdT0;o@ktl`zjt71uVLwH?*)sMK&?ay+~? zwN-fbPJ9BE1*7Tzx_Cyw@MH8rc!GYZ5b;2w({aejNQHcc-Ja{USva~Me8xtNs=XEJ z$)})fcaq5nKN`9X3n(sP^XcKOY8*m`Xsc!!j78Jorm|1z@j02$AbZj-L5ze>Inl)!?#mfjF^s^dynxE zbBn&CBX?T%2$ktKU<~a^J;mToP5SE(j?z)2#c?Q-tMdLGaNF!lSRV)yYwp zZYKF8%M|^V5DHWqE`f+s0Sukq)G32)dY~^+^*Ssv>rdO8k8ccSr#A-rR0M8mcYf8p zZN-P_<6gfbl8VjU@OwS8UM9IIbYf@t>rzwf? znDZS5Ptthg`u+XBekID%aiPI2c~KMdOKy(#l?$jodaY_fUku9eX86Mfu05IlFxZDI zYrR%Vzmb3V&qzQ{0XV$PZ@teug$1zbaqQEvk2&|q)IrIV@i&N)dcXq~=MM2Ylonx> zAv{rDC4d+G6V5$)M_US6Qa!f(`BVu~h-7MG!ZOrIE9>0LqBOmYp#PX?;@H0AOE?^LJDFZM1} zp<03E-xLO3bEnDsCidKJ)g}4F;iG@`cGVL0 znnD)gYk~Q;`~e4BpOi^HEQS< zPHXu5C5!FKS0Ih7Smr*Yq$)hR@X#eE^-WbVXWSvv($fDTp9JxgpoXu0Mz=$4Xf1jB zjrCP|oVjI)_gqax#|(g-O8NEc-03R#qLN3rRlibv&6a=foLO_hq@@ho+;`&=QnX*W zm^YrFzj2wc^hN2i98CWG;2df08HU-CIy`!u=HmsocaSmGxqJ&DXH3&OSl@Ke4*LDWmf(-a97oBp(9Jvh@c`!Na5H&W?ngy_D@n% zGRa0d=nnV5)%cxz^p}W?%*`GAtIj9@=5DWxHq0+aA5A;7?CaO?q(?!f zu$Bd}6bLm5FGQ1y14KJv^%x>j-(`{iM4s;$(DysP!+S7?xkTZ2Q?eUaex!gd{g7A@ zWIwpbt$Uk{jWKkqmk`kNYI?60>F;N|ukcNqOl%V(S8(__0p#W1{0v#yA+4KO`Mi3b z(YOe>6dN}2yLNIq-njVlOKr8q6+*cDt{HJT zr20LbQbOaS@G>EvKK;e>^Cyay+f9Wi;k{e7iQ^?fZ{s4Mo>H<|)Lk699*o{@qz%D4 zgjw57h2Z7)pG*gX-n^>A#xy#aDUhBMIPKh%JF}UaF)el|-VS?n+TXP&Cgr!g%x!WD z7cc*a{Sz?+({A5dNZ0f9JbCdmnl|X+wsE9sci}sr9>O7Zn6GMz4kYQ!Sn!ex!4r!c zd{JCoZ16apBVQ3C7!!~ z54b{(q(X6^e&qb$PQLX0+XLp>nHVg<2QUQu%0ET?-cxRyv{zRDVxq#yWB3(BLtA7; z`wK5VSJsS#yfi#bx;J5a;c7u_=_yxpQA`U=@#gLClH*}J29W?^KwYyvybu8QUyfcI zXV=Ies61NRRgdc<>5E?eOydb2KV=){a? zpD^rfHJE`1_pw7f3`T&VBOuuywD59sdwqGiPX+;A03Jm)#CpK{rcfHO^DwKU`7^ii*&)XxQpeE+J0vB|!=&h>qQ|JApll`KJC2;GC@%6yT*I5wfP$jJkfM_1mn8RvsKA` z(9&iw@NX@N6^Tqd-?;Em`3Y+Z4)n&=V=ThWuK}Bx4F>ia!ClYLKX2QOG6%0 zw3cGAIyn|;2%xf|S;x58@-f`j;bz4LnIe_68pt*Gxh)oUUB4JI7AyK1zO%bUYJFGy zsPynx+<}~MkHb3$p@9hFf~onJ&#|@wWu*zM37Vg4on&*j8JJ>d(9$DPi~4*o6H`%i zRPwB`-toATE(AH5pg+`!zg4Ws5SNpGTZq2I&{i9U!GO&bBY=~d^> zig9H!Rl(NRi9L;-`D8M}riV#paqBJf(Q}RKK_v|a8dZru>Ncj8@M9Z={wC_F(j@I6 zhL+9K$_dXj1-U-c2RV&=*(5XjR$-R6Kvfy-tyWuW<|u;anyo&k zr6!|;^F{Ey{KQ@|Oen9)d~PoElPA=7E$%G!KJRbTHtUsI&S4xu(*=iPb;DiqJI%q` z_n|W}a7M;5FI}@=eoUiG;fS(fd%YWl&Y)Bm9(BzM@7_}dtz7^Ai!Ip>+)}MG*ja?v zABM|>-@ef!9#&~&7>VN2bSoStu2dTDP{mrnh^EB~q^Mo*Byq-y#BCl(DP@5z3uMNJl z>>aP*_ZGyz!0+xo%EFdv{R@NPv*xcA);XOQk(Ky2$n#0~9DTc=1I5%Y`bb-0Xq=G+ z8`ZIh1oi5%?<2_Dk041r*xRg2Li$8zKD3$CL|zU1@w2N}nI>VK)cXC&5mf$Ki9bdn z;#}y&>?67S{vla=JwrkCq_qU$6Mqk0tNpzoG{v0;0=}|7h%-p= z&tbu*IX#JUt}C@DK6td9e1y43W(9}-)c&x^TGW=p0~vE^Z0jvSJt^l%SfxRN$Ctmz7GHiHJ*ilqf|u3iLQt}F7&(gZhzm0 zPgZ(-xO_e$s>(n~w3ML5KtdiBJu83Wi;{pRLK zsf64O>4!PMJ>tx2fG?W7^8ze&pP1MEriTN%aL>uJXdK;f!(E)0{^rCyLkL(tox+JE z$TV;b-jn!sl}+TUGSFC_l{Wf_-*(tU>YCktxi&$&NW!c#(oTC?a0mZAI=S)RvuIo( z*FzpKIlN-nG&AUzf!{(I)`UJ*6G2ce^g$&oHbL-!Wg~T}}hnq19!c`Is*A2zH2#l?mNFDrO-=0Q8xQE}ttjjCpVJ)G% zt#L~=cu=Iz+w_8NBc;pFnVnazr?IAvP}^3(W+g_7O}9}{+4;BT79R~CAAvgEnEfFT zrXOcvMx>w&5XQq5*Xzp@*k<4d>J`Ll5lFJH_`O$4CMMieE&aEQcRaeTWbY_%rcr)) zqtoPd(m8kIu=NV|2f>qNzdConZ!id@{;gNQFkajBjLa6VDH-EQ>FhM{RpvZ0PbRx+ zV*2$&C=7!`0P7*#oA%>CDI_NmWD+8)itvh4zv?Xb?YjjbW-eNp(qUdaH*({UKLWS5 zi|rorlzYR4bZR)I$BL%wdP5)0x z^F-dsU3(~bjX8P}TtkFcu|Acg5}V4^;gnC5Neu$UW0_Ol-_yKUa?__IP~`1VYQ{rm zSE1b7o5{X zsXtgxsH#g_JD0gV&C#so3*#RNx8`T<8`DJUSww`;S)9$))M4$EGoAm!6hUq84W3piriXHT_#nxFV;=SWTxd4UO>z zN)JWwJ8eS>=$l5eHF6fd-oLZM2H}Hwnl#!FS2_i{F-&d_8mZY+X-@v@djq;9gDJs{ zXCGFyd#P~MC+KtmaQ)FDZ+e{R`l3Mm&d%)dk5Ym;$@;xIS3Rf`O%p_O&iP-8<5qR~Hr}vV!wb9)9hj%_j`Xzu zoh7noT3b09D=b7SOB5MdG@y4a_Ww*|v|&-e-?ESro5b*OSb26jiG`3b$>AKw`=Iiy zgd?;HTKxGz&lr%V7Wlf^{{nYnyxgdXSR0xPOSLcB{Vh<%Vup4ot0~Hnli=I5%y%Po zyb+<3Z{l(51Q^dUpyy6t@!itPGrDPFeB9+e=<|D46dG}%jUATmo-i(w3nQJ^r8)H` z0Mo0z5{};UX^-hP?w0idm35MSs3J^l8-d{3i|1a)_x;Z`QMpWm=l~Qy`Ov6{7$L)B;U*_ zo-L@)<$AAsFm&z||5SBg)H`QzLb%KvOv9S(jWMbtKPx5r`7Z&wAyY)of&m+_YIvjw zks7!oy@a9jgX@+uCPBex?g4r0`9Yy$*4b355}8%GjjoN|K*ia#fu}F5d>M|Qg-gWX zr&_X0-|xfyI`4H9{||N7&1&YWiNy?9@;ZBqlARKr zo$v*@v@uF2_O~x@gjOC{b)}9dc}-xjh&jJ`j=TIN5AS`SHWVUjF)khy%^xo{HK3B+ zkgqhCaGX-;Mkz-Rzdp$?oN=}-R2_}%*tpZX;L0c8;dRFZ{ZPdnj(gy$^-eDKsys|? zZf!CTU<4N-nhUc99-+ItHRtmz+c;eh89FgCYK#u#!|bK1&yn|riJ?<6s7B9#&Yqsx zUor)w8vwAY4^Byo@C=KooBa_(z7uLJVx~Ujv-~@LhZya6#}_OajT`s!Nqd@u>Y1V& zyYcRO2qy<>T67AxXGOzjNcu6?fUm$OSz{)rY6m35rRWfC5^fyC5tHtI z^Tzq^zijnK&ifn+(Y+piPBHboF9_){LN7D=X+&yuci5T|HCqTUIJReH3KBY~S~>?t z9g4=ga26vgvNDe zi<@%t9yufdU%tjKj)dB8nw@pP1l8k=E&N!86(u~vt0jSthfAK%9~(w*Wd4&f5b?9YQwgyqg$S#eJRkp zYO%ZVU{?BM$NgbNJH8I1u+Op0O}mMVPoBaVRW$A!iwBS&T#p2$yEdte1S0TsrtNuA z+oX$M$B6%n2LX9p?SEhR7*M5i+UjrStP=tHB|2GU(76h!oz<7W^0&dy@RjQZV6wA` zPm8+P#q5LFNth%6*}JYZQIlUeaTL& z!{^^!EVcl?as97gffI5WZVoq*)=_#0jK@YV+Eq|vVLWT0bBO?=?~FT`VX7p03_ zx(5b`_-mmxHouGtf^lF?1@SzvrYxJ8kp&wah(SZlDI%VUFa|jgn+iq2`QbW9LcM4t z?wg2iX4~{@sMqnuaZf~R?SH`tBT{{k?+39_BfmeEL_bILxfig9zLMIQEB^|hACLGw zi@1fjPly=TmO9FYFBr))lKeG*IbO*5Cg9SGdn5eT42-!5h~2kpx&<^lM;&GCu!*#V z`BI;FxoD3tt*bly=;?y)iZ!iCOBwLqN=?x_CSmUYgoC@#+)r^9j>Z|&>mNQHbR7y| z^*!PfzL_o>$G-A88wA~%^2egp!d!|k_O+a=?*L#xv*azZ%yx=6W3z>0i)lBiMo^(0aop$9C>vuDhlAM zyAU-sro8^61@6J*C|Xh}khsPx4!Q8QL6hyhcPZ<&1?uHi&W!A_Q|!v4O*KREmc5S> z)iqc$5HzNcm#n-898K}=;jtWxNuODux!&+n(oPb?284PEXXa`gFz^vX(I$Wc7?cq&wqP_Mk@;B(n%mO9` zXN+cLPh-h$e6Mh1ADW-=uis4gqulNeLdYu8PT?dPv?Js;|8D?7w6DuexE}+@p7uwJ zU;dyYw@I2gc|&{gmYiRJ_qf@nnMe1|;GM^(eYNOhR$@NQIcV6_KEiF9ld>7n_bL%) z#cp7=++uyb>n~Pje*0@cCc0MtR}7xhYhiRMCxQBy5P%IN8WoGnF@MxMh)D#X3-IX> zip`grt=j8;>{$lTT5P}(ZPbzV1(~T+{n`%zkZfcWk=71y5V$+`+!nv99Dsge@R1p~ zhGk>LUW-B9`vHL3K=zy1+9*B*E-GIem>6+nA8(Y8>X<8(oD#r%mrrS(qe*TK`ZDT`{Y5VLiJX7!E11mY6R`dd zgq$Ssbg6LR zI7k`Ub`-#ePm_uVB5$t*K?p!^nv2hJ?Pw!2>azHxj0f*9O>cB=Uw#Sl=lRu%lf@qw zC2=0t_q~4-42a}iCbvE)xKQdv2y{&&NZPP}+||EaI1dUy{dfF5Am6C$O2QTp;aJny zXgAp>V&k|_u@Z70cd}1&w?c93Y4&1b%JkES{HEji=RrCZ0Q!z}G(Q5wd9a??iwUG1 zHI4>J9@L7n;;eAA2T`u?X~kPN3ok8BX4#wpbE>;4>gikV)@C-xnIt{WVdn<#y>h%F z1_wLo-XD!3xB4_cA^&7cqM#f2yFf@5x*z*nawlT&=2nZi#ZkZ2#Sa^jZu$Ww;QEt# zQA*nWRPK|&Iz%2lP!WB169azQX6{cJHEHX_q_C8Uoi1iMwti>u8;C#b{o8OK#< z{dg*$ueH9$cd5hOu2XPLsIy~P+0FCw#YM4r*?rg>Pc~hv{btvX_k(2?*On?nSCa6( z8bjgpd-ig)u%*i5+PqFHt+&CmrOk7EW#y`?6NBag|HqACknD4Zx8Z5_FI`>tLYS@J z!)Zo^j7rW(YbHsic;>fBV!m%5rS?Sz)A^}DU6)}G?sQ3Q|NYjefs*#E8P z-9CA0@X9PO`Z??dKOQew3IBi#ieMpfN=h^>*!C{k1sDS}!5C1i8Y* zybR_@6MEaVhePE>4n7W1{)Wa!kTcPLz&-GLlA-IvF8?|Ipx=tt4XOTK5zZ>2j#%kh zUR+dnEmsNOpyL+aP2`M?w{dd5`N8KhOrTc4BXG!4XIKv9j<<2j^7`AFfw^?1%Vhnx zmXeC8ZL!YDHb7S`)4A)af|{jyy`$_=Ae(l@n!mZ`q1=jBcr^dGd<0rIem#==bx``Ni*K_kJeUhI+Eff zciZ^=yr5T;yM~Il0 zZLatxv)qB?%IFkoakMOZ!mH%nbHZEz4d03lmSW8+7x4do|TEdXE^Yh(J?cBX` z;p^-BTvwF$EWw)fUcTy<6>5?5OIBi*Yl zUNt0+n@WGOl0gEE4}X9PX2;e%XsXifqfiMx70rt^op-_!qj^{E}vj| zH{mc9>#!uSBZK$8?^Jy7SU=K4+j6{t&9;8Zw?cRfoOs6e1~XBzXn^FC##^6LhK06` zjHo5o+GRVI74F{F{NFL0AZ#A`x6}$9kW2`HT0P|HcItvSrx0`m%0JB~0#5?Cr~##y z`4xV~5dBLl|HcuyHo#WDZDmq><%EG4C)l;>p2;r10(|sR_Sv}S@pfxVR10!-4$_Rf z_V0%5KDjnC)ROOlo`Bc;&r@pTfMrpwH{d#p)_ZS%M}XDq#9LR>C;^}ctkx{jSStW| zxtKXv9|63+&{ypzj{&l+{Vtw>7f#JO+r~gpa$LeD@d)G+=yq}QQe52-afQT51KFja>&tHF^QPb=6f1jAXMmq;G**)K`uRoJQ00U>e zlVG4W#NzS^thNLY4af)E`+JvNkwcVj9d3J(qF*MOvyc|>P&!aXwfH5jTeKTaJ^&*O zSP!YDw3632O44H*{*TO9ua&1s>SntM)tn{WERRD@y2!T&x>n4(DO@bW=)4tlSg)-l zqfQ8CH`3~g?5cEzv~hw;SPP~Rr=qk>ETX_azYhuftkZvA+sB#&O?No?=xbzSzjTHu zGw%Lr%fpHl(-@4!R5sRNv4&g!J*74)sANf~^o%b(#x7h9ONA(gTsWSQuh+33jg(c@Ki!^8nX)WYc!UirsSBpYX3K8_fPKY z9Hd3tp=;f7Lzj<5tDy%Ty9yi>QYXi`;TZ>lt`iKoi5R?~n-sIvNyRigG3B2qhu8I7 zC6V(c>ERN++7x;(GZvW|M4()Q(i9V)Z0z_rXrjFXs^7&e$icUA70aWu!?d5>wPC*s zX1|J9Ev7ZKA=4(@=0mf$>*aL2HV6dHrI@^c?fI0csAA{Pg^jT%(K&9X17q*7CtpS2R_BXT=LKM z=oj#azexn~A~szU*pvl7^}t*z&w{(feZSa1z7|x&UB1JweVP?jFJM8u41r%Gv?2d8 zD=YEl9K;Lr-8ksUdlnzx#u!co&qgQM<9pB(-fW(3fg$|bK1!3Fc(!qYKWURU{2HIc zn`Mv(v&{^{>=xQ*Fzg*VoY`l)S~xU_gn*o{fXxi^>=yFc8O$YVK{dcRy3I$?vv{^ zT7ra7xp*gj6Anrf1paaber>M6P_saUtf}%-6Me9URFDU*%?g3dNZ?a9Of_j!B}x;v%^~55u5kgtgjFO>)8E?%alDY^wN6DLZ08BuU~LY4ZZQ`6U=wS&vivsz!`4 z%h7Rp!q5;~#uY~JTb3|Pw!Qb@9#v4Xj_S@y7S(Q)5q=KVJ!g*w4XA@5L`*JGb6wkl zkupHX0%(`0o^)GFRk)}hUt3?~R(8{|XF{$+6R1`84FQr0qp8S1xkU#11(OzEXjdJJ zef@fHz0?bfMXNIPWx!NnPNJk>U`xa3q!$mlB&c7@Ca;8BeIj&C=wBxh2WjxVN6Oyf zc6v);?0VSg+E~eSs_qd|T3KS*$J$Ty_dFWirZntA?nb2n^|OF<%vXV%ZQrgMK6$0z zo8Q&$mFmQj-p@~Qq+?Gl&*|#_w$Nuso>na)4SL34GOD_U{!w**r<8h=owhIT-~=)M zln@A}CmG|+=P5qbS;rQ{%TIf{e9jeExv>93z|K!}o+9WiySQbr$Vq))!u_uVt*^e2 z^i7rjVjyi=UjA|b%#dmHX#=}Z`bO-5wZ6+^8!doT;zYee(Z2y~n+I8mV@%xzOdkS> zB(}Mg2FQq*#+pt{e<5jgdHgNSQ7t#klKI|2j66Tjhs*M~{`I?y60?dhDuc*B24t4p zA;oN>65vmDmjY2)%7(Y?Az1j}c^AeoDO*!t+pi~BGLomacIB?G`S$WcMnS&oIiwi$ z3DOtx2#Q76A9+fJFRcU1Fjy_>=d%C4duuGdq!8<4$2K|G<#xh`^2}%Rx_-G$xmWGo z$cgePbY*IZ-kr%o{4TM^yn3}jB!QJfMv7s0 zdy5;jhZ;?GCrm5pk(j4fO!|IoKr=J#^cA;dcqGRD?nt;ku_VFO8LWV`Ja;I#>7i z$rvR#Ub_fDDkrhDBTImgk@V5WZhPcT2)8Kf{S_flq@|T&Qk6_7>oE=QiO~DN7^Q6A zXA%x8Oeo9FXC%e6n0 ztx43i&uxGOc(B!kHbde}2;Vtoql5ez5xhhe75~G4I?vpTKL{wbQ;C_$?bwKB`GkLns|>zRR^?n31=Lo_m=PnmB53aQiN+n+i&WyO<)DJhvL zNu1dl8dl#F$Ey?-GW8f48)E_tS}Y1oLE|ojyMH%mHxMMLiNAEjrA%IgmP?QNBvLep z(u7taB2zYsQCck1hfY3)vWpQ&*V#+t&C>hT=)Fp#(u4+=_*21POZUx-a)6NwO>B)# zA9PaWjFUNQUA(v%8|i2*^OO`aWf&RnjsC~7H-_p{i>KHUs@Q;OLo1>fd(u?L*w)v@ zc|E?>)+X}$-^aMVqN`%Uy_=Za5cy&96`iMJxT;Y&XKl5b$qD^cF=GgUBG-AnBhf9l zt7!r^dL!z!i=FwO7|ls;9O3y842O=I$FFo4W~VpKQzi^x|2DgByZ& zZ`<#cVZ$zkHx~;TA{33mbc%FQXJ_0jsei60zWo}WW?u}(jB{nGw)UGf7VsphpDdyN zEMdhnS*v#H!aUCTiAlzVYPLeQGoEYw&(C+5`|1wGZ`POlxNS`m@B^vPIyxk>!J>G|?qXdJR zDQtc+Bi&2S+IS**n;HGmIfnlb>g1gvARacG`DrvM_(vDVl1D4(KL*HX43iZF^;dsV zEOE7?ISaWhlg}q36jEP}!u0@S=jU zKP^$ty_F|E^Dh zNomP6zO-y~LGZI&XVfx)GTk@q16pez0J~ZY_`MTE5}Ij#TF*&Ucw#~!EUAhR+SmJ$ z6Se}UGa5Ap*h<%-vCLnD5v47oSzX0Br62snnRD@dM9&EB(8LaUFMUGmx9h1xtG6Gi zdNAP9>KM9@xHiPpR0YMLb}%s`(&JGdQCl8aNx$>5|KsA_F|q(dq0eMMR-v&}LBtgJ ze8l&^Af!lHdA-9LFhSbcl#&z7h|)-XP6t02LzHwr{iun|?{2Q$h6&5(SrRI!Czp}B z^AF6JKWatneXF{(om8m(>pHJ4FpVKVh6$2UC8DR}jMf?K_r52^G@XVKuaLtMQCIMh9}0le972;gL`Vdjz=(@d!VD=Nq8-%d$LB%;t^m6zK=t zdYX8d*!!7y*`M0fo+#GvU!=DzV)Uu)=sRd+)_g^zk&-qKBnc8MJ)J)^pGZq+evCt{ z+8NXrZg2zExvK7tyj8Cane6jHM3j)hn&RE=Fc8NAGFY&+gh+Vf8Rt$f@8ZG@H@h(N z)5~9WQc+$`=TB*M^#Ns#`Cs!byK3}iswV0xdcIbkpZ!$>^0^rO6(gz}E=78?22vhF zYxvV`?8w+ZRAxSWTHV7eYyjUd);aiNH@al&%!G;SI{n}hnUg^-ZM7~o{^dFp6SO>@a|8Zsj*H#*6YAzt_DLNC|)*h>Ckr5uaTX>#_oTsiQ+{dIjN-4LzZPd!yA~vZW&Hj;;fpi=bL9->C<# z-W~x^a+SoF4pK_f*f2kb05D;$YNo_W;$V0va5Qg>(PosD{s}Ur=V}w?Ze(;4VFg}P(e;AMW7{u@0leo8-HXLV9{q5SD90tQ>a&W(f!_Z$Idn!u2Yde*A~X)R6r#>< zXqQxlbN?|$Wj}H+`6m*vVj|5qvT%=Z2g;;SOt5`}N@O6(RRqay1j%WHFbpQEG?2w~ zH?s)J#B;-XO%BEd8}sloKX13?aG+@7)fW*T?cupO4xPD1mw%mP_)Dbfe0>y)zus1ej3lKp={7m6#*gn1D?Mb2ZyfX3(`ea^gMQZyEy_3|q(<(sL4M5qY8% zFq#@Lnhsq*iOwNv?1F@~9Iyn9wQO`4VQ?8?04v|zZ0!1<5*BlduEf`^e{y31>?CM` z4Duw!{C)LZ{H5n94b5(3X0zox`*F%UE_+Q=WsAe+w|?YOF@Ek(qgeb!n*@)tBRTE# zNIo?$NP)~XxEV!(1-k=E>-cD>Pcdl*w>E!GG;NXb3vjwRR3A{ho5=5U#cgi?WlkXY zY^H~nc}-;|QwZioj;i9MMtTUNjVm(Q8;Xqfp!>{y}GU&|l_iv1mfWU|YZW<7#39RA2ws(36q1 z`xP6(0m0LK21A<5*q2*PhJ$kC=e+b`(BIc*VyAFbHMgy+2+%S{`w~VUr2l?({_iY# zn5r4e_xWwEaHaHJuDx!=3s*GsmPgcBB!UC{Wi*?chX;B2mFGxZ_I1@!WbD)>2K{hb zQv|(`LY34EBs)^fR0RP7nY?^DR#CvhQT4uEIiHf`vX8pM8K*OM6sKiwrxnRIo)Cc8 zIH92wMf`EJ0}fO4V2>Co1~Hv=FovP%-Q3)(hW`g1^4^5Y-`1Dmb(>z4`v7j|MnIFb ze6JpRlOLvjy7g1X3_m&48YiY?A7XbThBp@Z6O|}T=!mt>E2R#Qas@_Xb2tAr%-}cz zG>*{@kC`<;x?K=xHeb!~joJ}5IN*G=r`sw+B|r{_QFMmmaujkD0UE#nmXZG?pjg^E zM%m00-{HHyket*WWTs#!-a~s`4K%4{-XIA!vAD2?|ASfwC>-3=q23P&be`50ArkmY zDa)*i@l)I+RzVJ`-|{(XAe)X}mFrtBio^))kn8rRt~9PTw_E-~I!KP9Wez>m#G&I* zQcvIQa4>wX9*&~qNix)f`<f9%IoClaxuUr>_NFqw4A9IOJ@;h8H3ph+; z;W!vg5lQm^879!LR3j0!N2=)us_8r8pB^wo23K9W{+}swHoS!DoV?k#vQNp0utSoW z?jpnpb@2A`b|YfnC}$p|w4wVu-3{O#QJ*`u@AOC`^>HHgi6gU-26JIaoHFVdPp$^r zgZh_Y%jluW#E)fFzvbZt3a~+iY|}TW(503Oe)wED0FO-d7VJGtn$AlfIX$1fiqJk3 z^Y3h_fknb%8+vIzbq-60U=6E~jR3k&DWvPS(Ls+73bp~%19LI8WO1(JjqbhLk#YX!v-YZ2f`o%Tiy zaga|TAk#!K{qGkXw_KEDi9%!Aqi?YbXvl*H0DnLg}o%4Mdn9loYW-wg^K0B z2#w_k%z&lB_5Xya6cPGMO(+yeQ*{5M|MT6&LsMp;`y+^%5MPJWVvk#mLq+nRm0n>e zdj5e5QK)0VNhs7+J@cmVbuSaF9Fj{qf#qZowI2 z)pHu*hk_Zi9jb#a*9cGP2M8sll)aG`k{tc_G3pxAKR#JJGtVgAQLt3X-qjx-b$?7o z9p5l1;=7rGUY#+|s3rbDP$m7jksj+Q7DqzV^PP)ASHwWdtq+m}L36;b`4w<=zOTxb)sduByK4Ck>!|+*>o4Rx=>pReb!&OfKZ5rlzIk zcOKXJ+OcR&b&<&1sxZ_~TTGrZx1f!1&gX!A#D)FyWv7f$fT5h_&UV45I}>0vyIGF! z_oS+|m<+?m?}MY?nlQbp*tv7W{>GA4=3jcDtI&^$y@S{Rw>a}Y&4u0p!JV8qCiYxX z(2!E-t3jeMwl76|USIuTO!#m_I{NeRn%0xwFSb!l5^CxQi6LPMKa6qjPJ)m^=ZtT% z28J(>#hftjqa{|DYNHB~g;o~q;}%~gUiP^k!obPpRV610t$*txf`_`a7CZec;MiP< zC`q_8g>Uw}ymolv%8}X7*U5NFOD~5^{uQ+ln0s2q?V>9Mkp454r5LxA=C~SsH~opA3>)b=AzOec(}?p!2qCP*nya%%axUZz-QH*}zV|NO|v8^5=Yqc6cwSFs)dw zth#_622N&hkKIbVRAOVSiH=+}rxu|g-?DNhUm&yjsK*UinB_*$)eeSX2IsS$N@n`s z(#h6v6`)BiaCtg z8$;)Ip118MDfH`p?onurYD-&{SoA{H*5*GBrrf~gou0SP7W29te5uA>I?JA*@Y$v} zlek|H?NKrM^4|9Fn!_C+<_(=^+{~xn6DH1pnzx6i&#$OXHe1OjL*<;uV$2I2V2R(p zEOpo7xd8=Y(Sq6`VAWYRd5b-l;{K+L`oV=ay8=5R`)<5*v1cwv$@oVD((rvHhQ_!y zHM&D$9Fkjv=fmPS&^Df%Uy;)Nz3_u(3gg18^VZk4r+Gof828n99(o^vlgU%1BVl8n zWX%9BKiTbk?10f(k6G36{R}R?2dn%46_^KS_&W;n@iu)^a_Rf2*n@L^Q+nBNj`2yz zyK$JY{3`5W{IKm!DC3Kr3fCTboN-T@w!I2v7vCDRtp<;YHSE-;eD6ltC395;-v&n; z<{E-B#u`Besx(@irMYT5`>4m3skn+IU*D=^uxg z^eG?LgviJFEyeL0LLofFB=;&R6ko*g7O6-p)}?K{M`1f?t)t}wXAB&JIN4J%^8Wz< z0RR7Z0i;)ZY!pQpp9KmnH=I*xKKG@wujzm_aK*6MiU}H&8 zBm@b@L{NwlqrpT=6Era@icx|Q1rlPw#~&L0AW?%4RAS;E1~B@4-|p;nuh5pT$;{r) z{N|hQ`I|Y1-OGkB#y0=D^-FlM8ffW6+)D|=6Gm3|k{Oa2Y-GB9a!uSZ_%~C!(+k!6&%Oah9!u zHi*TbKQgxg6OE0Ah6_v!qvov;Dvq)}&}Nb*RcpIXqQ|9VCvDoU??JS-r?cxQMJhTb zm7oYISqr_5qx94hTMRvTy&FHJ=O7C;H%A`vVbH_QgBd%$ahgCKra?nxkyUy}o)%RA zCrxI@;P>mhtIy&hRE8F%HA|U6k(mASH)n9FG??;vMQLC$h`^CKZ90sH2KU}bX=D}v zlK*9&M?jp%tvz=I}da1yEc6a zuh26+cnXKfq+*87d!=i^FW{ii5?=?zup($pr0C-Iao2G~IyxbcN4%_|xyl#{@{E68 zJMj@9WV$&y%*>#mFn-D?!kO!G4XXhslff`;y#QFo(g3iNSB|&CdkQr4jV|=KunVB0 zN#@^OMTamLeUvn5umnm2vf=o?R(R!8!8BA`xLD3T)g8%-0W|t->tO`Tii_kfP7t%q zBJ3BhPTw&UMr3TL?vW!1huv`YH1zLZs&7Gc%b}rVL2N?0$8dUaGm(RN{~5<(@MB8d z(NnlidW2h~vR_G#u<>LgJftAR0b}*`He(A8NtL37geAI^&4&K11DCEMPMR5Y1*fVk zX?l*t%g9AAbXKDTdL|GLUi*0a=T-1M6&l)^vdyfoGO?`Ba>{s$_PnP~*E|HqN!!pF z`G5q_I7OT7-AsCn55$Ig1gH`UMtQ||kM(rcjhsxnVmFkH0c=@U*|T^bkq1fP5~Z5* zr)2llT3jUe&!4HFa1iX15CWC-xY)4~b0Ty?0oqGj+{JYFpCYnw{lyR7L)|6+<<}4j zh2KdYex&r>W*iy^4LwX8FVAEDm_Zp;M3z5v)J;XlNxgwNI5DxR!wCf%f;e0FqbQAMNpZv zUHuAe3Ar*@Jc%ORZEVFjdz zNc7P?>UkWI{`L(Guu7WjZ7F-S6EQOWlzfihwwm^qi9C6gl0b>-bVhrwIMklQxT(Z!Nbco1vEp(k3~|HUDBG^04BnWT>@-Lx5}hC@TA+1yAG zVc;NJMsD9-S&K&jOF&!hLKdi=lL7i{#04QqR$K7ph?IZ36>w4Eu4u$TKNu-5&K=Pd z%l{3@khd#$bgo0`Sl1*y-VA~BR1WvL+ucEr=#SOkOaC6hMMAoDD~yO0hTNmjire1j z4r05ys=dXS8S?bj;aoG5dGe=ls2U*r44p=+_B_#Ag6z}xDn~KNUuS+hum;!5B*`=f0X*2#s*6Y8o!rjG&ZpdU^kGC^(pIJr4H3;&9vhOee!%_+-}`pMhoQBi%`P zu2|`M;UVE4u4p+s2hAb#&(1#>QUER~Kr7`$6cbziB#&ZIBT9=BBXZdP00030|JgUz A$^ZZW diff --git a/cpld/db/RAM2E.cmp.idb b/cpld/db/RAM2E.cmp.idb index f64c41a090462b7beca69399985a56808ce09731..7efc5156903938a588b58be648dd4979bb680e44 100755 GIT binary patch delta 13412 zcmaKS1za4@vu6^51&82nA;H}p5-eBl3&kr+D zwLiGqBaB*H#aBWum2BX-sUxdtF{!42hTnJ5(_-leK*4DGv=}bW>Na%AEuM zVeiazcy!+>$N0v3k_P27+7=%@O>^EJ8cJ#6sd1lc85)}3T{Z{sjrH&X!;^f;_ZkR3 z(ovXU`Zv0G2GZi_=zEDiK;#U;q)~!_v`8EN)KgboS)T>P3`a3wpTC^-=To2kEZd0F z>(Lw3?klQ{Rj}R~wb~jr+j6fxw@iE#R6l9x?0I$bp>eh|0WV>meIh8SLD4>O>1~st za|V>Ge>yfVNGb*Z3NSg6^qHHqony=(Q15-bVS9i8*+A$RTbVzWjl zdOuqKWNb|A4g-h0VQecaDVwJr$LGO zm1D^nWEf`^A6ZEmuCS9R-jOmlDGroH>%VD|J!k3_h6)h5n=u$Mf)6Coy$hrP>9i!&2aP}|9f*IoEo7%WrjV26x zcM|XRm73*`qn$+<-4zOxVBGQ+@hqe}lZcY7X` zrvFbYEMK3Gl!|VTZWBZCZqUQBU!J@`yLjd733!s^Ki)+*WL8?@fW+qVwuX4l$=jDbW^0*cpxr&MGEkJQCtL+Yc72-+^ z&i%U1jPcJ7U1`f4f$?%rCYr2B<)ev1;1w~LzO-YG+)utw_=nhp&N^L?2nWTrJaJGL z)jLJO!^+C?9_#G6x%Mv)QMRWI3 zHtYK?0j|%BTvf?Sbcp!#ablXg7qUj*cad;?p5@|5UOtD2KO0A-xqH^i94anJ@-O2Y zW#Ez29Myj$%TjaX-Bf7av_f*{DftocT~w%mGizuYRws(Wp*3!ACdx;;(6(2d4vbY= zQi6u(1yB}+L#gP;5BOk|Kp;+(hV)I7o^uq`P@(kbua=SE*n8)YAAP!!w+69P+>|!l z8&yOBM~othCXZ60+w{0L?2vnsZZZrLJLD6^m85RbklVCaZ5F(CwopEN<5IpgoLFtZ z2DkSCtykV8Qs4x)%m?i=MDJcf?xsRE#l1puTJ>u@kz8`8ITpdaLRANFZ_qt7@qGoS zsAb_&Pf459(8(G|VT!Z!jC1;pq&iv=KFn}$+8Qd0!7Vp2;8n&YQZ5|DdpQTot( z>h+7*Mg(3j94FUcO;ceBfwtL>>KbxS=vzxH3;shHyUP(cZjTE`$^|5Asl92@MQDCp z2UtB7M-QZwT*ls&n0kKwY+Qoo4mD6ratm!=-B6GKHj>9T>5mzI3mdkLj-q2jNH+vaYtyDXlPM& zMe?cfv9HMKCZxJE;#=86#d!8hMe@6V_Y>-cn>^F%cp+|B1b31)A>L^8)DUl)^B{~) zYRPw408a7EfLmLf&T<-YkUp-HcEdg7A-hh- zH?RWT5Fh)%OM(`a4(s4K$~>-Wt!Qbw>Fp;*6_|El6P-|6L~X zy?l8H2hN@RnK@Mw3Y@`N4gc>6R9CfA8%{CPc>5FV~R9EQdHy{I<&1 zzf!{G>yn=r$%WVhk~u{$(;YT!%pF)mTdy?y4$G(ZQbU1=k#7Ts^PC9F*G|a@(=v$b zGviXEwRZ}oNN8CJq8y&;oN*92ak&(ZnxUlkxUlM1bVmGA&_Q;Hck*N&LxqwFTvl|3 z=kQ$&)l);oy;-MP|BBQ2tFuE(@aYr5hdn)a$2oy5BK9^jQiZ=XRQJNTz$mvQhk|I0 zB*`e18u7BR&v)trB#0&Mntl%IgVec}LMZ_|9Tm(CBDidvg@Isy$|_AVsx2w1@mw7T zcT_Z5&iyZ{#JS&J)Qx!zh$RP2X4Uuh*s*}tW*Ohx7;0H@+)*n@C{hegwG}GGAzETI zD+`2=^o(XhYA7oE3BG|R{00Tu=WjluIw1mj%$mb7O;;mtTVih*s~qG+uaDN)6b={h zJ|O%(>XGT=cUNfl5I`u)cEO9uNs?2ajV1s#DE<#O3~r(+R93gK<&Dv2nKotN?IK zVT;rR4jdBD9_imKBm5wb8k4CcIE7=qJ1R9NjEO*>w;M^D}OqTOQ%4LQ+l3*v&%NdDDhGHhe#n~FOUeWa&A6Qk*+gutG6 z!;v@IUvdEwk|^iN1TMMWf$HM_nR!4?cmUNPPzBfZg&rDeVyy9xS^dr^9yZ*q?~NS4 ztGSnzs{QjTXv}D$z^GRP^iWHMed8bRyJb)U#c_{Na&Q9MDf|Tkkh%gzAyr5wKfVi? zd0W4W^29nzHj)&*KsJ{9D?O@3m;O=Eu>lk4Vn^#@UsEQ<@j;WJhQP1`4RJ?*NX_AS zx?v<~1Ztq69>*9@kw0-OpInJKqGhO zpG=xwfxD*$NdfWlBLRv3J(C1zTb_qkkFGdf?`FD}ku_3&~0>5w~onOCe}gn9}!v6Y2`+;2IlCDN%HGb|!KT zbeU)LHH&uZ?a0jucesih%;-{^5rmW-U@XrV$J|)qgT?HX^&*Jro*c$}1rU<{Gzo8A5QNr_J z)c>X)Cm1&g0s|qt@f9I_wRztY9Ad6pk`f}L28=(iWfh7ue}5@pEb{q&)zL>q?~K}l zL?x@aaOi9;WFmxG{N>C6_o8h>mG}#wsj2gc5dW4qIVh|vEydDq!#o< z*-^}J?HA(BKG%f!!%GwWbdkzu5_@;)^^YBQ$tB_uk8!~}JF|QlxIPcahwPJKUfm2O z04mk`x=vMcIf<;;A5{P1n+w^q=JZT#pJ;GAWGt_*D9Nj=D_TzU?diOhGi~Tyj=s(Q zRdu8)Jv8%`kj=ic@YVIcafa8!k%V@~sQq8+*Hib{b{{CO`1g!6n$uO_c%0Zoml$>d zM-J!~xV45FvaeSOu7sXRc3J>?4iNY{c5WCI4>kpZi{$Mch;y(z%j?s!B?bIPz18%LS&e6*fw9#b5 zS4fTSq&7N^CwE`E^530}mwbBU#rV;dIo}xA@+k)Y-sGrnQPB%TTP`N{LZ$DHxI560h?z%pOisq!(E=W0F9gS>-kG{J9%$0E>0v;al zFuy5+iZivHW$+kAsC+EwLJAu%h<;JqoNcKc1e&=^9ZD{XH9%~f#;&4Ev4IHH`U4M$ zupGohzfW(b*5Mo&yzX}oPI3ryhKcuyCtDVdqBtNj_y~qHWLBt7sZ6wlrP2)z+h_HD zOvh4kDQ`Y+;fVrP_FWm-MGV9;mem|}7I5})NUC~l7Th)67sXY#DYMQK3Tvc;{8vQW zW!sAoEfa*Ta#VB1kri!IB=N`mp0h5SsaVX~F78*~Y$-rqs0Cgg?z6)II6tl&W^)5v zeU-8gw!dc>&WGH4JL+ds#t?gvV{DNZ?LC|w)b+X*!8?IO1Noh0hSj{6UNrYPHmygv zo4TnZo+ss7@An36wxIT@OD(?(LiB0DZm;fOIfa%A!-O9en?lWJZGhd+xpSy-bPI*ZTr%sG$H4krnq29^dwRvJ^}&?}q2Y_2L*h*t*h%fyR@%UL z6q4R}kO7n6#vhhQF``l8#jLGO;_$!%W`UW-oo=UnT_cF4GnvuGxQrs6(ATo$ z%w)adU5Ns!;v`#e%XWJf%_t)W&Pi)a)EkIQJAinib zchcAA9gQlzuJu_f9X{x;@S>@8`Yh5j&U>=B2$`X9KWMZ!8cvR21#R%>78%=EN?Z7~ z)4Q|6QK}I)DSLsikUA`(v379!=td#i&imPVR~7JZcOMLXjf1BygWtQE)piPjM|yk) zusfs#j|M6v;%Dh!Cm_coKlTujm^>g>ZVj!APP>_w*@iz-)H8Tb5ts;iy144AM`8K% zDbuJ!9Lu_I_$1E?QDYv*51H4)tH0ZEkjv!kZoJ-ek=5e^N}aQcF8gk{^4#%-?tgeZ z$h5i!Q4jGt^^TytShO3I|C?qODbc3|piiHt^HbdnG*84$BzX5k;iM32-@3xbw#c?` z)ZxCqq`Vo?Ayj>vWqiv;5jLAL=`CuAD!fBM+O#hPb~V`54n?14rY9emaM)@NpIwTQ zQk>bN>r>ln?PyD+CYZ4$jp(FTzi!Fhl7r>lzb@>L2xqd&vai5S(fvkt;wIkv3Ob-dKhs1QE;h$ z&}~CB635mwL%xWm`W=Hs_;qW$W@^cTSZL+(iJD_^&f%X}e%e*LNC&X%Vr2x@6q03h^MEY5WgPWXY<#{TnShHF9O<;l@srWv^p4@UGPx#>E%>6oYsMo zKZ0tOq!Z(YLm?WQ(#zoDVV?x?ZRw@rN(-@^W~)t2+xbHy^P--OT~*C0khzvAmB~M% zlHOGj|3{+7Wke8dHI2xq>9unnbwchcGxeJDqLRkRYiriKm`Ayai3lIIEq;s&C4*7- zN^70%MUTAaYSdSlm-+d-$a5EhBU90AEh0^Gy++EkVZeo9xBSTkA;* z-i&poIE}>Wn_J1=hNT>jR@(Vi%t8n0avO8jrMRI_#Nn|wqO`%)RUsSqE_1zgWH)bA zv*OVAEo*p1j%-qn0^CLty}w(;>2ZWG$>Wr13bv2jp^>X`U>h&v0J=ryMq>eCmR6=3 zM~-o~Y>bHu52DAe4?$r#p1<2vMCm432a@g*zZC6V@l38%8O+su7T)*jz@Yuug6cTj z0xQ#GI=yLg{;mb3l3$g@+N>HW_-QH8^72UUoTe$K#~$(0Rixuk(|c&5Z>jiJCz9&B zJ9BbHV~pR~&z)d3cL4E~YEnckyx|v!S=>J3*L##$2vWd(^8|88Wa7Cab;?Lp&l`Sr zGal0!4W&kiAGRDN+FFu^K#-=1W;m`_g9GoXrAJgC?AuHMJ4a@uyZJ+v zP3L+^V)M%cV9rFN>U6e{60ZnL*wt=kodDyZ&ea;+##CE&i0&^6wQZP=9F8 zo7h z%nkBYZ0L;JS7{x+h%2loxpYPNF7ZuefK-lT);G40gOK{p;%DF3FXZ5`rSN>wBlb$% zbx0QG37&NUDx?@G4)jLOmP$yh915T{T72FCxfuK(mO23nn9QN94-4Q z2JEtqONF9lT5x>gtd;o4Lh<3AEZ;Jx-cyfb?%%<`GhIz@txr0km5kquE`9#4^52Kj zF=y>44~BixY}skOvQtd0UC4ana2pifs`9=$^7K{Ho=Z~CA%&$$NW#y4o+Yg~7A|MX zhLl+#dD~JryI*EBVNPvCx1G?T29TsogJwTJj)L9eZDhUgAv6-mw3}tnKHvkhw|j;< z6uixW#T1n|aoleo4Yn0&=I4XGt)L}eBMp|rGiA4FL;RCSYReu83)-vWLOPraLoax~ zc~(RzDbROPzLnW0qyX*kf@|G!dUw2keh2{4TCFwtQ=9r8q&Hu~w=V|A%j!gDfCn*C zm}0l;;dK{#xrlL)pdNu`yK&uau2b6=0DSf-EwV(Rs0XSa7`uJLR)wRMm22{RWl_r5M~T?CHG@kiM6PNQnKS;FP0$oTEKS4DtD zeU`zF4OU$rdSqHmiE?q%`f=nFhug*KCacGFGG38;=VJ{C{-si>2fi;L>e-`NcPBdU zRnupdsoK|qW-}7U&@U}2ysaCpUNwm)d-2-~6sd*xbTw2vm&aQo`MK}v^Q<0^*_Q6S zsY*0#^1l>~UH$pIvGG-Tq~in6P4zEe5`Tb)<@JsQX!M&keC4)zk#Kor^Ml*iN?6$C zjHf-QN2Cw-xvu>4{vXCk-z@q4+59(rZgc$yS*%-mb_eQ*#Vq=)dP2IHEu3PWltb9p z^zRTWI_aI`A(%1K#oeu5L~x~}Z)4k~9gbIe30C#AKR_0qgXQkhbuP8mXS+MV(&?gM zV!d1i%dWV_64K*_A>@?X85$oq<)Qz)?2$)K&IWer_C0fDbGc|lY#>rDEEdkCI^yCY z81c?Fzm*s?F&?dX$eH_+ZzU;--YpE=Q-nWkfUhWHAZ$}FZ=#`F?Pl-Hg9lB!fFh8LX@$gP7U#fOzx`P2~Li@85abF%^ zkD`7!hMv5d&EXH}RMr$(%@y8anW%GJ^i&w!7QI=qSP*K)hpl)G(6blj;~S!!9bl>6 z`Uab(mulG$jCr%SFk)m^b#)5*+Ooa!CCZx~72B=s)fDqJO{&4+1&YX5txOFoF0Lju z_#DD~4{9&h-DEu07XJV)?EOOQxCgo8B=>|zD$Wr_W#>ht)1#+osl!5vNBKtNZnNi8 zOY`X_zZS>O(?=fsi_{hOdslBq3O^b8)w-_YJY1zR$4j)qZf~W(iBzwiCl0n^Z|MSo{`eTGZ!lljR9458tv144-T(M)s@N zxQ_E=>CHr++dAbVH)(->(Z|)+ZtZwoYzq(Gi5?!51t9Ij3hym##5A& z0~L{8?R)(F>plUPD5>$yAkcz4@xHfwC##WorxsR#mwxW3;Y>j>_)cJWhul{4E} zy4cCv%k^5W?FN#be9z&gX5Jl^N#Yp37}H%GHfzTgT>B#{Cj~$$f|jogprw1|lxwnGnWa$arp${Vm}{-zLlsMO0(Jv< zWH^ADd&UTmnQ2L9?bK2-GO$Lu;V0SqRpI?p6&9dhUg9aC`%OQ;fTL2pLnkIOb~$w8 zQ#h4VOx7=(`aS1so4elO$OjCg9W#+?D<`=X3MkXw!KbOU&O-yCOdl1|&5ZXN*5*em z_J&4hqZnehn9GJdKZvht3;u{l#&1iNep!jQ*4bMDY+DoldSkV0_sy0ZK^MyMc4Y(H z^mo6Qdy(>Fq+2Y;IsDS0(07R^YN)f5U~io6UvtT$zxkyTP~DTCPu zZ6r@Loz>2(MTlU2CtZ1@OiO!%ir7}VzXhh(nX!M#K;EI5SZQsCv=1tH^Zu}<-=>$b zpnA9$a10OUL)+w3o$wDH?lbv_`l&Vdglu`o5=*9OyW~YJ!kO4P6L-K5lP?Kq5-Fbs4)+(P;sBGS5a0Kyr_BRt{Y=92 zWd;BN1k|q3a~IaOdaY`VQmm|DXpD+jpKJi39IjC7>sB zM(I{?|1E`~G-k)vWVG<`^kLrPKV{G`iYN75Q|}#G@TLIka^YdkqNeuaeO|4M?UH{^ z{89l;|6_(X9QkX4{~472cX}ixQY&imlK45PzlHx{!&NaTrb-|>p;-}0mRm%xd^BZQd{j;y^V zUvt6lzU7D}{*VZ7UYJOCz>MeELeY4Y$3%H{pD;lb3cUmkuWF?^7rqP9`0{GxTQ++~ zhQ*{tu5x+6?Z$#Xlwdk}z>r#&5hsOw#tmP+Z)@9qj}FC4AUL_vLaF8vDveOjk(m9X z?k(zIqzG`tF0w{U0xoWm+w_`|nqxE2+q13RM7`k7FC zq`>>oYcY}4+26ka74?|Up_y7!F$Dwqr!ec@)Yqdvrz?`n#?6-NOT}{+0rqHi8 z;NZ5(0^;1|B-xae&cds1a4T)rEqCkJNA(9uu*YU3^vk1MIdQ`@-ML*H;lt$eF0owu zG65gg0gB8?fZM35-jEG*6BWAiC@>7mY$rM95_9myPFx2e^An{_nzK5{kH??S0Fnu9 zS{GH~Sf1rJ407Odx>?kU$XwBieR?q!eZ8Kh8$Kvuue>kVu7Hv4Vt1TsSgU;PwI7zJ z<{x=OO>;svn-;(O4zrcYQeoxex?~xnsF@P6wzN<{ZghW?AhIyGii*h!R;^8?&4WSS zM&)=|y~R6a$GBRvIK)R$Kbg{-R#z<$LAE`9YHg7z&WV)JE}VR4@BOFgq%imAW~9yD zFLs=Z;zmIpCcrZ-E{dvno=&D_zUM^^alsBdf4uM>6?-OoB8FT~OqVkM9(Ob4ALC%B zo-k}+Np&aEv;?{cQ z#Ldjz9P*`5=9Du^Bk0Iurp=7EBu!|f`9}e0DcLS8x6 zn?*e0#-4^eAqP!Rdkvp-xU$}umt4T7)*yVUgGSf|*5_atScRc8$H-qg< zEZ8HPTn2$aNF!A*@pb`|oFj~Bd-jECImc|>7;0akVZ~~@L>_o`v-)}?16LYURmX3# z!g;28j~e4kZHe97ZNrkqolv%6`MZr=*i|rYhb5EHd0$Ly22VR6U8Qv6q6z10T#pTS zyUL=)MhcQ@m}R-OX!M(jx6dAaFaKA8z13&l8vCN7GlA$!{xGWO+pWQA-Avjz{oC=d z$wP4lVsiz)z-EfGbz$-d-VK4Xdvw^BIAHL_gIc~;T4i)B#p$}T-mvvmgFaM*Fm`PC zsOpfeJp|l#bCW9m@aOhWcv$itl#Bp=POQ3}qMj05-&$MEfyI#(OtfmP%u#`&9|fCp1Pl+o&Ag7;r(!j zuygh^kyj|A6Z&T^Ub=wh;y>4Z@=C$ZNr<;~CI8*hcmn*&$=)Sphw8n`3Qng1u8 znzEf%hzx6n)FbAqN|?)8PmA<^SMsWX`x)AcqKXvXK6~s=$_(}pHSR=udy6wI+Jf<* zTJbkgIU-1!D5S@5-r}PsbD-%7EBd{vD_j$n?p4b#yz0@@qQ!>A!*6Bs63-oQJ@+mv zKdo52qWuNeAjfFTx|KR;Kz!&k%9!=?hEJQrHSZAb?B1q$fykCoLbASu`x!+k zQS@RY)XW)T-HVZm;h2fLFwWSqU4X4;oZUB^$*#P#`+xz&J9y~icu2|)-TUl z)&Z<^uptpKr~REuEXoz^;seYGUkVyf)v7c^KK(d^i8I}3CtN&- zH!d{83y(98I9vChy-a+lC(b$`_vv0hso{)g89a20pokGWuS4;wL=w1Lc?P=@50t19 z-EfrZJtXMJpx+@D!`z3t&|#b64YBtIEY7Yv#h^1a){@{vW^qwP<0QE*X#M(4xpdOv7?6z z{15F$)qI>#+-9D?*Ls6=Vj9gfBOqVYDK+(13a~3;(~+s#05aL% z3;lT@FPd0-FX2QbR+^L(LIAo6EaP3p$*dM#*Sg>WT`?stAsu2muQ#nU~xp;z(j-<|7 zmaU(Hn2k+^T;^h@-#Lfn3eZT$*fi~uFLf>F`JI-&iH#HS(( z-d#$CbF~=Cz{)mzOHz9ea-op5g?8p6*^LkB9~`j~(9`4`TlpPXP2?w`m2)pWB+b=t z8;3nA8rfB;iS6bOq{qozlOjA`?CY-ASDrmG+f>)vS@)^u3*D>vOn@}S%bL1#fvJug z2oIH_(ow5)?dp5kRz1QR(TBUO8FSj8Df^MgM-JE&3wU48l8Z6aYCy*Ti+AW`ImeDr z70GVZbi?igQo`wvo#zKw(7Qt#WCNq2*;F}DeK_IXmldPBa}c|8?w6knYJY1ybv-QS z@5!#X2|M&Lrt~GU3W2OBXgLgHA|o%U@pgg2#d!M}RZYpeE1SyXxNVA*?DG-6j}&d{ z50PnW5$g(dt$PC>91X-vwn(=%0-Z5Ey5OmDXUXrI>RL}_I(*wcuI}XB9Yrqc>?4Vp zT9!?_6nO}K2@g?;zi%R52o|*>I2YBO51SCsKOJ|=@rF+<<^T;io}kZft$t>)-+&)J z>#2V*0q3@RwT$DK)7^WY`s$`zBZ(ZAEAu=^Tkk}G^mijYBc*(*J)k*3nnf4aj0Ux> zO-Mx=DwSF2rsNA+WiYDv>`{%hP_@N zc zOha^)qNqsiMegf*xj83$!Bv|Rl?ICrGl4E4!|7~7fO5V@dNRt*oRYl9VIk}5ebf7& zHsw&>s)^^vaY8`__Ek+Pj;m`aiEd?4poy7R@d~3Q)mo2yyxYxsFZ8Ue&qvc9be83C zR{BCNv~qvW6MeH2x|yaLh$|5>Qr1aL&!k>cQkP2Px3|0d@m0=j_6UnSCkoecSru(l zn$&_C4;YZn5*|(KN<)p$-|xb4>X5Sj%X?oovrVg%w?v~?s*Wrc9`sW<`4$$qpQ>!U zh>b;MZJn|3!{jen}+n zUD0F4*_N8jU!$diVCPbrih~HjwW%*9Z9S6Yi2zZquJVXu!AgQq_+~}z%vQwAHaEEB z;&83#v%MiJ@$Ma8#{FY05kkrDMeY8E&~akbGb{`65=KskdjVkmw72fnBabPh9Vj=5yk8I|Cnp9ZM=+5Fu8o8bMnSjgYS zF3gi-lG6?EfE&`m>qVK66(4IKHjAK3H)wR3-9Fo_SkO*JDDdp#T5s_AgziTek9hB- zztL-~_v4Rmq`uR z5;ie-zvzrg**jU)Ot8%vyMbkFUWg6~%n5nut?Xp?$py-TY^ZFMC@@L*zr>#b5-oAShu%VKSh#tcfUmo@N+Sd!RI~vXSFK-6sY6a4N zVSf7{ex)p0(qldHH0g%?qiMtr(9eh|HL&N~An|0T^6atWFUT=G80x?X??%1Y}Y`P&550Tvvfj+EPh=uscFNVsYt2;3in{{j>iLM-mo3Ag@@CCTRXEK zSGMDR%_Pgtsl|K!1`hO6*yub&_Bq@sZk6H^2c4>VW!bzKWIE7MR_;Y$%`QpckSMM* zmin9|E328)P2U|^uUiEi1@#u|jayE3^wk^7h?NbtF_*$VBVSap=xF^={f^zxnyoxu z*>-n4ikQ?n`km3m@=5o};@YpR>4c)zwn#&>pQfRMY2emEV7Z6W&8e;5i^Qw+C~pUK z(xPcNT8>gBoU5(UmPP_N<3{vW)}c=aV{}CX*#dxS;&BnGv=)eoU{bJ2#J!R6B{0Ai$C7cA|^q+sq=z#?GLdO3O zcG`$}{`yZ04gCi{f!exE;sm27Z2Z4z?WFFza4j5sRHmpwq(*e>|IdY{u0+Cqq zgv>Q5(>Y~#h5s`WNmW l^vL~7|3Lj$1W>kvyruP2um78F!EC1vPxY>m;5T^wzW|pw;2Qt{ literal 14236 zcmc(`byyrtw=ar?A;Cj}1ew7hxC9^EH9&#{w*bL{%ix~i1a}DT?vmi{?(Q(?3_geV z{r1_6GuJS4aJ00u1#xh(va@o4 z#GNgzO+lPooFH}{HV#fUPIeA1kh+H#^gvcnWqWXKK}Qa|QXV{V^h*JciQaiK)yD ziHj8JHUAE$1}E!zP_;Ng$nUSZT?FKgH!V*#4~{nvPBuwQg{0>nH3E65S_wDeG17xL zAd>B&7pw#1u}d@!vbM3ypeAL9lY?;stsr-~i=W${2QUU02G(foWLINbsohmB{I)?0r-lQcVl8Q=o0O<- z&6TSz&Mh!~JMbfx=0^zGmPdQ8)J4{?2y?O`XN;-wz{dfaSUK7;S-M0;%4D^R>g~4! z>;omS_^~musp+AVLRWB;9F7XGB)!6)o5t)jvan-+uMOddg;x8)HMk#kbGVaA)ykrzq zxXq1ol)H_Kb5yvkjZ+_ViIQ{g*hU@*h_%dJrDO!gGt(Sd(H&5cFkiyuf@QzX-80^n znJUY{SxFS+xWxl+Wl^(ikRr99V@l^9>-g!{-rrOzyE$8$qHl51Bskq>5H;$ ztAPZyiU?Dkf%9s_N#3$pb`0&Bq#}S68Flh@?2zBj` zwh25{z^q~EkN^j)Qdk1LLS9#{s`#n1gcWGh50&@L6}Ro=1uh8Z1~gM`CXGi z%GmMCL-ANqU|&EN)Gw;`n(4&jFtp};2#}BNu<bc<@)<|A>ERW07MU>1F%C$o49t%LI6EwC?{FQM%iZ>~a6d$}OYzHv&MX$e|FV zO$y)thj=E4u@-O!{!i2qaKvNEgG|QI^5Ya4 z4j3$>|KlS6I!I;hRwxaOxDe4u1A84UL0jeO>#6n{@}j?hHcIX^Q3&Mz@gkZAhQ=_b zh&C?8sg)1?g%#tJcpFbUBzGrkd?F1_>JTlR0cH5$S#gDaAn~NT6@Pt6JqeUEwh4NW zd~NmKwDj}3xLoPyXpRbhj@TpvN)sCtjt>8d+;DfI3(|pCRFPHwd#}13k&KrEh~c1K zrqJ_O#%cZ@d<9z%IkYM`YE=Vj6%lF`FG^io zcxb10xbr-Ar|nq^&2cbRix~KiZMP9G21INgQ(FET#Fo+uVk=go7_u@x1sn8Vq|pAj zRP(A+f7=SeFJ?1FhtYDb*lGJcj%F9of5wZ^A~uW*+I{g6*DV8IMnv=qH4yQaUG9dQ z^B7=4Vi!B52TmmXd0}*2XJnp|T&+%LP?+rAw)csmff68K0X{#tG$&c{&X^#Ht zlX7OLf6q33HbLw@(=5yPi0$`sr8=^G%`c2sP!eU)F^orNQT3-N-XdReh2D~MKcw}u z2L8N3Nd9?v`lS^hcJKROAoZtJL}oicR^l=A2>C(|KlUfP%Dx{A`L;mH*9%ipIF!4! z9^FpCI0`HE+SjwYLT>W|p=qmz0DY)8%j@gsiaVg+WT6hAK|Q=cAYqt8mX|1hKLa4= zIj_Hq4~-*=I?@ZJmnOpq?-CVdl0&-6=MVABk3B-p40<6)Ss9&uAMuv&3cVZ|6=CZP zor*?m7Qif5b+j9T?zR{9xMIsdJUB|T1t9Pd^bk>}joUA?%#f_JyH)po@_Z3Zz-^voiFznTcJ0f6n*+v!iM$PLQR9tnWQuUcc)>H2#2I& z{HBeGWY=&A2kk1RQ%jOL(wpMle|f&x6*+Y|)n4CgZ<~l;hym2+Jl(R#VrV7zKXEZYcOd0_WMcs!V1ypfOr%`h#*wrpl zXb!~$4((sVvdG+Y@sKYX#HGO?SRO{SBL>N}|9k2~3 z7;OXy^8#zcD{-k>ik-l;fM2U z&RIDku{caZOOJW_Ey`H8C>-~;AXY7@K{vLSoX5!L8MuRol#;!w6qe6w6$*uCh_=Gf zHo^3jku-;x_|^ZIt`Hyz1`P%<;BL0P$JZlxF%@3r3Jjm4T<3bmc{g%@r&b)~a{;vOBRo7fxiuroy#DJ;&~^ru zAAi4 zX;gzhcA#0vxKwon&7lOvpx+tq?zjGbJRV_aE;qkL?R3Si+^Z@w*&F6N_tQgEc(Wf6 zW1!V-1ibie5CbrV{=CQB6!w>p{PV6Nw?I*S^GdpbSjBF{02tf^JV_@_m!Y@gFeC z-~S923!vmZXb~_W9NL5$Xk!S#|MN<%myd;Eb1f7pha7JVHPjvZ*=&164tiqyqQDCB z%3WVAYSGe{pjjk5N8wO@itaQVW1K#&5bw8y*Q4>%X5o6d5`aK3=mEWx0uQ#0uSko6 zf$$}^0`IUdY^pEuM+bRLsDZj5aki^`W606KobVfUX3&wUEx z!NiDmIQoppjBrsq{LcW-b8#)eI4yu!#!y3Ud8~*^Uv4^TT(NtCX#Pbf4&D>Wgm4D- zvN_tmUnQ=j@SX2@sIT)2VPyQuj7{xgdX!%DLm6MJ%xrSB{{0r^W%_Pa3gMt|-#zqh zfgoScK*I^P@0q5dpxN(WZhUvGA<6)cKiaQmU2+3t0nc+GTAU09p*v_1SpqHf<>n)E z>s(M3TKj8CX|!c7JSfEPD}IWCSMEmg%%$jd1KzEciD)TTRt3$jPi+tfayve%?#t#0Ai??AiIw%fu!I*K`&1s9G~YITPw*g zQ9|2qLY;=wL3b}Vwb3`|@vi;$aWQjMtaYJ3!1D;3puph=as;WpROsp35+14k% z763^>Eyv1oUuLr~(5&$lZZ{a!2!J6ddV?fsAU2Ny?99aX_X-N$5`qSJ6ZQw8BI__@+@eY~?&ZPK6*zWg~{%>scP&$sK$)vtK)*PV{nJMTrV zEDFB0FV>wXQh?7Y+dO)HaXDiA*;MeR*?F-ZI$x7mINXw#zXvZG#1x2!u9UQ>$Fr{J z)E#er(yXOiKUr$Ca!pblFEVT3QI21%KVTUCwj^ErRt$3`dwykZpvG)WU%5W;XJ^`| z@=WvX)#=XmN|la^gv*4RI6j#qUdFipZ;(gX&`Zf>VW2&P7FPAAZPKo;7W4@25uu)jbU=iuVdctZoQy6thi zCl8M54%?wzN(`|!SQRdZ8&qHhdNp2g&xa@^en5^742wq1T#8Qq5-e4?F|LW>mLL04 z!I)V>?J1g-&ts-7(8^&P)~xxVN9CcaWNYh-a%Yb05#U_7m|Nlo=PP2aob9mTJH^)R z#3|`f6>)JY9Wk|C)p@ zl`p%!pT72)MRl9pnZB$zp+=_>rhia5A9ERATZs}`^8UQ$GlgnT80@N>F9SXK9u4Zq{zoj{sehVmrSySz4Q@qR+> zs>8G)MST9^hFs^Y9e=gUn`TmM{?#f`;K|sk+}!n*=QN-#1d4aPe^6xB2IIVn!Flyy zsY#_ua2%osn?9*mQDMExzyL!IJp`P6_N$e_kF%N92%vMIx7~#Kd?8#e(fPUPx}n@2 zlw+_@dOD)?m)jq96f3*gLY39N=T8juX!7xITi5PW3EeT}k8?WG298aZ; zk|fvtg550^uq`aF-p3xV*(iSfL65UTVuT!P7V8f3f03WawjbOe73=Nw!&HT@O$A!W zPZe*h$D5X3g9*w5pS!nb;?~;Jc|vEr9_z=^QakS&+Km_u@mWWn8`zQ&_(s`1BSS|(iA0p2kLV^U&G+s9s+avoAIXcI z^3XeM_T*HBHT^Su)@I^Nw`$jMxz8(9UJ1wjp*Cn?N!w8DVXI&BlKz657%>{x+=Bxf z+*S@iJ#swYw6rt~5dT%!T_uNMEo5Z(QFuSIG+&9L;{~LL zgy=*c2un)MGEL)dt7uvi@X&fcy7k90fYHWpZJvKMH7nxieUkV)?z|%9ikpMxrQ;;t zay?q2Rc><2XOHFvW9b5dN`U@wuKYu>g_H{5O6o(eiGCRD41 zSg)*dS1O1+$!WG~e`)JRGhUk``D;C^a3Mc0v0$q6r@6KBiH=C0Y4HrJn~Oj7DY<^) zO&0EWgN_J{$LtHIeho)-a7xNWbkriI*Zq;~V0Qjnwt~y;0Koiyf_disBpL;!k^z8a z%&BYp)HARqaQbDicS9AIqLEy}#60-A@NAKShcsrc?npN3U& za`U-$v|x-LTe|&mrp}WEegK(+GR+G2Ki*hJ;ld97uKvyE-U)eu%M1^*%(dtkyxYhp zuS0)Pp~N>G$Is5FqO)tk*I0!TFS{J(oz@YL&3`dfg1&cil|3aSXiSegS{s)`SGxfO z?BsepmB*RhIt$z9eg8hmxg|81DfBlrgC)vD z*-dM4>$DKF)uj`a1v;7p1#{lmcviG}0ew`2b^_@rxQJ{v6@+3#GLOg}AcODqo;}g8 zk)m*cp-pDML7~Ym>}l)xv?cdKh1BRr&Mvr_2z zr=bl;_`Li4URM$*V6p#9mFqPW#NX~p2Mu!YK)dCHH+^j#56wEQzycA0#o5u8YL>0v zF-ToSTUN$D->lH=n<4)&?C`Fu1nDKd>9EE1)MPKCZ1iS5%xohxPo7+zO;)ZeQ9Za$ zw(bKQ(T{T88|YZ*qO>l2T^bECaA>z`(Dmd|oBmfJrEq~UD-W7+&W~fMXmmOx(_Sa;2Je=( z-~6^|dvMNN5E5|kB3p`a%^LiC`9}!b#$&vy)$^}(pJd9(xR@8E-04DZv#n2k1fhNh zLu{XIwYTp_C~)u(+bHDx2kOyVji;bl=?d%H+Q3-nifnAhaep#pC-JzYCww__b&)}nIL z313xK-!mBY(>&|AtxC*X<6P33RHt{pb1;GDr!>yeoCz@KSG0 zPb^YSwyrC=uwdIX<}dZy-fBAB-l~Md+&vyULS6!{s~0p|=D74@9_Y7vCaNq8nV7BQ z%H%8PoNZhdj?$DqhaT4TA+9=nz{H^00dsQ<^UickAyF zw(k9IqvvE^3@R#^+{cNk_s*O=8DpX4{jY zEyKJ}!AXX0D`&5#kDbT+rTRRM%EeUTfGCre`zuC33Ga83={xt@oI zI7hJLXUtg--ncMUEQ>^t#+}G7aB=@WxB6+{-ArF!pZ5})y|7}MP><7L-xSBlz2fFd zlr(big`@^6seGn{s8P6j2;xkhX*><%+OwjWnAxmMc1-7U(53wI5v0dih8?1?we@vD zPJ->Jmzwj$#Ic(0ipSMX*tH?jCcR4i*9216UM5Lt6#@Yn{B#a;bW&V^E8WNrCLSUv zz}(lgJX-MTrjt8zLk8mNULnL6&i4zs)#tM7%3Ou1k$dF_8h|C;f`TJ&M_$3uJ>0c0 zBd_|BgjT~IqCUkU!^lwhw+L1L0R;T=b$k`(pOx2==8aT7>EJb*>GLk&C4D#ONGW=iZ zK~uY-IWG@!*yA3oup*$x3jumi%csMb3ow_0g0C&Jn8 zTvJpQaxUTkaeyaB3O-)XIwk?~sy*gR&iLPpH9lM4;j+R)1;p_do@rewI(sMMBM01T zPNQy#EQznx#%SdFrw)(}Jf1$K&bcN!|8COnIOClVadZhF7->4_Z1>tyO$x(xCgo0^ zL%Ys;snmBaea50vgAuFN7rcAqX!p|k&Oa8~{ z)y4!^<~%v^rKQJKTCiY9Cv$SQ3NaR(a7~`oTR%s>G6>u=X92~kI>R}yAzX{+a?IX6 z+W&jB)LQ7NF0jn(E0O>9_aUmXm2;{Phe((~rM6Zk#mG`$K4+E9Hm(uXo2AS~pvaeq(OESkyrygw(x55h{ssB_s@U**CA;vW&IN?mIFl&ew1 zdfBV+q90)>f5Ax3(#~E|l+SCuqxNlug_Nab@mGlpi!`9UNOUfOL+HbB3?b3t0Nkg} zyMBA1^}gOia0iyFo#;}zmm~e@SPuDBTeZee7zU&0?@`6NgAu5fA7>PPNA6`K3U;Mw z{jJF7b)(Z`_gH!Zro24t3U5)SsYY4sMO}+ugixJa1~K!s8%u63Ar)jgk1Pw~wNNmqtLhg^%BEWFbRaY9zcYGR7ZIV-C|!UUY!PF<-{ zKSMS@JOjttq1EZAS~wtP1G{@IX)u{6#KpY2FUy>2f__w1aR0Pf ztz-wiw!E^+5oo19a$y-M(9jd$I!UIZj%4z2G>8~zVS714bz@SzE6{#qd#VnP_@LAe z|B18h^h-Wd6gFU1qN}^hEcxl-s#8O$!0@xk+`?Mvdi5nW_ia!6RXLYTQd7;oPKABE zZ*LYG8rOX|8-;i>nNHK<&5LLGCTbtU|T2FA8> zK2#vcZ1-K_+iv;#6h6hx!m#qa$&V_R4&T3-A4$AiD^*M<*v{kdJ0E}+k%EO%Vo`Sc z&W;LUp1bEr#q4$!8fKe!W0JXr(7iMqKx|@fxw2g9>DxS18TuNDlcu!kH?qG4m(Ae#vvzq+m1i`2R@&X8 zY8-UMQCpTeqZXFPQw`b)X$E4rUFPF8Q*eG~jiVINrn1bALP5b4T==OhFOY5Sc${f) zBQv;pB&jsdjLB-(YL?mntg~fSK>ZH4E#)tuvso<}+;@9WuW-CnPT58%ybxQ8mI!Io zoN~WpPHJ)gntN)guDXRz>B*tVRm@Hu2#v=vj#|F-sk4IbgcA79)@eml6Wo#y?l$AL1;26` zBz=y-!p^w3xsqubOW%CM&y}{l-L%usnM_vMxi^E!{>Vpf^ zQ0E?JCuG4|n&w8HOSnlOEeANfm3GABaQ_QkV&lPl{)3cpRbNxj5M5o~)|P?QjzT_T z@4;wqId4~uV(yW4&A00n{=1E_m_{Y9P93H%BDl$0JzTxI4y`LDqmI{TDmL6v1n~M( z%nm4?MGZ%!pE%##Z@SMuvxzyoahnSC399n}DYZ-nE=nv0gWPf3wtT?GBiW;R>up|Y z7SFb|o2c0!@!PPv+%IAz{kLD478Bt-sjQ}1a#6ojg996GM@z8Y)vW3KjUu?8BuAur z3N2{6Sf|IU(L`)~MOEZ}+GjjfZ)JZZI~Y9*PGT4uDo$Ym3$UA8x@GVZmX?7vA%clh zNwY}_>`=DlmLONtewCNX(^>jG8l1EHh?APLA2Yb_y4ls!^lP6p?i8e(wY=WRBu1&k zn61=#R0w03??v?NyJUpNoo})zCvG6Gl?{6CM>fok)h|%Z?-ZzQgWX6gfM4r&OhY7i zfN#pHQasX^I(sd>b*&F*%0{=8y!f*kFqJ6y(qZKX-ER*^bN_6y)jitJ+?rVEb+vxF z-^272o>Fetu&L0P>z!=q?@&;E_f8~<`ZB~p@yK>NGYik$n>|RJj*w<=;w_1l=*C67 z#f)$87r_L~cnmB0z8ZI`c~L?uz4pJ7%UNpwEWG|tY8S>W{N-XlwtBCG@!aY~zHPE3He={4owKu%@^p?=lz>!rL|2NWSNiN$ zyQI~Lk}t+ta%$Tx-t5pnYM&Kl^|Ej^4j8j8!Fk`?`{)I z1g=_SGADDBuiYXU1Rk$l6z&a6gsQcXc}3JEx(0p4mrXP4mVfP0|FkWB$5c?VJZEDL zGikk+w_NP_JAro!jxY$h|K18tba$CB+rC}jv7bNC_xd!kT2bH-ZiQQ3zrh)@j9I&5 zM$DDJ|FELo7{)+k5O*&ZmwLDo={1LwKTsE9e@z8o)QD=qoH-fE-<>Dna&av8V*iZ#2(jPxem?1xoco=|!$UARoxquL#mt-O zY%qeo9xJ`EJ`x(Srjoj6J+s()%F${OA%HU+&*CZI>QlB7_ZUL7lCb6%)}%d8nfXDf z0hMfJ|L0PrE&4b25+tQ3OFlrdzS>U$+S`3Tk>=J70pN*txB!jd=-$KB)Kxxxol9f} zP{YZ6P3;&8l__uHQr*s4IsV`P0<<3%)HUS}eQB_CN56PwCzHbPw03thDXjaHXlG&Y z!e?;O3HqYW((gx17traESXntszn+d-bag^GYqddE{f1)W~*Eh`fEG z!B@+o;4H_4oV`vredMDKPGj;vpN$ikAdsZnRH9+tZactwb4$1AflsZ)b@M5iGA{3} zmqRPhsWFp zPO@sWG~3c^?8}hV^4S}}Q&t%XxyJc$&AnUKDlBeOS4`6-k6wNjeNwQsvql}+4I+mbr7A~juL^^BlHT*r?$ z5B~dCLy`)wzLL3GvqSd&$8TDH`}5Um>O9|2*d8c6S*m=$BeY~(ZRPcx;HRid<^Nnl zJm~1$SmxJ3x z^6(IQPp}F0)z)mhGHpRWy?{)IiV%8BA6#Bx-7H|dhs8#s_wU>7&z@8zGbKxnChlRgvC`Y(+PgzcW~C+~+4?ao6h(?V9|1f+{-Ja?`g> z=5%Ym6S*P*RkS`n!%t1Ov6BYH2*}m=>0XA&J{hAPwo?qdzGl2^+|dMN&1C zp0R+b2*6A&N=)LDB*_8x6(kC*Z%dB>P^f&bbs621K;>v^FczKU!qPo7`jhiCKxy>iPQMhhs zwv+Rx<7PB><&g$o<^X~$Z?cdBs|*&-5;SK>2c0i_3m!Jb!?8Lk8#C!fvy!ownS_cZ4F_BFj~fE>+IXfGvc(dre6u#oK4KOfxb2 zw*k!MXy-~O-9sl@WICf9aA-3>cVEPPU;TW9IwkT3@i86d0lS4g)kG~F9i5Nu9C@r4 zy&(ZtD!1w6Pv^H%^ou+I<|N~0DqdH!mR5wCjDV@O#>JYwAhdZ;EdsFXeP;wkj=oph zT5TIWQJ7D(yCWUvbmPF?BE0BMn5X{fm@~5&>gWz#?HfBdy7k~smqk!N&K%u?B?ZUl zpnhI0p}_RUkZW_8!f`<4YP-I2qKLGYb#nPvZhAZTFnhswGlvn?f_~SCu1Y z<5KK2c3-_@Xej{bT#$ zn$^9N9ztSzC~jYyaWa%#sP%4{n!N5pC*B>h{blAr!WBoWknJ9KW8b3Qoyw9-x8=l zVhrag%1PIYsP&H34Ga5P@9eN;edgaH&9xVZC-I_oO#OdKXPnP<3k~#qd>lS94x;{l z$sirjzOSfFUg+Zt|4dRUlqGaVh8%k{YQjg?dj~^iVteiC5x{U@-CiaDeHylMT^c zdV=1O^o(A~&hE|RYzhb+F?*+782Q!-_U%ZcgtW9(^ z6llS_&fQG-tUu}K-})}lXJ2D-KN@H^ihZNX6=QP@Qx1+fu>GFff?8)#xo}5aPqosc zj_6csn^%2t zPWc+!@*C!(mM1_rhuN=Cf%o!^@^%daRV*d*bNhageRt#jFD zFI;%n-+LNDiIrqDEs_Na=mHvH_0CylG3HHjFcj{apA{Omjh8emCr&!l5li?w@ZM{- zTem0V`ep;yZ@)Da%mnx+{wCvNZ5C?}sZt-dUw!o}3)knh21e^WA+?S>;eh)p2UcA^ zol)M`{pi>v^FSpg!h|ew2sK}SQBT$l&R;=eYPaNY36K^wE7&y<wrEv{Ot{N!IglOkkpH^x=(z{R%qwc#59pOY0^ zvr9X-`@M&wY(o6@la7~?xm90XoYNF(@Up|Y_BXtk2t&>NM!IQ3hd2#r%j3ie8ad(QDR*1rvseJsS&Q;KGJDwfumoVa-okqa6uvq_18&Q7(w6y{} zAGy@?)6ls_vDXiKm+Wk<9=NZeU*}*%y%cgh=Q&(1eZQt$pJH3iSA5&B6>Kv-YZOH| zcl`^toFnx+p}XK%q`j`ruRHpum=HuvgvICd@KbnmfX>bM&!fF2v#LR-Dl3`>IZs8V z8r!fSD@`9C@J~%MmOiVD&m~(XJ}8S3Gl%b-TY+}K_Ag=gx%)j<8pqkY39>lW+yQn# zpvsJ+w7tbok~FBUqf&?~;M~U1faR29%RGaxK9$gCoiE9NqDZFWbAQ1HOYhVWuWzRj zQ>}>L3!(K5?8SxY=H7bN*lWw9f?WxKpT z)QJN5e~ngk-F{3}rU=n+8ik|v0Z9k*Py{EQxI$`$`p12EftJlUo8u78K4HXQoc;s* zm}TL&xd*%>+<5~bY3}D8vH}q{(NMP140T9(R@_({7Oni|2wP^^)ZjEMQs!v3XWn}D zbx$VdJx-{-elSdUQ0%Rm?#zX%@|esm+8SM+|D5sOmi7;wUyygZD+oyM=jxeBCs)Zb z`tEEfq21g2wW5jx$)1+Mpmru@^GNcUeNXFzgpfPvo^!sR4eNQods9)xlvFR*CZBCx znav71WOKopp*3?gSj5cZ%jcDbLCo*jXf5cL&ia?4rNqe~u! z7(dvX%1HjQ(Y$n#`{5+ia{K3HBLlp4`HdQay`_R#PCxJT{HE-n|6x-0oNVM+R~)tepu$vgx=58T73LsczN{YfFcdq{K)?fX-USqw^PK|&t}vwb>sIm)71#1p za!Zr41Yn1W;z8HOr_rlvrhU#e1B=~sLDbrZ@R}$`I6nnIk&VouzUnK-sPx{xDUL#F?~BqcA&t>xjK0$LxJU&-sq&0?x;AvsO>|Wbor+B zuS&D^@7DwgXInZXAKGvq<#Z{jZ5EzYrP+o4>1)s1Re_R74WFqN{WnRrzsgiRZo5CQ z9jJO#QNkg&9(10DvZ(>@?3ffLY@Hdr4^tNf^7fei=To+XPN^E>6s`p=@8rk%Wz+ISCi2@(#)c}W8TYrV~ai&k&j3S<48Sa(y zKkfxRf&c9z1Cq_GO7W56=9N&^KbU=|$*zddAov%&`+U{^f$#rxGyLLElw3cw?cXPf zBFDBjITQt#i-3y$|L)?Zf}#*1^88B|0^x?dX}pdkjvm}NQ)Uz{`sH;fSTAieoh$&H zz7-(x5J0w~XEW(=L7;H#tA>S@CORCMBZb1?Uqs6&BGMNb6Y&>`0kTqcD$@V`ZJ|8S z#|Jv@dxIxK51hNf(_BLW_Tg!gx@vaZfHe2;1f?}9%fkd;HHtOMpB?^m9T*;B9f@FL zm8+_jmuDVTMCT0SyqvU&<$4|F^f6bA>YP^UM>1`Xp|&8ofu=zgz1JqWm*+z|xnFxw zDAPRSJV$lbjT+hEt7+eXVWuh*Q*=Ss-=kPXHoJVEez@9W*`opSm_DZX ziD8E(6O;LxvhPKSa)7Wqv+&T0V7TIHWBmglq&O?{^3@l)#5dW8c<7v*oQg=VUe#|m z_`Q*(WJD5}{vZtM=fWbcH>4JOc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IDE0RR91003V(00000 z0071U00000000C#00000004La?0s8|WJ!8fHDJT`8kpVL^@X)@?9R??k9VrNGV4Cl zTAQ7f)m^)Fo673$>9wrXsjO4g$DNf~o0smXWyOM!EFO4;2MZwq4@mHU78ww-%>xKt zka$2mAY=(6%;mxOvIZMphwqEaiT~t@yi`?J_H<8%x+~+v`Qwj2{`ljMKmLdl@!^Lb ze)z}u|3~;ggK*=<%%|~FZk?Vbji{Tnnrc5jYqh(73aRf^-mefyh8uqtPk)Hqe}MnL z-}nFb@cjSm|KG(g|Ffk3^Wlfz!OwS4+K(XnL2??u*NZz{g;>%&QKe?oIR94M)`eCN zO0q!4Z}89e;s1VVyIA>?h;+IU{(cn*e*nK*@k!KBJFRX~kMWobH@^2LSjV&Tc5?E# zt8SIwR)w{-!fbKAu%JqfZrqMkxz$F!H4AP&YW3Qx*NIi@IPm&Q9d#6Ul9Q(DwpxwO zJF3w-N$Tpj*Q}F)9d$Em9;sIMaokp&)^Yb))Q%OCEI6Y{x1&nidwc3EYImCeG&pXi zNZ!#oqUO13^}1)ht~yQ{aYr4uTc>z~)MJGL)N!jFpR~xso41l?z0o^j{3w13PN=hX z>!cl>Y8JOZOLE$?ZLE>K?aR0sbvmtj5_RJvb=0c&PUB|RNCWaEO_XwK9$A(6gR^$r z=`_w&r+4@>alNZ)pC#SLU@gE- z6I0iBP=~0i&RydtU^ftx&7Bqyp7kIhMksDd)|#d>JnyK}sM$M?>fK%&ygWR2^&{O&U#Xo=`Ql+)jpTo}q zR0hTSZqz%8JNixEpBsM#3D7pwIO+dBnCauI&jAWO2Pz}dk-fEqg)a>6IH(?Tfvj^L$ z*QuRGXKHq~9Y;sCj_q(YZQy(b*6i%;vv$&rb8n81l{O~TY~4g|I&{ZLcMv*cx;Vb5 zbNXEI!SwAXT{DL*{TalxG2Maj@|LQeH(?PVZVc;3J6SHGxjDYllBd=F+kyZ6*8Kl6 zRy?JCBk984)0j6l4|15cJ;2dmggwY2xQC;%J`XGDF#w^Pt?RnI;-`8Xb!RR+X^Z zI6G;enqps}BKe<2VNlKOMeV53h#Q!=r@jl8`?K<0-M>@MBFx*94(EnP?UmisBe%vus-=~UqifjrQ4>`Uy7e=>cH)$l_GR`4*J1Wg?(mj{0x{kgJ&s_Yj+MqK<_ar!Y+6lZ_^ueg^ zvzBW1P7h;rx(56o?49(vxHJsv=y}KjO9puoIF{;gR0$Y5!{Cw9;?;4Uv6JL!3=iG; zSxir!_PY>k=Pa%#$8gsHAt+T*qt!$gKM(~PBItMPBuCl@II#R~tBYygrOSp-2pWYH z(+>o1+N`FEtU;|w?&Ac$$#(tmd8$oxm!`!eCr9JPwfA;hUB-O>rc!g? zJnfta%lXzItkt|FJ$?Zk^+7(l$9wNpk< zp;I@Z?mmIJ^iKfe4JrYtv$CBb_ja0)<<^uR9|eAxu0iqtm`vfgI=z=PXLm8(t@xNMi5rP2b8A={s~R& z$PU90p=>k8P@pNiF$70&zcKU|fG@RGa*o77`a6%3Twp+3ag`QkSFNqLUFg)lKU&iR z_gA^?;+IF*dZSz^v?8ZtiCN+1aGK}Ebfowwj1<#$=`;6U8nJ|e!SWD?Ax>xa;}iOH z+N#P;HrT&WA3h^?Ly%n>`az)Bw%*wfGFb2;7f_~|5!>9HyY68#8{7oBN%gzx3->p+ z-^Kr0((H7h|M+0^Mb_($5_T5()05g0LGlS`bo+c6~_vok)@0V65B!`Vr|gof2= zp)Y826dD|XGDD+bv&n6NFfIp~T0aD!8?-(8MR(liX=WG*<1$O@gekYD3>zgd(_Z!Q z=v7d>2-Mc}62k#7LS55Xxk0zcC;__Y`zg{XPcPWzMv2%|q^2S@6{%6ZLnL>|MK)G%%TvSr|eF0l(dI=%JkNGx|%P-jf=qEm?96c zYs!|Od-3IEi|%&1-_aAgd3#sgiJDK8Ud|Y>jKSn9F0lAgx$&Wd9kqK={RwxO_j$)3 z;kDU|j2HMApl!BBbQHdwhj->3_pLoPDj!m3ysf`6I>a~F_?{mb%m#@b7I3v@iT$0=v6rXZw5NBliluMEugW^*z4dRSUpK?iZ zV^Dm`r9qsL=~FIAZVZaQ3S5Fq4S`O5Zk6&Is+2o*ZL1X>=~D*G5vFk39h7&4+wrd< zn{of~Lo05 z{y}?Qfc;|M(`8P=^otMX@Pp1AYr&vDX(oBH(O#bK9&{aabMOQG+z$58xe%f)rpH1I zK9XX3EX3el#9r$7b;)|%hH)muua23rESqREZjcKK0S2#8@ZXd`}Q3?GDEE5jazT(R}bt^f^TBVZU#w zUD%CnwGrW9`{B*S5A}v0%NA+{U+Rw|%HxJ@$@g%oJ&HA_3=A)shwkLR-(zXNMFAjT z(}yV!rgAXt3sZeC)rr$_VLBX6O@gUeG&K#U=J6{tMey?|UPgu+CU6=suV>oFxoW&# zXvBeOINU~`MgE%@9Q*&tTSKr|P?F$?d z#gp^$4h-kXdcJSGqTqcTn@uba@`AjN5Vbt-ighPb3|oH^QF&k%j+E-Qd%TWLtG4tI zE_EyWW-o5@mcRIjhg;_Y&x0O$K=wxg0CeKZ6DMEBA?Nh^IEQMz81dp2oLi+k%8W&o zhQ0!EsIQj}nw-$5HVWTN@`2J}|C9Cn3J2Ahea5x);r>W{yxJuy(^n$b0?9yIn5ycd zPmczy1(MxiO)*KPr4(Fqp?$34sbB$CDePMqz%E)dDX|o-^x>K*AG4gk3OZR>=V^nu zl2z%0(gyV=eMs6R1aM5+UNtbsYo1edpfA5Lx1YvVXCZlJ<~Q;Gml)wObp{IO6L*+0 zQ-l2cb^O=Y4x4vR6I|m4Duo=58~L?mfdMeRoi`SLba;$GwJ{ zRS#jgRO2&MSXRaPcMFT}E*20e&Kn#&*!VqjpPt&>RLhIAcW@2f0~|+>rD^}rzGYjYg6dFFj7OWVc8 z`EMK)=I6^xCY6NQ8>qMO1!u#uj<_}wthO2`o^U--(DWInh1E56TLHVerB>F|o66cy zT$MFBQ_JeP z0ZOWDZ0znIn5-0Tv|;loYGeCj(lx0()1ZWlqb-QB9~l(sD=$kfu- z0nf+X+1;^;GTWdy8`GhCP}#3;?(QH3;rsBP3PeB549YIkIXI(N*UGzK>DTV>ln;Qc zc2L>g^Eg&*XO{T}#WlXooq_m7HyeaPrt{?9-Mxd&?agmgYTNg>4mOE-2hGE@ox7e$ zCq+5&a$+RWQW5Nx_93X1ty=Zb&cVG(b+c-aiU#sqJ-Dis# zY@9>ywA8&AcdS}YH^2!j5H1^VqUvo&U=F1~pDlUeQT6o%KWYl}uaNZ@$=*iK1`BrSZO}=iSLW-e6 zEbrLYb-U!KUN9+AT4Y=lZ6SlQ#0#~zqxxgq)2r^aI^AY!2eP4XF|`*vj@(Gd7@yn< zx95aS-|B}1gyACc8X(py)vq7y?#`bvy_zQhBzQF&kgq&a`W8E8hl~#h2|s+tFP{yWcWNn_t!OR46+CGPaN3i zme-6pa+EUfpWjr0Wu`r>W6EJS*wI&WnrHg#%`-lZ>EiGl%qgL~PffozFtnj;H7H%% z(Fe(C@01F@^~{YBgt2<(^N8|dB;Ehbg`oU6XBPH+jEi+~l26wTk;KM9hF4?m#9e-M zgA@ezA&ueymwoCKd#6GMYw57lYVF~MAf_|zn@RnB9}4O)++ zjhZQe+e6)3--30GQVcM4$M}~xWy+yf!vt2wygE(nG$ca=`K5_4gDRX`NjHhoD_8|N zR9zP(CoR(x#DM{mfVmJy*y}Yh4{_;b`)KyAUeBRa2s-93M29HK)4(yt-Hm}Lf~JZW z37f|Y^sTp$Lm|x0;#QE;L(KDeT@~C<`ZC%kuX8pxmKeMP)Nv1vQQp#J$kml(J0S?@g~8B^cAyl{#lg@EmMsFh5Qe5^SkQDxLgohp4`Cbo zYldwda*StnnF2hAUPprEP!o$_5C#vW74xdK1BlWBMr}-APGekXBY?@sf`$E*hyCX9 z;S&MHN?LAn3TY8Kxl{G1Q;)E6;%N{W-tZYZ=gs=#cB|RybyU3(NA24UegK)xX8H3) zpDh|IpQX?9$j4p-E;IT#lC2nNR?S;`>I*59@etSJMnhj~T#wrAb5-XzTSEK_OMpjU zSLeBL-eX3dx`B^_RKN3!%(1m(2XzC^G4{h_4yc-Gdw(C^mJ5s9_(5PT`e1dsnMJr- z(B)$4YVmoPRoBqO98Rhm{8op29z-?zyX-)lNzxO=lyrWwHxT2%Ls(mD6Qs zo9b9`$NR>$hh;BiEAzQ2ST+^9Vs;mbOKp`cwoe1r>9oFAlw)ML?Oyv#taw?sruhOd z#infu9KnZyAWi!WfH!)W=81Zza{ygMGZKr50L_nY3l}lR#=_dFx`jV)BaV;}IUxyB zXhiSf0KWz==~}_&XF_pV-6}4NgjGPSN{GS|%3QJ#9D3mCowtwuM6%JJwc1bcgFwEb ze}5Z7n_e~bZT&_MVFHh!Qq-d_bv~H2kD?1bvRMp|Gc=lVvUPbEiPTl5I<=_L?H!-i znz%o`7B9AS_4?9k_15Vb-Z#4+sXA|j>r4h*tX3f|Q63(|m|C{YTZ*>5s9cCP6tl==fHru=ccb+unzKp*bU#U8d_;bP&-kwEZz% z$D;HER)#3|!`1;gOw7!D0sps?rkyRAU@THz4qF+BME)%4I-W2&T~iP zXMt|T!Xu#F0{4bbe~1oI@rKk zaq4i@yLbnBO4X6s1D7jJR#L_}yR~I8PlT-`=8|4>kjE%eKMw$IJxf`txDpF~>x!x^ zu5%k4l+qnN?+8DWkiAA!*W1FB;kRkCvj&VvlZk9fo!^-U&blli7tYI~OO0pIc}H1R zm}>{`^p20QIlvd4wos>lb5@G$ga>=;CiP-)oO#^E zCWZ*Uqgb&|(AU#Z_oAn<`bONw;*%vIR#=46AL<&RH|5C2mvQ!$J$SF$XoPd6W0|%z zxnIYRX~n!i4|~T!JLQpN&#+9x-m$&=5Gr(0RVnXw45^tm$~@c#01SQW$AO;!2KzBI zlNEg1g@DP{(fP(QNHPy!meg$S`gx4msb*PgI~92i&V1JM83`ODI)1VO6yeKU4dujhSh!%ma$*O}EFw4Ai-y`<~BvKjcy17}xyU=ioQ zSjNi!E90}FEV_HRujuJCFiOr(nlq(l9?HOH_fD(5$LAdiimIMBd4yEb(TK|#H=~)} zQ{rtlZ@y;`XC6qkDt-~$Aikdn;oFBZOB<0FMD`_ttR$UFW;ZSl;XjKT zFt3^?07S^tEc2l7Ho!zXYF%K&-WkoK zBZV1qyF1%EgOe1DSGUSrU%y}7x9*!v)xPLan7ba#7Ix_JxT|ihS02>LTl>vWPIf3; zxXcM%!dpLMW3g%syOmIWZmO{PH+MF%p#@v6>}?cygOH(2u$TFao<2KT{-=fz9pN@p5m4-v9<1WECY9~)Qrk=E0z2=cY z{T6=i&_x0FtoE)RbNP$q!>>;UTp z9I0SA-0V^3u%jNwn0M$!3@w^Avy=-Nry9a{4|;yQdlomfH)5}W1)|4TpM3^#Q87=h z)Jg-e2pP%AJaA&-mb!DkO8cT#oOipgIQJir%7yX_272-zAhlLlTU$UJA&|t@$aE`Z z$DP!a$nrn?#Mx!#gKnGic1u^blaAn}p8mW1tU-p95t-={+C0HxVw{!@3y3TAm^i^_ z%TMwwiVV*)51cw}(rK$zd_zxoXq#yanB=CY8?Dxv#YP@1&Ck;fi;#Wd*Tc?h8utmR8yDGS1F!JOU+l)lGPSPN1`C@!~5Le9JPj zNy%R615gKX{c$t-ru+8b6L^0oYH+r8geXEjg`$Wt8D_Oz5RIEBZu=6cU9o*g#?#q> z$T)@s>G=p%{Oo!=!j33ESCwp$aoTQf4*Bp=b@Sn)yU?dpD%wvGW9DWit$;(wXrj!6 z!dqQ@^>K3CO~oFOGdGzag5h&2a-zgNVX6jytI`G}uRr17nnzBkh`$YeU zrc6(GX zGla|Rw06?j(OuOkP@scSPvfN0S2iN=@hN3i;Ne6 z)OJc>YIfkQk87>tW9@QJB}b>&YxSPG2Fu7!=7H)3jf2$#3?Q65(069%bR)We$ZEdz zrq$c9zh{u2$$tgQbb{I{D0=UB%oR7Hr2Whm2~) zLO0~+$jw1U>gF||wEIrcrOd$V&tYIGY)&BLG0;wp*;Hgj$QnY~rv<$C*sIUtv#zz; zuZH*C4Bp)F5#x|evB3v@_=}Kv&@KS&&)B~IKJ8*|$4#9hQr=~pW2@dUgb50}3wI=> zeLt&9vrpUv`*Az(E4eIHPS#P#{4iiR=in0y6$4-eI0JyB(du9dUy8 z1|I8omExw64AHc7+`CCr+6~<>RJz14q&@8^DWm-IWZ7Q2g32eq(}N~P6h4g?$Fw`vmUc6V>2JOmlAf@E9a=b1i1T z@e!5>J)BnE`of087z@P+Bdvrm4jc|_c02#nbb`84a@D$97XM- zm}i!caVC&q+vWESuPgx<0#RBCxZ=KH4uuFD{Vl?_opwIIeZy3;ZgcAweL;~_(*rjg z50S^$fGfCh_;nUIzmk4Lzlcvz^B>XU)Dmkca5O3wH8{R_Un_cOJrC3;y1AZBo1i{) z%dvJ`^`Ai@cim8{WcMVKfWcq!+lDcd5DZNn1#kq83k#rn5k#+uDpDR5{v(Jexn-As zI8@{CcSQ&TW5t(iG5!0YnJ;)406o|ipF*Sl*>3dv5l1E~9FJ~h8n zjiV!`sm964Wvi1WC^@wD4v8ow&7Ye8X@i5!vb1dCVm8F6{pyTS+dUYy%@a-~wx%_X z2E{2k>Fy?0EWfRejfyFE8$Sq+6a$CC`ewk65Gc) z!F$2lFsucTqz#H+n&bsZvhCkvkt|p%qLUzbQIaeKLy!^X@{1FUB|);&ihW!bA|M1q z)Ja4q!R2L1vNfCfNVe7)Qw7PQA`>QgMUcEC$Pn!w)))eILL^h=mD7)@*sBYINgp;pjFd@xyFj*933-*Sk*|v&q zAptC^a&0tcMw75~glQJUO`6Y{;Y9FDM8h--03lMMCfCO1%y1&g78Het6Cp-o;G6`> zayVHG>*hQ~)DJ?6@&JPrg-pMcoxu*68BPR01((B0Ly#;QXA)eN!^wh>T0yc<$wC@L zbV4L^IN7_mX(?laxs&GlhU_qpht^0o|DgDV#ey@pW_f<)ef%O2?~-U~5fvO1WQux- z)a#TAO>t#sRg_T-(S5#LTs$+ z2Zol3Houg+OLTp`7T)T0Q{6{=E5aHOjV@AOq4rNXAl2WBQ2!%2VD*A*iHV9prm(BX zfOIyj;r02q|JNIdUa3^@t40vuLARQqq!o(JxAS?nex)aFWca=UM z-6|`h)*>2afCZ3rWLibO7$?rmBi76a^4yHTR1ZoojXSihuM-IEC`JM+F0yhjCkLd{ z$DK6N#{p4o5q;U!04Dm~qU$e3?-ELYtInu?K`xXRgsg`vEi}W+ zt^*tcoGC=17Xx0n{$iA{0J0fgDh*)e-&LRWaJRdV72*1e)R(>eZT+PkxM2HqU;Ra+ z3xJn>1hDm&W?(Ta|Dw~2`oHM*mmTjC`p;Hh+JNpf-oEA!SN|1herW<0!z*jy`oHMm zFQaQO-~Q47EDG&5GWv?Nzcl{};r1WO3fT)YO(I{66KCd0P62HHUlm(u+^+h*{x9k; zy22~c{%f-S!nzlg7Ew`un<|@jqU|pWzC`!u4DdnD7nmR3C<|g%ApAf!D(RRg12TnO zg#*$8Tox99Xl%g&EBEA!1$bc`kOg0b0n!9?Cx?sr^@)IBz>6LOpBDq94Y(|tT||Wh zh}0L&falf+q#3vpR(OH~LJPd?!$7JBr6IU1>MB}Wq>4`Pstg3u5?m5h4KqNb+NkV| z8M%}%#)&iYBrTy-1f(svG;$yi9C+C~0SAv}xm3ju0`+}fzL_c%gHQo4x&vf{?Goc4 z^Eb<)@G*`|Mx zk$W-u5mgrBKpsF6aiz8=qRGnrB)2jCAZqstU}p6Uk?A}aRfMv%p{jr{_tU|S8qe;J)XuQ=%tu4+pol)bC^Vp8jL;Xa zBx9t9U`=>QMP)_wW5gKgIam!dCM+>xED@Z0b-I#tD7gC|$LdOg8zTDZFh=?r)9V#OkBLGD773fO&b3ZtMi({*J00|D;!eu!R zT8(ac?JZ}N5B4h?*;J|}D?^_~6nARhR`_#EVQ;)YDTlTLPO^A_9|W2lv*P0l%im8S zc|jiz!((4omdDA?%DB&75Jlj(I(xX8)Eu&>lhS)W{ZS`8hlXdN(2&0^>P|w}llGj+ z$13N~5HT(hX%ExHrrAMmq;lv%9?_qpQ@;jU= zA^HXyp*d|klSj>cH}&OCC@h8H<^eJ0%xDEw&?;`*%tU&y zrpl@k9nTNwq(koJo*Z3PqBUKXM?$$!c0iZgWi>gntUPJ5ME}dSqTBmEIkK!kX|nQT zyXBUf@Jx&>x9?k%B|2r6TNCezZa=0bOZ3VtS#I7uIc`~b(q!dFcq;Ta4z{cKLFiNH zUNQO@@i?^@PL&Xh7p>@M3c^Fm8wcx~EdRPFuu`Ha$m?#ygYws}lL9Lcnu5HZHWaMy z8VdSl!?W4Pi0FdNAat_pLV4ZiJc0c*j2hcH3of@Bz0>B!CR(hA+sLou1GTbq-cFP< zp_q$d#oY9V&h+)3Yh{^G&8~mt8`F6&C&@DRRf=xRf!f(EQB0IFD_Xu2367DFiOee& ztQdJJeC)bydv{)sZkzBBg%gR~2|p7QXLsnPCM-Wlv2l1zu9N3UQYI9)(^Gw7!}HUe z5j084q(09NYUG(b*=>@P2`fABl@13xe;V2(=@Q!9si{C)Iy-xklnHgdkRJh^{5#`u zf|R+_IN39u>I(48S=*DO%<@T7mYAtp{h}p1cdE%+?vFkP)7KJ_!99-$7=0)^0snG|IfiFU8 zq(;wA_?&in0TzwB>XdrZX?G#f9G#o#R!h=(k;S$POaocI+eFFulF;Xi`7w|}o*tJu z!S3(QJ=N?IQ$QOfCE}#i3leszwMoq^p~&S`29_$KD^C5=;f$1t zNyoC))V>}*=7i(g*DjZZUUuWG5K=0@Yj2>O8SVLS?eZy9tsBoLU45Ze1DBtq+RF`% zlao)OR+sWac1oDDR3}H6u%H89xut};$?C)illPnlF6&AOb0hcU2oq{J@I`D&n41nw zjxei*wQ>#*-RnHvV&XF9&e7C_EOBnN4p+Yz~0>}JJ zNG9DOyP6k62CmD_IYSJhJcqMw*P8>dCjJGp8p?*${Wfb&uj}R(f9SDDJ+7)beaK zP`+*4h%wQ&ky<%Fnpt^viZCgjaSY3UT1i^`J!L*WsK807vaNE81nO?)2a@200tMcOr-#e$+NZVdie-a=0w9g=I zqt|FCQEz-7jZ_5on62ax`~Wx7RH}<_@0YfV6~fHSkoAStHFXPr-qtDl&b`dF+ro^K z2d3IpBKp!+i|W>D(dK7DVFeghM8evg2;N6M>dP$afU`iVzw^tA`x{# zAZRUWbbH6AwPvds*W$&tu3leStqys|`{qZh&Ku!6U%XZ!E|F(mgg=2N9tGgP-shOX zBLuoFRc^IoWt)_PX=cldP^d%+IZ^mQU~Bh%h%gYAIkVeu`#}p1EkUzid-j87Dah^} z9|+6t!R_4Ao*M})Cx9b?rP(tQSWbFJ0?XOnNMJbu8wo6D1S5f^kv-mJVtWj(eGNOojnwj;9y|sHGu2I(AqC zYsk#GF2ixkQ)vc4QX%vmI5Gls9O)ufe5^i>9iDDdNHlpS% zS`sk?ViRYEvF+|QT8B}CFK1>xi~r`C9;;TbU5^zG13S_$XE;~4Z?}?WEauXGHFcB| zWw&R8_EbU zN_>4+boD@sWb0uY=kk(uVYPEvz48O8cn#GntjS2-ktI5(8Gkq}>I;V1`1_4C_6O

      G-;;dqiL*~(vl|4{4F0%WB(wHiAW&tzY?!qG*00>^*-jH zFzN;B@mpzL5BDfgH|xd*kjETAH}kIp_$YS$;d5zbkMF+)%Ki2JjCl5v<6nL;P_D=9 z;X-NONniW7)HD5|5h&x|1=9Xee?~lqI zUz%0Wc^pXm+W~xk7{FH#&{(>(qjeTd#azpj~xj^2KZ2U|*9r4urSW3#wUV!(1Gf+k-?~6+N zX93wb4b)>U02iV;)E7efDwKEJv``y`@`m(th`vzXki1+4?s?}8;R~gO>Ko$A&j;E( zls8nyxM`fE^oMczTnfnFLloSP@9*~~;Q6PI+cfC8o(EV3)SPF2lCzvlo1+xLU18{^&K>@mmU-Q-9TD8bPM2+ zY}^s=T{KXQ8SnmdP~rJoh%b{!3-Kyc?znK@_0>#cA-;^87AiM1KM%Fri%R?cK;=Td zjI}Z4??u()Uk2z4$p*LjRlC?I+36;A)9PGkcn~#uv3YzAKL@QY_BOV&8zVF88Senf zT-Ksm#~xDkI!W_H(S7^4-D*`e7>ox$Z>1jFgi zAP5rhrJ)3v<(Ep|@{9Y<{qsZf?zQ7iY+a+G#@z6@*?bzeyV(5cwu~YT!8&wR%Pnep@e>fK)3E&6dMdo_AWfeya^B;LE0aCx{Hx$;4D3bK@>PcA64BQ3sbI|7Zj zMRk~TE%EG)t7@w<_;KF9C8kHQ1?8fL-Emq?_gv-5TIefl*<)kT3j-fBWpUZTmQ}8% zyTR!2EiB8Lt%}lE(^WxyUrqUXrRa;#lt<~eM@ z3jLHQ^x<~Yd4htGgz%&IzuSEbx!XslqkyDq#(qO8>n9eRNoRS{w$#nfP7(HBGB>}PnhMABF0$(v%q17?Q}v!lP#h)=N-x}(&s67(akK7*QA zom+An$$t#U{ZNIf6Vm9Kuc)Hiur4&Dw;`Emms-7@z{Cao&jcneW>WCLM7yk=#c7pT z7N=dC%tG3Q*DR#?4Am6LRSS354wb(NZ{P>joqd)Z#j2W|G^0kANrkzEWxM%)JSK6Z zDPTlT_c1WR1S4){;FJ3fyt9--Ry3LII=Gb#@6XSU%8ul!-i>jTY2UTEdAGrTJkr?~ zb}-Cx*y>?h4g3)D8XG-ZkZtsA``Sj&LJnM;|vDA+pvU({5j<|?IOttfk@=+vAn z>r^Yut}L_Bwvdx%^4a&e{$|8bm}$!8x#iUhN#XNr$}HP+br${CSDA^n#@R&Ml-Wev zlzu6c*=(mK`F$(OHFZFc}J8+2+;v+Gn_tv(Dn-L7GC71OCX z>CmZGJbcx3s;!%6H&fmAm@KTjFo#w6{{R30|NnRaRFb<+0znjp&&pLmZV^Q)E2D{p zL_(w?4(zZZy9+Ff7lf>dg_V^iT3DHAXQRC@ppBXsV`D|(8)#yojfD?jW8<0G84ShA zWM;nqpL3ZcC=dsLPPVXv3xqK&wd7c|QMYV%0wWkcSW*Cr-R7JJcT*S)YPAi6SeuSb zN;-AwrBzyXB^?C95J$=R-u5q(B!xsHZH=}Bt3+TK&-1rW&$vJugV_p3B{p%WP7P|h zt}(nH<%uM-H~9eW#)X0!C90}amA-LK?e|jeJ|rduRbC;MJ(R2xg=xIJ|9E%}VX`s- zx1Op=3_6@Z3LwT6Gr6nXA}S_?wN%)WS3;cYJ$`=QMgKeIaxL4cn%V$O@Q06I4i3?6 z&?y%c^(%f@z~}O3r(G{Hmc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*H-70RR91002rk00000 z0071U00000008eg00000004La?0su+WXYA58Zf)=^)53WdyQEyq&=Q-k3CYWswA~E z;0;%oO5O74H7d1w+Qd?ERk~8&?NXIokM5Bf)&xXA5b%2=0RPw^V*kJ|6Z`=JB7g|< z*dNOef=2|8C4$!v9^PHpw|DryeB3;@D(fM2cWKm9H(FhJ^PbE+dGh2rCr`dU_~3&N zeh+^?z~2m>Yu9EziJwyQ?FU8MdU{QY*% z-*4glH|^hV;Fo_a>HmK4!ME}AZIt#|B;QL;;&-}nyQ2_F8po>Gi0WtGid(wS%3e_x z$nZ7(_c8qK7B}T0XmNop}(`<`pR`ukYN)3a7`{IH{L zl-^Lem6hCVem+-F#d;@hMXJ;yE!Azus(BRn{H3-!jN8d^Lv@*93 zD>~66ZUUF&q-)z)XZD6K<9gI?H)~1Mi4WCbv(`O{8y&+9NS8EF%87YpRpR$fTXDNx zKU3}Q!Oz6Cj=J7yYHUErleR{@)@&Rmh8h-AOXeMeliC1+4N&-crF+n>wUSfKd&T2c z9JBB@9o;w4v}l@Gty%K=lmZx78hS^kr}d;39n@omUtL1v^Gqr9rn>$l={y8!0d|s@ zy1t1zL>+bJ8b1NKkuk~KZX(0eE;z(6#dS$q({zUBZFLegx<^s1(`|v42WPGxY;@$J zqpn+8khb81s0&P5$+t-Rq}^#H2i;Dy)t*yoryfTruoWMHLoAK2iz=p7(}*5*Tbkz% z<4%;++jG}-KX83yt=@d1D&3QlsCCxYK@jmH_`8N~GV|-`sr?n zH5;?5@ln*RchsGF^8gyDGNj~}STc2*>u>UR`az4JNs|PvfrqX7GJZAllb{KCok4S z$@ObV2lk%Md2M~KFK0F(b9T9MEZ|CUV}oBdxuueN6J}*JK(*gwk+fkPkfJTxXm%8A zO&B~4bkd}uBK6K@<&L(N=g81_NSHs2U!x4Vr`mc2w97~X_562%(pX_UEbZg?Ews0;(WE=Jp#SXc{l#Kt}J zS&Z4yOcIKb*AWa$0Sr-5;+l2|>_YJkA{^r72<{T}9u8XD)tAt^ONG!$Ws= z8q<@f{VvS4eHz!2Be?63Auv@@z1ct)-xCEIBo1+N{Qkq(QBT?!yGW$yV**St?C*m!`!e2S?+EwfA;hUHmT z6-1SqjRt(G$zyV7>0w-Z)TZ)Sy?q=1XC7c;wBmm;sVOsqXgNc75Pj%d(}STo45jA2 zdD1=>mh+84xmNlu>G2Do)(85eCQCPC3D=H@=zC~ke#j&+Fq+!EeGN5@7)=4Py>`mz zA#~~{)ZHgAmi{twyhb4)WmdK`^nIO1WT`c!$A^I)rfZP>KO#do&Q9+njoEDsH#uA* z8wPs#*AQKL>*l>SjNqH!h#K+DRXYpYzIi9<-0dFR)C&4$?X-S))657PAE$|*J53ri zaPW}0oLhkTVa8h(S`*F2fReRg=X`YI{?csux5nuBG>Wp?d)3T3(+I*W_<-`X;-ApK zj^r=|5yCcO3w&E-;%&uxnFT2pOJ^yJ* zkG#LeWf%W`gsnHql|n0Ws!PlaH`QrBEvA~{!=Ndq?b2iJT^Y87fWh<-)ewiXyYVr7 zIxSVbwbPdUNjOXb3cB39JyM72j;W!~c* ze}Kf9yS>u3a6A5a zBs1ZrY$E{om_w& zjJ%?m!(GeRxz=elag;1fm8d>ws}HxCk37Ie1N^E$PTCy|PXUbHd3rkdHv9<$?P^+J##}EuJnFp)(Z}4Mjzd{Zn zV$+u?4W@iB?F&DBhGZg`8)c!^zTDG_x{yHm&d4v}-dM-O~T2eK#>~=ffG_ z=QziThx_%rP@J>v*?#eYf>(yDH!y?AJN!OG*z!m&)}2H#Wc?`w<(XbM^{UhA^2R(Z z+R}5v)Q#+yow&t|2;)PZzuoun$m;Q2pspoCzZ1v-cw%#m<1gb9baH*1bH6T!y?9IL zM)9^Xx~N>&w=@oNN9&nwx`-T!Q>IU?Bz~FX3%SGok@VasgzC&b;dK9SkElKY?gEwR zDUs8aB%m!!RrKkshXbZ7N$!+rq*eMdNuo`v&>m9p;IaUz6qZ&DAQ$gLNRj=pldGq; zjxknwIwG!+Rr+AJL0wB9>UIHcOsVrC^3UMPIa>2vr9FLFhq%Gc(`E-#=x5 z$LbjP=kRpwl~{qYu0u zhyfCR@JoabV8G}ubEixgfB|8i4uk)L9KOeF0vJ?L`ZJ*c^PkZ-Hk-THpz6DZO!}^) zO1H+_myWu1HLLE!jH$$@Dz}8Yn%^ocytS~R^7Hw5lLrrCe#hMZr`Ffi(!%U*T-&#g z1Hl}Q{Ves*-<%F`8o(5~{MfO=ci859eNsPLjI4dzYDQ7T;C-CNq9E z$7h>&%Cp7I{KEV<_Hy&{r9~4<#O#gPo7iz$x1?iUYYAqD4HQr09>{3=Ojg`|t!^sh zu5PI1f_hC^gNka~q)b^`Uxc|t@LMZ{zU84`Num2X1P$mJ+fvN5r%!0@n?s&CWQqFu zJV^(0emDYnXzSP$t@XR^12{<*N4g&J4xrZ+E?nV;TRiOI^D8%VOK9HwykicJi!+2_hYU4p*a#4Sz~(HwjCF%0QshxKax z(4^BLP&sZ}?q_&**2lXr*|xO3 zxwF23{3`2rwu*cAcFWcERRgNNd%jLb@gnSOnzy0^W(QQazT zT1t?p#f?3l=exDNWg}&>L18wg%Wl8CTUp=ULJXdd;g14Dzsw9uF5{`3(W|SaZIJZq z_qIxV$gH|o-rVtdEL)D0=>~;$e(PHU^Ap`{P!=+tCk=1!?5%IEf1_O8ytlEp&YZW< zJdE4AFgOkos+e7CPMTN(bNX%RcSE4cGHhl{rBJd1nk(U6mTCTjkx4koaXDNSo>m4gb*-rOy^I`Kz zTis$lGn#pp=4`u@Gu~TXsxB?)Z#-H)!zs@!;{d}vv%JUPn`c(m;0u<|HzrwpamOTN z)xqNk_SgLUvSBy&fZl-v``prs`A#imzCXL60?SN$Slg6Cw%^iMiJEsh@Xb3m^K@|7 z55|-b-Y2GC8yMP9wi@KF&FH=4qXZfw#r#-sX^r8I!TmX87t7C=sGVtX_+1&Dh3P!=0X%*re%%P_yA&5QHpPeL;(Pa3N8kjAh#&e5HNpP2^_g39Oq9o9AeK;eKFqsV{H5 zg{h660h8Bqt55z}kIaGrQAb@kMtQ3kKH<@ow6aA&7Y0KYmP|?kogV_d*aw{pLsK$X zYKyGr2Llfw8~ba9Y;97EXNwtZJcV9Cgl(<{X2ie@9!eWhE4E#kr3H*y7`~juxPV6h zlaM(JON+mH2x);F->F*Eu0@ze@i>SCulfY-vqtSUDmRIJTA)15V)_VR=5rfU1$U_s8(Hlv~)u4<6Q{ z2Uf?MQG`Q>E*Dc*o1ceSUbGF(oZNsY1H}U2@300Ym8bBJsk`nfYPFT3NYmM{Y>DK; z8y*hBHcIInw2gJ7xb1!8+QYJwl9lP)5G)%DSuwi}!KF4zHn&d#*2%PXeZiJ1bZs5N+ z5XO^XIl&2%X+-a#f?p}98!H8yo)Luwb)&E#B9`GtUCxP!+@iXXTeJ~WJ@E9-+t*$s z+2~K2tw;F5L%O1WzYnHOuf}>`f6*#TY_2`M64<_xw=v=RC7DM9IM<>+=?t8Ds3oTu}p15kQd2)*Hjn0Rv&THX1lK|(dRftODhy55s%a-{{ z*0vX`3)UvzWd^te+l6|M+Ob-NE8ZvGNNRBdvEI66zq9`CbzHR;HEI@|A5hkMqgL-i zfzSvu`4FjM9Ts(D-YIALoV#po@1JGQ+PYaZ@R^sPEJF?*-|7_Bo_22A` zy+NeY+i~uQ^eoWLSojQRx4;ppik%J$#PJ!Jwy8WyP7#eql*xWL?>wCBhxU$OT1;*V zh)ImmP?#&s?yHUc(&l!>#QGxj+y!nHK1se6E4_Mb7N}!wo^>!9c%mD0d5hj!KEf)p zj)A8Xb9g}f*w~LF)=fqIKm&5t3r)7T1ryI znOM+US5$3qp4;R>F5S}Oj_^AX*{Mf0y+%wKdYd*ot;2{kiAbi@`JH*;K*}O=?zAkr zSbq|owUs4>xhC;;_vi?V1ANhG3uT&%jBRU8w=WhA827bF$6dAS+u9*x&USH~aBpYT z#GVh1hV~sSVu;{7iWU0=eLZb;H+meaZ^SK3K3NoEg-IyAZ`d%sDMvQG470E7zJ0O}~8sdTeUOYZ-Vc|^zwqwVO z4w8D(Im__Og^DnE>msb*PgI~12i&XF9d>ZcDU=GFu&PpzpQzo`#>})<=7mP;PHCMz z2_Zb0ubCGL^!uFi+X2VS-aEx;wG9WYGlDa)nHNq{Sjlp`+n&`Ow3M`J-K68ZvKjcy z3rAO4v50ePEMaB;mEqY?65T!ArhYmNjF9t#=1i%XmlE=`3#rx4!?QLSMODrkJn|}O z>x@eoH=`NeljChNZ@y|xxSO;gK1?>Qehl3xo zl78uVBadn}3z0sQAe&jrrS|lQ5(pGoZ~2e0C-94pwbf_>wZgpn6r*M*j@ajfs zb?R}e*=-z}tY62^ZMrDnp4HyfBTn71e7N$CTKLai>S4e$NRMnl>eUO3;Y?9fKz{is zJWf=&evtuvV#1S{zOvKV6|{OaX-^Wdq?Fi|&cH%2H`e#nop*Igz5cTj9f8ttT3{q4 zr$S|q&XD32q}_4DmsDAp3^RZ-2M+hN?Md~z+H8EOqe_t*mkvzzDpD0Oy#>wG#`4az zFtrH-g_B4^s#0u)mLz?2#%y}1ly0B01LM9o}%zODy6w9Rx5804l|*PG2#n;U7cIPX>!XWuxu z3*`qF{_Lo8>d<0y?0m(apUgUWV zu3^|V#!aYO#c=WN_I-7}#G;-XrSitug;LL1Y%AMqds$p%!^t%EaqVFv`KH@@@Ns;<9o0EnJ46sqe+*d>IvHlQoe+&1 z$8Px&vAt#ckPN4z1CekH4$|Whiul>pR)iH%eyl3VBEz)Z+!*rygUb5-2X`P(DO9wd zBF4zg3|aw)CnJe6FA86E@s)?kQ72`41Ww&xf*A}SQ;`xyt_f2;xopNQtv91utJ#L{ z&d!o2&eN0?^4T}~M>J-7h^4GS-G`T?iVRa|Tb#tw3K-h*#7My4k;!D3V_`GoqI(<5 za$sTHHknVr@SI@@|{D+q-(C!+_^3rNVqwK&`0hzB)*#sQaLX2E*! zFb*)88kSD8m35KfJTkSN5;-;6@YcuG=FyRMxu=|?W9&76k6nXhcqj8h@q)&|>;V)A z2M_d}*)iRSE+CScjlY})NbKq3$QF-8UxtnB)}?|l4PIIJn1hAV!`N*y9GLc1` z){eMiAp6SCR5kO$p6K|(O$RvT5s)18;gSA$MDY1Kr74vtNKfo2p`M+s(1Qkx6UTM( zojtpPu{SK(wigZ=(Ta&~@Xw(egN&5TE6CEWJ4Kf=iq{{?z*5+p$dJcYJ27TskrYqX z5X!z4@ZINLc@m#?tkwQ>`M#COx9=>8anL5)U_&4N$CG){E&%P%*u3{H?P4y+O`Ri> z-({G(RWBIA0EOL!D-zPapOvNAH!cG5l$BF{S-9-mSD9*{l7KVLNZTR=NKi;3IUZR7 z8Ga4lFix7?j85T>I7WLTAM1CO?4~mrB5CQkcY~(18#*;qynq_gp7s=#QGR)nY$u&T z<(psWK@+2bu7|aTX9(h<+iNxMM2TA+Mpp*Lc8PV1@l|`T@r#Gq!LGJ$O%Bm2oi0=Y zroZlNtrEgat~c9QWD=S8@w3=3l}r+{CbBRu+R>vOU*xP%9it(^en>6LG?7HFnq6VCK%|m8q4fNvV(7NFjD;)gH zz7LVH&7fwwZdfjNrWUnM3r{Q`;z%Gvwu`SDc1t~&rR9L9TsKTrh=Xa91j&k0caCz z>`Y7#3Lrx&{NWKnlFQEjP*>x$dPN8WWBHfV>0J=bbivaA=)$%c>ZuS*O=3lOVwD$I zQFMNNuXx9TG4(vac*uY_Vt^x_A~Y0z$rxe8t(q~a?H+|29i9?$^X|?4Hvou-*yRha zvGMZy{{n>`9&(!AHu_Y#Fned3XBJ~qMS;igKOT+&=;`K$N_xMJ>&*kW<}wM`3!GeL z-3=3FK$z#UbBwWMM~Dm*^MX^f$}dX=AyOM#5WTi#6!dGlR({F+qp zFq(brgTB~L;3LfWi3W%b}m)u$DhSgJ>iQ;nCC^H#?VU~*s$9wJdp8h>*Brwufl zWzo`742KxB+s+uY-Gjk-{Nd?=wXw{tVXdPNR)4#17%UC38TCqQ5K9LFbY#y z;3~lLbL8LBxKHC>;b7^#cqN6EglnwjBFkMwtkD3Y5blL!c}gXA)GF%A_E; zR-h~dvfu_0oDj-XCOdc6EoN-6f@oiXaG1sdpua}4`3Hs1%@!QDHA?fh-o-B-=DR3b zS_B0J1)8EBBKCQ5g~qtNwJgfWhjA0g3r-Md3gks@&wLogMrqtHlfIV10tXQkoFecQ z!Tt&j;sY6fF`l?zmJ}`sb`%(k*f5$C!kFD|lD>$SP~Ns#66<^pY+K_P3++u{f}qEN zelM6{ZUBa>1WtC+ArsM5htx}f7T_@cKE*&4aYWVb;1 z)A&BvtwGZBer^2VA*{{DE?O|N+WMnDP%ZoQ4pv&7nvd+)w~MKJgjzDJo`&*YFXNB2 zy=4L!9;4R~WZ|S}(C1597>VNDD6Cm-UY)KPNY-q&FKDYT&12`fW&%-Z5ggvVGAPNq zjT4@9{aIe=Z7U>MVCn-cTi=eQCF1!Ac z@E72HTm21I-e|}bq0vQ^MNrhYtPIWelP09RcD*!oK|FfRx$oO)f0|MEylqctP5KMb=-K_oC7wDC%!xuWb8s47lIt4HN=S)K!cNgeS-buRaB& z3AiNsK$rrS@o5fNfU8ddX$88o!$k!d6g(g(aMiV7+6$x|xFi~01O){|>uA;R?tmp+Vj4qJY;G(E&m;xf!2Cu#(l=k4_NL?T(aMgPO zhmeg@v4S5wl=%G&&R8KCgbcXs9v~U-0)t^%?v0YDyWo9MVG$I>x$3;HTYh!B3>x4e zB^QMuELeOfQDh4|)j)_Dxig5uyN8&4Iu|0#y+F(B%I`|H7j+lyErNpWEf+`}o~rIl zJV_^jsl8h>*kkdDDvO|~{Z%)8bnW*uj4#GNqRv7O^aDJz#-BXnPjW5ekAt~5C!M%7a7TUUP&lR8>$BQaW~a=6nS=$ zq;`m|W;PDF!ytH!dm@vl(T_Py=;&dhH zQCJCgk1$~bHAL{mA&hi1tcV(lpb)d7E4}C(BmEC6qTVA36XvcLhhwB$Vns~TjwFl# z5WyFKFf`M$M}JU(i=(S}1_=(_!i72e&3Y%j`j$h={oV3fHdc5xJ_&%rGR|AUe>W5s z$oq3=XglCAi+%jyp~*2Tc34>YzKrOCJ|BiBzua0HCpjzQ_P!v9hZ}Zwa673vXirD! z15~qB=20{}4uyvNO;L9ux|+1+OgdJ%{}>VD_7s$H6!picy@Z6^Um^~RG&zoIBMY+n z^P+r+MqYZX8efjx!TBD>ha|nju@a)kW)qUr$_mn`vFpaZTn&ZUFx)LAqmRVkimW-%l~q zbk1orF`R_l6%?~%qVy5_$|i=C7`q9YS)_;s7dg5a&WT|qT!n&af}>Jz>%>s9mbvf3 zLO2l#tt&)hp7I7W#N+X425SHhBc)JpIA`UMhxVc8DGiB7&Q{Ks=tIxFY#~AH9lv;>)32p94W@Nz4y zCWn^gCykcqf7w=a>)$7bmSrf7R=;ky)N%u!iJ|4zervQur_54o;yux=$<%0xUYSM9 zjhiP&Ez3_Dt$qzpf&S{=W(7ZZdIY)`j6TGCoLCIUN(hGYmUT1+VU_ag-s(Ebe_j|^ zF3}kD%Wgx1(%a7y1IrK^gMK+}Fj%z%lwRKOWcDFubk1fFGTC*Ze%a?dfxS44((N1t zmzwqNN#lG2E!M-W=GWnYQrS6gCyJR6%=xfjZupaDdV0@uC7BS-u7CA6rt@A-l4Ndc zif+t-(%DT>OcXQATD}triV=~Cj4KM3jXV}U^t^3*cW94po3M()u|)2ep9!+FJ9kqf z)~}@4I6NuW@$)1x6N1~xsUEiBA!^PDnj~gYp7$#?(o7!jHc8Bcl^xirLuKcWM4KdD zLYg}<6=+LmXHOC{AA2=HdYY8zgLU9#aE+Ac{R2KHoi4$makn~9ZaV2MIGWnIkuJ3)p68it zJI64P<-66x3@-|KzR<4&$>iyonG@{(?(kDhE-?hOL1H3~OFbuI7n+;Y#1evB-ezF2 za$WL*X6ePz5>k9Y4E}o1x}ddiakPXHzaYjv7A?*4=|aQvvi!JM_3^u~-<@=4KkT8^ z1+CF>v0}GM*RgBP62jgIet{PA)tgZrYdtS=^&=)^{eqD7mR>1h&PbjdVphC+g)Hbr zEVO}O(WHPFI6W0!vEuV(`#7gxZ+Phv=PQ?_=oZRO^e-$P;T7(9e04&hKECxptg$W6g2V6{?$J}9>8nJ%GMT%*}CQmUT zu$^WX5-UY)^2{$xX{Yu>h+S0Ao?`&nX9KwNfwjoDEEmGQ?y%Zj%j%%eo zMLR4DVeJejL5&GVu>!Jho zr@~Db+|FFO1RfGHH*ikQq`PoXK{|2X&h?tU||2OQ`(ZqT0tVp79f5yURrqowKB$08X5_P?g%llcdv8d#&X77<*CI z8|fy^@o;8NsOU4uNtZX5KVR*yqxg;e)k?KoE$kwUXFR-_aJO=Sgsd=*+BpTMXokC+ z#I2}Rdw8a{numhvHk!vtO_kz$y-lo)MYd4_gC2uHU5*J%EoTo+ne2P)X&sL$-;gTDO~|QpI*i%B)I85`$R9{ODSr#&Q$4Pg#FHG zZp3XTsy&JiecY!Ix7MxKm8duNMe3>vaGbDX(Wkub< ze{bj*b6Z+YjGu)WCyz|EvqbczEib4W%L_I=Bl3&tMt)I5tjwz$EAt{E2Z)@5Ae#UO zhe0orY=bAw)+7AjAzhVH@1v#O!e14Q(|KQi(d>qTTsf5IVlKwv~=});;J?9JH9tQTytP z^DO*vyzwXizk8oLgGUH-nyS=n#mY7*m1$&UJ3bJW-Gj@yr9C$iSPlS30!y=JB(NOxjs%vYy^+9j05%d>jtE8q zOCxp5=4y+%Qi=I+) z6=Y{HN8}-Zheoqo1E%O|WY%;I zBXZ_={bAX%MKtiZEuw+PZ4nJTZi{H(aa%+KkJ};|c-$7zz+<;aW?|`|7NOqDoMSQ! zFmpV`AVAFx>DjTv8dyVS&UG1{r#zKr5F`ac&w(Q&K*!-O=8DbgXItCjG zOA2O(1KAVBsASfn#w=PAAw0}YoEgTpyHjr-M0I|gnfWyS%sV|+&2Fm}D;x%Ps6Wnd ztZu(s!^F_10-Oo*P25^b$P^CO>{lXLC46x0wqNrnpnuc-xL+ ze#s=n|8$^jl&bG8RUhQ4Th?9A@od3>Fgu-(iW}Z{Mw7@b8<6Ia4FAjrAADf)5M>mq zTf(uNv*lg95MmlCMEDx4yZGW|Ja$E-#L8D6K6XZqas8+4YH(y@tvz)?}o7$|Bt-eP2q8 z`mClk|Fg0cA$+ug=kqCjecXRY+cixp7Qp+s@1?=tNb~cOz~}eB()BkwLi;wg9`M5?J^tt#@`f+A0rGbz8hbhiJ?h^sHWn}0fOlUI@_nlrKy#LKW zT&QlIx<0`V2g>-z{&O0NQ#b@HraqK{&D;yr?fYp85BGBcx_DXRbN*f+?GFNRUrx*Q zX+If&`)?kFG~_ix8a4BqfwW%^#QD;E+ECoL)6f1ZA6;18^Z+0@ctr{iKN5!0)Cx`!9!q z#HB#Fe=h(R;?ocfRG{4d=ut>R|2qKpuLE&E8i+$wpF9ZhZU`=f-@i+-@_}Cql=16< zxZg?Rd}%)9^Si9LB*pLYaS74j)T5P#LU7GMJst)4G?ez$K-zzkmgWP0BG4E9ZlH{i zjQ!<686lk#!tdt;Nemp)&G;w4rjxjpIPE_u+hD?-R-| zgx_Ba;P=~sxc38cH&n(?1=?dP&>nvyP{uzBq@53>4e?M2mk^wnIi6ynw4u0h(}s8` zgiEMBLgkJN7fO3saiRK#;=UVb^AOzA)PsYW-e+8z-VX5JK9K3n?-zO_@cv*-xX%RO z{&OI|5Dh|o_2oc*KNpDG4Akv!2ihZ4ZYVB9XAb;&pHSM%iW`^L{&;{^A)G^e^;-ZO zhXx^B{(7L?!$2GTd;soG1>n9DfU5@Jejxz&AOQEb0&v>_I7E#_gAm-fao-EzvJ}AO zpFIWKVj#bN9>^~Q7pliE2GTAB%J>&!!u^>5okO-%s?G&thWPom`0j!MRAwFp$_>@` zvf@HIIfTo&aNl*r5WgY1j2jm!_j3W7hT842;(jbpxsd-L_aU#xKM3F#;tei~taPxb zwB1Q+rrkLyvme#Fv3Y$7KYPs%)`7ONi%2tbKW_rcTx_G7M;=mj+ezbC(GC5u)oe7o zZB_ElXg0F-z<*`z^nMjKliQi2*`djc?ZM^S42I*M$smZpmxdx>rmD(4Q&nyY?dON4 z-D$<`xC0P8qQ+bty54vkw>nrT>z3DQpc(6!R!ui8$c2Ph{_wMCKwDiwd2&DlOCE-N z#WIH>Uvc5i;IEu=9{Tle_$%pYxUSJXIlvlma8=@}n=+#Ms%f-gT)Alcs*h}9AcKP|Y3a4W+KT@dsP65&8{Rjmk3eV^8x7~RN z#@j`&BZs6D?0)qw=_zLCiDzlSHr6Y6$u07Zv0GeYu5v5>k}(n&SAWH|jsm~B_4-6+ zaRCXImwBt1xRi{{ZRfITVu3$z7SorQ0UFEJUsHCGI@xxkcpI~Xelq}t{t_=t%lF`- zf$#098W-(b+=)q!nB>uX8B9LKteZ9Hj--Lz2eoFSfm(J78~pm1G3l<>#VdO&kW&@10bLZa9V5F5|9-fhQk`gOSt8};3d@!&#v>9{O%5tOUG%~JWFEtAM)S!< z8o!cOhfJ0?iS2~GFj%4Z!0RiRgw_jK>vT)J0jy#7rzEgC_}dMpTO`6(MYOfqKkw3N+84wH=8f-GgT$%jft zpllyWS)YN9?W>fIwYBPjwVk9Vwol^g9QrDzV=d2k_Rz7mYCW7_>y|Ce-Pq!3!yMe5 zLyZ0Z00030|9AmZlDkdhneYGSTqXqyBmkh3EAHR|5e!Q$ zITmfyEt{Qy2g47G3cymgIqSvUBnE?8ZNnherel+mPMvybm6lye2Z0DAP;$Pv{mUdN zA<;-%qbA&9#%p`b>Isw!2b zZ-P_%z4W^ui3vfKSBPZ~C9A|>3NPKr z_2GI(Ikpxk8d*e=0&}h0`6xcfpi_*HOEy@E=u{)RY+sNg{hu#_B>x_4EH>S+CErHD)5mpjXYBV>Jep2WF5uxt?n>AK5FGbfvDV)RJx?&MoMbtuS)(s|+(K2%*Wv RTR)1(;G2q?{r~^~|Nmb}W^e!i diff --git a/cpld/db/RAM2E.cmp0.ddb b/cpld/db/RAM2E.cmp0.ddb index 22996a707969b66e7ce35193770ba2b0b2e931d1..3aa51cb5caca5d0ddcce2dea9edc5154a8c638c2 100755 GIT binary patch literal 84578 zcmV)8K*qlj000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G_63jhEB005##0RR91 z005H(00000003f20RR91004La%zbry6j%56ObCJE?(RDQ?#12RU5W;`;94w;1$TFM zg1fs0r^P8w(1cPbKJWRSn>)Kn`~3cXr=MOnd*--YomtzqZSyBJVKEH4+-2~8cKU?x z{(t*Fh6x!BBa5Lvpf&k3DdBv^cZQ+9QJ>X4>a%z2jqClr`uuO2|Gr0k^KUxd+`X~> zmn7cz{4ZSZd;Ztw2VQniy#DX@2jB0@7E8&kqWsc}&S(Do3q!p>f9LO&w2DGYlIvf+`9zyy(Z~&)(lF3bFWmMM3HHlc}lCN*?t&4&V9F3##b*@b})* zKIeNBuEJ6_QSvJp6c_bb$>wcUC6AI#egE%ol>AB_MOodc?4vj)WZAqaN3d*)vcggl zzTxlHH%cS*IVn?6SaJFDUwo(1-P@k(ex+9`zSEngl3z)ck)aQnPA2}WuoQ)Vw@RKc zzF$R)x<}bf;VR0i_4aA{e@UY5@s1yF4=P(Jz5Zd2-X2tUe&g>IU+)N1ScZYJr|grA zxhtC58a@P7QT>YPC@mCCZ@Ve(N`4jpiep-)sVwl8DJUPQ{8AaGcq#76ZVFdfMsa`5 zxQefm+}jS`R#LnaRJAI`6iub6igtzN#}azyl%k+`DSImWE6XSwDr#SCSTB{~ORW$6 zr>m~wt1Ra2BgI$AptMupcymnS<%M^wwUSfaskkc&>U+cZK|R&?$p635za)m?hvq-7 z9um}CYSa=x7S@rzV@_B-VHR9{(Tt`4?F=qoS@A$?IipgzRRx3N(*eftZY3D=O zy*{58+a5{AK29F{0_=R>2yq*k#nc}AE9p+xu75~YTxY=cK3TzT(65BJyP%*R=j8)-~>c#Hkg<#k-0H<))L%tj{bLHMJmP z3p5APg^7YWYRZU=bF8jfv?GwJ4Q`G(__-(lvst{M^c$&HMZ_c!VqQaE50$d6 zrRQB2sjkmq;)^tKa8j2ho@P-`SEe|TUrwa^Ox$ctUp+$XZ$3$Me;eCM1rOuuPY$)) z!>hsW5b>r+LJp=-$HN3kpfFi*fNmw${A z)z3{~#nNiU{-7kX;Q^z2NQfxhBAQ~)z7S$h=>5z5)p@^sJ;$Pr*1TDAZZz+ax7xb$ zXvL~QXyW8HGWKx`{|%#~D)x{BH);YsAl6~gDX#LEzb1YHQHUJ(C>GQ0>aK|=qb#wn z!KnKKM~lJRCr2d?JfjrQtM51@W{h6I;qZlo;aIDOP#lDS(~{56-O;s46EA>@*9b|p zccfcX4jvPgcnGXUrNTCL#W^f?CRokHBgo23yaS46HoC|0_vZG0xNfm7Bv4m)W6xI- zzjeZ4BJ9*=(X~q(t9J}YOjlz{Hk0zuZCrkKMqD_WRZd^#wtlA!^+OV&pR#L^Xy&W- z*TmpH6*&~slhwW=iQb~p7a^nDTh=@f)vBhFr_Z-=t<=QZ(k*6JC>9)Jgs7Efg1Gu( zg=Ok+s7gB7kLey`MEF&4r9f$U@mYH7f-WAH=!01edJmX7Ni3b6NFqy0X>3W+VjqEa zS<`M3sm(-Dvjk+-RixqSyr=SCWuq?8{-zjqD@xiW3+~Kt<_4*vVDnGt z?VTmVHSg~Pwo^ki&3kZ$#jY%x_YS1j3t-Q#*FhASJA*Scv*u0X5w}quw)ydCgE%-R zT81VBZ`^BlJhwXUyALWuTWQ|AiLQMJ#5M0HnMSy3Yon$C@dhAXck*bFG3J!0MrEt9 zARiVV62GQVNiEBTkZv?6M22Ff;=bj#qZG>VB-tlCFNF-FjE}$?pVf;Iydx&rqie@#>4QZCG&O5|U z73?;e_ec6*oQ43JH|knVtyo-mY#NmR&$`CMSrG5K)GHf12a;gDJ@-@I7ghmrDJYb8fd27q^xW)#R*FS6umwP-Ygb(`~n?XCB8 zt@jRcmQ8~L+xSntdx0)F$S}S2CO0diQgv{fsA5i61EVh2#Jd5)gSP)9-U4(n+KXb; z&%}~rEhQ|{8UkpeKAM`qy5$1|x}L=(okB1YemQftn3MM$8+DsDYK?CcYtPY%k9)F2 z^mi+xmiAT1MqKg@PatU^x>wxU7tN?M5rt1-mIce!DF#KXdDx??qD=oW1?kToX2vi}+9QwZ|! zI7jre)wUu9a*>)&;aXC+qpPPT-T}jgS`;#N#CW%OFh1I_rX_4%(T{jr@eYfGk;59) z113Hgfn1(SjpLHg%?YiS+U9eRoY}}h_V*)8#qN4rMUDsAEN3R^f?};G;LPDS7mF+P zQ^-5%4!dG&mz;sC;e9(}nkk||LZ7H{9srAsf%3U_tM5S2Jf z&dt%(M?|`*ss|=3D&h))B-iGhhpBvBNLHhvlIZ`F5bkm9$Mv^qlvc%-MNHqjLq6ZbV(o0<|LzS zweMewg_T7=J<>y=z7dMZRkuc!yS8owr6-6^hieD&7q4?9CgBE!B!e@}PhIQ!x+N zTd}NBi1R&KF}P&fzlr~+OFYEAU4j87yM%1+HrAti*J%*8^>0_8?#H;KH9_^-JZ_>$ z8*)L+k6FpeuPgEagu*YtZvJt#sI(`&JUh6U6`-*{Q_R}mkp6eCY!lnoXW;aot--sH zUS%*k*w}5gNM1TdRGV`#zC}xJ#uMu1niWzu&!Hus4IwJi|IUyqUg{&RN!l#2A@ovs zHBa*XqDaz>QFE+1y4WF5zBuJrj$oBz+cfdF--zvYlF!MpXOri-D*Qt1_<{;|QTA?K z?jVXKT_&#hSF}1&>G8#MtvN)Cje8dNz93RRNY0AApy2zSmA>4BqFIM}3{zt-TYlUc zi=GQtN`Q0YQ|qa|@0qmV6w~2U55a)G=?Xa46mS9k{62yI= z_cPGYk=o`!GZ!r+sp|wqg3$?P$$r)?%KbTBw4bxoa{BLz1TcMQifj~3e_1piQHm9N zNW5EtcTG}38E7^w$2@7NM)xQeE5O@xY2H0^-F1!Cih00~D@_)oCvM^Ne@w&!KpZ1d zV?SzYa=BjkuTnh`!e<$XAEOUOWzxCiZwR)l zF@Vr1xa!UvSE#ny5dzrt=swfEX0%9CeXSUJ@qv{`hrc{O$$B4IlGJv7sDv9r*7#)dke$Wj?u zQ5{+|T`tZyQs5k94Hj(*Af3I(Oy_G>byeoc1Ysv9m)KZGFy*45V%WE_VosJJRtj*# z^%zp|z58mO6`kWtnXg%QYyaPo>*pEZ&;Ss>S>=MLUrCweHId`>^RmFM2IAT!#>G9J z(fvnoAl`-@c&LY1Uu=Vz5M0js2~*>`fL<^?>Q8y!b)xy_6mn5eT>r9K?+%CrzR@pD zGCBXvOu4pW5o@1z=s+55*|dB>Mvb#fq|TF4<_;ZW#nTSW3=OY@H6893;Wa7O@AGTUy#2F2JXm24>vEBO?SkH7I(+rgcgRt85 z-B(46JoV-4qw}mdq!`CqN`SE(V|?BCPK-{_fQiEx02me&JAl9ZQ@j;dC)Q_hEQV2& z8eHx`^7xb9iI*`H_8{6f;L#q<-fbfg;i`Fm`n2ELs0;Qj(4B&2LY`PM{mCIroc^|1 z_#B8eKZH!uim{}1S%DqiF+rCOBe;!LuVcyDN!*N09O=XaNyQ7w=}2$0cbwR=QCbCt zVodx8wPt+q@@*JPe*Z%epGQVz$)97*a5k{DQS%2~v|?$GH3wU&`L=zfub*6f_cvKR z$3`osjuslC+D1ic%)%F6i}3V=Su~Ao@R$yPfU$P6%s(`!OjD90l zG*RGh@9m)E=;o@ys20*R{(&rFa_DR(j#Bg&^v2}g7)vCKO2c1^ioOc_Mcl~zzT``g zQ6QnSq(_OW);WqbE*>;vp}_%?qFl4n;@yvYOguXUA6JJK1Tep0KTemPPBE8Q#LUe- za7_gAXn5?`i0xu?=4hpdkRz{>;oHR8qOq7b+`%px0;5*NM04Js+eO>t3Y#i)5WA!+ zZdwiknzs=*&>}Ord2j9$S-@C?rtpnS@5Z^YfP3QW{g3eLr#<2fWxci^?y+Ejt3#fmfwJZnsW`1?X4 zM7KQMWq7}p)_e9Q$=d;3fY|X zz5+-QZ|v_)=2$be-e~}-4OaiGyeQ_?E-goI2(*4`;)w`gLQOj)SE%UoK=orWsO&NE zo1~Ln4`$KWotJH~VvyB1Qo&kX$IHi%b04eH^9?L<{ea$>FdKUl$B>DSC@`$X;1~$A zyl5)<#*j~UDKJVd_Xd1V6EeK1&9bP(4r>od&iQ>GE*T11F?PHYwMM9_h=gX3Wra)f zVAY~~rFUX~7X|huqHOk!@FiAP&9^zjML?6$Y}ESH&2bW4q--;vQsV^j`EeI5-qMCx zrIC!Av8|an#8-wT50rj?owA;RI{5?lpSFmji{^KK-S&yOlFsv>{sY5F|NEH`|g#n?KQj1o}nHD*-xzh#!4W2l-6 z;*7|A58$t3S2N43pA!aE|r{!7Y(5Eoickg}RWyy}aw(Io2>iy@;&~F^xRva(_}Zh44EvPBf3B{niNQ7&iEoVRT}S2`A0j8539)>164_Xd6)@`3 zjJ~pDN|gpWe~tcdXf8AjZ^e+gU#eb^%NZp41TJSF$q(r(yJb<>=V^_LlPE3mHhpkU zbEBd(3x~+(4SfBb(LH*0qb3T>n_5}qFPFD-FpYDN;;j70+2M;m38arY^(GwNAKLff~&yXv4UvVT_v);2YY zk^*Hj+-&!<~V9Zas+2dwoZ8rRgPFls+)?8%{iazaN> zU1OW~gi&vzraoy$qrFC|aAB6pmYa@9bs?Aczw5 zlyO_Ej5?Czz$JwtrR9HFyz^-;ci&oW-O+kqgfAuWw}*cU7a`TVvr$s|o*Qx4 zdP{ER3jiCdHOBHuFU9gK0kT`U2Uc30p#Ym$F);?zPA*4IS49)ZTz08vH*6kIn{|@Q z8IfR^k!z|8h+h#haVsU4yQg?cF7qav2N@sX^zFNo%V(Pvn2?p^FX1u1apSe*^2Y9H z*eMYxjSv&l{z@*-9Z}$ogv4f<56D3f(}O`QLzUN6(E@};>9oE=$ zQTBeIHyC*EvRHK>EBiYak>jpLNq|<5k<&F>hB=%XhZuVny91yXkctY7 z+lZTJhq$y(jnCQ5Fe~@e6>xXsk~_riS_+$pE1Pend@+-wx0;!EhbUV~f&UT#qX*_;N0p(8}P$KyriMg2TR-G+XmHF}KHX}62UWwOapqgq>A zbWc@BU?mS^1|792{WGTYu8cbr()BC#xa|EecT_P~B?VU|2Q zUy9cLf%4<3RaSaEtl8&Szjv7k5xi7YMXn~`t%4Yznzr?kqOeL}2WK|f+6!ZJFO!Dhb1$I34ja0@AI>`QfJcTT9R)GiT zm7=LAdzmm^Yo92&tXM5bCVIEaTBB>r7D(|B!SwL)ThZoiYuSs2lB={!&VVk9pK;+O zv38RhkUb?2v%g!y)d$hcyPsGN@S7sbxMo}7+9fNIL>18lOuQ!M%&Q>H>(i}S+9iKM z@9Wf>Jn|P%X%U1h>+20`k1LpV@ zY;oAls8BwZY#XS+nDj7CDj48*#6*gkiDiwW3JjC8*~ul5Mt%KHEO}t10z*{B_B00? zCzkt9DR3G}EiOGDkeuI8_O#6}+z+;PX`|8#RFEALW$)naoucog{Bm5nRhFsa`~b}I z53v8q8zE}9?BOWJMlFuwOA8Bznc4^!C;b$-9Hk)hz6%*ALJ7^gVzpb=XYG%Q2&P*I z+>HJFWX_kr$s8kQTDP@Pvq7_rzC?W9ytkr!odD*ok&T_8TNOEV=FnTw?^gxhNwPBU zX9O|-pvLKm&VOEkRV(E&8mig$ZK7bV+qPX~tE4vmAP{@(0KBmmhA**VyVzPrfgRp- zTjaH^^>$GyrvlS7EQou-B$!Nv!D_k}tXQO=d=VMZT%(99KCyI%{Bk zO_It+O*p9HY9SunRD**f2%l{9<)v?=Q#>?!k-EjoI-WzI10XpK=O|Ja|0aGPJW?#l zUB>zcnnk@9Wua}PKUDwSK=Zzgf_Mc@@-TmqB)^(TI>-aTb}u!iH(1A#G0~S;RHDZA z20M|;WDZ8h<`{=)yFi@J?RjH6-8&C>3P&eCLz^WP-Du`P53IZQCiM@xGU=^5e@Jl> zE_rvapLjKPqxiIbf<>iNU2h@9OEgbEgo(a?tAiW`wOJA(L*pWtI&Ul>{8}yKP+UWC z&Mv{E$0$e)9OCm!{o+3tNVsSh&Nk>Mw_(<8kyR#0I7@cxv)3x3ySYAei}?%PV;5L^ zP1MR*UoNUM-6{^6xNz>?@FVSv&l6vW%fV^|Jd+mf8d=p&jvEsVDI#`epTnYQWCLUk zR?{1-)iyps##2zt8w^KrZ!`d(nt*sflNVxtpyG`Q7rrz8!G{a?@lC~P5(a4B; zB0Ev0$s*&y&0@=*an^FJ_$~A@BM}_^kDV7Yo|TrtLrYrUzztUqQrV!=pMv7)T7ckh_imd|PI8SbPgE@wPIl`CB-42p^gL|NB%0^$VKmt!kiO`}Q=vxAh zFsLod2>N8wa#4^GvTUrl6<3`aC`R0PhMI}HPj)DTTuEkoUL78zMtZ8$QY zEMuFak}MUMzbJ>2k<}dd0U&!|x|iLTh;m^Hj5PR!0#Jma#mNq%b4xcCD?@`++Fd8GBhRtzE z)jWP*WtP`_!RwO>~e6)W>_{F*u5kxeKQcEod$0$+V)!$Jfe-q zw1q}SK}PPgi^P%PYH)yhiYW8CV%1+FRvuPhjDqNBpJ5yV-QCngu^J%!MFr5UPE8ci zPE&laXn(`sFW_(eJP|O=)`~rvHO`~hQK}neA@F{> zeUZpq#G@EfD@#^WQVl({ScJCpD281-qX7cB4gxv)#fpW%-1LxERMF^GrI-gtintq0qTy`O%I`UYzmd&b0;vp)S`arbNq(FX%9ZXFyhc;Y!yI?d*M^QJ(&{bAFmA0>-Wv@n0OVI_r|$6y7KV zzdRUnRW#@mAeSd-X68boD;G9)pYHGUJAO8IC@7Nsi`G5 z8&$Lh>&YHH+Gd#H2;8yqg2*{B1=qDcWEL(x`C*Z)Fsd%O8+NRBC5QuQ=sJyhPRsvp z<5Qik;>*T0B6j5sRxlCgNL`A1eCQUV{mgKYc5yc*u5C(lHiA6$B>^&*j}WuZDKPSz zhwt%#ogN%YlzT50_^Cw=`cuVcJV^D4{xZKce=lOhSKxbk_zq+o#|m;bnKu<4@v(d}J6(v5IJ-UCL@tAR0{wJrz0sU+p}FD?LQ33BAjoo+-M$Qq=*^wr~&Z zA?aAH_9Bnfp3{BCO>j7pw!MgPbcR@6@~kydckg5t!%Rdp>{PR2%1lkw{M(7tTrg^C zNdELmOxduH0wYpAZ2437rhc-`dFpJp!UtK~2??58kud z6{1lG6RMdV2K0M0M&!!1K@_RG!@dZeqD#*SBw1Tv zw+p4GOFyVHMY)L^^QK4JY|{;+>hXER;g+t(y5`bQEixR|WEm++ZXYjx9{bCxMmb3Y zX_g3Q4W!0=)is&?C4aCCY=7VS42f_Z0NwF0U8jg}@h-5t!~?&-(6R(qhaJ#6HcDgr z-!6)i1y$i{sm(&q#nP!>(9vc&*eTQ%UsuJLK>Q2+&Bu#W?GH{U~N!rW%aau~yZmi}D#&|Lu(K*P&kifMvDSu(VIB_3FAyTnyURr9*6yOZ!j8 z{$pj-l0PH^7%fqHCLYc#Z`2$kN8jycrP7ioM>b)f$Sgejis)3KCR^=y@)1kUCqQ@| z%sbZ3=oG}0F~&V2Zt7T*TTWO@wP>_`MtvxCJoc39FGb#sZFqO>E~Oxkaxw9+2N5gt z=HS=DD5BQaoTc8oken;$nICUNf$Pd+2tKZBqlmRR%iAnp|9<=N>Mtme8p93k5F7x?AJidQ1=ascPpN#e~pMwxFL_ldZ%E%3ZZ8d#QX zK1c7x@}Z%DFtO&ne91^_EMcoT5fC>L)SQ|oOswmp78V?<(Xt*rHVei6%=l6yIHbUI zCQdn&imPE>g?2g=<>@sRVJqU@AvqqBH+`-x5P2HJWYM4(C(&&1u7G=*7T7J8H&Dlw zQABxgKxedU;|MkQCd$QM+y~o}uv!!tiN>S*%*cR4u$mU_VEhuEZf+Wav;qlgRBsq8 zR{d1#ir9K)kJWJ!SZB%cVRKv`wIn5wAheL=Hipk`Bl>(_CI(+UYz@^O`wpwsLb!G* z{Zcdws4IWgnZ)B%_ShmQmJL#$fBjroL8`ORSzru-q8+-`5pCYS zFmWVrU0HGMBx{g%=q*gR*djlAhlzXcXvFs+cYlR#p1*n_t|zF)E?G;F${E@dY}dlF zTCvXMWBEJJV0$d>yDOXgP+}^dolB{Cd%y$AUlUpSt8$OLvDPY`1{83r$$|K_XB1Y4QEkOKYtz9#eow>wh$)vVG+K zn?d~a_%$tgA0XyMXVJXORS`3WGE03O=N^G{Fm3gl%W=*f6`ibg8=cTBI1(c642^JR zR6N3-4~NbNhdM_#iO;9~*yhlg<9q}R)B+I|{bI9R1mY@mX5JUABr3D;mo?yY9jTe- z&2Z7$w7Qlz#}PAdDN2|*li%bCXRv0T1`As1p239 zg9{>ttp+Bmh#X_Tq7Q6Wd# z6t=%n2ysZ(I27G1h%jc`1vHdZ`ES)YUtEM)=uyU~vF3megE*bUiu6k)1qaO5i(*3D zfdjRE+33Sb{8gF$cTQ^Ddiul_uF-!(pu9s9BiAI+`pjuj{l_wExUQ-F5NiV=z}klw z#2VAZKs0Aa#eaM9=d8ujJS$vqgDpp zcF=Kum5ZY8?0j5PYmnq@)QO0rF=&QX3ll}!RAlU_8hZ!y2C@;}H&hhurLggq9LDy6 z_q#5H^c86b9>sVAsSO7F*3Hl9>2R5T}FIZbK~FE{cp*!#So2 zQM&^hdYkR`Y!}_8D=^&6z2Htr6%6GYHr_5Sc2r<^fqTIR-$*oUXwer@FLqQ9$`YIA z22m>$-KcuO7U%#kd3$VO|Lu6kmYOL#n3U>Z1-vBSi`0^Mc%v?11GN+M4Gp4jB6f~IBj7_x49bqMs|y_`|Ml&{S4 zLMcEnuEE*~%9Z1gAVTLB&7iL+CMh^~>H9X*YuZ{kYd}%anE>8Ri z5n1M3w&=lVb)_WYW=4FjGx3e6Ijx60n6kVzigHBv`8)I&V{;R3Oxk;+hC`kJR}Zg^}cn$3xK zivS}w8x=<51a`xoybtW5qdsZ7(kq_mo+y!;id@2@`vDO8E;Y_D7CU=8w7(}n=-)s{ z@9dRrv&;(9HfKJYkcWK}z7tEI)n(C~vbPRl#`jEH|5nUAtH9vRt2Ip>sdPB({AaD} zrcQ%L=z$r#;qP6=u}15K-`$6nsmntdN-w;ig$DoSlgh_4hx0-bmftu_=(w_N`l65T zp7X7EJV1?+L7RhaS~NW2c} zz|%=`(eq_iTrHXh{P4p&QSwdzTMg=XAp9vo!*H?ZvjU%@bNAf6$A-#xVcE`evP%C) zWB94s>_nVH@iSCjhDy_;>N7EUml`9}YcEtNRze*8g*xWF^yiH34OOB^3 zS@Ip24q?T_Y?Jt!@Xe(p@27c}!gy#XAv=|+_=i@mMLJSX06Q6)qRmE$OI5~;S$+#V zGb%cA@1{q%skzZEOniBwLXl)q-8*}LkI(BK7^@Z}xEgunhehI`NqH<-Y$>32?&(F7 zYSiN~i;fm|mujwsTD0x(SZ8X?Ek}Yx%En_w$HZ>yt1dk?2;Hnlk!~05@LB{5HG3DD zcntKwRlr*lFHxAqqZLW=Wt= z=4|yP-q#QlcgMNJpv=lFZz$H7cyA|KbcSB8qUHwN`v-z9TYvo5S)%bbQ7xvtrO29 z)>xf%64A*Vw=tV|8Gq9AxS~!?PNHy~M0EZ$$hZsJY^WYa8zO22JdWmlm2(%O=}**m52%z;w3bxg8PQy8R0TTq!Dfm3=1na35?N35fHveJo5L-@Xk?zgw z_yKQr$pTHrSefjdC~;M74B$xsPJf!VMD}-@_v313T(vdvoTQZf0-~MTJ477G86sjY z|7eZSdVj#cBsD~PbS$&187o*OD$vuibo$?eXt#j9B*$~n`&JFs8=GpJ{#_f4q$6wQblW##8TR`PQ-DTz0`#0AmPp(%Lavbav~)Bru2mnfHb zh>ef{+k4!FxCD> zAelbszc0kTCiY)!CWrKKTM2X^-$5V6Y}+orBF^@##ge1Ib0B*J(pLMn>$+>TCT?Kt zumti|3mq>;*4`pc*4$;a(^jLSH}q5+xz~*6|3<9s*h*HrIe{PP@jcJnG7=MZDT>U#>Tt^eJI=9BU+&w=S6rDm4c*hberqCmaADA%a_2> zZ0_0{ENXPRz)RdnqG-{gi^usJhh0zg$iu^X;k!Pf>Vk~|+lG!&8C)Av_%->gO)gX^BtW)HY#gL`j2l5j^3CJuQO!Q*q$gKW0mkYav`|udiV6WTisEMxuwlDH~ zNAK67Xks;#r0p|Byb-XY5N|tvldG{#gN+XF9cwy>-@b1YdmGoa7HjY$>MV@Y)C(F1 zLe`4A;pt_XJj<;MFcz+iNTT9cmN-@DmH4ryu6$a|-zuxsy#d7OaLLs1bH(10iDja8 zd#nQ5=DC5`0V%k5*d?*+bu)RTKrhQzE5;n+pa#S|8Y<=$F3bMbk}*^@ryE=pVI37X zBP9_VwHw&dAlP$eu+gnh&-}YOdLlk!vNqmHaqMX(CXO)YsbUR;*=ux#vG0Y5u5FVs znDkQ1j`U2U+ekzvHveuPA`X{RU`I5pFq;jDn0-V0uqF%^Dznnsg_{p4SRYK2(a0`bKmv)7{Qt$Onn;@_}O>1Sf^$BT<=VkJ=($ zU(U;tqxbT%7(TW;xmje$k;BoO!89Oqn+w6)f7wZKKeJ#khE&`U)61CReP&qv z-m=I$BLBIa@RImESxPKJ;mjcCSV8cvi!3=3XNUNiQsvk?U9jo&sA1E?RED9kN4(l- z#UX4ix%^Q55#&jineWAoufMV0_?s_&Yg8-P@X!xa_D-fwi8w`Z3;azBUAFO@9w%JT zMS-z-!v%Xk>1dJq&ApT4#u=0NnOt^>12sW?PKL9`rU7v)8r{X-xirVM zhba?>ZwRxql#s@h&!a`#_-n=Fll!eVIv$ddebf^J?!C{&jY_R~(Xuzi8V?TM6J7gv z5cj3Ni zzFiUTPLn<3sJG1v_xkDD;-ItCXs*8*Eab_pB6!XY>x;Iz12rD6qt^j!ZpPK2iLMT7 z;jA*yZi%%+Y&5cSIBcWf5wMQ*E9Uu9; z=L`F?R6T|L!~bOtG&IsO?3BB`+|Q{WOKL@u8J-=lr4KcBb`THqy}WZ z9$V{AFTRJ1@5MY|&hPQal1HSbnK*ugXjMvqzmcujr_X`RFH(83KSK2VO@W)~cjj~g zm}WJGsak$_N7P6HmS4+EklqTG;gZO{rlw3*s;OrdOApS_8xUZ+;GpxO)HStgafMc6*<+26M7YeaU$2VH zzHQ{z9J?$*(-!W5$6#5aH}1(uuk)!~N+pq@2ckoqMUCC}V#?72hs&^_AJRTx|rLYISO^Mt+;{;?Ex4?W7IJ!pE>9x}-%FsAI0XsFy;I+=eL z9WCuZCZ{h^YI7~0Y(4BC=NSIx%NL@x#>bIW^2wxC6_^B4yg4TL?EATZeDYm=1^%YN zoPo4>XB%5$M)p+jFT`W#Z%;6X#SW6i79z@fpCARylvC3-j zNxH>;j}CX0{Zdq>mEeNKV*Aru0Mu0p5WK|2D3U6xtdeyOpHRt7l5+ynUMy|&qFJ5m zviLJFAKM&1OT+~`E`l^U8jamK^t81>J9Hn+U4-N_4o`k1u7w52frlDdmo)JzF!wq5 zyZ48SV!%z+)uf{EVd6?aw7UOJ5xf*{ZHc}gx_rhqab%-<&B?zDlhJ$acJbC!&r$t{ z&ErkoE=v3`qQ*HbtkOy_x`f8=Z6e=FMZ6}tghfm6jjZ-Jh-sH#RT8gL=c^vcXgq*f z^s4059l_SQNT}C8eO_!Ad)j4@c|wj``L)#^!J&=d(6S4{#e;HzykMGDuQOdjou~vN z4-HgQZ$wt(P%I3of&kW5n^3=#=LyfWkcxguE651%I9q(rtX83&ioA{3DjUTw zI!DAGr@*vVqlni*41;!*lXu1R^4oX_T@d2)J&{dy9msUlf!?KuB-hnp|Lwl6lG*P8QhaJe(*#ne_(e(8S3qH~DqI*vg3ijWC@<|lpWJ)-!% zxe~gIEsf<4Kg4;2-x*Onfl4Cm|8OwFrHT_wm}rjI;gANI+#Ug^Cz^>uM_BKW zx47t4x%6Xk__V+H4pDq7?J}jTWX05ZPzPi-L(oSRmWrA`GRTo#_wWM+JY6#(l|O;a z-FJ8{& z6wjhYi@`_vu#{)nF*f|YAOZQVPu!#}*MYQFwY`c};`|Ju{^W%vrI7*=a{`RO{ zUt-KXrO?ch_qKYR#i@OVL6J&AM(Ufc;zeWXChS{4tg<5W>0@ZRb*lgEm$-jkCmX6WJc2YdN38_*H;$__@-}l0!;8S z+XjPkmIxg=-o(wYyG^AQEx_tZgv)=W5?-JvCe zahZYEoYOThl2(awp@T#X?hDQ6#-zTYoy`JUrS^>K19A?=rMFYpB4YY^>PUb4e$gre z^1)-55$T1Q01+OiT64GQv?+)OE@ku{RML}wjqB4IZW>#YeX^Qzx(zezlsGfy4W15X zkHul+F>ZyvWwOb!etA)Q+UE0C_}thf$np3jB+CM9naB*F{J(#HwUy!Jg(Rpgq2G>3 zO$$Giu3q=Cqu`dLmt~mJQgZSRJR^fU>oZg- z`+$b8sY@*G+3~s@vWHQ=!(+!o8cfR6-FzWammrxADj=B&Us?&e@G52q3qz+-k;eQD z5)61Twk$0qe=cRo@i@wY+#Gam`E=2$4a1SWOx?L9V`%g2p02zmpyv{s6PJ`FJ{eqE z4wdSba|J}T#}_C82jV*&#Kwb*xe?k?oDdHr#59b~Atb1H2EPLjLU&OKB3{a6;h|Q` z*v|4^e)*McBIOL0=bH z-eH7On-ts8-#@9u!m(Z-2bb}=3-(JgoEMtc?T?n>BWiZ5r!I9oa6ig1q;_4$h!Sz+ zl2c46gAUY}zWf4^EnMljOAuKbEz7gl3Q7^HjPaXT@?)dDf%1Oz?Yaf89_LjlI*dn+ zqC)_rR97lIVjq^j$vZlv} zG4=0WfTn1cryjX~*RLD_4MS75$fE$8%zbj|LHVw$fgd^P=9N^da>?&-JWD*k!cU~6 z7bG>ZH9HU<$fzo}u%t^oi9%&u-9vmBI?lRXa!S-?~?NPz(3go@56XTwg zPF8Z9D-}#0?}ppfjwppXv2pEO_>|%d{@pjk`Q`0c?p-e-*tsBio~+T`fly3d4t!(@ z5aAhu-uAbg^?huMLfA8B^!{P5y7yK2fbpue^Le$PZGV> z=U&U1??C`fix2mlSm+Ps!avd(JfBB_e*efpQ)1K6xR{rg4F)NpxE#V^U`fBUBd!Eb z*d+PPON)41N!|nhX~aG&F%-Lt?c}Jq-COCbcsjEZ_poGzc=FKnfJe7-lfrAW^A zoC`gFB|Q;R)gL%DK6W^>)Qd*)b|nv-Sy$Q+;LN32GXFiRB9*&eAd02d8Yj)_jfrfa zQ|6+pQ0audrzg?Oi49=)VSa%UyNBXswS;onM{t*+5j|laQLfvi>Mn*oDfy8b`7MWW z`$jd!%&43UyUTxbMnnN z++)_?4mX3*8e)lLVED&YO~!<{cFzZ>L?P5e_+zKyNcM#&4^L17Iu~^laeTxN0!asd zH8odphW~nZqb*o%>^0$wLMx4JTd#>=L^WeLDmK5F42{eFWz1(>^sIuje#E#gNH27@ z0GV+1sxB8NvJL^6nzVyli1F#cfyB@(YLal~2@j^gc1N`#Pti`>E}3MfV=A~zSs!7R zdjc8INc00M5q}YW&O^GmV&UI&%(+NGzgOv+oL1f}H0++L-XHY}WvTGh8n5Y6uc1Fn zAjxnknJzC@-MvRk;hxTQv-PH26~)-Y^evJ685wcOc;+1zkGTB%a0|TVe>ND+8)2Ur zv%Zg|V}QSYmWQ)lb1T^jIx+cmcK>4d{ZG78nc-nSD!y+wup8Zely#;jyJBu~v*c#? zavVw6VCweJopxSz;@i|=+x^(EC>NPR#Pdk5K75InZZ>*h$N|TXSTs2oSZSj$oL6?; zS3zRI+$6)U7r6wzI-)*rF75ABO)HcMB;IhH!ueHimqx68xN_m87!Qr#unlnPv9rLjo0p=Qi~I(Y@ja*y z2~ZD<*;F*SA1DQND;s`MJ~5YN5!CG?Ow0UW;W$%;=?WTpW`X<6iElr7PTMpeI_V^6 znC#VqE|k?*22}FS{(jxNtdm_ciRU{Zx~$9HH-6*oN!|y8ww)l^FUh63&Q7x@pED6h zdJ!A_J^Q)5gg>gOceG`Q4I5o>d>X!1Lo{I1mKEsQ4XTyg%b{^whW&Q7hT<0~-BBca zV?|e|f2~Mn)s<~LFo@H?mv(rUJZ>)VeJkGcK^e$RL0teocVdsk<@*DR;&v-2?g88L zs%Cr2SMmn!mneZ&Cl!%w~)6iffA+Pd9AW~T!qM&Yrl*BslCSOlr5B4 zDriijy&rSc=nHHQ~ZH|7y4~R)CmgCNw-Ln^Lhew_A4VQaO-)VjeIA zPJ#7=mnKU@Q22uy_Tr5%9OU3G+FMJd-!ux=teaTE?9=Jzh_>=nNkaG^w`A)`3jdD2 zB-G5_NRYBkJoTVcqtez!MjcYG+#}0r*Tn^I@;M9{qGqGeXV-vGLH}Or5$Ai8r%=a& zzHS8$hz_ghG-$=Vz8AmQHX;M+%D_N9oF=?*0VJU(aZliOM5 z1)t0}5~KXQZ$yR-{qSJ?S_4AaKjWZ26uPHq4Z^qYm-p}sI~Hq0{A_=XYW{h6Udq(0 zD4g5xO-Y!s+tL!0b+yiW=&l(sf{8MKa<;snVzeLtgQ2&Y^_e|Ctc(rEM=B2qytKsr zZE>=ch^F^&h2kb(tTcH0`>pO>zDW$$r2Hl8xlUXVFR!`>8TdZ-^Qjhz`7i`x^HpsI zYc5li8D@~EZ~Hh`5CbdWuaLgvd3g@x-ESCo`49Dd4@`$Vu{q8t%wRh_BWlyBzXPut zI8IsdqRbq89I(wecOS)E9J&bkzOacvB2l83q_EqfPuY03^m=Z7$%+EVQ0gcHX&=fZ z%x0StEqfrX{2B&q{V`n{)fJltKut{32ztPfuCUpw;f9&7-`t-yIFow{E4(oN+MZv+ z+%yx=yA`j^AyX6+qQ%F#H|u!qR+7&Yc|>5AZNYvyKl+% z95I_#^nbszi0wB&jbRVr1GCU1$ zL#vwciaU{Uv&kXhem}yK!y2c#8fG;=Tf?JyfnqSY0-i)EXNj?+^tI$2SRNvgu`(79 zi+>%{OUmyiXj$gu_|u-@SXYg3syLd2p}}_RY(>a?&v?`5#fXjwS$1n=LON({RXi@K zS$1N+x*y6GzU%zM95HC~izRjEioZc2+#=On>h3Cjg#SB{=RCxcv~BJd(8g0-?GmW@#%aD= zC-w@=uKLURQRrZ4E9!%M3(F-C2pzkZ5mDp{(ADRhcMUX1(tEZIc$&w z;!)dH4tt-i)oi`m5enMI6BIZ!S9`U|G+G~f<@N4@_HerX5*C)KqH7+<-J%s>0PQl& z1Slv5)eZHd|HJGu210q)ULe(JXW{4fLMTCI`gNo$7z;DorL=IC-0tx`lP@b1oHUBX zp)??U^7IiDd+dMfd0*)jdKg>Pt&=qQ{#q;NF&RunWfgmlqsAoYQC~(a;)o6oimFWD z;H!aYt5M*Ov=EN>5c^7GUFT+I&%~uoSw5Eopa6Xa#(BMlb}X#3$6$vLsoJdi{yobF zlhmN!;udqha5{&_M~ArwHguB~G-^FnSMTF&vaZ9>kqy}iDi1~!d-p}H(S;g<$Z9JY z_%&GE+;`dAd=81X)2|IzVKG9(>)t8Dx0XoGZOIm-cG0WdY#1Ycf@H_?2f<=wzQYwc`$r0*%%u=9bHrptB5o)~zZ@P6@woIKrO`%JOVvM8`RsKJBf_qj%j+)2fei;gDR zYm-@_W_mt8r@tysc!=O~F?b}m8ndpcM~zD9-yBv>Q+OutY0lb_sf6CSG-|4c8FI7H zjx0ULg#Bpc+B)uA+=V=02^DU1Y$?wtQF!;Ah3;D;2kN*x$uAA!k*Kf^xZWzZ4&`(< zUNLXkAuK}z&`2KXl{tG-0JQpwzXxlS8y)*f=E=~_nX+RrJ27((+#0s#;{)9c?>jk< zBV8aDS3O2?tI5pk%5R|zNHTKz1h;qjsR}sTX}V~IPd;lip2dGFO@$NAQ8uttmWWRn z*yE-WVpc)b7x77{MRsX*##a5rqCcfhtrShx5+cJ--9X$v(k}R+1Z(^O z9HrZ^{+1_t3tM|mDj7Q5PLYvQ@hh_$w$a}(v0O;PjgwZ+66h;cPh`|h7*0GgIHqiWEO`Rz zgr-&LVl`iiutrHm)-`Dh{!ke2B)N`iqul%bT&=t_w(&%F^iLYL+hhpGq^Fh1HBiue zK{zyf{Scstpaj5HfAW_M3jmY$yJkOrcm1`NI zDC%&@{tXCa%+UVb5?M~UXixLy7Yb1_Al2NKgpUcC6)@SKzY?iy;ZG9E;|n{DKePS! znJ65QiIUy@BD`U+AMI>X7=vJRr+`7P)u*COPs5d~GEm_m)7Rd`u3fp{E|{8Rc?y_g z!KeM_g-_EChJSVKA}y&#J5&pWXNk?~Z4sZfjjus4jVP(R zmw3LRWh=>*hvZf=>e=p^h&dJ7y2IYzoS(~pAOq-MtL9fv?4O*D(yX|DY16#r`r|?H zCcZm$S0(SMj!sZ0!=RtAhoi!*nMr=Jq^lvx-`E;SW)1baWoJ)+wUJA#UirP_6(H(P zHj5j4{;;TZFht*X=lyIb@U)L}$a3qlKOpuEZw=)eIO>EdA3PQME4-7IC8n6g9cfTm z{p8YDnOX&d8&l05uIEJ4CIe7#C_9T9{d`stIkf0uJt&@p?tmm6t=DwSCNQ&ei@kmS zHN-M&8Qwn}V!>8%3O^K3EVKzX2l|X#%*%E$4EIYXW^W5FrDqEuuMoa#5zr9dHgq!# zSLgYiMf9IB@??SDob?DAmi*PCxa9t6RfCd%0Z4dnWUImW;WRttK5=UG&q@aF{lk_< ze^X03{-SNtBiyZdgW8Yuq=z2g<0ms^#^f_P_4x?c4pRzzp1w@acIEZTY##JeFua!Z zI0*JN4?kLUtO}5WC6}-Z355dh&yZU}v{?2fuXyv;h;KbumHZ5_`0U3&R_%jH;DxVSi*ZgIODO`1B_I6Xb- zZ|j}Pb4hkiS1YmW>B@I+iu6XCAu z0g6Y{bBG{40O%mNEl!0cBuy!r{l=AW2&AZ?M7ulg)qv;0v#)Xt;| zoE#?$r(4hDwL_zt7xpGvyGPfS=_H#ii<^JPR@8)ca2LdF37!ew%E1J)&%f(k#}Lg* z8T)woy#g8ya-t9Sxm0NmOX|OAOK45!Wh3mAvknjaAZ9F6!EH&5`H(_)VhjiD>x|XS zTvMpGwu`{`v*tU!gpNRgJeu>ybzrx%Nx!9uDG9HmS>pUXN9`p8&9|Ykk%_ekKm+;r zy8CvDl;b?JHKB8Dr4l%omD!m@dnz8E&j|cU4$?%IX(-+%C?jwjFvk3hZbTO@oKpV7 zO`PW>6TK3<9ZxCIvMp>5%1&!|oh*!g-Ks7$mT~qyra@8cn++F}y?K;^JDlegY+`|> z2OEX;f|;#Y%#ISaSM#IDS9Z+l9cI-^3{jLf ztOm{|8WfPbgOA{Ev(NztD;Ay!MLQSJAC=*kM;hOIj+0NzXYah0l2cnILZ<_pytTu- zQ^p`UCzsQ9TGlcJXKFSTgnTykW8Cz@jkepi@2Ol3*qQ19Ru?J)YqzaaRL>(tU9cHU zD_TC+>KvQBugN!f*}j}5^Cym&=a>Sek%tt)=+qQ^g++hG%}_0KE5V)DXfaW6G&P@0 z`<_&S&Gz}?WpGzb`0SGwBaSMCy^?n?L4H?2>3G-%kE_vFz+8GeiqA!?_iE8*G`=_% zp5FDyGl7p0u%!bc$Z0Tu_W{3odBv@7`iYQyZnVah#MhTPOZuq%GjeM38zX&MY%LNs zzbapq_WVgPid)cwqlZJ~6ns!0ey7yR~G+ z13?)V1P*#gprS9H+<9h4O`WG&>ne%XPY+fya*f@mXh}>EbBLYPFt5$6TRRxe@&~14 zv^VKxG;ioA$&wyXZI)z(%9_E*xMj zp*2p_syIzCjyyBhU5>0aM>1wDF7%8cw3WbYc9RK;SI}j<{3o<19 zl$~$R71Mmn{L!SdJ5?OQK=nZOmYtJyP!1C5yTX@l7-o+Qd1e;vA-cpP&DEk4le3HU zKGt*E#$MRaUvTucRE5W!pJj**-R9(2svA?oqa{Dk2lMCUR( z)(GmA5{x=FMy$WcTVrEVfIXO&du(RdkvP8j>908RkdPh0J;^K=NpNWr7q8tK<#U7) zqy7cWqVx`3kEXf_= zAmq=#&T<|vSW4NSqt~352Cd&i1ccu%i4Yw;hv_ZG-v#gf7Oy=gU#U=^SoWnurcE2O z|7m;y-`O7>_^~#hxgYg>Z4IFr=l~~bXC3O#y@($@=TP5!5s2Kdm2XrtY^7U@hKox= z^I7&n*B}YY-#^;21%`>wP<%$t5kW<)(Gn}X*i;_|+83P=kDu~$>Va>5^(Nej|L9U^ zy5Zrk#<c?M{kxtM_ttF( z?b^-_LV5|o#7hd(Jg!ZDo{R$scIhZ@-VGI*N&B5i>n+8v)V{#afy2S{maUl5rI5q; zfHLlqTVKU;66V%r83*c^lo3n~N)%uL@tn?EFWl(_kI9)kJ`O~cY98-RgAN5ZaM{`7 zk9!%j+&k977dg#c0S83tFcS;hil-ZI;f_8$sol(9eHL=*C**es&u&?e1J*B;VuS=-m`Q$kYT%g>aWxb7#v`gyWz$`M!b z?h&}i`S2%`=sZ>xOGX^Q>b{XsO{1JJxfR)%q^n;Jk*f$_Lu}AZvAYo+hJQ3#MfD*y z=%9aW$@=x!QyMx1ZU}xC-dM+FehU5^X$i4J0&n9T#{@{u{w}S-i?CW_tREtr_bj_a zf>=D=jt-lBV?tId^%oqV8fdy-;?-PwCf21O^@Z zFGU)ytUvd3sxAg(-IexJQPQu-d0FmBl14~)yE(A_@Wb0WK)Yyj!7r;oG(Yzoj9wPk zh-b=w7g?nVe=}fVTKfNY8B&l_G=bwKU0_#EbwiI5l7jEYrPGn0?!%rad-VD&dW)W>=t1?UW zeXU8LY?wV|ma^}+y!pLYKipi8F?r#D^nQeTBIh0nJw%9;Ued&tYf5zGrv=lcN3@A> z$Ej~ZwsCgsP&}CW>sH25gp7c0ueaJwBCx(~wNb)UyB7_~Dx+pA%->S_)hLJC`UXU&>z2}d{=)YJYf*(*`GBy9a+w0(R!cBaOWHQ-@eJuby2Yvx zZVmtk&Ng*Lul>z3k~u&cR&M1`=c8GP^Qob>;g`TNxH}dXs@5KnpZsm_^!w5R@99Hk z?Y*D?#sC0Yp!r)T#w5e$QuNfj?|E zbIPO+tm_!^ubx?i8k$Q&*fc;L-;npWiR2xCk`7YZaT>POfy_db$r<{dJJH2F(!AtJ z`kp$=d1y7~6bGsACK)GQEBeuLCAyWZfxUxp_-g1$G40zF+~oXaMd zm6=~Ul?TV+17AX&{Z1i5i+EtGM1h~?Eyt{rG!95FCs^y3q)~=-DgR}fHxc4Ct+^kz zSTCa-;j5MgbP%*!_`3Ic^3BJTV=jie`9s;;F3dF`J!O{voIVgg<5al&kKo!+I{j5O zs_6XEL)Asv;tfBXkRPEm7d)MLYz1%G4|ZOwC?`TJww*N^n-vqCCT{C%wv;4^7lKYj zR?=^iG!dz1(qws(dP0G~Zt&YMA)VM?3ES^GFm}(9PC(X|u~duik;=s~f}z_*&B2e# z3EL6W%P;G$&$%_#z>D(K;uwSoT};?iN)7~#B6C(YqHm#Xd`h(oG}_y<+H6W6jhd^z zz)fqt!0jy^srWP#E_T{oycCiH;JBOZyoAN<{r=+sOxk&m3{dQw{a*4#2^xY#cTqTE zAu=t#ZrpHQlH3->@qFO@YEk}DAaXg4&Gw-lO9#(s7Rzq8_hDb(8u|qDov&F((2T3Z z4m7V=F&X>wNE%(9IG@oK@_ATD#1G4N!~x48>w0d|eVWF0fd?B2n168gV^y3_r|{zg z^QGGfu!t=vjT$_@@VQL?U_eQI--T|QN^+sReTLYF)#zo2@5AwD7O7szc~iU6#E!zG zg_2Zg=%{`D-68$a8QjBz9Qd9$6qASN^RlWqyxV(BPJ~>j|8pZ9iji)CdzD&$kcL9< z7P-Aoz)@CXkm^NVjZPz}&@dq`*l381Zi2W4nFWHrWn0(T8`VGdgyS><;ny?U8ek6h zE#i*&iE1S~4P3kO5|nTL`p!BzTH(~+Z}#o3e4feP#LYfs>Gy(34(vFHW0s5($zAIo zI2BgyBMlvdH;(5W|Z{9Ou;3}|APo7rqrev00%M~da z1(d!nNV_okZOqrx9zk@r6A-Au3-jE*Z7%*Rj=f@YO`l)XplRc<$viUR16T8?LFKkIUW~#azX+2+wl;JGjY1rs+mccIy&C_i)LgU2~q& zU5>_TdcCv-54@sTy=w_l;^vrv4C2fX!IkpB8=m}A3>&RpB;Jt^!GEmI%=v~4_gj)^ z#@!A(37kY-MoHXurPsFynkuOJFDSL~{fw3JsOqxL7`;31w0mk(GQcTi|0$*x51FfO zK@NmVy>$Df(pk);;I2!@Tt*212>7azO3#K!4iEFJ0*e4G$Uw!$!n2`4A&B%Z;kjxn z)rY(|_c(ZV%+PPS#A$Me_8%r?sN^kS^u!SdAtRS=mJtJnrJ>YjKpxd)_6}KY{W;S< zMPMdljK3W3CipyOo-Dg`nAu-?A==<(OJws;b~y*Ju~BRsN8t&hM4|Z8f7!|u6wrCR zl(klB46$0D@97loc}CCPLSlq^lyhmhQ(I3#j0T7&fx@&ON3U9}t*L`@a@g%^nSRVM zVyn0KP;H)fT8Uge*d6}OW0Ygd;K2ajs;q~mqnrDbgX4DB(4r|7;A1=j53;tpYH4lv zg!W2hmZ5+o^f55-0!BA>HazEm$4;)x_+1+Vhd}pz$)3)*WJ+NEfe2?WlJeiG%bp;K zo~D#>>2=R4r&t5PtQ`(*z7CHEkC{BxJ@$5T;y&z*z`=D@+CJCuyZZlO9g8TYj$^Q` znoKX@xzrP|QFiHQo9Udl%81>HYK#RDrz$4SXu#^_YRq?PmjkckT%k+kD0&tpn+5DQ zx-2>dJn!Tc0@F88NJG%O=^mmSR4;DdPp*w}F!v0Z4*+b-5+UUTArIP<=JAdlN_ zPo4I4B|C=VTIy%nnPB*VVK||F%oIs=V~23`fsm3^~&2n5LQo)zca2{ z+Om%jg$X@TP~7LwNr$)MEG1JmchJi0A`4`0osSN+WT#RZN}Rrr6xjazofB>qeEgaxF?HobPxlG*%|`42jL7M4fb0XBRMtjD|IUFmZ)<@WpT zUc}k>B}7Mye09R`M^Uc)QW0r~sa73BF2jv4sj|!1=M@qA z-ea|y{^{0cXx7*zdE}qAr(Nd4L5217tlS2pD+_V9o2T z#z1PHJ`ULoc#i?IOSD1savz6R8NMj#%snNz8Z~~KsL$yMAi$4G$29r`JBNH;Do!?hQuSu+NY+cA{46oj2so)J{HSQMF7GOcx3t=f}lFZ4isA zxkBee*fYWOo2}@ibN!7+m(_K5ZGP6VvKo@f2sYPh-7oSrOnw9jeDOr4 z?SZ%ZZ)IL$n@o268)W?nYbk<9LtFs~t{NqiNhwVJo`#Dejuv9xiNzMkO1pfOU9X zcf4D~Y|4^7w=>iGPTO!Yc;qM0Mi;fzr+q>{ z9D9I%Q0T_v*yH^sS`(bZ!DF*qy48`$B5cn67EXb#7p^V86!!{&xKqpMRqG zMr+o+QMf8lUSFh(OsM68ToXK!5fDx*!cb-kxEo$p40(?{Kzze)6@4V;o~i;4r{Rq> z-e4rfTfyKM`L7!V!Kg8s!5&B(SuQ?uL(v7>EPpmmaOjU`GfS~v6BCJkg&==>D) zGHqZ?bfrl3VK&gc7a|0R8ec33pDQyD+6rH%{sDs;yFsNlw3$L~SR~BEzxbJKF%~2` zDL-$=awG;;Cao#)kg^VG-90HI=`kU&T&%xd;qF^`&~~?bu?CE9)uZNZiHBq}?Nb*X(gUux zNtzE;Ja6J3x`XQox}H9XqQ!?USMj@>*miE*G%drT`CHWmhVvpNI;l6}lhQ_H~iG{!{uo89nG-pSi+n=?XA zg^?x??cr3o1OFdQ6jVj_baC@KyY7tAhzpqcac~FHE{C_XiB_4N;Et5y-A@DEhr%00a9con*p(D`_ z&#MP(|NeLyu)^k_wBbgrx1PJR9jpNnx45I$KTz+55+tQi7V>CWDA(C}xnx=p%CmX! z@t?4o&>A?M#5nP*;(f8?ajXrSci)B+#_u7AirORT`0QguCpw`e+aZ$9Umf zW$nMsvpVtwb_H#DecFJ8({+LubL*`IsL$H2poZjAE$D()SEsGoSk;)MSWv^yP`8cfM_Ps`tk6YiLSGC;X|oHc+@##B11iVJ~)PE8w z&nHO+&!xhby880s=|^?jWUJIoO$r~zyC3HCHQ$d<;6BTQHIv8q?QJ@_v6iu!Zv=0T zsqFRBOSY^lY;h-d)2QnLsybkfAi@_DzgcYwz>dp?g8MMro^|A5WoBz7zb{1lz~hcCR>NjcfpW!88BEuom`1Zi&=2N}0$4##s(!Hz-Q z^FHgDxKMKWY*499T=mMEMiN^sH0Q_VL?G&lHfy@4sp9}`>zpUH-#A99J*FS?k5*|4 zCq*7X?=lk+Z7DhrfCMARw=_Kwjkl6PitB9b5na`$;@9;>Mqe9a9l!J~SGwF;1#-#> zZ$a|HOH3Oz{uu5mWum8hzXSlr@4hzzWn}}u-j|)$%i}7uE}j3bKGH~fTDDpOMr)`{ zyJ$B(&s}nqi+_+nD!)h+v4_s3>NoK_ZAcoJV|dD~;w*2dRaU$zH|5p-tQ#v(C~Iro z!*)4&kmxot_38!GJvL-B@kshROaZncqvwCEbUr#^xg4C$c5|@}LV3z|B|S$m`Ze5R zejGqNUoVgT$jyr#!wSjCwa>^c^{C~C2-io2nDRD|zz$D9;G716ZXXi{0B#Qnpd0jy zQY^}72t3(L&s56uee5^vdoQ1Q%`eqKRAM1;P-k}5R2 z+s#XmGxYz?UHDZFC9TM)^na>lNdHYQYQZWiD=R2iCR$h!mM7r*`X7VN(Ckuwh3Dqs zl6a{+{*^XQu%*1He;ZFP%8>R31Vlv8(0G6T zGq|wOw~zF{-TGHLs0f#gze}j?f1I(VC^t{||8D;O(fohEHUqy!Jv^n26NOwg<1q6V zMQ)FVY3rSUMC#9+eSm1fP!BM&VlD^SA8*r+9qeW_)g zXi2J3%&{Un1R2$ci$}zmOyNhCX7B{>hCzowP%%izGxdx!50H0(55S?*W-g$eS^!}} zX$7!R3oRXQC0%@QVtZ2!JtOv7w$H*Diuc=e!+V9|#4Qu&Vwlj(GEdIDp+YFBV(f^l zy1A~1$;qIyYn|F6;x?7bmfcuJ|3+EkYq#pqmFcK|i2RO;grinIEJj}0AD5oBcY|Aw zI*3a~1^VAuy7)FoSL65x?Se&U4yyvhEOC@Ba5W9Y{7|7fyxP&^SypjN?YdFbSLp+F^2D}dEiPl1_S7SmVuVCh;#Pi2hrO=+qpLLA`S$w^5! z8cAZ8iD5i(!D38177xAdx@smS?CV80DEQL63g1{MW==&74MSo_ev*SnAi|}0{&^R;Qohi=WdCp=jsZeA zsZ`7&wuZ%QZZl$Zg2y8YN_}}@FQkMZxA!44)np@GkEOs{{%PC?6Jl=Tjq8ATNT1Q< z&~)^~QYpIOBM@mo?60b#F2mXN?%HIFX(zg8yuJPlqI1^1*jJaaPxyEN*d*}wen*a| z(799qMAuGk&?`T-Hde}Bg|!fpCCxZ~tYytGgn_>hPFF?y$Y^J7>U}HTRBZ0jeuoI| zU$S;K;xs?5BRkkTS`g?RFf^{&R4x8{BZXVb+y4x1UR;I8BA|>eFvv_g0GqZ=AFj_4 zJogT0cDMauTauS%h}VNP+|{QSZEbP)oGz%Em;Ou5Cb*=CSft?!e{{!y%{wBGSP1~% zO}_IM?;~oH!@iJ`T8NurjCbk{SMBgeLV->D-IIE#beoa0 zun1=$zk(X-4NTp+?q-*z0f*VKtIWn~je~9cbd_6dE1p&!7hv0M;MhbvU5Y4Ax`deK z;78K-CbW!1CG>U~3p_#{gYh6FoVv9s=f0i&Axc9VSgIMTTV+Z;2m46W>@ z>h5|MtNbpH)ud>{4a!G}_>jH|_u}`CG#qmyifHYq+IkWD_)sQN4r8cg5WM&b6gUl^ z+pq|rbb^F-T(aI*HKC36SIjKG;Mhni4lC#>=%<+%DD*$VVudg=bh#XXt)2B|1D(XK zTZdS}a&xf+Jws=aTiGUB);91egOg}c_bqZf%QDyb`8!1l)BiCUS}BG zdvtKB&D5F^jhy5vv}v}wDJ*|rX&_=G&81!0L`+ zA)3LhdLFpGk^E6D``7X^XObTJvyH*-+4Dmw@aaQsp&CE8gRq5V2thtGR@Jp2yK5vo zz?BG&Ob~8aAOKVv`S${8y-TODe~OC&JnYzU_hvo$Qz~sqgeS($)tG&#^tx*N3oFzk za`_>^ji3n4mspCMQT=(u&RdFWbN2_E4F8SAxb}d*<2kX%PTrTOdl0+q2kzHcA`&cS z2p}?F2%!oA$NHsk$+I;jz!h?6MzG@D!u83KnHNjvTH_h(^n7<5Om9qiLqUJQfsUIj zXAb&mVn1M*54~mH^nSjTj_R@jFz#_O%oZbdax5zPY2z-B1q>g=jv+p1>#95>GP{p0 zDo9ktX zYB^SN`K<(nVS}cA1C_qp}QtrBFxHV&WfzzIcAot`@l0zEVfkTym zrZUe$mAKL#>N^&vq+>IntrdIyS5S}F^yvn{MupE)ffJNqF@K=*FRQ{% zQrjc&+o2-kJyNf}PlFnB(pf_}CTN$D-Nsc*v*5#20`&~O{{r^8yul@`DyEY!_8bqB zvwkoUUJKO(wEu$k>~Jra?wc2g$g@#qgY%H;jdNQRS6vjEtvyC%_I6cv%8JWM=RAWh46op@UYBH@}7ss?Yac zo*yqj<)k`NMw}uY0p4!8I3u}-?*2{~5l)r)g8XPvH3TSoit`p!@5G6tyzCXYQP8K> z{(x4aIc+sBvd62jf$5pK!LX{RfIbwU|>4;E7QYOn)Xf?P8hjK`{O*k+HdM9G3WXAQ7 z_Q8;8rkaWrmFQEc78sS_y1_Zc(f`FI4DzEE>HeWZ2a<7D`4GY%1`O9wZl&9S+}w4z z>9Okz;>aX5U^THrL=r6ZtAI1POS7;BW0Ql%PJO}@CI157=P7vmc5f{_#JWbWvZlG! z19j%-I2=fx*t|_cR*4EH2Gkt747O|wc2+BSwiBuu02=Actq&W_FTHYpA?DE}JBk}_k*)ntQ1 zsJDMdO=QqabF*|fh1wk3afF0+N&bObykom%l2e#oQ<9abzA@ethX?t_Hxe##3K4B2 zXB}}qrF@vH?1{9*PGn8v=_b4qnAYyREMT$|o7ET7)sbCNxw^e`C=V5L%LSa^vSB?0AXqFou&>pR_tc@zk2>pSGfe)UzLReWE=O#9bS8jH|gR%Gn& zYkOnGGcx-wO>6AsUm70FmD;EQ+%TEBut(|Ebzyys|6&J*y}cSnUCk(nk5VY%U< zfN}OR?q~h||Ha-pb!P%BYdE%T+qP{RUu@g9GqEPNZQFJ-v29IoW}kKb#o2d#(Onn4 zs#mY>dfs}Qgy7}>7~{t zgE@*7-TflyZGgU3Kc8bLoJlI)rivY<=Tc>_e~DaDpZ5ASJ(FfLa06`k<-WslzY$_= z92pl@>K3xzMYVX&$6lxkWs8Q2+CX6-( zMDNsas)?{I=JPMC3(EL?J!hw=xT9NF2Y}4$3R6LKl$h-ae{XX6sGh2)G8`&Qp6iB3 zKIEI7>|N=xo$VWc`$pH0&v##@fl?bfD2h0nIa}eWn{0&#quW4FN-NcEL0o>QGjs*f zLcZ%7b2O>4TP0{t#{g}m6T_Rm&v{qjqTf*R*o4Rq=d zs^oJFJ6rGU=1qnPuCRZToj5K4oPax}D5&)8j)y`CNh<@b{pq zqUdRVt;hYPtjHnFf^A{G!751o@fFqUd$sS{_!fn9&^s0j=X(N6cb***<3i_ zV|S|!w#bWGc*zuZz}B^JmrSF|G>px7@xm*|xS{bAy08(9db7pO~asd>_>{R94S~`H}a!mtE1i-+f}(go01WXZqNKDP*wD zl={~ALz4`NUI(S)S(hTCX4x_cf0B*IT1h5LUR!2hCO`_yty>GxwZ`*gsZUqO64R#iKc;`dN`oWXr_EBiwLT^KJ^hF&Sbd(&AJh5G|z|7a`kSw6{sPXV2p`_ zSOZh5nrOT(l!$;iK>XaU?WHt$iIY z6dTL_M!~>t@YJh)dJNRyLTfB4q4JN*SW0Z&4O~^|*=*ArOd_k+Ze~ZIZ6V|Khpu zvlhlaONU^bLkO%r*@s{7J6{shz3T?dcM91KLQ|i@_f}wUA!lS;RCRHPm$Qu+^m?;c zA{Iv`(XvA28ciP})eW;6hn_xyeU3Xbtea;D} z4e)1yrO(&J;4`I^64K?SJ{3q!*z>mVAxb=L!bO{?ZNwdD1))=iQ-_*ojc!6unW>Mk zk`2XDEvpfLrTm^TjKM^UnRJwals&B3|KdP4i&oN~p|}0Gqj-QzPAx++_jOAl@XwLJ z$v>zRE*v}kyi%b`cz?Aa%V8!*9U}YRb>$pC?x%G7qI(!k3L2iF(1TH=%u;~Cxkiu7 zDZnt8K-T{IvWS}W*IbEZo2ZkOgJ4kY~X-Dcrt13MhX$iV80=Y8onPUR1Dm1jWp~{<~T4ZF;pmB7&2R&10|Qj z1$DBFUP@t&qN*Dw?`Y$K^KIpEVa^l*Lc8!@yY)JzEvY>oI=VzD|nniTD@ST>KRx!+)r0 zmm<_6athN`oM*Ag+C<(9KO!kTD-xYWATd^pq#|Y1i^w8EdleCUatNr`<4e~onV|Qy zlA9tCc-ob15W!0;wkuxvz{!i&n5Dn?YL4UOPltmhG9)++}lhkw{LgtVSO>izOsejU@X1 zWNR6+sWpb5-k2gMG}W>CBOF^g=Xj z@s_CAPLpt8=*(3>X?lTJ^8$%?7i){h!`R51PHj^uDs71+ZhozylW4C)+ArpUxx1h| zRUcS8l}c*+!;Phx#ficpM%l+%x9c1!YAbMA@#u`fgfD*#X~x+8p6s}GL$vG;T6W^x zisFMsx#Qu`UB_2$M!#sGH1cWi74yA8u-(^c+}Z^4Y4gon3OGN_ETr-?WO%58!uNrx zrsQq>SaZvpa3ML70p_JHfm!>utyk=ZsT|yy68eJg5?=jC+oq)HZIt#U240c<3t_%J z-lj;`r5#2n?da$Ud?*Pt6j8Stb#xx8CGWjKk1-TWy(hzV(E8Tpi|KL06y+;>m^!#G ze!aZlbthJ(g{DG;q-~bIDC&uvs~?EHp5IJ(g|yL#hCDvmF?q(aihP!(`cP4h($*wo z-LLL1+35@0$iBW3+#Q+oc zh#}VGHG~}&BtfzVRZGhd0ZKf5pvXe@FI^P5HznQ?!yscsm|)RcLkBsEI{uNs&j&Kr zF}TiBupI;CXaI2RH&8c`Fy<(M<$^#s_){~Jh=c0j;2_tSsC`ORdl@0R#y#)taCs@{ zA92d+N-M2|g{Ge;DKLX~4ZePKy!-qr*zWw<8_5I9O2B7v#+D6%>r8NF&*e1|tv@}b zKM6#wJFHp-yjFvKh$M=%HV(StJ_or{q=(bzF~`$kPMj_`b5^u%0r%3Qes@GNqpZT; zfCos93J$*`Z~72$v{uq5k^SUdg>m_=s{;PuJ{UZ0^>i9m zCrVA7WaxtvN@!Xd%YH+0M?iG-6c=tdSX0FyTb3YjQEh$^XALz_ryO!PFt8W{Y2W*= zq3HMQT~uwFjE1gpYUc*AU$SaAyE=3s#;0e{7FGVNTBh5a^J4ktkokOcgW)G<6Kcjz zz3dbpEUZQX)p3Ef<6adbm1Z_G8?L|Ij3^V>!@r;AB*UULT~<3lN#xarTIi78ue{2o z9%sCBH5_T{!kgfMtI#4T1-8lOA#@-=ip5KJh;_o#QDV+PUCbg_7a%$u?bhnW3m^J} z?Rmz`86@Ee7!1f7^%#epLN3{nght4*HO^TcEg?0D-EGa^2!vLGgy@W`&%eUcp)!s_ zsw>JLj&Coc?1>%Txsj94l*#G;gjBhh@-Mg^>b6l^0k8|MfiypSsKqm%o8-VqAZVat z>!29uoD5L^Y1GwF#%P$Pfo;lY^6mqnY%)`_WO~rG5EDLPIm0vxY4sSZ)vbN{b-Xfe zcB|kqw#YH-xE)`fH97y(%@GV+)?@$fAF&X`zo25Mjh(X}+RPdRw}R%=v)OiTp~~$o z6v{aC889W(Dy^Ki2Rg;I4JWhIdD){Nu}DR7UjV9gK;%GC^>25WE$k|?p?JrLQ($yn zGce{El?9=P2}o8*$!J>_doc2$u#~Kr^d4cK5VIVHLdEDTE;Q{<&YVjQMgT6dqMAIL z;%?gz)3fEXJ49R)5JeWCjC!lM13?wVg^dl(GTGx+Tu8h_?UBAjNV_v$e1O1#{K0M4U`oI9|v^dU`1?Bu48Se)oG;n6SNKbCypV8 z*{G;Y5&0vX{qV!Uf?&LYR5Or(dp;B%LqNt+Yx+_>#=}tpa-7UVm{e?m?Qfsh%(sA0sfs37-kVTsz<$B_fr4loO%c1JL9w2P!8E}T3fPpzaQ zwqtrGB3oV%!UIr*=}k7mK%NZGsJB^*c6n&18jm|JX%RA`!?KVb+-Pj|v>3^66k{;I zz3&zjO+m?^KVLPdv&R;8wle1Bner@8UgkLN92&r)!OS&S;zHW#z6s)EBRzlAe{rVx z>lzgC%5k+xH20{jl9zz5Njd8KVPE~QEeNe~-UMFaI*K=M4t2y8#VjjlMH@d!&luPZ zzZx@T;u2yu7NoX;`jcfxR6%xt%%SutI6zpXkqHwKcB2y^RMJU1>QIyn%5y8lX0K{3 zciMHBzy!DWW4gdf8%u)VDpd0d0g~|amBp40M7znjJ1v8>mZH7g{1h?QPpQKi)7#l~ zATS1!r#iLC`A3%7_y9{X7O`W{(}1MeSyx=WC%N^-QQuEi#%3OYxeiN!V;JST?0h_t zhk+TDju)+Oul__;;m^SdA5Xo5Yj~HS*gt~%esx#`>7~c%4@Uhx5|^WhsMFi0NwNp+ z9>^c#jlT`Jz8gw%TTkn*-sHE7&_nXPI_zk_Dfu{<^BR0WzyL*p_+PNebiJ`Y$%9;J zG@x{~NhtD{FtApBzc83TZjtK55G&>fe&3=$OEbl~nSbnwOjxo~IDS?34O6obhf&IIZz77%<(u(;Yo7 zNY1R-Zi|11+FZLLcyGL=m#>+5EelMUO{+2$%M3dKl96$#xnCS5`po6vD#HRxMDwUgZ z6so666dmvta}co2?J1HA=dpARIhPRCvgsvq#xFQzP&*|r!zV{bm?7)bq)^<&oC5p< z>!&hD{%i~!BtL)6u#!b!p9QpwD~=Df*kLbzRFUD%)lCfBvR?aVFMpf8k2S>{G<0%6 zVa&UWY#w&-#03mhICT?@IGFiEYWIPN()-~0<3E6$@0C^qha*tA-&)f7*WqgJA&baB zZ3Bf7+??pZ5e(YF`2Qw(!j-=_3vV(c1#E^Ld3jd@5Oh z*AWT?_IoL@VvaQSOud!xQCir5YB$#=lnVW<_igJFyGGP~{A+Lp)bSgD{o|$+kiMK8mWQPh z$Vx5Ux&wUZ^-qqs-aa|nHmvQ1Hd0%LB%Vcg%4vD0EgN>AiWL@n=5%I=iqJ2_9)X>F zp8W0d%3J6fGkfEY%VY(q+~F+h9?91f!< z8A>ho6|}xMw*SrqEAQYFqrpU8*&i^`N%DI<{LA)N9vr(M3C3D%G!hh{nAT`g{G~E4 zQT=BvKggEpuapMK1`&ou@oP*%v#&^x%*Ggw(CW%SQ=!zM?n;ADd()sPA{$nsf;H6P zKO3N4h;SZ|ntK;c|5#X>S}t*OriZ5!>(Cd}VhY6#5wH??XOE1#C-|!3)$c<$v0C*u zu4^NPETVZ)(=!@3hlDfj`s%KXlM5MVPej3k#<0lR$Qdjhx^e`(yPlpxrl5Rhdns#w5*6;yD++iDuPlYF+g!;-Ky( zZ}#p-ZnRNGd^3uvrCEIZ8&o}S5vmlju?2xJaGq_MB)mQ>P$HBh8Cnc#@Vis9ZY?tV z%qJn)q&FBk2XI&gp#n1g(!W9rqXRd6%7)ZmZ+A^6wj>4DE%tjd@r65_kY2P1!30#B z8fx#DEUI3sL3cTQ5w?N2cPeS~w^PU|%#7&uboYazy{ zQl0^!o++|4%=ek$kY%s+VP_y@Ap2TLJeU_s>n&ZmvZ^cP0Hlx|taiI~>??=tu5?Sp zY{#RpT39Fpc7j{X4MFOGx@4va`cZjaN}32xJ8Bh_hQd@rp#aa>F;02+zAh&(!Ggu!X{d4pWpD< z@eevMh()#im(Q>teR`fLrv`1;S^uCL;*F8kU4qUUI_NA4>Dgi~4rrSx;E1CGD@VC3 zvab-Ku_8T%iQ}iqbuv2j`;~(upiO+P-XmwQMX-TIhSfTV_&@39owG>?cGJ ze7GN7y&s~x0EvTC&^53eX|`_*424MQXjOhn=mdKm5FKZ`}P#KoVX zB1z%WNxIO|G*^Hmi=?NqfD`B3^4SPQRVwG1d`PvI1v~-#eYdM08CnaGR1HsFx>Xx& zY*?)XKwrVfEuxkjpx6@@m5~i2o0L;RlVMDlq$Uh-{E<~rwUV$+0$o8`^)dI=;ol7I|6a9JC@=cw66sTU7juGT0 zjEPqCxvQQ54?cJ49DDAwGk5sqhW$3Ze*AdYkrI>O0?Lo|TF1|tC=IN7UUZ%iRid2B zpM#K`#;F=9xU~tmMSUCCz$6j=t{ai+LOtO4)!F7tvM_?kgpFH}; zKbD9}dH}oz6sbsmrt89C5~8NFc5fV=3flNz2^3;C^HZNpWzJ8E66T?{>9QT93Tdvw zb4hirq>C}ugmp2W!(0Dl_X_$pe#D=@ZmA0F6m}w!~LYnRDm74zi=i=+h>(f&YUYg z`SxZ=rxzM4s5R+vGYWjQGSAI`ZdM)8{rOqS7dA$9y7%Q4sQg6#FeoLK{`~tA@4j_O zUMXh~gi&ku#S#^8aJA6Q8V4#y5IEcF+eaPsGdE1?wFXHVK#kldzk_;p8wX>$VL<<=X@~w&6~LoVNMcBP z1{p0W7~Fhg3F@R$t>QoxsK|vdn34gBCA8&bM2WRJsU7GI7BVgU zNZU*wdGN3CB2UC8!o|V~M8|iJwj>42a}QmH@Uv~tA6y(W{j|cztc$cdp@IQKlv~fp zk@;#_9j^CYCa<(I?&kB1Ke}hT2PZ7`JT_^Im&k>07h;|k-)7DdKMT^`qgK;+W5VX+ zLt61ew=DVsT%*9v_b-{F^Lo3;MkTz!dc#3YC6B+Wlji%XzM%H$PP-u}TMI@&stz8hr+i zn(Z}}aVNcv1qe*L0j2M}ZK5;ZS!cigvd`(Es2;@McC$^dGETcRUY zJp3m}m%DR>?0$aP)8AKf1(-!3P_6Fd_TXVZt+23r?JLiE&&n3 zsJ9|mlRH>WiI^YEZjt0|O9+aiBfRwm20&DK^F zix$Omot>6SnB5qI5f(;{#7QH;sF7DFkr_I2QB;3NBvCoeZb$biO`ZD_eFQ8Dd<-sH zP%y9LH1rINPNPr9hK|>5NX3SRL!;IykS|ga69$tCphLrffoI2kehY+2C16q`_`fyU zC6}Q8rfHS)O~!7P+0uiz`1(2(XgG zV<4l;6weh!9`5TJr*_gT&iGzM%KnJB8u#=bL}}L;le4O1F~74jH~WZ=%tlC$l=k#Y z`>SYbYR#v%SGQBrMj3<0XV8`~7&lhqKAk$UBSx)?7dzTL@zMya6G(>o@{jY0fh-Na z89f`(EpK1j|oQ5aVcaG(uc}Bkg}KfR>R@@{L|!%Z(;S> z{q;{K?7La)jfk90Qinc+!P)(u3mct<2UQ^_oTd=Cf}iwGsbTjIQWW@xM8u`PAusE# zrPpKAA98i-%ebT2pbav?8aAY}8c;Es2jaGp`B*LH?9I*7%-@tsLe$jSk}axo~l4vN3eEWWRPpgWr}pl6>AYjca^Bx0fk^G`BQYA9PEHR(iqLu;9V z*wGq2EspsBsBzm}aF3ezisSEY7pTaa zIZ28$y;W107UgB3{S8a=dFmn4^Mr(dwbZ2Bm!?H5yTeU(B~$1Wh;$5&GmQ2ea?#e) z#=R-A5CwhF)kfycR5i%EYWgB;*(VxCK1~dnAIq=mf4LTFiuOpX_E^^b6bEbTIG2=Z zw7M5Ulx-5QMEra5-~$z270q)d&v;5VWi6Td{K^wwMBJTz#PhRbN_9ealps@ED z0Ip8GiwlDv!v+8Jh@C4DYA;X22}8r8=_0taQq1Xm0`#%v{-`sHH@;3rVbJw9~M^JAGUW9 zCISxeM{9$~(j4X?$uLCl(XXm;t^F^cV~DX`fxU53`Q0vhZNj>R9%6` z7}^#=dt3!CACLs|66acOM@y9=^c!|C&UHIZ*1b;1z4hk}y2xWtcoYX(?U?EzP72O= z;P57^?KO>g3T#VGhh%U=fdg2rry{RYNIrN>Pcju)ZMI5#MgqF4`>?j*lKrVvI)c9vJR2B9VdHw1}{=U%;_-aJdEF(?J%FvLN}0q`n4wE*{6F z4xT*+ThbqEHwjP7Ap5)Z{c23b+!y**m*Dd?z`6tf#0q7AyWe>nCtT!Xlp<=Br}=;sEvl`W z>7S&^!{-=Jiwi64X@~MDXaNga8?@YU?+*>QKYVzvnpV$Y?LOeVc-NNrpIx3DJD}Ik z7Kx=*sJ9dLx14)KmB0kSTq|cK>$ODQl(edT} zCf`{S212Z2up?bqmg{|apinHrdx%~13v|1EUolALP(^F*bkJ=Ei2gN;JUi!C(w}1G z(bbV+1b}{1@%@7HxdGjweiEAiTewiE>|sDGJrhQEtq?RL3UPQkQ|x@2p=C0|rue8A z0(Kk{@eA9oLr(7U*4@;2EBs6bklvX=s$wdWu54}kk*)?>=T68Q=gi)O*-TVYGw=-n zm(+N_hIgj3Mz>QxA!Ku3Q%v&3tzC7?|HL%J#tU zfc!)rM$19ud=Le!5C+Z6ZGs)_7rrDWHraVkKw>`z()iQSXN70j$x=MXDD?d|RRK97%TCi1Z-SmiHe>`KWbYzt_2H|;o)gUC z7)XP_V#49Qu6iRH)BsoF;L}t{W%3WPcZu2v^ZTL^xd$a5IqhiRdmg{S_w=ceDlMD& zXp;p1p%&*%%-mEa6Sm4a2t8U)qv))z`NZP!44;}RhY6d(^9c~4)1r)?)qF|@;{_Wm zlgezhVR4u%UcdlI@9j_w?X)B(OoncrL>-~Icu{k>kcqVVg88?`BSX~Q^N{miB}5Pk zfU?RLqM}%~sr6OI?yCDf^B!S-pDTKS81{ol{*0?XE&2H(syN8OnwzNutE055L!4vM zB85$hO+I$MdK{JlhrGcy1+RQWdc1tGJRHipV?|7UM_AWdrE)E3xR}%?lBI<+8~;!e z*8majP*{h9HuJ2jI)+=OkQue529nj{5^-kF>GzBq3Orj*S|*I&#taQf%(}V1Fb6Bn z$Q~wJv8rPf$9K3ZM^?|gdcM<|uQ>zGTUcgdti`SrBueSxWbb1;)y+h(%89e9Xq(&*)We+ZpxA^vZ53=ObI{R|0w`o|}0Fn~C z(EGgpCLOk2T94bqPlE@(qt5cQ4`w`rIGvV~!T^IFhH?rCezAubIQl-7OtN(!=*SLk z;d%p+hMH1zd1PTAQDpw2m^kve_BP4BJGSi~p2fwX^p+pRfkCSRr;#RQow z@8qt?6?eXiy|9YK*2yZMWC$Z8Pv)q#&SB~9NrFQC{0ps-7{1jWA-A=pzxBQSSKGm3 z)Cm|=bn_&G=UJ_I1Qrb>(p*|@Ie6GL1<8-kt3$D(k?@^ApW0>pa<`+dsHi3Qjt%s( z7hWu#uV)$dkC7@UeLDFaU=}mi+*+NQ%D=T5{7MM=cSE<{;v+BXR~#$+6cw0aJ`=n6 zzMh0r^(%HG(uwqB#iWdC%eI<2j0RNWZ(89U?4SKI?C(-s)?!7oV0|)A#Hr`INA8n1 zvzci&AaWLigo?C-gKu|F+S^h6B{|ur+rdu@@~_~(+YwfC8sJgOnpG0If8H{S}VPHoX!Gx`MQdaHW4tWT;THlM3DTEJ`$ z51`@pU%6k2TEr3h?PaTRJgbsu9w~|hRQPP6r-rlq(>8gC4(p{}508)AV%6>v|6_$R zI9v?9SW9jH_?|`>Z6)1OIjRpxs8i=?Vozyv%_H;`C&|G@ig&I$*J3?i3|XD1JCz&f zc-l_)hcy{O1mqBG71E+iPp!yfZXz$+{R~8`{#5wm51}J;n0#8x_+W=ER-Lm~=#YE4 z>?0{P3!4dtbK9r#nvc_mk_*f{l2KQ#udLd>$)>k%ydE<3I_`!)S>@Z6Y>#wVHOn%` z15u|O&#_9~EjDdQ=G0P_sXspbqXs+UGr?suOCvB@UPbYMTFYd0?DB&(pIeox_ilSZ z+^g}(@rh(PV};DPYns8YahtzcMf$p497$|?+lmN0BQ|~?v=FK~!X=+VMDAm2Q54oo zMH_f%?_;eFW^#OmilaL@+s^QYwAM0}E(q^`)Y`jiu`9*@gp$=ZA7?5UB{Y$oQVr-v z!Tz-?JKbD?=-lb9g>h#~>bh-0o{_gXvg39ye8*TWp&>Ns2>s~#4eL{Ob7iCfp~pRY zi}Xfwa~*Z7s@5&HyBtUf^qVDB`Ve&w6;I=abAQkB$` zwUh|o8E{$)7;U#xCM5|K2wzNmmt}mJ<*|x@;)vu@pywDrPjq@6EX4HD6zt?rDu*$g zl@|^F?y6yUi7vj5^nSz~$oXM^r1ACAysb58mBu6j!wu$sr5@zHjjAQ6fjnVQ{;gE@ zO*grJU8a9Uuif&;5nm%QAPKtupRoto>RpiR41zi}0`sPjQ~r5-<11cBCXRv5@rsqy z9hm)>d=-z+E&GoinC|8S6boheOLjWvvqFcd{W2a+lT;fjpj_HPbEd;Q<${WtYm8`9 z9T*FdLrn5ZC_jAf;FWPf!`tNoo_Y-kq$QNc`Zck2r?LzaE8Z|8$c2#n0u83W(TDLa z{&y2qWl9pB2At`>HA6?gPse8ZFLRThW7Xi_Qy%(z=zTA;O<0=}Pka#P@sDIdi)qei z%k+dii(}}*DyJnmbMmLZ=;gpuTMt5C>71XQe{r9r??PlaH0wQT5eDO8j>)$Ea8*v` z7O#XqzXKjM05wL0*&~+VBoTg@`!i}!5vjS9f8whCvCO!ylqc+VcPyYQwyQ;1WOoH~ z%B`X$Ykxx!PAu)HW1YDl+`q!2@0A}t-+D+6hlY23cQ*{KwpxqlP+0hBW zEP+H_kE)wT$a#G{Qgl(Mds@T*SQnZFO`Ij8Nl@?3)qC+IZvYDiyESri5I`D9!v2yK z5g`uKv-(!fWSO0o4E`126vd=cw%ZRGZyK#+uX(AynHX|Zu3!$Bg|cmy+476GeTHde zRj^&c(RYV!s>~ffySRjE$yEVHPeH%a4*oG^MzXNTvU4J7oplp%T)$Ryq2>94%rA)H zF~MJ;Y-d6Pi_VGGB+-IRQt)pSkO}xx%L#$rdzvq_ZEQw+#SyPyn2V^svf#1DmC7)?>=qt{IgM|Mg=>od1J6^l*}-8chqMd`?y~ z!$-j$UHaDa-~l8?ufidV(|RZD1nau7EX;CHz8`nd2~aE!Dr{1#8EbX*-09{Y`uWvA zZvdXZE#AiVGCP~YSP{CcA>+Uk_zw@hI@0HQhF!v&kA!$j@?|8^(W&KZ9xq!e=YsiI z?eVauzFpyC=jarNbNH;T7YQl71+wQJ*(+hJR^kK_txC==vwPbwq-DIA5xuWBr&|I2W*?5olfMTN^O_tte;aG!d%WN4ps?JLG`lAl5K1;7W+U&~O9|I9$~& zul?Lja{O$N6PLOVtZCEMfIiw*cxs@$`CM#AZDK0~16rljaX)VyDChV{i7*Q1(j*Pk zN$w)c=x*>_vO09n3;!_L@&+eDB1BbC1qCVUDRtK%!;|1oHIlywL43s@ZKY%TafRJ? zGWMP}OPmMW;H(0&-Vu##lK~VsRhsd0dq0l*=QxG;45)=3sp84rj!Vv5eNGV3Xr2>( z0YgLPSGAO^=Jk`b`{y}$cRkRSTw$1kNdrFxnk!7sP^x5FwFhMT4o3paYF&F~s>NEY zOMpimDeVuPFDZSBxDMl!S0>Wkrk?%fYTecM1Dj}gCdcrq@&wW)hM{6xF|9z zf9kAgEU1vhUwNpMo_!eaOx;JhletnKj88`AopO#iE?<#%y&Og)e9X1ufz~jC-Y1=D z=|-s*k-GZq^v+hTDJW=x2vav<_|N}@CyAm#uXq&Y5~V47mc7$~nE}&J#5;a|irRJ4 zcF;U;&_qKwi;*tF-AU4Qcf z7bYD_@gy?B2~y!;P)6t@hJ0nM>vH%zYs=kQd5^Y>Dt(6{k?Lm}LKoMf z#IaWhQH3nu-?z}GsS{1%tWfHjW|UW`Y$U5a+AUzF5(k0_9Fdx#=|-V`d>747PW_|h z`tI*Bs$C>$)~vx%SdM~Dz`~gKXe`n0x)kd5XE;Vex`%Z{-YW1G!ns8GtD={7e<0ab zY-pV=6+VSl>su(|tQ~9Bf}8TYdq>ZCB=ZDMDV;zgL0x=-B?`!Y*!;Fatr>I+Gmd8QK1Gh3xHapS;_{-N50auqfSV&v`XM4X_4@ zBQc{g9+-!(p1D>YFpoC=Q^U zQU?N@3|7qdgBBf$%#62ePWVKWfp5*7i% z;_RyH^0W+aE`szMiVzCpd6F8PM5;!xKNaDMh^O&RQU^cfn$=(BPM(z|hP#pK;MqVB z00!?p)6L0VwMbmo)V4L$w)aHSeIoz*(dD8iKxZW64sRp|T}YaW9&jUVxcj>`6deuZ z;vMm1TP^@-{Wdp9BJ{B}BZPj>$nrt`p35}UkV3!DSb$pRif0TE172wTEY(=;lG7#p z`BH{8K60o-V+)*gUlwTZpy1!#{2PixY+WBhvDO5$MrJLVJC1VMSm; z6J8GS7N7ZIUfJ6c<2e(K$Q}`xpRXLb0e1|}GjxdZjfdaLXz>RV(o2)OTLKb_GbFz& z(rvj=DxA~z281RqfT!l)z&OikXGd`j*%`DdY&}r_8-?oDe8?7@E_j(Xk+fy`=b!Jw) zoLF1fu)3A7XyK8{^O?B0UI$L0ofuGI`P=Vh@Y1QK--_#~BO*jVXjHFwt$}Av=WCY`WUEO zh^yes9^pQqzyjm!Cdai&F~x^JiH#-3VbEcYP5R9V49%x&c^5{?!Qj~3#W#hjarS-& zdp5-`*TRdt>oM%T@pI-J`zhUjNfjzkC`l#_X|GiJ)ZBOr;#`V*6?i<$;5`RPsYRL~ z@gIa-u$(f1W5+Dw3Ug#BNm{W6h$riXkljFojT*dWu{}yI2M?sc(|OQD?EXdX-;|ei zY2$coqk2kbUO9Np_OTPlHB*3en}D_d?9GeTVP*W1dIPeut+jwLz6yKH^~jSUdx)g)5zLMR0_&vE{TsklbHW? z;s|jx{S(=3$%X40It1%@xJ<&hi8}Dg3uMh0)16*{R-QNnLgx%zs?&P-1^1?A8mobj zCtRB2KknXp)i=AndWWY9W*KR>l&bzrrRB)YpWWiF(LCv;j+6Uhy6=t1J!iAIN6HEf z(ZLj;yWV02a1D@$(R=E%Jx4!rosm7*r~P&9@9#sIpgLz!flsbHkEGqJlbVmYu8gSy ziB9&o?qU*uZ!Mv5{J1Ra6PZ@%6ov7wOXgAkoeYas%1lcYQ3ce!lsc1h36v8mD8kJb zi!$qFo8Cm?hdIj}6V&1g+{i;~Ud^$t*vvf-x}gmbTst|MJUM#mIrayNdl62?wBE!m(_YpFX~ zbo(m4Nn;V1+n0>x@I7)LzT+P94&@a!U9@6!4+Mt)Ch1d0=e^5UZNu4!B$!iA9nnUl zZfL?f05;qn0bg^X?{ZTN1us`*D2tF8U@p#nTM$Bt`xXS23SxHLZcqAXw2FC5qSYBF9g1U zCZWhxff8pD0sw7$1xY0b-=AXpv!BDAUI_M%VMMxExn0|_TD)gmPbdfW=g0Ci_7d&= zk601;NTo?8;!L-E%Sb%R(OA;E%uzfjVJ&J!C=X|1oXz-WH8T7#iMKfIaZ6$xGbcny zbyc%UTDVDsPghHN$7JGOm#rXX{kD37{Vl(~MXMJu3OT(QP?J}XWdnWkyQ88;==Pmr zT1Fb~X0~&dCR*x?sEg$ScVT3)L2uB8={*W!@QRdqNZ4>S(}_$!ZK^X1D%+_z?v>=^ zmkNbvHs;e$A&tR2gbydGVJ*1+FzrZ#L_m~wIUa&>FpXNhzGe#sW~6&LF|~7s4;4^~ zsPf6(-lV(t{lg5e-~@#3YO$7g&VcJ!BF#enA>kC6vnOb(D5i`spVY5Y<^e7mANY(4 z=x92`tfoaZ!r3)vetCq99m0?P$3}L!wDQbg{lLE%HOH*xcn9cNtn*i5zrwt*>N*a- zzs731{}Srs$iD?d$^D^}MyF-kK_*Sh_?1X?KBH(+X>Y0u-J1^TtXM}Q>Y}idQ)c8kktjnM9ZY;q5ymbs1UC2L zH>ZE_|FCzC&6Rv_xK1X?#F!X6wylY6+qRPlb~N#hxno-s+s4GUZ9AF#PX1NrBb=A# zRrjju>R!ES)zbYu*NtnbI%jDzPv2Ht0joEbg&?|KW_$uZ?3^aE%M%~6cu1eXC@1 zR3_vMsb|f?OA)*zyq~{39@T9=DdVFhXsP>Q!7R8;pye2eR~UKX9?3_~Md8(BN)vf& z_$}|>a>LqhgTXm$N)d}f)QseiHj40w0{iD9*m3itN%O*JC|a>{ zLMwW?nRc>Jc0Xn*C&K0}^MN-(^)7g-ZPRSbS2YJmGxtsU?g`1ip%acP@KST8Rr02& zs;(Fy11kir5^YdWV9NNkp9{Tg+LpMTw&w>o3d^_5+tNnM`D+7PEB*``4bxu0>bs0uEo}U?BKRrsH9&zR_EzCV!n_hXyv3d3 zA&&(O)t&OP>S(iX?SI=ke~Y%4;fxgKFs}UP{^?mSN0x;{si;UWb6?u?k&QcdnIOB; z8Y}!z$YR^393Kz^D~&egwK^4Wyfmh%lP}i&#)>t5D9FkeZt5;`&5{n-nCKUHTxi+D z9Q!7FedB<#vUBj0NL*xWn|SVg06T^Q!Vu0I#B_lx7)Ku0KIxuwK!WJ=R);sM<7f_t zF}v7NGMu`gb(pi;I?XPQm(PU_zJE=;{gpS<)+8TM$n373n ziCm$B)<#tdHHcQ1m3M5#!>@+vI*2E`xqy?&yVwqvJMwmr#8q6B-r4zm*F4c{e`hhW zhoGDn+$RXZqiIU|_gER_pv*I-&>#B;^Ga|Qbt6(q#g$f2u+9NBP^HqfA?SttY~Zxl z_1^qD&%VWlDzY**^dtttX$-bSn)fYiRy#*VR_|@}koi3O5Jf?N>hB{I*vnq3KMw z@ed2$n%ja)L54PF3@gKyp3qJz1 z{*0NJU+L+KVw@{f)vW&od^GLWrFI0}G?>y98JT9jJf^61uH$#xi6-BX4TCmFA-57gK>$m3HGdjA8}nCJ5E5F#-rp)A$6{O( zd3?nLc?+XyBivodDYlkQtkRVZW(U%+(US+DBV7@Wvq<1%lOUI5Y-QUlSM8-Yj50!V z_wd~FAL&-1F4**8@y$25E+t!_%!6xk?%2aE17!d4(8o zcT11x8=CHsbNPgazTuL9WoH4iVu6|JOcS0fvt!~~Q(#{nsA_Bu``BW0U|jxuo}K`( zhw*TvlF*bwtj0x!{<_sV+HDVzN~)8~1iDeUt&5`nyC2RyisAj#NXmystfvlAlHlMK zbD-b-(VVL`%D-Do*d$!&UHId5U`{xBoe5jeJu6T#Jk+tVfo_uixXR~@szKrLJM51s z4Rt3^2mWb3rw#Px8i*LuqR?_qArYr=%X4Y9LS)`fkJIC$zT%vG?BtSXi1iBdN?pt_ z8&?u#faV|7)o?@Y=aq9ym(_U%V0hVy*q=yJ<#dBGvyZ~v82AcvAi!ogty94 z0rL~36dhMbCa=~yViUSe!my~m4&C^t#tPlG(395uza4r7S_8=6?8rbyb3aA?PeGmV z|FG&wq2EDGe;8pX;XTfgNEm59QjM56(zsJ!Y5vCgCkv)9)Dyn>xd|K^*V23M%Tc&Q zC3SKYls3_g3r~Gml{dGcCY+0RfnPmoy2t||yjRNEiW^j5-uY#ZTNtIYJx;g)` z2wMX%sqq3Pz9Z&7<&D~-2+vexbEkVJ9EMr(L%&DuqQAIT|NiI4ew((&Z^H;T zk`$avTR@48{kStp<~BYDbI=_qr0-ew{0RyZ&{g#;lt4MID^$?I-)! zE0>&q*r{Pw!+walr8X)FG^`)a*`MS!tq$BI=q32Ez~_M=1F!|PO7Vsq<0(S))i}di z^St{Z+rcuS1(L?#HAJ838vXHYfq1WJ@x+Q>Tvw&DcPk$Wg=!aZ4Q5O(gv-1O)tK3E zGtN;9LU|vf9z}d4ojs|xHs+5XV$TJ%IA)6yVY8e21f>Zu(eT}P4qWu5UJkOBL#sy~ z!2CwK&@+3VQ@>CX7boY!258DHMJQ*PBWXAlkA z)|^xseKAsu8|~g%I%GA3_=hqEWKB)^4r~^>V~#_L6$e+Ubt++3Z@QkYH~2g+JIv)X zBZ^-!_P&l|)T8itO=fP#pZjrGPINcpJ@E%_qMEGlQImV}E?yZI2>pc~)B> zm=27GuG^Q1^`;3Wi-On@ZqZNf7Z5ZcPP4g!cJFg0-AyvBtgTv>ZHv#lwtP?A$64YU zJ1K|GwW{D~W}Z;>Vt3nONy|ro^DwV~4$4*+rw#!=3cODu31{85O8SkM47om_peXH_ zc(Q}U_`?t|FCqc1uD}HR%y~}qrX2Vg3oE`~*+*^KRy1KCmOg1NkSHgi{gH#y9Qc)l zwzJ~njZ)9&;g2d41yw6%)wZs05xsCNOc{Nef+seU#m8bazTT2AuV09(#6aW`8TJL) ziCOFsj>4(2o=CIW_u967!!3FrUNJ77_ajTulz%bPFJTV696NFOlHI|fW^HFiUMiUG zjC@*IL3k6U?o}J1a|A3xG_diLunF-%5{$G#Q2ao`0>rq|X3%DzLjf}855TFCeF~wi z$-Q^BF#m9;;!nrQT`oL)7JF$$f~7kb@YNErJy$N~DQ=7;vZly?GQe! zy@XT3YNB8^U|G(0jH}2IHJ2$GgjOJ(E|kwsm8I?6O--<}OMTUvG&2#3toZG%Wq+@m zt*rie71>F$a?TE3oX@oLBY$iw@yIDn;wRRGeo!7XUqb0%uOxW2Jslo3JiGvu-Kj`d z>_^v0F2uB(?Rh0UARze72^{G&*@~6DNGEoRK?6!v18eL!FnX{*)xx!wj&WouZIE}oH7j-NIS zD)mx%cdl24S_DK6**8Mmwm{BC8#OV-iLGwZzgDiK1rap{w4v9N67Heq9sU*#3O-tX zz+Ox`J zzF+ig1>}VX`5}QfQE4`1l|2v>SJ?A~J+cfY3N3x~0{7(ejG)vv3#O~F9o%RsWY5lN zo5yaY&uV~Qvm8TLbZ1dF*PV?FSM1U0P#634nXSJ@kdcaF>*HeR6|FiNB2RTL{ z$LtRL0A-6j$2tkHpu40&8W+>DLzYE3j3n6aj%Pp3)<-hMY08Ob#k{_AASk9+aiUmNNq9NyNL%W6NNGg`(c(660N{ zL`MLanf;Lg`)xdHz{=c=Xf@zH_`(+6ig35_=tVo}c4#(~KwNBB0(8d*3U!%AqBFS&rOzeHEB`Gu8l7#7yKRJT0iAo5jXqPC048h6IRS;y9?^! zjSjD&+0m^NNukVvrqTVs z{DH1S`i7(HFY+nrX>_?`;WR(RAY5e<_*YBK)FpTq?LU*J)Kk6s=P% z8RLvKrX1EapDc1?9Mv&Z=$F{gMu+SCi#u~AEix9z!dMytsyzNJ4|PUWZkr#GF`uH< zSaIE0_c{g{GFtpTRD+O(ldX{|bs&{SsnN+s&21!ue0OUSauh{DIE3@1=Z3PSdP1-*^=x&XDhWutd@Jb(O&ng3mG4Qy%Xr4Ac0jhdquG5HydqwtuhIo1(^x^Il+EBG{d{ZDca;*Wli z0^D}#pPD%>PRxM|IQ2F(Ov8f$F0K%6pukXvW6Vor5gi0CW+=gz>10vwy=p5T4|u@E zyc$8H9Niw$t4ZHk=qu}el7L>h_P_cpEC#khp7nbhRmm6NLiDnQr?ZBH88Uq_IsM^H zPWAInL3K&N6qN7S(`q;eOV?%B`+`pi`3zbeZ`4=Dx~z|z^N$y3A_WxZy`NL9!Ad(P zmQ4kZVD5MYWq*LSBg&P^I)gB2sIlD`-f8GAAp5N~W<$z?YPUKU6b>BH;2eeJXSOlqTIBx+3HbuNr?ydvFl5FX4k70Gxx)l+ZK0L zAvRv7SH`@JH|9$Vp|9Udv_;Yj!YKU{U+$Lq zX)Jg#C!Hla#Ii1eLIUEkx!ipsLN(!qYKs!gl0j(~73}Bqj)yPNv2D1DI}Eid=I|}b zuqiL6kmC6!1*H)##!^nNBB5i%?#aOYQ7gIvppa$a8>!~tDHT`?Vau(*MPcTJ`eMWC zu9Sv%>^S9Jr`XkMbqeBA5=s%H7iLD(K-Y2Lu0W9GIA28$i>Fq`26e!csI=~%W;NRE zS$-6o(oC2rXI*Zoxuj;f|K>(w?^7-ayY<$X4y(t-^mdV3fWL5SWJFb7wN}u@J%?_Z zXlAf7WQBRcc#VD8x*e5zlhRPDJC*z4AjK7>#jB+M6=@@E?eU%Q*;3j4gk{1_w=G+t z;!dKZJxo?^c_WQ}i_{<=uUSj~a9x%jW#`ZeCNcsR<|bbr7_9;xjF*?-Yw|K4b#L9R#$}1 zL7cRY@!&?FztU6;N-}^>BF}k(Hnw-umOp2s&kS5F#-GqNFd|<(7xji(^i1%C!kKo~ zE+UkEytWW=CijvuUgho&KBVKJgb@~i)yxj-91KL~szpO(CZyWG72%LLF=VpeVF+R< zMgE>D3dUg~kjhXXvg<)g2-g`$FSfpzQLJE#YRtirbQwlU#0im@I7c(|;vyKX3)73C zsNs}GBl%DLeaSUor3yl};%;S!SDHq-75NRkX;SRm`a09Ms9;uAlS>nnSjBb!o*Y9y z(EP{J_NU~ujLB9Mu4d=VmiakECw0?b%l`MpDJ~)@2m3JSB_P=Kd!gX?t}o_D-LDtS zqg}|f%LQXqPUEd*OB7}Y;!1M3j~B#EX+;mNGV#uibAMAtauq;JF zSgUAU(sXd_72FBz*eeAg$T8t8(2u=YQ3W>^=%o&@Wl zx`C^5=?pX0zzGb|xJYVpwQe%H2FT%G%a`@+y;8c3Aq>dWPi6sjl%8Jhyd%L|8ENQz z-bln8r0Y==BK}H`jg{gKJB2L!k^&@@Fl17q-*IUY&}&i%<>+)FFZfrOdrboZ-59Ex zbU}|`?(BX3tS`)x{b}guQ*sZJg>~^_Qx)ZPlgkb&EKGe{lReU!l^*nfbUN8YlsF1C z))|w8H1vN6rujuCVtAnn;JJ8bYgqWa*nw7;d1Uj+(9;={ifVdxzUW@*A}e4V_0u6W*y%p(dU~Ci;U0u+PU5uB_aLSF1dj!S3g=JXCK$c?&4dljH5^NRP3PRi=Z}+6 zZGPhrH|N>Cw8K13p_IlHy4|-{=aHgNah)wwmVhaTtC9TS9C0PAdnmKc@uJvFFn@*2 z0a58n!CX<7N#BVpQ7~=nIau!FA8H#!cYkI-e6j{ejl$jN^uVp8MM@Rr`nZC1;_A7^ zGe@Aqhl&_Z2fSq6Ty-7QAF{K#qR+e}yOybhM?g|$H-6Ep6_Ha?RJtWYM*@Bs8}Y^+ zsvgxfCj$fxUh8-tDyd4OY{5F+7`c3He*sk!QZ`XFZLsIw4eX*QUxAivI!rNSXF(tO zt|w~kr`S_4_#3cqH;k&{J%Cfg1ubKAtJ~A6Xy)Jl9Uqn9Nor0GZuP26=u8E*l9^T% z`2%l?Gz!974e6VZ;>d7;_Oxr~efOMj{8%%q5l9v+{Xbx-yC~xkpZU1li2AvTr)(s@ zOGoR;x}>Hu;c3gGL>=jrn5rB9<CC(!(@3#s22o6}Vc}nS%k(b`nwD3hTDgDx6=d9$l4_tgnKi%%rR&L$>ZE3tCO}v}1NVkc1T2B~n*LW#8XgOqE@N z+gzeOL8;N?@_k#|{HsL%88$~TB0nP|wYARG>YKQOnG^z*_`;`cDl{YFC ztgawkNyLm_y+GC@cVu~!m}m=NwMA3n6jxJ_fyzfL+fr3Exh6niG3^YCWTAsDqcp$L zF>D;=k>Z1U`Ki~u9uF)=`$(De#phj^QBLSVYy8H$^m*FQ`4QPRDcPboNFF5ZoCz=TjlziVu7^U~q@BWIp@ zU!V|Y7bCM9^0fc8Yat$$i`0D^-~S$KM`d5D^3{~ie=72!;%aXN$F3YnK?Kb9Wd5`R zED~t7flvDluk8S)$!ec`c$}PA3r23KDZyzh9lm>kY9<)M0v{2lc1jk%%J)Xp$70T>^&D-*g&9)Q7Q>R55azvK-#WJ ziwp<2J9Knu`1gOAfp}X*bWRfYT*2Y?0)}TsiMyMsdyH-fJkj7Sa!d#dqWQEs|2A&B z^d+3-`t4v9N@0ncGeSYXA99pR?iB8AOLxKf09(dX4VWl_*H}09y`hU;oH3SUw2;V` zeWlWm?z-j>PsDC}+@Fg2W8Dx^bv$MvC+)jVWe5)mKS4BV;1k(g10?t%yr7LQB3eGYVTjVrkr3v4*T5*lIkkSW2s zmhP=Fym|C({-M$J@ZizmNoY|XRisr_=z;KQ7=z$xYkvr9=m8qp>oY3pg#m_oSP3fa zCmTb$VB(dX!zQnHw^PTEm_BpGg2vHn>oyKfDL`MjW8C47CYPYTFvA9JSoZ|nlUErf zB&6M-fc=+xgE)zjBvS4pK5N>!ha4(`o2vmUM8oRSTf{#t-^$8P;UN!eqtT=9=>PMn zO#mVD(K_$nwAKquj?i(b@b~2O)*$W3xEj)NDZ8`6L@7B~b@Y5R&(2gLsML{di`EZx zII!`+aNZ4$8rzVPbPGL>lVAx}0yqkowbxELp7$^k=d{}8LnW^5TL$`b5BbP1YR%2w1O9at<1>LU9 zt2~*9)hHh~|dph&Rww*ou(jdvsPQ zf3)TXdelBA0q4OYxDzEB0rtv2@|(<&)J-~~6zslUS^X)_Zfk<&*3~?=G59i|hCy%s z#QEq>1hFkX<>0;1-jCI%Y|m8q_A>)$1IG78Ir;~XI`Zc@v+X@s@1Hk>_Tw%Vj*^EA z=UAsgdD8N27gZFg$&<)Yj2=U0B-HxMlW>ewZ6yd_s^KJ>?)|%l*U-e@abm(C)T%x- zP}7gm`H1D^zc-IZyz@)M3l4f0*ODCvuif*BnRMF|PKo?fuDh}hI<;p`mETH~`?I2v zx^^!4veBnGr1j5)*1XG))Be9qJR6w}EO@p*QA}-s-zqB0yM^gqi3Bis&+)cBP2A$7 zSc#E2{L8~j)6fV1B87-cb;DNvSP8aXC|+?Mm4V#x*S1L(N=Q*AWIf=hkv&~TUcyX7 zW)FR?jwhi!;}K;$?c4ELaT-5 z2RH^G4o@&i+x3_ZrVGt|>BE$TV161fwZxVY^U75=DU7P}g&Ny!8GgwgsiNcBlibJ- znhxd5!Tc#4C_5l#G=-Mo>tOJ@@|?eWM+W1G$1HmzrmzS-(bk|{ZK^;wc4ungOjDt1 z*>WC_bEzg;6W5@&fJ!=44qev)`Dq+{nf8hYQY?SqqPr zkdjF-N7sd~kaR&YG5q!8uw0F8m$?xBXg=CB7>09O$VXRX6-h&SHBG$kHBzGF%ZOad z$W)Ss;0Gu*drj0sCJO~EBqkSr5ZcgEBh%h6Q+ctMlo3uUJ|?zvMka><`Q$fEy-Kp{ z%TK$uv*Vbd!F~=|;Tt#8g)n<-a&&e9(?HKg2Ohp>`Tl)sYdB%Q^y{1&b4Tg$cBIhz zT*6MZmEKdRzk%!Dfgdl2JIY|9*zBQ_?}n|LX?*`Qg~cU~>{QZH?hED+`Jql7WZP{z zksL96%LAVYR>Et`t~-=ey+;7|F}PVH1*2qKP%1E=C}{BR%di+@o_N&;`>2*Ib#7)R+1-f>!Hw2r1)3xPMMX6Kue5F4O6ez*aE{h z3@!YhxZ;HWoXRXxb7KnU_E9)b>#6JMR!c($ic^zgzU57jPu;M#Zx}FQV7+3aA^yiR zHvDw&s&p+sD)(aZsDQ}(H=Y1QelPqrC<HT}sX? zkIMkF+wWm@xM7n?m?uf`%YwX+fDy;Xe$JMWy9F}n__k-yi(8N*%23ED!Z`op#1kY6 zjxfTH|B?!c)LZSz)$hw3IMZR6*Nq}DfBz+7JqS&%%_FenR6u4F0ngMoWY9P?^yX@( zCck^wS*C{a->rL936T<|4+^myA_KmUHfTyNv6EFX^m`S<}M&2~NbnA}ZGWA|laS zU{S>^87M=7ldagJYatlveKAK?e`}lZ4NJmL?}OjzY?)obj8me3Mu8KmoJ>u8Ps*a@ zZffH#Aw5#vG*Y~r4nQnliYiV=yNq|yL#O=g@AE~Hm8%UeAXxqRDJd8WfJ>lOBn zVo6p$^c;Ti({^l(uh{sj4q`Q&g_|Ujsg1p*1O4()s6ReWtvbp6bzBJ~q)#w?+A%KB z=laFw(3)b$50l5fe_Fy>JO#aP?MJ9^WN6-r;PJzORw$9zL@n-Ew*dOuj1GX8e5u~E ztNyAz?IMe|%{hKohsUa0(IMyxHC-n|n5|91rQ9{=z zS2{q%1tNa?MFAncwK-LUkA+a9ewcr&uBvdLILy!gK_`zT=_jTuw-4>6Zhr+?wIeVo z$LDq|O95vtS7_}oSG+NuNj@tFx(9KWLp;DTknvUf2fSnW26T+LvJKaU4S4^9cs@c` zd|KIO9Vb(H3Bx_|SmSjIPlEtDvI!@!i?-ydk+Sy56(0GSO?46<8{2te zUyIAS{)lhlCP`z?<)T)%V2`5pQ$Ul%ks_Oau4EDN>I#C`O^= z`1IR&T(i;ZPbo%GiP>$t3P@EzwRCP*KR5UP)2GVny8N5(MSV){8%IGyBZzjbL0j`piGV{&@rK;pm@t=y@%`YdXSc`gOO!(@kq8~GwlufEq2Z2Fj3eKWaBIf$ zxzl}qsXhbg1boX$^qyY+=x}qdiMWw+K9PsymU=jk6Hw})6zKg`?@F^h*}UZUpQ%G< z`O+_VO7I8N@f!yfiK$wHQs;YK5ZD82#srOk4jdT#gL1cae7LPk>31}#|5eRNzhr_e z=e>qxevf>XRBW*%{&RgHzYS{wzfgQgaP$*LaIYC+f<_5 zq6hpAn&Md)$*X{6%jJjmPk{ngV8mdhdu)D*KMM!h#87Bv z=_3>e`F)gLzW7@5_xuA_(x?w6fgd_o*9hG8N9#&R`NQUkleNE8+=C-A0$0A_3XVsv!C!nmBPEOTyZqF1$ z(gtOAd!TUrfbX_Y)*tr+<(!`Lt+(K5HU%|$M}Y2Y^;7|AsInUV^}``r#Nxj(hN!$_ zJCtj!TmmAPvCKiU{HvOx3W~>@;^*=M_*JrBEwBkoK#K1`%XQ5zhHSE6hnd+vp)7`5 zj9So>ADbbsTX{#Z0&bkUr+8t)$UC(FVZPJcIpXI$7LBPM9Mj$r%qq1ytA>lNbWcff z`4{sjo4nH@it*-9Swb;TnA`ER&!Ua_0-JLStOe3|wYRRPur&uSjL*aT zv)W3GNLpFplg^~wNUomDX1m}RmKtR&Yx$x=y0EwhDsW7$>H+lyVeEYBKo2Q?`S(R; z*-~|VEZH$-E-(2cwV-`WWYRDkjPOfbOuOi$3<=!ibb109=NaVKxC2UyPI)+ki_LJj z{sc~ln2#4T(wAzK`hGtLo{RxcOSHh;E85ep#pWJ7(2oN7GtNK>kaypIwQ|+ILz4Fcq&RKES^cFl82`+8OO=Fl;O(67~n2G{l}}jK~bbG>kK#$TN?*dMe zyn)VfroMZ%H|p}*HDSJf@LuLnMfoz*HclHLb25hKKnhTde-~xAYio6P*E|4dI^uRi zgYHi6Cxvnxr?f;m6Et4om)X6xFvknP6o0#!XZK{JJ@)Y+cHdiyf&D&poBB zb@V90p%%C}huev6^m>3!^h~0z>Q$(I1zGSqJ`k);%!A$Nqks`Tf zibL%E=J2(|RFNR7xjAytUVZEeZUZ;;NzSPv^1t){Y+q329c;d`hdus#$jaA})bxSH zu5Oqc#7OoGVp~L%FLrGeVf{!h_m0{N;bQ`TlVT+jb@Q(w^~QAIg&j-{Zw3#9jTgl-D&C}dSK_+^dDTG8&L9efb8bnHqTpvMXO2ddv3gEM60X-xX0E-4X z57^7!4e*61Yj<5q27HQ2t5)3UxO(xB&ax=70>obq%BM~h@(nFo`Jn&()0G;hK10as z8{;S$Q4e0}_KN?eBw`duT#v+^TYgpIvi|$%2T>2&rh@xIvb=JDy$3^G-%X}xl)FT7 z^~%-X!K2W1d~Y6)ZCnGO{=nZLsZlUGpj<7ghb_}hr`iYAA&6WfHBBm>PM2V)-nUur z=CQCdV>^cBA7?V=l}i1pgPU1gl756k*>|f1M2mJPfIM84&n zgX%p#h2zJTG%<{`bFQPIzte)L{>Dt-vWqy4BtGqwagMOnrlzQMiBakHEr{8(h?^1n zZNLq;44#Vve)pz_Dn|;&G`bHeC1-u=HQWfXQco)Ae=Dig{>er=J&b#SuzJ=mP!wS2 zHb=ACjsgn*$Wvkk7;-G1%w62u#efIC5=W6Hn?`6gwG3{=@L(;qnqr^3sPHmUA*SC@eq*xtAlnu0)$vew3Y+i3j${=Hlcz*&)bbvO9(^73b23__;IV7@EF>*(tI^Y*sOW%-?&Mj&E@3To9Sla6!BW|9D?w=F_0J5!Ko ztCxyyxJz1yq=cKol!ue<6Ef**ULNL(W+&%NW6+Dsww#&lst~-lwPE9#VUV#ZOVzve zWN$TJQM?C(W1AV55a)w+NyC)koU2YlfTLm`62n&-%hj{QGpw$>8ei%vlMtf;9zZcy z6Jxx5oRhh+sFz8-3Erv#ICL#|y5wv%2Ai`xooo|G%*r2129wd$)2!fQQu(4|TTvXN z54tFc@ML$beY3`-ZjjcQX87v7DjY->8eBtmju|ZrGco@igj96K*{eR!X^@DE!JA1j z|6)#!#}^S#N{Q!S{$(lBON;)phPT?uRG8Ot{;DT0<1k)(ev|BQa51G|N73Pqe!RLx zNw8r!66^*N@s>b|D!2&L{j{_exlUng$?zoYA|rS#^Ejp7wV$%3pt@CA%T%&79BJ6t zQ0#YlogL?pA)c#@qzi?8plkwHP77&sc$hjdNtom8Ou@whB3KeTe#UV=;A>`EVGG+! zE7L+fG(uDLZn&V36th(k8k_Z2L|Y-SqcdrW6jL~ zyO%?6rgHiAzTwY_=3?o&WlzK7MU;4gb}0AWn_g9?m8SE7jTDi`8(Zs?;}c7|DW!#^ z-c0Yz{&;(k;i=XT5ocnBV(^NJmuNx7-{oNAX7c_1!97a?`-; z<}Zv9ZEL?}I`zS?Ig~wgf*Y$!fC)`t*we$pQ|ubIKvyqa7XI z9_r-SAs7^a@ei;M(p^GvX+#hvEJ=iRI0Bq@HSXijN8bU$uG7KaST5f(CnD$wm=mti zIpSaZ1RTc+i-g_DU@d50%yW#Ccvzd334ZAVuk)TI)8?d;zxI2}qk!g0f3*ADQml}SZdu)Qw1&pjNzZGixyV-h#q$pnbMPPMWo_~J zd#=Tw49UrU2{AO04W0_-v7HaUVCuI{s%Q_Zg}k>DNeN zjSb~4s-9}uH~ypJ3s{9i3qm4%+f{!s{oVL9qEgI*EWa{1d8oAtz9$Vdq{(8ybDg zU#yoA^e@UMWK{C&pv56TWk#?grjm54!9aC!`3(a#H8@s`dY}w$L)H6cYpBGx!q~1N zr##3{&cq&=2~4*C^5Nr~83^IiQ|)us)L&Nob-ld9VslV=NEhfz`Qtz;CHLYnnHIS# z0bDWhDCPBqrn0ECSFOmdTKAbmH6pyci;Cp8(ebJIf&~GA8@D?pAe6VQXpk{`&9@{S zTJGCKQzDKp7zhr|MFHK4is8?K5m0Wh?i!@-mB1eh-DE$O5Jg)NEqH4$xH(McQuB5h4rO1ajaDhdAGFadt(>G1{%$_cV7AnPv^rYDO>4v44 zaYU1|^t>PF6Vx3#jM>6@tM+a8_A>)2R;XNCOP)aib11I^9;rdIH$KG>GHWaTl7CnZ z;htbrpNbhjgYLdqySgD=)*({~{Q89}u`g-AYM%Cw zm*+O;yC+GW+Us-Y_xJkrke>=?Y)=uLgNxZ(R4=U%H!&mk$oz)>UkM$-;O(_98kjW! z5#<}lt4W^Yeo2}nO?{f%cHp(|V2Nm&tWId0R{=m`9QuXjm+OK+CCdGm#6ESgcrd&D zn#_fdjkjcl)=lY4pgqpN1BWt3g)tHJ-v@k14TD|!RvMIHhNB}izKkCK%dK_Jcf5jI zg7G1Ci|iUhIFDGPBIu|({d6#)k%3UHE1SlaU2{?;}5Fi-N@9rXO z&4&LWjnK0MjVQ?b2ft(e7jc3^Ewcc&ek9hhD)vOjEYfTR7{@nqv5fu9M=Sbur87>E z4*x~^V^pz2AwOfa#7vYff-ZG_wBz5~vpg(TfCIzj3V)O@n%p|Mp}9PeGF8svlaldH zsz@V92QK+;tx77$|?>JXFaFNT1_Wq4&<&T>nu!jvU#UCw~7{RbybjpT{4i zpJs0#h0_N7e0)^2O-TJu9eq2owSQsBRlQP7_$q|8sBwX4mS9(3sL8_~M zG8{6=9&|>mS?3sX5H6u?V|RB|tLG$dDqa>|BA_+-`mQmd#NT$I97fLjfBdhOz}F%N z>lgmkRKJg`&gT5=pYYrG0~C@XzY1mQ`$(y$*aS>lkmx!Y{w&2M89n7z_*5>%*8a^H%F;HN_2vYuT?*^CO8}q+XvKS3iGjE8p z_8}UmzrbX+c8rt>3L7~ptO+2BS35}>@{Rj{B@L8(q?IEy(XZzL2vK1Y19YHPByA1` z{3Ta7i(W1e8p6i^N}9YOY{r>laG@F~E;J1I*vOTDJvQU7>S&LXf+5!d|F?2qAN&86 z`~NNX|F+K!8I=PNf?uw?ua6&dkpNNu^^kt%zD$4IBk=VqeE?&xtu)|>F?$K+4lxIQ zxA0u!x+b;8s^R?S26bKFxvvE55xO3p8$6*ytwvk+d~n+Oh5BK@IPJPq%O4w^sSZu6 zW_m?qk-yO5@&4C|7e;M+E%Qy22>zZVS9W|dc<}%M;`uLc(71q%{EiA6h8I}gzlRu0 zn(oP;dLtKLKusOt<9t>sD9gmPB`ddw7DRw2Dyi!09^dUB4|Y<0gotS)~iS zpYYfy+|cgepfZ<^^cA^wnRz$T-hF8Hl+_{v;YXQ_Ec?BJg2Ef%!&4>xMuuIlKlL{E z8m|xZNcoIbs?ANw{HOX)tx~N6M`E+s`j^}RbN_)+bE0cmEOyKs!Lr?KflVdVso2{? zVZ@9R#KpH}Hsqp0zlNoX&&dW!PDrCQX8Hg&5j>md9!D(wpGZV&J$gNYr^tF-io_|r z*3QIf)X8fWDCYwm_@~KpndW;+8fru8w-vY)(r}zN;{wR3DSqEQr!Ur9^!}TG6oa*U zHQxAjo(ex;QH#q)xaMiEQDdQoz5;>$lA2HT*&*g^vm9`w%s*7?82lD*nEOdG=O_H% zXZj|n2$g8dO8R-rm!?Ez+))cT*pKs%((?q}=_Nb+{5ndgLa6wqiMK_`GwzI^bbo#{2xcBczT4^@0`^HQvp?e^wij@pH1>k z8wEC*V=;P-j!9cogV|0)T+R|?&5MVdYTz6tRl3B8Q~Zy`9}WOb36#I45P z&)s4^<|vBS+>O`qf>7`M7uQREqY#aOq)>CPs38Wqf@Raafe6izW~t z1_VhUXt3ZA+y@Bm8e9T|46eatfT?fnZ?`#ruzd~w0|34D*2KXiX`Z8h=lDDFrKsZDxCLwm39 zz>s-`!s1Q9eER8fUfo=)k>5^a=SJ zPol#Qq~nIuE~oLqDA5aQziVFXVk3FTy;NX2L z^7M$izsf|3CO(wC4mm13$u542R5FhmkubPYH=2wZay*_vF%rFz;fd2pFnw<@=qth^ z2`=fw&f)*GkSDOo{gx`2M*nP9G<+Dek4^tZbVMU0Fby(j^`%vQ21~z{p2p$HOizL+ z-JdNIXIXy&;5Lb~jz7UoO#Hgx@K3=}*JiWuDlntAuR<>&ItaODJR3|I@czu9c5#(4 z;5%^RF}WhHQ3|3}i_GVxGb%CKo-zMx^x(y9UQw;LP5ZO#@I8m zYk#i(BwC z$ex<{r=D>5!zPLLcGsCg6Q_5-u5A5+cR^82hpIA`j^)Tg1B=Yt#V zwrA~H+XI6Dx`!Bkq{n6)knf=Czf*gC#(EIhgCF;8l$DN&#~cOBYz9E?HjKD?Ry4%X zigmE{GG2lxBHD8O9}>>PGv0tc3B{j3-6Ndw?DlVR+uCg^5?s1lFR6gmL{ajeV*f7OGwOXTgXYGxP4FnVs??S$^ML!6#w zc`~q#O?l(vOFz)3rEdQ@H-Gj-Wytg8NY@=f+iaGk?=0$VPOkM!JPu;g*Y(54NsGbS zF|KFK{fXz>LR=p3Z0YQCR%_8IlQ!?JZf&eg4TY_<`>%pyY}qhpmes|GYoe91XKy!% z)=3k2$&0QdX?_l7T}OGC|A|asB5`U}^j^PB(7b*d*ddb{vn3=kw|;P|!*56a@>~5B zd4Me5XgN|SCNwEpkW7bv-AZpRJlD*U?*B9b1hx|#J}b%~+!pm~bGRSp9_me#iz#{` zh{HG}b%YSu9`=$wrXQ)k<({Adw|vXIf}qMaKjkQKhkkl&NfF)hKpBQrl|~Ti>RkEl zj&ks9t$OF02zp~?#~q5o^}2beDJB|tI2NBd6wC~=93}gf6_l`jas_H(HW?^&^&f8x z+K&Qli<=EGv`gux0RFTwnpt)`v%r79rl~!h6Nebd$R#RUG2_&}-@0YjJyNj=s`9{z z@2}LL79O4kHLPz4MruxbEYHU#~s>oQQl9u#Yj*=F$LtI0S&RVwW$xOv)B|dL>3L>%*U!9scIBpm z_ObmFCauj0c>iir-g`ywwY(4*&e$?Ao}l%~;HFILUWnUqAX_g8^?+DrQV>T?+z*cl zMI6$`8`)#wG!D-SSz}E&*9X2WD{JH6oGH3J=j!*O95qQ52i}{Pt+;<$M}}-fh0TZO zt_k*xLEZy|1Y}~9RR5HLl4JIpFC<);(_gFlpGjVAx){feZ>;!(T>gNPhtC%y(&%DiK@4Jk$yt)|Jy|_dHGXbiIK09OR`F4AzJhXHB2#8s=kqL%drHeW946 zd?cOKla}9SL(3E9*U&Mm^xu#1q#f_{4=1k#j+B!JLGjd4#G|Skhn>zQGUQR}ihHO?`;No%LfzPo#I2=U$6{Q{EXCH#rFEJUF^pTW@VWnS$kR-WAdBo7MVAkyGG= z5^BxE6Bo0AHq(7SO6ljx5Bj;l64IFOWwmms^IjS(-zpUOqJAW5^OyY^4xFzb?Hwzx zB#`TZ$x`5=DT24euKWK#VgsCl`;waeNp40~$CwK@_p@G-p4<+VBjpNPY((tUlWPx zcCx5WmQ;O#i}jjk@8Y8`=;|1v4uZ7et~D=W##dL~T^&>?X52 zH(s~8M+MnrR_@!Wq~o02<7>(8b3#NFlEAy3VYAYfoN>2G;A{W$-~E+bJeGc*M&q!m zV_jIj@}BgvGl!}{nR^yV!gRGC7+M5X+7sNm%q7lT`3!(n?Kguz;-oPuM9K`(zL76T zs_08D|I1wpDxr$Z4X9x*zOiVDI%K75SreRY3?D7OOs#T-<(GQR`FcKV73iJa3VYAIg|lyo*qa=53h5RbICQIEw6GW8f0 zU&(pdHyr^PZ&a;3HrizG$re1Z=~FoEV7>6UFj>XzspET6G>H?d7R0z5)2$to&?w+H z)D!_(5Jq!mJEDyozPu~R?1h`RrBCsaaAxg#Z?!GW?#|;Z%CZ0b&CHy}v;6o<`Tm!_ z7&pN*R!MZ`qPYwLjCEg2TUvLG!8&fYL84Kcl~Z z3_Qu`vp80ThqUf$U{by7ch~;A#IO{4YeHy)=&m;5fGdSmq$@3%PWDDF{{r^L|1Gos ze;NZ&j9tCzZk~`Dv_W9k_Yt&#(lzO{;XRZYd^QYJ;K{^MgzJ=m-)~Q`S(;3$2<2}4 zCH5~>O$+j)$tR}!P@Ivft216wa=agLJNEL!j2Yh(*G|UwSs6JM9V@F@2Z^8XvzN(6 zmfX_wGg)l*X*)-!WsD4*dYkP@#{B~?5m&o~Ee|6k^n187Z$m6p)@wFyamnc0s_YET zL_%8CeAk5q-tRJHO2uNof*c6j$A3%QP!?kz7ko?>)D}H0cRryprKX}f^-TdyP9Bt& z`?MV7IP>raA-^k>i?QIatAhJL8{FV;?X?8sAZ*4VNBcA@bu2K?m(cp@`12i#`Vqt5 z7Y_bB;-FflvMn?5pr(eu;;&vlOQ+Gld7jmn-bR9*0kr68 zghGj>OZN2_bJ*tUt!;E;1N@do`=N~HLzs=4>EVS(6L&O=UWA^W| zwIQwGY+hAoO5dN%IjVjj#r@6ZhnX7%yN0Y?;g=d9l+k!T0s3VVtiWjl*cyFASeOUT=9h(A#}{N&5Uv$agY~Y1q2vZ(ir3-`JiK8r5;w?9+__ zO31{#*LHKT)?i~^J|+X*Zk_r0Ka#JqF#;bG6{_iD{P+$d(|8A2@oBwzNE32JiNo7a zOUFK7_@d8)o0WP~WxYz5T?IF}(04beVeb_BK8YZl$c*9dTD{olQx(>XY=D5mOvaS#D z+hV-H1Zk>hLXYldIpR#G#C;+;B3C9h7Lg5+!$yei`5R`$dgRF*trsNr{P;p)0$Vz3AN_){z?wxb?PY18+MrSIojJ<#&8E1!r*!bB`xE@tcqSuRm zpq<}U#@70tg2SB3G>4J55Z2-;$Hq#VfvdmQ%EOebt=xdMqp}O2?3}tmWIbTG<<~ln zz@m`85L8>%S;jdBPIClt^QU@1#%fN_72UCHLFPW$$)Ioc1?EcvnTB6R63+{*wX!78 zA$5)&IV|R1YUH-^^O33K!(%2-6xOb3d$8q7xcEuMo-TfVNuNY4>q7b|XhsE{es+Nq zxngoBQTK|uNty1)w9mCYr)85}sow|Dk#8KI&qJ0n#_Eq3rrt&yTFQ2L%lp9dqz)F5 zwmrIkyguR$U@Fd+4i8V}UBQl*hxKzVOsH>@k!-*I__wxlXJ`?RXxEQ9$!T935vMX% z#=5j}cyFMehHeir+`U}uW-1;y&{q9A1<1;BImO&y^?v_T5|I51B39nRU>MsAWu@qq zV=5M^xOybxoB)z5a<)sq{k+AVDs##hTd>8uc*|Y-pdT{s1Y*nI09^01xRg@HgoeI2kZjcWlJ1Sr40m)8W z+3|pXsLWkf-&e>mKOuFnQ+F&JEZ!E6c<4=~Oswg-kfAHfm<<<`H4pNZV&6CzwEe9@ z!yg`PGng}%{$)OKwm{wSzp&G17^lwoRkcO3g)4ixkVo~V`*>U^=J$1CvpaM26BhtO z?c^s)zEmz(w5lNQT$TX}NM0ODv{e!<@ejr#oS*xRr55M%$e99PD%rgvxA8cu5$ndT zkhII}Mv#voap#F+v;M;-)V zIern2NOi@(zSkTTb!ugLbM5h5PYKc>R+*)zgQ_*ayCJ$T(-8fMnCUEOYm5NHfry6s z-WZDRSOdR4nXav|&f(Lr>t~sehk*A5{@D2QmTgR?L<1x@QV)gH%jqEbyI0~`9co#p zJLf)GbqSOOtqM1qO%Lj-2)P1mq znX<}qzSk~6C7HsAIo)Zp{KNE&q={z0F2#C~%O@k%u0yul;sv<{f9I`e(fPvqOx&Iq zmS4Hq;V~tL19yflKk*p^Sb~yR4)(-LzkY^f#At@HoD%Inf&apn42UZ7B@pk9wL$dHa!%Y0Q?_L6+E(F(vHvwc z2(K*yK-o%n2wg-dQQYDcAO33gVeUeKtktW5(oSI)MY`o^DdGv{@3sIg<|Cy zO6dQN=vLJ|6Hhkt&o&C=*Kf*fVwfOg^%GW<>zApp-TD)H`#EHNvCiY8|NT$#O|{WA zqBR^(ji|$Hvl&gbtI(H}w-CX;v~ zc_vQUY_?|W`)&L!)=Nz!DEpM-*e?wWP2x2q7hufnAk@pqoQDxrZvI#y*}Lw=)oa%6 z&~>;jH+R?LJKn`geN)iHk`iYIwN~VMFZqdA^INwyLy~T zDo{+8U-{2#;e-P@3?g;rP1-hDQrY!onLeKOZh6bs?n#w(a9r3-)5vQ@gJI6DAwQ}M z({%W+l1x-MX)y!Jv=(!yh0wUXlW&9>ph>z%mlLf>HLdSeKtTt#aCk+|B@iB+O5QCy zh-*%_ja@SNF|KzglTk@;V9eF4#`tpppO?)G*Xc*r4(!|?fpmCaP z8@YqeZWu4sL$YFU^IGY$vdD{@>b9>sUzaiD;JWia}CrE)-yYwWSk=p92|Jl@%TjfD#Wtk$Mf^I2oADqn(ruJtJL?i9|8Puvi z1>TF}FK`&6MR?dBr^mF_|KZuj*C25Xdc(ghi!#*PYy5hTMdoGyz5 zSvDKOwyN_X!h6EMOK%HvOX>FZa$`oidabPCq&fqi+J+mt?hRq~Q$L%xl$TRdhc{5y zV;@q=#H=h=1@i4mDDoCQ$(%;RG6=|yKDSMb^};I1@;vI|+4)S^SDKAWVp~Rn?=&X! z!skixT<^Mx;T&=7brR3EqRtHN-Mz{$7|cs(3xH&5V@+;qE434cVuThq@%On8Vo8E< z14G*^3xp(UnkvB(zPvc@$}o^uH7>jYK0NlYs%=}5@&~m!U6JT4PaZCw>i6GTj&R?p z)F!NXko}-yZCERXWn0eLzmQ6m30_W^y7^(}QC274Z>_|5zL-1(ZNSo3nuBx{2tonT zVlC5~ys5?%fvRS%in982uIi+z|O&ZZYSs^?5YXM?V!c+}Ri z+jNw1VwTF(%+qN%q|yG4gWyw-lOxl%l*T&HH^-)4$br^nk#|E7sViw##mlWk7e%3( zy3FboIpNmz5dn&2OUzjI#eG;#_!ZSJhaJ5pGQ6e`2)o}`ru7!iJ_BzVegI_*z>m## zm!vAWQY}g0kIXH|7Y3jNEDZoG!5>k?J@1CWwFB9gD7^w>mwD8N)}?hx+}^eP!326W z=LI2Wn03^$u*KWGWzv?GEb;uK=rIwB#uVqg{!8W=++MPxy30gcNs9|S==|%;-7mM* zD8D>+gf%MGa=vCb2er|1xHmCY0ZE*gb=^7C3w0qgOk2}?0YkL39B7H>?}N($O4;+} zvBRG^MzY%tI7Sra7yX_%Spy=GO}Lpau0NJH`RauO~?CW|Ei$woKD3!1&ZFqo$c#D z!6=~>Rf=AVSMbZTjrZg#PHl;a;z1R4p=lqd4EPfq{9wjQ<`2A!5tX@=o|r6xI>ZaW ziohg3b1a_$gtgEW`hBfDt`eS@9xw`JzVc(V6w|LiC$sB5;UF2OZ7uiO zt9VlAFaAxgC@pAj6+UZR96nD^KEQT;Ws|)x@HgiDM?7+7BXPRXYEF~vXv;UCzv;jc zpkwowP4Yb z-RCN4OS|?jQ5Q?fiYllJkn(oBNO5gb-8r5B&Ksl^zJ+u}=5z$^rD0UziuqEa7J~8D zjbRpbUS_YR5WVX>J3 z-2rPIL1oBE8U+)$yWiLQ_$Gj>62oy?adv9cPL`a`S+;LylP<_(2{)Hdxk+D%@a%-b{_HU3t7mY{wOmVnU zpF;9=dFBUGLhb#FG;w#3`;^YykF*z+5IPfM3zH9x4e&eiswNOqhO@7Qdl_hfJ2CI? zwN)}Ow&XRq-^No%R*21-UxKaZmB8%dM}bkRze;?_++>J+ydJ#(WM zzsWi!qD!w4f$ApyC+o>DE&)<#OhXQevEagxA_M8P{s6m8M1I&NMWBm;PC||@-9Je! zj6kHWxhw0l6p-$gu4^+?H@-;SaKM9El-IpDm*uy+E{EZLf-s_74!P}})em(8nQ9SZ z@nx@81sS&0I7Ec{cmXzb?>39V zjY*L+YgYCnwa7*n1N+}%NbN}>3JncGXh)=MDy$cIcT|hcitu&*EFXWbZ%)^dBu{=a z_r3vW`!$MgmczT-?iVGBL7(cs+H9R1!4zCt@pN1#4=?pzuXUZ;tvX%*&OKM29ssrPIn&Y}Q0uYC3ep5Dbgqkh2sm+0Zaeui3wvi;0W<;;dJ`hi)913yl`NvisOBwyG-Gk|BCqezPtVIGkv7nb1@lXz{fms>hrKmv2E-_ zpF!ODt5otXn-%;abCRnsO?`O#f=3Yjg@qa^1BVq6k~(X93IU4y<#a#l7=K_h$Mnd> zKDwbe-4_;!5dlmT>jEv(%qXGv_WZ;4_RznQ6wnqX+uIknC1_bNI3aq)aAEDoJ=K22 z^ns56dgT17zKsc8{!llnWN*Nc=a!o>SLFkPR#KU=ilPZ1z zw{V!$H=P+0v~SzJap<+a1yP_)JvNcQEps2hDQ~wtjp5T8uEv&8Q+Fy8ZtLekf~aAC zVB0>7wKO*-PQoj;0p0EPZTs6q8Uwd1gjS7I{gh+v*~x(d2&TJedjH<^zq({Zw(yM3Pa+=w4?DwAiWiJ{`5YMtguqhEMWy00 zA8YKg;{ac=(w{B+da2U+-C&%$IS)yrj~bc(P5y*1a{>M8cYnKXV_N*$Eby92B7R_v0mZmG*Q*=^mOYI-ai^p}MkGg{9wy=HV_E!VU+Mj)&F#dz$I~T7GrW$4K_-rBiQue58CUsQk5N`0 zkf+Jl2^8-@mrLRVi_)grAnrQjHue@P`g=xq>;7pCCehtIS$)S;c<$W?#{wZlFb;mJ z4P-*R_E$U!m91-=Q-?-Ua}%J-zuy?#kiSlnj?!VCxgB51H{X|~xJqhs>MdudGvD}? zt*@CSqBaaky7|dMj!#0qX;jv%%1wLxhLFozP~Eu%!C-IASGlw)W4=C&BA5+^P}01e0xMVwtv|K0?}U zFqu8R>U%5bwDzSJ8Rk!%=}TB;sg1Q%*8a&^P&OUn9%Ccj?hPyTxjIz}>O{sVhC)29 z%zEo>A!l5Zw$|&zzBWb8wFptYZMo_Q>fxVQUXDq0>L~d_`6>K|xwm_o zZT9Y4H=4_vrP2-*BCwQcEX(@@B~~PqG-8G1vR-Oq-4o641q*&(KoxiRwO3vi1g@KDcgpc=POi*2RTQzXGH+j| z0^lb;EuMvYg6BJ`a2!uHWDd0qpwOM3x(~-H=v&V_1m;$ti)4fP%b)n0UENjHK~|iv zj9WDD?pkZLudJ|!TNyP5iZnDR6zh!@H4j$p>X0gR)O2drjrqW{G%x%r zv1-^hvFFT`@44r1uAf!xPLN=7*X509pj623+Q-&!=z3n8&GebIVYHywM~I>ryBr3y5R|C-D}33l z4pU>=8cEY?VHW7l-1_6|sj+I;I{BP=ZuQs%pN%VWm*a9EBeLa)Z}P{F??WIDYoxEePAXQ~Df=~LG3N((7IARa*;oC1l;k1=zrs?I zV|fM>gWbg#e*mMx#3x_rIHPWSM#y!-3WA+A;yc*yS{2}l=5GmB~ zUVZGgp3IM=5C@6+`6_>pvigarR9THAB~?P1L(QwJu7Io)^uR%NrV)dV3gP!Q;c@wr zk1q4kP%UazV&hu=GKycM<@3a5ZLwc z;l+0Q!kP&%+URloMe@yrLnbAQjz?NvQw1{-y+TBiWqPRh zIR8-YMZ%KAC<=4ilwy3Ox{osoBs- z>X@9i2KH!`f1rDOT#oBl-_n)hRjVeZHr!R?ft;L3F92+5i63ckRnGXVww9$-owzR! zgbM6}rRiC7H^4!7F`v>}S~~+dbkGa0Sg( zQ?HKM*Px9u&vy-s*>YV;X4(c*1{RXe;!%m-hG0t*K(L==w9bASn5`NKlIEcN9R=H)%Y~*qR3^*rT$>m%@su3;zS} zs#_5R=YPX7t1e{JasI!5j0nl}tl z-VImJb=$)A-XRC^uE=ItUJ8nmi0IMSq7f0Sx2DDi#KfqyP5RTJ> zd5?ESll)>mz%%^xgO#_-=!w#U>ZfJWI(59u_eZjKs;}FKpi+G+MQ16<03=Oz+1})x zr6Y`z7X%#L6R|>i{ImDm0VBlk z7J{p)wnmfJ?7}u*iaxcTZQ#q?;!^epPK=Tu?2?c7UErBF$fkA02U6vH=N{iqgjocqiz6k-mLUx=PIx3o&_ zxp^bcz;VKO!Ya;6&YjF7rd1lGGoF9z)PgjYwWLfMVTO z8C3sjRTd;5-6oe=#-$dGjQbA=NZTb*aa`XCF{8BFHAv5i1-CVqMp;p(^0Cl|Na2TG zIZBPH&vHl_s(BC}59_&OCr@-xU_A1do-x%XhnN(xPjj{Q$2tzzj|pp5)g?nte2NcA zlO%3)AMx0UwDSUgY(HUP63kXD^u%E<^wfN}Db}eqqE<+KFTZ3FGcB)Bw7eP z-+H>Wey{uM!R(`7pB9i|5-Q4f@^Z`IcDy$0Ju}l)f{V&dgg7DW^96*)iIz3iZ z<2wu?!U*RnzqWv<^yt(H7_t`a&nW&b@Wx0B67K%}FBLy6%;18Iok9fXhcT~`zH^BI zw-WJb(#O(fvHyPf4^FyA-W51+UqqdvOTkJ+8qLLt*VZmgR)d9wqYLcV$6yTfNXd3H z%^k(hqbA*md#XK`X?2V-;ck@Hp7d-Kyp-~m_5Y-gc46(NaV>(RU&Ov}Ty1aJZ?M-do#|gdW`@ zq~D>%T`Vzl-#b};oHgEfs_~3ez}4@w8+HTFsj-3CzgW|#?XXZYDYLLil`^HQ5- zX91p8oK%By-kO(<6Y-L3%ib5`0hm!bA1VIwm^AkIh_4?LZ-e7HDY>RN;Kv*v9Vr-y zs&?p(IE#$N?->Hfo#22mtIZhKT^P3uqw$c$QDL=&`FC6wxvO?u5vKs2&6u-a2xCx@ z2a|px+fJPOF+`>R_ZN*yCjGs(0Q{vnDA+sfKY)O<({pqR{u@+@0)b;+|99*vFAxwy z$rmA5R%6!x0~>WI_!}ZayT_v|Ic-v)um`+*N~Fkz$IkIP_ax+#U#p}KessII1!5yW zJ8im7aSZ-GN+j$eX_s|Ex1dbfG_94}&l2$0(E=L@OE^!eQ0qriu!pJ8WO4gk>|G51 z3kiyarM=s1?=K%)y0uU&IVPJ$xhAEDMDAfHbWSd%C(nINveZujPU@I_S`Im_w2-~uH-kvV#XS$KJHF@oW4A+U8C$$_^3b#Kk{zKvC z%h~E4c6*;r9DZc=JDr)VvEIi!+%ib-ZN|+Tr$LOqP2=%h%`lVPvyr5ZcpJDA$g(Be z#@QqW-^Q^}^YgFZQg7%S*EokAUml%A*K=Pl7(uv0A&WF<{_U;JVI0>J^(*ziY#23N zqP#)pfg@X#h#%FCTd+j&wFO|+y6AL)Wnup3S))kU#}d|=+YU}M(~IAng&u_->v2Ai z>8Ic77S~RmAthNoQWAo`Vq*VoT{=`*RR*bEtjgG!y=Wkn#l=28(6f@T@9l^i+h%uSpKdb_@W4GXTZI^(H@yc|(;%ay#pN z_d2aDG65~0R_sl#jA)-a7wlMmBNE>?!~l&no`LpK%Zl6NGg16q-RVtqD~EktLhbEZ@-+fAgU?sxPdBW+ z9A5QKu3c6zs5_KL-d|A3wE$Q|K?Lkh+e4m?N@Zdh$~P4;%_X{5U10Bm-DGV?#Ww^$ zi+;&p9-J&8a+b{`0RE(j!4$+>75sk6qt`vziJlxF_G>BcU%ZSX=(UC>gcU`r|MH9A zSyvuQnqR+&5`4jAmZ@{vDfrh$t=C$_BI_STPv3?VB=g%8M;+L;-t^H=`QeEdCR3mFL& z_Pw>m1bszvM!!y1j_n_9$A1IztKT}ticCIde{iZ!$aHz4eMFC5KxNi5)I;ub>#L^Y zIN-2K^(~bb|IJeZFWKx}j`S?!I0^LA?|yrih4$|`Q%atw0() zuIg>IWU^^nwWH0VCZXxM`wK?ej8#f@JoaHHJ&M|oP})t*oAy(gCa8YCIP0YgxuXEd zk5tL=

      -^QW%UR1bdfe?iYGCZu$kOWsw_`YwF%kMlvues+P43K{&38Naz_1_d-<4 zj5o@}W+2&MBikbQjdnHDg7@iGRt9?_cr~=WChP{Hw!Uc62(E zy;-K>Wm%+i<`pke?P0vt$}CVG_;|70cH;J75t2PyEu(fb8Ox{QHxHp&;{C)=&v9&9 zwCl%Ep?ohtVaru1ktMzfLKvt!*>RfwLvW$ZiqN;UIQT$iOtn4|^N$)5UcW@u19aeZNR^MB;kcaOB~b+WQbgi;2=;!mEtYktrG@JAjn?wB zv8fx%5NxpSzw#<}DB7*rwoQ#qC;;r18INDIqE8s(O+1v*i+WI*W&Iuy5`BbxI|(Q&<3)Ab3K+tmi43`!9sAaSaljsOFJiIOo+{=^ z`eJE>9ZtmblZLOS7++&5^5ZAHnW237EQ7(rY*sCgZ{6n0{D|5rC|m4`9w{W*Xi~iM zrZ05v^23<919i;P7rMxo0XO{MD%UZVepe-gnf{?}K`bKM)Xmd&N`C-ZI#KE(=)oIb zS-;7_(5Y)e5q8;{og3%o;~2-Mzfs|Z?Tv3uY3iw!a+qVs!-xwJtv8vs|5?ys9 z&!i_SxG0@Goxi;1@f~%O(0-4%`?dA@k`;#nk?|OQmap9tZ~FwsixC8jD9xhZo2*V+ zFnSmm*j1iLCaRz-BbWNN;N7s z0iwc6a)I_dgg}MI#?QWw2prK+UlS)Nr7AN_5g$f)C((7gy@}L#yn!Sn_i_7@CgYd% lTXi?MHvI~BB%#UUOBUWYzrUJ7P%kHrfIZ7Q%zx_d{{ki^6QuwE literal 85254 zcmV)CK*GNf000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IrD3jhEB002x%0RR91 z005H(00000000I}0RR91004La%zbrq6iF9vPZZZ6i$j7;!%~GTi#v-h?(Xikcm_*w zcL?qf9G1o13GRz6lHdgQe)o4P)icTN_s)6$y*`IxGPmxn>vgNTy2r9C%khJnC~t!< zS1J4pqEGnl|EGV69pKL}vKs0GS_gk70qoEC&M?$B>a*&jK6|#FxSrpu&;O?R?>_3A zf79_C-4pA7apKwMf8l!e`Cp&!dgwuo^?z@_bAKP!SZZz+ z{_M}6)hH?Wa}wn3mzE^aNdcG zLNrrQQoQHSO2e=Db4u>{ls~88&x)GTfEvY#fc|x zs;9E1(%Zjf@#Oy#kD@$8QC2!pta((ol1TUTBBQvWusrKY3`()1Q^blw8WD$~ua&f_if08EfjZXGExPlr20p zuQ*gbprliM6t}9U>Z71)+;0~2=4<#+o78}oJmX90M17+uCuJF*v5l0Mdd9o*E2Vig zmXccWtmIXas#f7D`d(x`%la1c?<@UJ%ou)X{^LqT-?b*zOVIzAVZKoR3-otVkG~(p z&;a~1eSV+BaK*z^N}q#O({MyJ@%)#{V)2Rb&Ta42kj7vy!)3IkdDqzJ$}6hg43dpL zpE7GeQMhIjpT=UM;n?9DEyCt>lV39U=kB1vA=Jf@i5hEX&LDaA+H_g^R0A{Uy%p^D zH+`Fj{uznUj0ik0KL1oo;&Y<}hU-fpeW(haZ=liG?aI5%5rmx@*ietL5g18lMTqhr zsxo#kVLt`-M-t4c4CyrX^rxfEVTA1u>;xo}4>4Vy zJ{ViZMTq+46?g$@?Hh(4+UNmg()_)e_VTXkL>?N(CuJk-z}qy@_l#eyewZ(_Fg*GJgH3*4X#?E+5P^!nAEwXoRw{`>8-)5 zV9=K|zQa5HIT0NpWuLIF=4j1YbAlM~H{-^=Goo6cvRDUl5@u~0z`dxkPL#eW{{9*u zQ~Wc@nKcm*=&Fc@c_xR>UV*wAlQUVNTPqP&OB zFTs|F(P-jtvhQ$a(!|kMT{%+q6!q(@iA`Js4Wrhci{j3;*-U(aCQeT3a+HDUH1Tu` zdN{L?m+nHJH6t0MfU7O+EH;o|wPN!em0-o^;_mb}G5 z>|4gwuoZbr_?}|cM(VL|6NJ2u`slF>W?bV;uLVni5y+b@Yu2#NqD=jju>}JU4rXLr zhD#!BoDyulCQd_JMx>|KiccQx7OVzgTN%Llx$mPzm6MZLu=HB62|#>^rdxQpDAY8L zVBkz$@#n6{`}xaBW^I%nTLTSSsUxnpcK)mdV{ln0K67uE$h~${Y{A+Q86%83SuOqd zi4F;sJLJ~H=l>wCvc?k3*X8UMi#U(4tLrE+Xv@Ud#2M1om&UHLb+4E@Zw#%jVL4Wc z#pRO6c4Q4Sj3&2NXe}e5J1C0< z8wE4%6aBoE*1BopVA1MHfo97MXDY6Vllm;<7`**Ioe6>LI0fRK&eT&A_W|+3a7E4e zgDk_HB{gxZH656!teO)?iOjx}V%r;ITi5BI@iuI)Xp~MlQ*%xH0F<8%jVJNynmA2l z!Nx%}|4FQuk~`R*3bh1j;-?{U2TV2psTSfX=JHfaS4~_4-JHXq zB{Xq2_&*Km274N`l_p*iGF?M`q16J;t7+nF@4j&4|K$821VbN(C+FQYaUMHA%&LiR zNH^bEP7`PFh_4g|or2m5|Ekks8_#OPB`^!C9bWWnEgFD@2`nd`~(}@Naz2}d24WW1SC9(7t+N4 zA&6r?NND0M16Mj-@xTpT%TcgBLCP(aq=(4*%W9r#68b2pF$$b-K<(Op>|t@>mLqoR zc@6MRG-t}M$Xhi~&7qW7m0FiE;%w*tSsY}% zvrnY2F_#l|dQJQWd6i=lg!d#qRTIw%;_Qn5n>fIRCvoIKHc5Z_;P^^{S)Rm~XyW7G zDE|gyT(Sh<{Rri%q+h zo2rJ5>7xFte)Xbwu&PI%YBuO(fwgTbB;9T{# ziHA)}vq5hsj+w`h2aJ*6T#t>ub}{&e4>A1|_TKM%KzwOApG`upsRWxvDcP6~wP?ZM zYoXfLrrBeA`dS&H$yZsM^Z)dAu0eqX3ry*)~Ftz1f){Z^XSw8fI3PCX65u2zW>zaOY2L;vV%jv|Zk zdh8$)!vg5sf72PUzKp8wf2IDs9t)?1qmh=n*pp;pgT7a1n2>D_k4 zff#!g&0}_qVK!K)iF0j!mwH?OnXp4p#ty>Ro;j7g+ru500qqXRYnwE2H*5bp^>820 z?2v^RW=C#G9~?Eoz9t^Hce!(xChjCmD+$m;1G|e(8RHm~L!TS-&^~d~rF2gHWAYkg zT7pIsH%4r9F4n|bVb}?1qL=g*jV8s($cumo;*QC8_lf3-RYsVH{94E>_5^($;f1?34&Qw% z@?J=%Cg@PjnlFvw*od^O-J#X)#?GR8>?d5J%-yjfNwzuWW-O#|)yJ@B zkd|}*en#9MBYD|!@1qsm^fp1R(AW;ze6frf2Um!7%hj^w9fj8NYy@6Oj%3lo(J=Ia zD>Q11Nq@S0vG4GJm^R9w8FFR5$W>ca9_2_+U&$wo4M5xjEoja^g|2ay)|{^tm)YK1Ki;|BPib_{Q%@EQPL*ikU3CGx(XGDlY=S#{K z(IMt?jr|F;OdX6}^}|;2>Rm}z>J?(GIapi3Oe3$w>%LCB{hW+h8%y6V1#5MnmM~~d zv(~QfcxN=e#kCop(BFp?-?3XW5mI`g`1{x@bG073B@Jt(#YA=W$azt(KzSbf4vpOs zW4o_PzTqPILN)eu8hf#=7Ve|RhBJNCW4p1nGhytddh8_-ER{bswW?eakC!XCFVonq zFg8yXOltW2Sz<|IHTD;BrbQV0nHSlc_TVhrnby__V`t(ylmodi+~M}yO`>FFf7Tj2 zm$_O>AFT55^E{6>i|}8fd8%nfzQ$85t-K6lD>ZhMti09SxlflhjRDR`p<;~NG*T=~ zGgdh2l{b&k?i`Pu9y))Fp?0J^E9ztq;<59PxJ-Nw#@+?64ugm$HJEcBguyfV=QxWI z!gq}qtzMsI*5Z)^@RnydWVnDnTIVv)0cTbOCa#GDb-bq!*3qne#mx8XMgNU`&1Tva z4?-qdTNZcH5M3S^C+p|ETC>uH3yJa{_} z_&l&X5!g}uqqofdS%LQ_jL9wJ(S}i-{@GePS#6cMS(ngAIz|}~|7WhZtdUV+)8A_B z6%a2e{Bgm0Z~56&;H;D`c&Er8UU-WdoA}BzH_aWOiHj1u%Q1{RkM3JXi?oxw%G8^W zn0>WDi%}P=0yv+)_L=y4r>nf%qldYhSYvOA2QREgV_T!%JQhWplw`HMq^M$VSqs*& zk8Bi5^IU}cc9WahN0_@V8j?u4A631$EQQdQ^_^a3QSO57#kT0 zVDa8W{-9K{-A4sptuqttFk41mbvzSHN5s>u|XoFJIPpbLnvLGsYVzs_1~lH6+c-%far!60<-)Eu$8ogWjob-n>! zSOsY#>YZzXXmR|QuoAp5$H4n> z)qmY&FCP+TMFNE;kS8ymlNSLrD&wF+Q?f za0}*~X@YnK)I%h9u(f)okBkgeU~Lif(AP;Hd1r+iTYnw%f4guWxoD;Wr=!_`b^Zj7 zGL$S}vli0hv`M9ix*id04&;1e*wN?W_ub89mMlZfVC|_-V$(`{B$xVLU%ndY$vZpS^^xh0wOEdhDNZ4IX7T4m(c@k|5 z3A|7PJ4=fv>Lm7`-bLMT|4-KZe}zSeu@y? zuS>=rp=bZEpnD&U=6uVpKISUIW?w4 zPuD_rnRLobp*=Mr^I%3J(3$Hj@bV-^|RdipWB{HwA8+c|Y1soYo! zv+Ze>T#iksz_zFQ!`FUAl~u92Z+`B4}&|t=7G_ieX<~b1}%l0m#oNEixlJ3@p7>{CP!zK@Cuk zt6ZNgB6W~IkG+n><>H_+DvzPitX`%j>9^FmM!RA!L`YiDy5kor9^_psdVUNr{WbU~ zJ}jnxjwWZvitKG2GS8YTW*H6UluL3LR>IW&^2@DZGVgB_cvq53i-nNkJy=3U zq%pIGFM(rxApJS6pk}&z{G~W~OM!pYK}59!LEsLWwJIsUnU8cBfP#?~M(@1XPo`fJ zBAZQFZXVG|b1yc(xli8wUh+hMO4!IX{3ZP#qrS^sqQ&joU70l`E(Z64srf4L$7^wE zu>xzcjZ5~HXPUrh5q?^Mhfz_)I#-xz7oYZ*`@KWu)4vax9W{D+xLH=1WNl9$x$$xr z4&ZPdz^xc&5LNKxR&v`SCo}jNH7|<(1us8vcCjV1;m5t{2*{0N z;%W+~SzCkQHjXM#U8?kx#pmCW$$Z!Mo24{(77UsI7Mrr?wfL~IoBW=1j5&z115Y(k zm_ykavo47g_jc6g7(i5AU62J_f(Cfgmki{V)LTAZtd~y2*-tc9=c1)($}d%Ry}6Q!kk~ zRde}h<1AjD^Qz`6ypYxg!N#MG&&0bXN-Y{$4^02hPxMTrEUdt{$j{lC+;C0&;F1-l zq18giEL@HXU@dXim!hem61J_9MZ}tQ3;fMJ^io9sq`;WJd7>N%*6xwnjLF$vi%z2z zcwDT^#0==M`+uKdE~CuEF?I&SzCly8W~3+^(27m6NH21+)nXh)qqWvP=P`Hr&bMiH zgSAqV{bZB0UFED9hs<;&7;7yjOg#zwjW$!r#a1vA_oE|btd@+}am+@IkvcND>~>m# zzeQWYsjyRY9chF&0IsesD>|H-#n{M9(eTxTpnDZ^A1<9j4vgoroeAuETz7{hmwWpw z;{TDE&NNCcmo`-3w^U)fEmjZ{srK{JOLv(&b%mV@3PTBC4W*jtb&sArww-dz!ZFCt zEu;QjZy8X(7lUnY!3LFD0W58lLbfTOz(h=i;AP}2w*IqI-ttg+1>QtMvLjbSKy-k7 zI(fX_*UXts7YAv8y%cI$nQeq9zGbY)5k1|Utp^_lY%l11iWMOOCKZ$+LyDWt*^`Lm zR~pwb4E%}mr$xJ$`Iz%Ebau{wNqmTmH3~!dKloWp$ugV4f0M5nLG)#FAbO+G#24l% zWuDT+9bh61Bc#f7R=h}>pRs?@#77gjs6jAx<1Q$`um5?kLvm2&L0QhB45GIQInGNs;?~g?T-}q$XwWdSH7P zIZrIGX59FdFpZ?3;fZZKGVWmW*s;Jzum?D%bP24s#8o*n2FH0e- zeeEe1oeML&>uK&5updMCsA8`~k#Ln2F&OX+Isj&=5taqN5?2o?@D!4Vr#VI}3zKMH zdvGYsnGV}pxXOa7{qVfVC#^;D1JlL0v?a_GT5Fe4*#8WVdc5?Q@ST>5Ij^O)b`Ddw z3;tMVkq|@QtGs5jmJfdJ0=6d@YT@fK5c|OuJ;7;D?96!+%{g!SbKfT0^NPP(E6z2tF%R}0 zPik>^g8RTpz#3nPh(CK1tM%CR&ib0QNCf9)bl$qMof!CSooIighPhD3_fH7UJQ$() zv{juojT4>{sfeYsHXaV@w~ChZniI2#OPjmT|7yBH{gwcQMtuav+wV ze`+Sr)@z~ocwd3R>Ie90T5=4>K3m814KDldS@g-Ohdv6RTKkW?7#3%OmD|Vkp$HB3 z3!*;;K%axrXwDBc8G@XkHZ9kYQXNy^u5;hc5x?(>32?_U8Z1bP+Y+pP=D6B8%LKG zMc+1emV?1@1tVea0rA3(M~aAwW5x27JykYu< z0^QLCW8Wi3`rc20!B#U6?+(EhgMIwvdAYx63HCifEn{(hllZf58;-u(%z0l&aAp{5 zAaP=4I5S*i_-CwGoq487Ye#jxAOK>4g8afc@orZ^`J~+>bA?{Lzk@AjP*+D0uPAZ- zP7BugJzZhbu~?UJ2V83G6V(Qr)iic-BJRKmQ)~8;Ga~=_YK*OiHu4dkRR~FNO5Zag z=zs#_OcBpS<)E;i;OyGhZcH1%-om+My6r6!-p>((E2{{l8@Otc%mVGx4xW1pEIcC2 zc}N@dcVw^=#EPS4@77}a+v(!tnl)x(;+%>1fxU}^^Tc7ka^sLLwy$x{o`~hpdqi>m zF|Wk@qVvUzAbUTs)81_zb;h6aGK&5+Zf^ZnDqz{g|WYAdNg2C9Cs=|+c z2k|(U3Im!aT*eGE|EY6dA0pEg*p>a~iaw2%&YNhRceNu_*AC5-9&+m(+mpsIG@V=b z5mhFy6Lot}G81aSD3#MjF?3@#dM185Sk~4#bKVL{^@U*Po7>c!lQsY3lZh#H~KFKBea#zBq~n))jw zE}G_o?SmY+>#&ko3MxX!wky!Fq3HCJ{pia-`O*%_gYhJ6uMy=IT`0IY+ZL9Ei8Tz{dT-B2E2J(Y;tD(~ouz zn6(-{lohjMgoqZW#hjF?5XFukv(_I)$9V9kiR1Vx&Pef<83yf#vGwuQ;-eOrD)yzlmYner~w5~(A(=g!gpGSTK9{; z5Y~-atTj6L5$xUpk0M6x;-FT|5R>X^kBvjB>4L2>r^7^W4pkiB?h!|5f3gOob{n+l z)Mf6ISY079ozkVW{JD!*K47(|5Hviu+oB-lQgFl5Oy# zdBlf(r)PJcVkTKxjr|KarwYn2wjB%=2WO~fxDsi()4*cc&}f6c>-5@LSI^&VuxzPL zIlw4WVTvdbwNCW%iZWeVZk(hx7DMjDjRWMNY{U47cq;8oRWNJ_X51F$Suwv*X|~ud zqRGzm3^A4#*qZqM7E8^xn)pn@vkn7$()1@{-QTt4+t+=}cRGlsLaCdvW{WyBziNu@2$@Dhb>}aK2(eD71^aRAOohNz3nE~bH8_D*0nFfP zjj=OOW9buU!#ajuFNCK;-S@}e4AE)+Hv20;a{RJ{D0&7~SEhA^Ti=qHR zw(bzrNPT3zsOeHQGS($Ld^G|)%3y?u588%g4$NcVd>s@Y z=ZafGYu1LXZReiHhTHR4i$pU-tz?gR$%Mx)nOd-2JET83L!=(7z?6|`!-AT5Fv%pi zjORsaQrPVgKVXs>)m>u!Vf3;`h=JQ+Iw;{XW>aH-gC6!DY*-FpO&I@sQGZ@Z9zZ@H zP2boLh-ExG+gVKK)B(`^Nif6(*DV|BI0f8QZ|!~~b< z7_m_t`+CR}T5i&(VGKg^dr}{{q-ArN%Gu8h&|}vCwiDoa%^r)&wUuDdGSlA=rmusz zPk5xr>{PiWiC%aVhTON%=&_R(=)s2yxJIUBSFrH_p8E9T1uo%FH;&R)1U{Ci{AiwME+qccT7X61@71b8;86N8Ro7$HyXnPSEzRgkqMWpRYI zf}7nX;&wr{{N^m@5u(o57fdyQUx}D&#4+K5s4%^@ z+;{PrDYVX+1RcwFIntL5kT3U+=C#32QYy<*c$&)tCMu(k=S7^Ve4Z8Ga_OVB)_BoKKIX;PbD{3pXc{D*C(`_;rgvLw zO!6BE?`T(Ho=8wffyn{XOvGR&uFKf9MIJc|XlG*Z4kB(%o8l5}N*@y=yG?PgVj0ZM zwS5=F>=#w}=K5OgYn9;0GRAjcHyY{yvrhmV}|wY`S`@|zE}ql!)v^V1v?1yY8(kC4)H#&n~FoXL1E&xwpJ z^T{R+T)c{9M@IBHD#KHE=e!^;>||gU%Cqg zbnwDJ`U|C+<2am2JMzFAOWd1S3ovYcU{4&oMZ8I=JQZK^VTv$}O;4@|Tkn@{5uGw9 zFbjo|M%vR{pO#xhSuX_!BfQtM6=|g)_=|fnue1>xY@NXfaV|B*QYGhdR06i<{AQJf z?%DqbxY!BoOUJf|Vn3@>K@`wR=ixNAl^Z0^$gLv7QZ@TyT-NzHAYK6jJu+++V{0pH zL?G|RGD9v~I{uUN81uhTA6yN@2>KiRkFoi0dTPmVjMZ+L|VFX-TiAU=` zRM-CMDKX@^l+*myn$fzP>qe_%<35e;cu?oLC|RZsKhl?u{G4N~30R}@-LiH>o)J%u zsry8WX-J+8GGK&S(1ISja?bvy{r;A*;5-tJd_3uCQT<^y`L+c;m7|Gw1o3p#IDX0I zB_Ahl$zfnCbq=r}Lv^DDM2pdD)e2fOj+c<|)5I=^cTz98?3)79u7xTkA+e)4B{w?^ z_9QOmuGf4BY~=-sDs@A|@*k=`FF-oyxrENW1{)XA=w%Cv;6wJ{kee@E1pk=1*0gH} z4WxUUkDrRy1*~by!FIuc11<@Nm#RGA zBh2(~P#B%0b2(Bhyd)AQP~bw86?yE67<(DqK?_!>n>tLvIhAgl^Yh=q#<$acHWE|lk_T-hb=_mebdB(fSsmY#=5cF40$2meQqu>StKH@v0w}y1+@ex+b-gd zSCz*dngn=?ElDytXtHpZk}E>Pom;g<+xQSZ9dV^cR3f{HgV)xGSqc6!`)Sr_F=bil zpqpUvTCDrhTxO`Ul8>12*eRhFx^Ee53{UY~)SMf~Te1iP53ex_6;)=d=LM;| z^3-7o=d*b4KNx>6~QBbSOTTb(xUUk>mzEbm%S9s1Os~|)?=@B&J%h3)D1A& zP*-VhIItUIdGVi>CMf*-DnW+gZHYk;neL&{4q43W9~#u&<6NLO(Aof%_L=DUm%sap zr=jZvPBVYf0X&tMw6KtHbRPdq)LpOEL}w`)AC&-OJgTI?8t5Ji2ez!4F&tZ zID_PXvJ++RYn9B*dPC(cKoF@k2B*?9mda71?lNeVf0psdA z?Kf4#d#zR$rwGifX`shDHQHWvrqhYb4Q`QisE9hcK};Ch&1|ou#0{?0;IzmSBqa`F zVpD_bb2s>I$y4In0#yX#gf#2?9HL|vq|?~}%a&A{cs@i)XGo`)Ev`kg&1pJ-AJ~5W zq|Y|dZA~>fxVOvvNMm!9WQ3p3fmL^QdL+_SQ%N%i$<31_v(}M_+m4*PTN~4dz#QK{ zfvbLK9u%7?N+ecVD@6=*Zixg}8Qu-xuV_#^(Z6O{#=Oi3A7t1il&vF zCq|r7ch9LfP`k11p-xt`%&WlsU?Ac1Gex%g@;fhsOL^|z>DT_eVHJwQ;*ET6h!O}w8QLjP?)-mj-9ef*-<^L zq z4&J*znlYOUT&BR74H!EQn681bU&ySoV?70~O4GaBQ@NuS4B6qKj&NDw)Jy?4_Ht&KdS^PqjvL7msqU5nqz8U{8$`z|C8F$$4i~ad4az z!2!%%apReC3z8^|9R_vx0DqoWYt334h&&bi=^(=W@45eGP2c~<{V`u{P&dhWk*CGV zC>2C_s|#!GKI(#HU_j@V4gJPC?Za`&p!{ZFVEqc0X!+Z8v8KfylO{iPy}^HocB4|V zC!%Am7W}l~4GqqSg6tr&_V~lkMDG_ZcdZ5hXhjB?~gn5&@es%C`mlbK}uAc83CB`WTCuHHUm!V+$9e zK17*w%OB8Max66356>#2W+^c0Hx94H1aZ6ocCD#d<(n`C)=AM2M4YzZs88x%S!JUs z3T$s$GW#6P&YRig?P3Z{-IZYdAy_GleQ`{7IW|avZBHBrjr<1olQqmL-;P$`@)V&Q z2FXzOAA+xGwa5t#%?CQ&zee3(64GJmwcil!+buqA1t;VoCns(Bc7*T zzy`III-anNVz7`WSUU^o8%8pW;0d-}I(KSi_R`MgL;Vz=2ZtXMB|iqrB=hQ+r!=_I zS7H)3%N;evaZ&r2+6hjg569htE%~(8T<0%%1tM$hJZ4RdeS?f;Bt&k}?tmpS4X5VN z-H>TIq^sOBL^MjQDr{Opt2wj+G|~;6Yi!&ABX3Y;4bhLe{GQPQ`=VN4W0}L*;SA`W zL!&ct-K7(q6LlO^B?%q*K>TIlR>IXgOyJ#6QpdMH!fy)r%hdg>*yW?-MjqrS`3HiP z#qgRq?w*g;#3y1{)~bFDLej#2nI&{i?So+@RD4JH$kf#avDT_+&byO@MnXcjr#amJ zwu#d_Olj$j)6Dw*cR_kNt4YM&HB$mu^|y8xM6JKGF>&O7cVY5@!oVgD&c|rZS)(a{ ztvLsAaL&Y20`d~r4?mt4!xO5BihLxPiQ~?mb(e;+Er#$XO{%%%6__sKLyB+NWr8^A zP~ktHl*Ja~v76UVyws?B)~nk}AgqKMkHLHt^CtNDV+@x?cB4??ql+&(A9 zu4*iCEFhaUC=Q!gxq!Xgxkb!xs!EHiboiAG%C8`+NnLE>nWvsJX?I6O=wnQhW8lao z7x>D~w}NHYwzo}(&P;d}i!mF-ujGCue%jwn;t5YYAIkOGBry0Fg0NqW<0kc;4$f@4J5`ulyg0Xbmq*xIt)(@!7x2O|o!RY-C^xzqq3r&xUe`YG; zgQ;X-!JYt<9zk=z2pC@=|MNUn3S5x|Vqd+99AjT4(>lT_QQ3ZuyU29ZKwUi7W`aNJ zyU0@yZ!>diwOFtv_Y6zA=Jz6#EsdTh%3F5?p9?mTIb=>92G9C*CnETqT&z#_w->3X~nUn|w-l|>pFn;khL zbbbZRrP}Aj?B>cHiV;nA)uvmwj?M03Y>%`JsH%)rz zBkpx!&1f$e7PwyhT^xkQf z(MqMW<-vwG6d#i5xd;re%}31eBvc%wwmP|4{F7U4pkZ;y&eR-tD;uHlWKC+;mLi|8 zLZewLJnf3vS+lkU1vouLN7L}U6teip9`a_xa^lKpleCT~1 z#9AD!ZFc&|j%i(-k>N{REA~WQW4nC5=p#F{P++WrI4gFg5H#{)O3)^8B^>9zXAwkd zvFNoI!A5cKMI!qfgNfUv#Y=m7UwW6`k@Sn&KqDJi?8_ z2eQr`jMXx1ptv$C}L_a2wqk1I`m28YBv?3>R%vE-;7d z<82#AWMcq0uU^he_N}Hi=b>pvr{}BbAt> z;^&xC`#5LJp4y1iZBdGFTkOwHQ=AF4#pnQl%V>`P{;S+*@wv@Z(cRq7FG=B9kY4RT zuj!?+EaPuq`Ke4Wj}42lL-j(7_huQ<&wS<7-xV0lFz3gh?r#|TRZc(owzmRB^lx;yRB8=_}ZYji){P>Y|YwlMdRll!J-m`51P~Kw_L{ky!qt9Ung_AAEpgT z1-NBhLSa&_|0&UWvO48CUmKK;su+%Bpw+imkPON+LpEPD&;5v31A?e`=4@CWC2~wt zm4_{uAAIdTbW^o$lo;1Tfe(_sS?4ps^g<*qZSQ~Xui)b^?prxUA$*B(+r_XnzN}Pu zF0m7H9Yc0#?7LkocdC?&(^H)8X~)=Qe8ohnH#NEje00)Nwd#5Xoo^ye9q*b>5s6!$ z5Y>yv&pi&T+gCjhPqH;o_Kln_8&vOV_R$e)cQL{qJrc`(RIRv5pGU3>Z`pt*Q<5C= zK<`;{)Y`6QJB_^_QBoVt?fs|3ks_s7u=W~zD@4u>Y|UEJunlIgw%G6Bir#}r*`c_< zT(US$c9?)LVE!sq@t1{HDX^W_NPDynMW28`f4Opo0_zFb=m(Q5r^d?L-A~p?rYwfd zT8?kG+}dJThtlk>ioxN?=OfTG`4S?2E~eI@IBC!J4n{s7hDMtt+4eimRW@%n^?}O< zh{MT`i#t7bn#p2;Q<6a))8CyF&jVXZ9A_M&Ek?go;xcZd^OJOEMfYGSlZn~pNLo5@ z>S2|vfnO18Yta-Sjv{cGh#^s8L0tuIOKRbI ztqVbvQ);!Gy}6zpnX|(Y>SrW?S}OI}F2?mynF%^)*;0UuQa;pNO1MM(8k5%+QGX^* z>ZL73V#Tf<7Pf!nbZSQ?H2QrNFi=TM6tm_Z6a5p-H#g`gX#}-oLinfI79qm#*Oup3 zN4ekjL$@pFw<N_C zg2ecpn?>e9s#YAV??LYc=hewMERDTx+5~eHVaKU7D{Xlqyxyu4Nsx=PB0V?kGEP$C zm{a+w*fm_;tATrSd{em_Z2StjwO|`&A2;u6Z@C5R7MNae$H+C~A4Ezk@rb5VTArodbNy*ckn z{!Aq8*NRurt+Xo=>6mNOqf?^Oy8KN1PdWw0_Vz=jzXtZTL1&!(Vp8R-F1r6jhb+;g z`a7!}Icl_=uxXNchOz_u`5Y3=u?Z##Y;Zxeo2givrFSLAA_As?QeWE8`1IZWp6-&scREFS$%rVmp#qa|{Itffv@Ky6@#sBdT`H;fJJ|Ou7SXU)D*ERb|HVsw z{-Ch$YqbQB2#x~CEt>OyE-7;7(`qRLYa~Fz-ts+2cDk{R)neDFK2Y}uEL(Dn&M!yb z+09@(anV_EdK~~_tZVM<^v*kl4TgwYTA8ESK%6^%|EYj{lVT5J1I$YY2Z+z5#>zM? zzr6a7n>edIDdHyynl-;>m%qPK#KAAflDpD}hKNw3ZIJvamm&_dLzf^MmWos2A_=;C`sK|RFRN(!J z>9i|`LFZT5UHx8*(~WD&Q42?y{j@WEhPqu~e`5Ivak^=F<{VmM*60|tW&8^8r{)o& zQwIg6GEK4ekw&pbqNmQOMZa$5hd9{J@g5+f9e4}<`A@MXrz*g0#s@>>@n~91*&!;t zNx@@7$t+F|xEa0amrDGEe~LO86!s9Ea&N-T$^%=gWk~2W=P)fdli()*;?^R;*M;JC z{>f(Ae}gl8IV{?ip3qSqdIdX2O_^BLf=Mu z?mTA(YcM@oU^wF8uco_RiGE()=bAFh*ShOH?+xw_k=bl5O;K)5e zyxs3RMAO7^s(TC&bKTe>HWqiU4ftDnk1{<7343?izg^t9sY*%mE>)P&@iEIFP7HgQ zT4nw+=K-yjQ5d!(HCE0K<3-ERHS}vccg&X>tOusw${GDa{E}vf{5!!hbBxwn6O6qL zV`n0JfBoJ?_U<>rv<(_b`f+fU>%8iTXtCpW_Ecz^>Gs7ZdK8s@glyy!QFOfmf7i*Q z3Rr82Mze-zG*jykbhEbX&b8?+eK^W=jICeQ z{m$>9iMf%L(GoiMMN_|jN_o6>hzu$eYSOQzsH+-?r^M$SbT)Ql&yswA#r`3^JMaX$ z&u17{mR}IrnyB#asi#o3m6I>(WYfgIhK#AV+wG$u=#znXUhgy)yc- zh(APaYAhzTFl!nA<>%P?`%~LH93oO$rbBEo?+Pk9@1z;3Ip<@$wCQ3v4(Ifj1-3Vn zd+v@homy+@5S%+9Sp9?-MENo*JAiha9Aqb_?b?Sww2oPe=?Ykg)Y+ z(Qo)Hv$qDv!`LsmAak4$Q^!}6?49G2r!mv-cXqU6TRXPx9ox2T+qP}nwr$(iZ)}~p z@8{I{3(m`@y7DreR4P|po#fk}?r5N4ID;;{yElj}Ll>QFzg3L1S4{A3YsrXVdQ$Vu z4cR#Zs_UG~m#a~)KSZ~Ae(S*c(Qi$iov#_nwqeZId^$G#8p9chgA3M#$EzvlrpbQZ zqZ;gmw=4?YDE-puV{ulx2caAK;NkD#_n1J9G zNyTVn+`FW^mRA$9)`lP3D9sObEKj7!b#T|xt-ZDWa*Nwf;E;C>ug7^`Cpq<`6+P`- z-e_7X#9y+~Z>JU+Ng{V+gXLW(TqluNf(s|0#^Uw?H&I`8ttnMg_fv{qPFDl7;Ze1! z5mi(4+e$s1hgN%6tMAg+HU=HGgcmtUZ)%okZd1GX&8p#FsrvNfZP%Tg-gC9dXCeqA zqTH$+Q!p{qR8pSVu++~ruOU0Cv0nq9*15Dwlrq20vp>o4D}q^~gI}>E%KRqWEfPsZ zK+>VeuS#t|U^%eTGb-9_f!4BL{{%cFw3pRfp@3nC6-s$F#B|TQiW}>1x6bJc0A%}g z2qd_xDaNVP3*Zf9|Hx}f!2=ijIs!6LxlK4(&C$)LtR=u89Dd(T;T!RNofwf8I&D)5 zbuH$?maE2%WK{9A{i$fBH8??P%97_of#L4-TL#rNJJi6Ef@wb{lh^Rw3}4@y6=lPm zigkyHN%9pK4d`#lqzeUR|5}gB?tII?UpT zt}bV$$>q-xf_mo}SdzDn!yvB5RY{(k;JJPo3v>po)n8+hVje5pKa(Lw4&~< zQTXYeat}I!ny1X4ooke2gj}T^WIZ*5#!fhsKGY-+r5C*(HtjL(IyNBekaoGqiu7*G zWvN`4WRyzf*;1TbVlI>X=(BFry%2#57?3jbD(Q3n`+;VuHhsRtB|Ir3mQ5c=2=qgcqMu^(nJGICxD z@|SC8us_`N3SL84P9+~UKs;tFK2#mY<+P`h1Mx3ltqTu5r z!%Au1)Ykj3BT7g6+zr3dbwPK6^7z`+A?tz(A9Jbm@1veCtq|S==vIPvkuM3n_Hor` z>2t%;Tqi*De7t>F0+(z~%Gh;frg7$jkqkLOEdgglC{HdP$r&T7cBGfcXTY}tOepll zK(W8M1qWQ$83y?Mz1n>&n!0^VA;~1QjoDd5e?1QLGNtxU4Y;({c;= z-J^x$(8T=^eDiS5p3&k#L5*f)RERyu%^f%3>ID{jOJlZ@v=tL2Ko~v`0CY&w|F430 zAWM&=OIt(h1p!DzUmQ$W`{%mny-ocAdBtF13w6Mre@J5n84;$0B5ULL*h;gzW)EUm zR}u#c3XGe}gq^DoL}^#dmNC<$o~y69?-#Qx>ll(`n1miKVhKr%TjRw@5V6rR-HPBM^VH6Wv}8SyV||*lR~mJSX)U*bEt4 z)&Ya}bDuCG5oZ!`qm>hd1J2NymM}O;KI|lq+R)^Ty9+s6N2q|-kc%Z_OE@lh zDfvj(5!|o9Y_UTSoGN0OFjQ-bK4LByD%ovr6!(vF0sT&KM5OiNMS(XWA?1K*3P^Az z9Bw~bcOz{W$nLkOtN+zq)>fYov}(4lNBoDdV`LuuB+?I;LRTyomB%*Eq%Y;!JoP7f z18vh32g*rZ@#r+=6is3-ooTDg3rS6qSyw~wXe($^pO)2^we3pjaI$x3NQ)-m{p0)# z!g$#CabL>sZ}*11#E#YL@2Es!e~3|gJ|c=zNF;YJUy-YSlN~t)<%?AfjN&S7j)m(- z@aTG5+$pN_1cJMfr}PBVkLrY@UOJeIW)2BWvj*>8=Ju2mPb4 zZ~>DVrcZcmyjDL{L4)I85Y{Mo&Pq6(o+-6{ib_0M;UVkk&LyC4{*=?{=^`%tV;?@P|0jTC=?F z2t9$ZhGc25;L%K8g8(ssw5ebK2lPaCH=;Udb(vkLH3Evsh1$&hgzUPv)oQ=7`tZe^ zBF9{JIPA+_DUa7+CSax&$Ru0BGBxL1Y>g3L-u~14t>WQyXoO{2XG4zz1d>9P*+tG z$R1JKyeDR;(8lnhjkZVW2(br=)Kwj<8qk8A#$p&Tw!2QQxO@OkQd%x$w|}XVBMDe# zwB!CwR2j(_&miW67A`!Xl+uzbGg+`?b3>Q<58-LZ!p*LQb{9)-%9Shx%o#@`H|<>~ zY9>y*S=+|%cNFF)snVo@poTb->6+h{5GE9vl>w&NhM}ffx{YC4P}AXUx|LwIA&9)w zVeu!4x{dFL^hip4xn|R7xR6e;E9wSmZ@pSrQ)VRo95nC)|C+~7~;uF#?Hgv(kB*rmNfx+3D^JDjQRg$w` zXUE8o$pPC-iC)*!E;4lmeQxCO8=~2a;+JLHSy>Px8EOFMLkO^}L}D>q;Rp+*`?2K& zVTY(mk~G-n7g2tR9nk%0n1H&m&G8u#e!!dHW_2wiG>r3gDzbp7Oz<=%RSu!1(Bgfs zN8@x1|5luxQ*=n|{$)$+r-?T_powSMj$wgPuUT!N)h^w(D5g8Ff{mW z(FMQIOsY%RCP_rw3RvIYYK58YE6S`Yr*pF)w@5K)vmNcm@p|z>X1I*DrlDW;rS^px zlM9_kN%C4o#jZ$GYKiWxnHqn1)q`(X@p|C5c1quO{u!sY)xuVRsbJGz2C3Rf`?*+{ zv`fD=Hv0uSlW^w)X5NjE?;nhl{2}wM8C=oG{Kwa&JS673E(_5)5Rb83BSn_|^K58{F zHmDXm8@ec97;_=ssYCThD$RdmQi5g!i<3vAKiB$)BCgMuJD&^+*oSE(yN#D7djvh( z3w!CtzEeMUid0SK_qD4=Pze~PgcDeol;!M$#$i=2>7QfA`mlaQ`>=$OkS}dyH3~s* zCercrmRro&AP~NX&P z9BK*kp7Cdu*4$*MAX!!%A!8Hcag8HMPS7=dbps`21{iaHc9uTfOO+ye|6|QY zOA~v26)+uyYM=8@d$zb4C@HHW$v5%Q9A*_wF_{FhV;t>r_rVn16&Q8piJgYkbq}sE zs_YL8S(>qJ!<}Z)ac9WqA=Pvd@3f>iIwpadl;+5J7E~XD25au*rtBQ3utUhyx`vkK zQ_owtc(}v-ZqT3Dj?Zj8jT$wN*My6c=_hip|JSAb&%<6TRFW4P$#TWQm1dbI=F*t{ z?_GW?7h`PL0jOUl4r-hZx#&Sz|#?~pM6Ar3@v|HHk* z1fz~SntM2TD2+=braSbh$@!R}p;SULw&Pw@jR;3|@Iht^4M0%Z^Mj^5-We12YG0L1 zILWibc!k198vjM++KI7r0$RSXGBebA%n%;%iAA34m87d2L|cvcDzM)eWrR-46bdzK z#f`|g?wa%*cmds|{6)W}+->FW13SItSCM`3Gnx1GLiK}8;zqs}-PJ5zUAgAueX|VE z-!-Zj!kG@9D&Sk^@Im#zc_Y(y=Z)-&F;m!{`C^-xs-q&X9JA?o z+F>H%Q3|2QnmE+k+=`sv|BmMggnzx+ET^duxL|=!moDvtS=fkmTz5Le<8FXUmgEh` zna{w-bzcf2WPa6}@seWviMr$TqL>avykB09S7TXp$=SFM`hDZ=TyeNyuzyxBZ+Tta zxHK{Ch%wwAEQ~Z#`>@+gVWA#i z{rjaLx^6IKOTtu5ii!0Di;ig^_^utyQ5}rekX)YjZc# z!|U*le(H5e?x`+^G4_mwq+INFCZgIwN!VK4z~L7f$b#PuL>AKq*wVo<}KQN`( zvO4AdxH#0Z_c*h#rcG&bxLVV)d@g*{ujXUVt7YbC91hM-LHu;QRXlS?)SQ(nWRLko zW{303UE{0e*@gYuBJa!d=4n{#T4QReFZgNL$#n`g@@H~S=WMon!KB$6?yq`;wtzQe zP4jH@Rz<%CGn-e|+Y$?l8-L2_^`865TLLdT=B|S#GVI<8J=yTI)euqf)A@XS=yfc6 z5AByD>Sh=3!BzMDK^oX~xSUhZTpP~Zai>LMYV@PxMnnwAps>ick<>np4_Y&bD3hI> zaMBLN^EnEY9uXyM!bZtw#Rv4lUPoM+C~nlDK7_zeVavG6)sd2f;U;(1!P2HlKws>i^yXORmibuEAU=B$Sg}3`5QV(G))8`-F{Ff z6&9SKlQ7FKLXa*RyTDPJy3e;eif0%b69aTe_W0cc%YM8*Zw!WQ79Hu7w4|n(;*9&t z^Zjq!YF?p@j3d z=+TlK_!82|nIOH+L7 z?QD{#q&1tb%7D;5qunWobDih8# zDMh=QU=d-6IftZP16D`PnS(c^%B&4rX{yaK$ohx6k4^^F4d%mC*KJwyB-sP7xM}L5 z6EwP+(=3g{Rb9(c zx>gjgT6tX%8Oy8EVMNFMhdl@q5vA44#?wAcO4MeUVZXm$C^f3O8LnRWRX?Fx0$WtE zGiwDsyRu5LX%?@^n+Ws`T=JcI0n8FLU(F zy?sRfPU7;Z8ymB;T;wOlSLsOedX^K|NIfeOTs6bLO80i{NlcqG2mK$YL(CIYd;+gj z?2=BU3!bQF(5`3j@ptWWvYaxu)`l$}`sUUa+`JYz3;=+s=}&5Eo6$$C>I=WA6=31} z7orb`pFj3*ACCW1N6nU^(@a`h&gM+VwvCrh`AT{^PnXB_y3>+UN8!aom>Na-o7eLaPPVuhKHNN46S?6=+zL1{kwt0G>q`YC6qBqPe2 z4Y>XxUXtGL!$l5HSLn&jLZf0w?}jwE>Z9Hnx$2n}o;4WFeAQX7l7U7I{q>nADKVCdo*x zI?lfGlp-m7XKGT>HfZfgPx3o$#o2U&QeJo`AL{-Q!=rcexr6b{O&mCZJxz=YQE-}X zQ?AEEj;gOq6L`m~|AY4O#w~Iv4ZMJY9?FWuY3yCxP!}hFlb9RBcOrk zuWq`9Jw|P3%;i%AcWn%tPVgMk-y0}bUpotlk$q~5Hibmy)<+% z;#G4Wp3)me(AizDP3!QyIG_CmAY>T9O|(ZEy2pINR-Z!IgRFm!x) zf?lrbYz&7UaMI;nDM~8=ckedzScd58*^LQw%dllQfq9X}80S-tjhyHALbJD!UFGsSopyA`1=w?yh@Mv5UjvIRo zO0UC}qpJ0GsM9w)Vl^_|fJ8%1E3!6LRrX%^w8q zI;>g*<$3}^*P-wDXU?;AoYkTnJZKxuHsKx?2_0<+7Mc#5GyKozV=om5M|@B*T9?{x)O58xFbpBlL#%kS-qFDsH3#N-5ws+I8ppX4o=> zmWmlF*aY0&0k-Nas_#1ERjCwJfg>L}jY`{b7Cj}~y(vpcb7?F0ckw3x9PK)PV*5J( zqi^vK+Tm}|WFIrI7-Ad(7pbOr!9U`jF)f?r7(~J`k34J~rQ~-g;jYf2wvMuO#CM%b zq6yB=BJs~gY6c&{38$?i7wQ^n28?l68iQIjs%@xl+mQEgf1q9O|FLn9(LXLeT;1-T z<_^YTsgw%Qe4$mK3;6Uo~hR^wy0BJ$jue?!eE^*T$r zHVJG_+)YwO8=CH+P^vortxke1)`v8!N95S?>QhwYN@)qQ`FeTOOy(j!=yv>L9e14d zi|ZSzZ;J9O#XdwN>giW7slDr!QJCZ%sJI2a;M-{)awTdu)J)oiyW zCCj+}o5!lVXwAyIuYcK`gZG#!`=#hP4G=XiI+5QJ-=DPW#Z1?#O8-}a6lP& z#2#Aj!pqN`iRIv$&*46?k6VAPNZ~g_kxOam=R4uCnUq9OzzL^}O1XZM0YX|?t2Bpd6B`W$5? zKf$dk&1|7QQ-llot1o<6ZG^zz;z7FV&Uxqkyn87ZQ&A;DXyU`;uy~2fUT|;YuWyg5 z{uNzAt~u}Qb|#$!#8G$AQgNZwP4rH&_}}{Io%b}(`s}6Ob^ghWM*KmVx)5dp_rM;! zqi_0D`0w@ka)(L=MwFGqO+`iPf+TTjN-C{CU{fQ?D)ljlk`mY$ggfpHmLnKNDrzKU z;F;Y}Z$oyGR1jfs^!{K#ztgA}x-pY4sO;jL&UH2a@opd(Lu;-~R`T?;DV6H@Z!VPL z)Vgx>uVd*NcqUA7IJnb)PmVAt*>*#S&3#Z#RW8)47dS&7;Aum;|4E8@+Hw&)s&wm2 z$fh(R^jhed0?LbSg{Ka?8Aydhpp)6$7p}fo>}eqIDhk$i0iUV)St!8f+$0BsagPr| z-|#-sVVf6db`8K|hw8-y>cVZ<&KnbjbA)2@9+!aXox1#6y>d)~bVFCwqEP1c@i?Tzw}trqXc^-~Sow?CUYY~P`3&Bnd; zQ+i*X_81f}nKU5J1u<<#)^FQDNwxNo9n~wjBSj4-ock2^7~?1sGa)GH8I)fgGV4XM z#jIpnZ(A@^K_1)nNR|RtWDiYkal}_@2m~!cehTRZKU;a%;}ai;DORD`6!O zXuX;T7t=-0`?xvgx5GEYU1rKxeLfaOR43d*c3*2m)`@|J>0a#0)7L*%hRB=St*(z* z$@u07C708bFUxof=q-zK8&01sjbn88BG~H0I79tgMuzI&XpqT*sF6dre9mgM$~z)s zQrBaVvO6>J8X*&s4dbl5=Q9LQ$*9>2tg-`1G2_!Ci&mRGjo6y$%4p6DATa9a$ z?Y(Mb)x<7DcH5bq>UV=wJ0(yy+3bXrizq#8_YG@$xdk{1Kj86S@4HsSWawT>!?&JD zuE;1;|4=Jc<4W6Rf+*3wPZw8qQu-k;7^XOE3-jL@GcsK$eb?V()E+$I)n1QeUbrK2 zvoIIVLRw39x{sFxJFVPN0NBdu1+HoHvuf%UqXExs1< z;iiI(ZR6ys%#M05h{e=cb64H;4htE_*te**)VPs0Fk{xtKHpi)Q*37?p9s@(2-Q*o zQCe?Lerg99G@YH^QJM!MMVx!7K z@Pg63Yp}CQdK{exT&aEA{Hh>a86U+goz|eT(p33zzh#xF^h=fU=GOF!)OeYzYPG3E z(b`+vK89lZczp)#J}&LN1Xp?N_IguCiWfHAfHJCPd7w~vUax@4(t8RWcG0@`BV!L= zIdXGaAk4YZ84sjj+x??+LOTK4Pw+DB%G~#rq&KJR zrfH!d-0NFz-*6fXxlX9bbX{WI+j6c`S*=WT?fF^0<;Yk+W9?G$?`dAugncA10N}Zs zA+ZlxY-^I;gjq`_^J?Eh>_zUshrUFs!Lw5(o;{7E@LpGIx>vK|!wcdDM-$fEQ>~G~ z;oim=Ptc{qyJ`P9>vR;~zMN+TyHQ~wCGEE?+aZs0p0eBSp@mhtf(mP>alF`9_;N!VmHW?Y zu_Z21%-bQVh#8-)!?Sh4dM+MBDd<~{G@*=<}XsPNR<^&u#{4RoQI z1O65VA9EQ4a?V}5Y2tI&I5V8sHxRvR3_aFDNd_E{#$3DrY4`S=Q+O4qlO=$g52l}w zH2C1^J=5y-F_e0f|C>k%oPP{8(j9V?CfU28ui2x&75mY#1D%$&t9OH)hadtz^En2w zR=+aaKW`!^@VgsA;yu}b#b_7=X& zRF6)}VP{Wrz;2T)*Pn0utqu!shbZsA54W|W*KN-@_bS(4W4J^ib%mPyY291JMzxe zfnkeoeegF{NeLfl-9qRbZl(j5+Y+`uw@P*S0(OlWWKz@O+3{1-TLRYg6io$kpROJb zj?0B%k86(5+y2yR1@+IQI_+Su)+Hrb?c#pjnZcu&xWLqwHe)z86`ZP`q+2)^AGC_>mc;VJ7Gqr5Two!)Y-26@ zvNP*u=FFm3V8M9OtRrH0dZbDawD!m3{PTUdoDmII(Dv@WOlYgbZ(nSxY@wTE_JKu^ zMjU6>LF#1&zVsw?tzn#(Fg^QQjwf_<{>6V#=tF}zPHUw&SyeZiI&I2aVK1Gxo2Z_$ zn-Wn2YviFenJ5R4gG$3;SzPJ4;`~}EUV=@qVV^#}z9d(X!79=az7X+|!-{j9L&U8U zZhu{5ysM#j)13zB96;r=+B=;^Hlh%i>fKhn%XWL@6wy=!lQOr=$q#tgoJNiJ=%QK` zSZ_F}wLZSHTuxR_sB~qlc}Wo@vXAKqtK?;Yu6^st2Jm9Ujh30=lRGe*{DoBX?_O9a z`_N)MAQ9ojsynXt45Hx762cWbgqkg8g=>`{SRE0HIm9kJ%K*<4mQ>8xY*lDC z^WT(7ywEfzvKcpOQf^GMPJ-9^C?duI5c~!3!P*7m&}X1JKrV|rGn2!^PY{Iogd4U< z^W+$8sW*qRwtx$T7ETZe6=4+RI*EDok?+|aQa@m`%< z7L1=|DwqxWqZBaOjwQr3CY-CA>|-oXWk}@|QZc)#7L2#-r`aBfK{>3y+7NENLr&y9 zX6Io58*kHuu9`)tCs5e?_HbZk8zCFUbA-f|rdrRf0Ret=-G9gu zy%J{MsMk$}qX?4jxyRz@x>FH5AU>l-BIoiT9lp3DuJ=%kgg&1G$ds49qR}f5GrdT6 z#dyJgo(?EtL$@KW&__teE1KO<6xWSTXr`VqsQdUT_g@WMyFtSuPta2<<8HYSxN3q0VI`9_ zCo`j^8=2__%(d@D@xwYneYNs- z_28<7G{`U5EpVTS;I=Sikvh%;EIUA3s{Au=^o2|5CKXBixiz>7S3BnM`q&Vc$xg zt-H)hM+-OFOo}<&tN5Sn5EfeK@Mm~ylP{j8pH;p#7c>4EsVMN*Ob~<>gWr*fG0iMy z#2cn1NQ-mNY$JOox;P9B1oRd%N1pK46B_h#%$u|?Wo>h&kP&FCFY{XRm>(#bs#B25 zxYNXGl>q5T$oGz?SXVP!f0*)iH7&B+$7_v5Bnw2RtB5DnQxHe(PlsvBCg@CA;&3n} zrKAzMsfi8?kWNXGWQkeIPu|6Qy|b*CB|d2KDo zT=lcFxwG`Yn&f<28q=gqVeU8vcZ_+E-AM-&>b!{@cdAgcnIfuXx`&HMv!P&eT<5s~ z3JI%;v^=W84DrMb8^pg^<{;VQ=kYZ1S+KN|gzWb^){IvLOmqP1VG^8%9pGbmUJ{en z6(NCet2vPWzLk}A(rb1!OmwU<*sbk`;!g}dsvNXNQaL_u+ofRdpRLkd+gapT2%eLX z-SSo8>=%zaJH~{Oqr^=egHGnz+yl1j5xNTOu@rXOE@AdfrT{7ji=DEa5r(6E%*U4! zjp_~F>AW@Az99tnW3?#Gm!)o&*5nsomwMKxWpEt@x3=8c0UF0PFgV5HMk{s&*i$ex zjdp{~MJFz?S6nqGs?m8Z!0fe!{!9P|z~v4-|lCRmwk<1$gDYbpz$Gel|I zy)<5sjM;cR*2Qp%`#U$5_ygL|t5GqBXAL=1j1XD5ZNBS49ULRpu8!WDcF)m$xYDh19WF@|r=+&WY>}-IrixwSVk8!I9Se^o~;%PZ`!* zhIlrd-xlp03|?nre`XVHmJ?v2&-?96;{+W{uv>r?yI`E;{>Hwq)G4vlt3Bdti^_Jf zQz&wQA|b|1Dm6%bnQ*| zJ28GEg>JtW1XQS`j7s(jlueDQW-XXtNN((VsWj?EPK!d-#KhD4ZGCQTf%ZeG za-3vU<+B5c5KDje?PVl;eM-aTde}J5AhVkFtY3HHe&Q41%?DOk;lLch&uQ1jgMFW@ zv@TK9J!eWkE1i2wT*I;|rx>=_a9 z;`VhPnrVG0b-HYw&<*Z<=Y*4zsSJlql$+rCOqw7CxWKJr6%W7QHey;;`7Md6 z6jspfOu0Q)L5o!&CKF1oN%1gu!wk@g1gEO?5zF!Mx4$-^+1x?Jd$k>3zwP>&+mIzY zDJO%ESIt^wL&I8Wr&=}HW4wW_?RxA@JA_Y!iKxliunsme%i4;nYeA+5?i$;PzT7pW z&^4vxc+{A>>dDIcVJ1<3#dQG^UwLbDy2V^a_+eA$we~e)FL3iRP(c`}_y4HPKvcwIn6)|G9l z+VQz+!(A-oSKr-h3+1#$6>CR~r0yQ4hsYVHht4ji#|1(KWp1~Ild6Sx$GjSS-pX#^ z)Rg2tN9a<)v?hx7P-=Z|J{(%Sp>mJCF9q0bjar*|JY= z&x2^A?F^~oMLQtpEA+Nyu<9$}W*NF}vMui@x9S?kwSp<{C&w>h4Ac9%*6*KUMl#y> zA1MSM&zi|4>XmwNnz`TUu>Y}kjMM4<1xO~G|JcLsKe)WEs(&IvI4E77vkKtcPB%Av z3;#8j`1XW{#@|xl|1s`_MMg0j%2_!%5g}TfitLnjw0W zoZovdM+KBO#%7&0f?}UI{>ve7?4elfffC`PoCtip@(BHhJ>o!cOU}jAhq(CO z(y-;dr5&}=lo<5G&ig%qaq{JM!3IqPnhiVIR;Q?o@WlH9sG>(W=x#yz@0hXW#dt=o zs#V$0h{Mgc_WcS(dQEBy-O}6NV-JTrs&)*no1vhre=_I}Rspxm7f85s7V@<@u1%1! z2G?@cX|k36$+HawoaXnzl?S}&T5=9AI zU$7y42yaEQ;I{{eWJQCC{7>wiLa;4Nwh2XdR`lm#j=Kta2#DfoDhKBSE+0%D|2KB@q z?r<)hr3!E28F3P_7P zoaEj(UoyRX9I;dPBdXj?z>&zjuSV0aeJ z(BhZ+cqijdunp{*E+u$8zD98n?cMdwE-R7OZ-pvWdah9ue9#;`b%fX+e#j?KQ!yrF zI0rs_*7z)m5>>KhM6JMnw=yL_fk+|}Bz?YYFhU~@-yRH#G=2^AF){UtKp??EJq`}o z54aegp^)-#X%?oF?JFFS8?QXh)f$KLB~_9}Y{&3;Od@h_#IP&}{TF7uX5_m?nGj$- zM?jA^whC4UED?)znsl1b7K=#uU)!zPv;+a)Q!|ymy@EsS6-ey$+Y^@DWlU%FGR&&G zc-WTL6C1seH?Ce>RgtX$tdQXW<39#tC1C`Y5p@g7yS_P8>yO_{j8F_=I+T?SvA_}I z1&u*@O%4xe`IZ}{{+ms_{A@t1ek|S1r2FBjNvImgU{+7Ffql}6t83dm@K|qfh{!tF zRTs5N@Ld9Bt#Cpyo4`US^+W0lXSjIQjX8mx$Y|Qoh`k9oXTr~`No2Q9*DsQV=WL>~ z5e{6IAqi`aCG_7JCj0A|eE$3~4wu3Gs^XXY4mn{U?_go_4}SL?p%qGgj$y|-;p+nX zbs-OPbdde8*rL-;pYoe&$TF3ARIQ|UKm*fceAiJig9|!fQ2P~AkIYEcvVR#O*Ao^% zq`9+b+xLN^@&4w^nqi%Vlt6eoTXn0(z_H!o=ee4_kQlj99!Fu1b>Y%rl8?#g5OCCG z9NGykKC^AIMcxEydZBFiAUkoyAi~(X5oq z=09VS!-}&i_im%b3OE|iiq@SezzRDp@&WZ?^(<=3-A=Us=5f$2%dG_->*MAes=jP$ z>%LhdllJNMqx+so&LXg=U-zHRA_yRYHH_N6MphzOK|Ni^5YVr=IyhHT_ozALuB(1g z*v{|+)QRi~Gvz;&{8VD%4)Taip%!w?=tI^DN%j@LeXLGRUthswwO-_-me*j7bj>M2 zorA2Z1N4D-cE(gN#ztP?B9^M@MdnKP*?s*rt-~4QiDh=seF`1YoVa8FxI}x1)q~d; zYZD~Lqo!04+?7KB40})sXAP%S{mKpDT=JK!0+(j+hW|KPM;ynu6kKw?a*{Y41IR&!BRGl73G#SVK6^H4l^)@u$CmnfFQoeB=~kE97FJ0a`$Y zEB9-_S7_Dtz?a~^`kwiaRhz_TOE=75=YT-)9+z>DVp3L+NPXd=yB+mgg0V1~+4ChG zlddB9S?=Y?vmkR&fpM91b5$b}^hRrS&lU*gM!@`s&vWX1E&tGQ`H%tM!?U-ldoCa8 zgBf3AAlhkb`3}RQM}F>h{b^fYxmq`swZ@VXo6>&R>q`ABtWo7xNe!vk)q`cq7XWPz zdpW4Et1t|(4kpjIMmz#$-W0bJa&iD5GSjM{onEYt*z5{R<<5Q0DciB?F}ED#uE7Y& z<@#w~n*aS&exXR4x>{qo z9CV_l`Ct#@+JA6E3{MIKC!Ker5|hB0z_pDw>DvB|$Jf#c*R}`_&lv?la|1^17WNmj zixDhngUaR0)N2Iy7(wh5e!Q$18Xpo!v*aSr4(fdSJ&>e_Clt0=5JZ5*lw}~;7(*~N zCr7jPlTv}p_5BwNfIbv?x6kL&$+IeyT7?7&8Qmu`Nvbsz1sS&aXBJo}e-9Y+-9T$- zcrt90Mi()>@sHRuZ%24JC}J2L#T}~MG+H=BN?_@@t@(rT*{YmorM*46s0<{WTbHBE{fss{!9#DLFKV!xB2iBngwIz+? zO5tpHsfO9f_-)ie*SqM^r=sv-UhK`2NGX6Kq)WDHYf#S`^17l>X`Cv^QA+yw;(?Vg zeu6Gpx6eOSTcU-cpkT}UXNky1Bv6?<^kj*^!(yZ)@Qi@!+U@bq;)B3sVao#H0}uk| zRY*!Ovo3!^Uf-;txKV*4A*4XM9kEsdCqW0KHI1u z*wr!t4UCi^>`utD&hdi5rI0nl@>RMoSBw5O zF44{rf<#N;H`i{8tPY}eXH4J|QG;Sdqw4%7}3Yao%)__w&3Dljim!l%cQ|A)PI?9QxfqJ`73dBwJE z+v%ud+qQMZwyjRbwr#s(vt#SzIrsZ3-f=$d4|9w)=cv8LMy**@gsmku!FO#^cH4T{ z$!VkxNXKeu(+yQNpZI%Tr>G+A7fdG3I56=@$9%7LlzFikypgA4`{C&(KuQ%Z4RV;!dD4eFGMRYiyIQq${7pfSWX`s?eVrclUkuYsw$+CcjZE=SWmcIU$ z--wI?VY(9kED>{doj=~E%Eng(se;66jI>2OTEabFnve<1+b|C~LUl=}PfPr6cm}O_ zr6q;Ca5!ZTg$Pfb*hj%;XU!Cz>-j(K? zcVqjSumQ#(R3Nq$@_T_>g6+9@GKRS4zuxS$mf7;d@ZdtZM^!xUHhs_V`EIe$5{zQN z0r`ktY?ub|h>W+#KgYJ}{ujXLtT$89QN>aGMOty8)bWrVXQV31@u%KRVnkXEhPFoX zei+=?&XZM%jJu=2xL2#fu0U{4g0QmI10bXe(!F5xTo~EYM}e~L#*i!H@uz46FZmjY zz!z^1ufRc}G7_FuGEb}KyC+HS!w`l{zRp+g+4iuSQiID6rJ$N$pl<7n;#k9@Dc*x= zthBf9Q3*2vHWoR(9Lq?58JWC<{_eigK$|p>Vz)RBa&AJp0-yNzk zruWuq_obDJ^W1n$Il;Zu*3ztE<3_*Q6{4(u`qlOV;`+Rz$~S;c<`te>gWI&0$lr%N zy|rRzSVnXD#_6~|><~d(99Vp5ypZC}bsKo;U9~pGio3EbZfRV<8lunNc}}K-`P`dC zjr`8a3vo6_>@Gw~MaO<_f0~62F2yc^^H8~U>=)K&@s5l9{t1pOFZ zHzFA#}E9dUKu_jx8X+ro<^%<;69OS1n4RRDTt%wynn{x3>uMTaSD?V60+%p1aI2Lt(vuUV zuM4@_+2Bp~&J?WE3IZdMz>KFSsPsZ|+sCF}ohdlvZ%xuFZY9Q)%kQ&jRE#0}r=9^G zlU|ib1{;LAkOmsFqH*Hm>2osG$8C=3kcmCbFC>+~88VtQM73kq{tuAEK&!;uy8?SD zOCZBf0nc#5u`1$%1l3*A$_-nor|>R8X0s-ZmxP5_fToX@Klik1#XJpreUK2dusXy+ z(0_)sU4yZi3FrRfg~%fnxx50Y5@Ti_$|EAc?#o3ip zv^-TrbtJY+qLN=bv#FIvGc)J*HYT?0c#Q%7xYnGf4k~Pe=w%b11h_)H zk%8bDyq3qdm*tJqDr3kt%Z0x-6$@g({aE2eb#!ixv#IvX33FvoXP_Dkyvrsg$Le*U zbVY$>q^^s)h^48X#IY)1E`Q5@^ZyX&E=zUH4lyiuhOiXC%J!k_)>!hxgW^{|hld}h zYM11hDL>3dClSCrm+fg@pCzxZal5{T+Ngb5B~bcQvvmAvg)dnuPF)A*OZZdHf+fh9 z(b_f;TAbO-eT&9#+5+ZaNT3w-c0{o?m(o4L(@-S2=x@;aZ4>uZRV_?W!} zV6+3or1HFD@H54ALzsyF6_)i3l*$X0Dwcqrd{O0MQE4bmT)4#(#`nce;eu}F(wPi~yf=CC+gGIn}2%jkgNBlNVqB*z~fyrotV;$wb3i}9_ zq&;u6Eik3-n{aQIfYK2F>iZy{TOv@mKNGaY)?rN{{+Xii-xeLi8Z5?ZS&MI+hyx>| zabqGb5hH?TCZa;$sdbc(&Z|gNhi{G8E5nko{vd^hf7VI1r|d(F%H$J=7cy_1J2~(_bFVN-=jY}yaseQ&3a#8i2kO^zzmv4scUo=hWm^s32$3# zbae^_p6HpTq=Z(pwACaCcQCwP;-2wUjY^Za0hgQ?bRS2yvc!5G4>v~$F_D$KhhzBn z>`*lk)0|=AG5w5}=KLBQlBn1_I%x|b7{XS&Nkus#z(2-2z)qDoy-iE2h>O%)3I6qwJ0e;!ZWbcWn1KewbMA%iUO_J zkF+7%BZcAmKp2IdtJ`#hIAWE%;IK$Za$GsQ#0RH%4-d=!E0@fGlX!{m7C!i76`4e~ zLn0_D+bR)JchyfZSFNUM*e~{6^xV5hGV<(-(HPWz(eouG{?|CWY5ZCSvXO~Jfxc#2 z^bH&8_`LFRlAus$GUi~A${W%5_M_`}!|JCh-@ga0nnEg;r$}mh7Yy=qZfBBZk(FWV z)@}jr9>6)`@c!?4oddVB!_;_l{aW$L?qAXeVXmzr74`*>Qi{)8ueOCROPtrQ)7kavACfMl%wQ1ViIck9ie4BOYoks*mnq+IW(5?1&$ zE;9<#!f$?&AnK2fV}T;s^%}H)>PLOXphgtAux|e2H=2s3v5F|b=*R33cIMa06%Sp| z>p?+dbV0E___z;WzTOm1xWPh1$~%&Ewm&H!pr`H^3g4}Q=ENa>v9+-w>Gh}pi^wJ+ zt@#H{5TY=I;Z3N}NRfoSJPsK`6L9|$cM1lSo!ZHj61GtxX~SeORQ32$^G=n*`27q`J3KV#<(1GH%#=*VmN1~^e&|94$^={Yz!`; ze|+^1)%dG&V4rHk-X}z>*xc5zo3g_l&PLaij3^UQYZk0Uu_K^KySVHkycJFZ(FSDjB>+QA17=-(StWSWg)W7$3qDV@>^2fSjiKki(P z$LP4t{#S?6?Xzms$q!Ue8AeaH0S1vK<9M5~p)^WHQocn)^54R-Tfh@ot>nCfNNsJ6 z@R?LSB*)l8-oBwYpf@#5zQMid{p~=u5*AhTL_c4TaibLZ|`DB7Pub#x(a`w zkj4r+_7@5LIzCS4mH?~}S2qlHYXJhA<7HJTUj3v<<%}D}`Vtg}P^mgnQ~55DdYvSy zJO~6gzsWZYt!|0Rlx=gT$k3*Y`T9cpyOpNk3PR?#b4T(UlQ7lC1s-fDtb_%4bPZc60r<3 z;%?PiVE>VOs<~`9D)IahozuX`SkCH?21=<>=#r1mQ0)v;X(g2U>K30?JiC>QcIW5w z-mF1{43gESEi<4$TiB!D2dr}2=@Eiw_@e~hWAZ6yFl58OmiXp(|`f>lY2s4`P!qP_avELE=qBf(~$Sy zgTF+p?F+vdW6T^LlLpT1F|>PVxYa$qq;qQ%Jpv=0f$%LoNGKEs0*}Rrb*e4f@bm!E zl?N(1aEEocKaK3>3hu%qwvYSQJjz2K;k?`CD6d>-5;lj_o3QO01N>2Ry%r#wLVP%*K@{7c2zGlfMW&c{q^wz&aqu zkA}>F%wu*bY5he~GZE9Jk|M$2w3X+Aezx!d8AnPIt`SA>E>So%ff61zP85lY@N^4u2nX`==!q&k6Iw<6n7J&~n#fOpD9p%pKy@vH~IU0?#$Xv!C{`F5g8i_$wnEnf1 zzqa#dR29Z>VfG4#LlKovn|nV-#PU7H_&|9t74ez%gt&I)a~-EW#c^jX(%1Leuho$-Kf1|uoenYsDq;XN;kyL3N}tI?vU$!S7I_TQaW+$YrH9U zd@;BKm-b_kUB$IURgmVfKAe><)``9CCFwc7xES|`h6{4}DvD3_x$jorkOdi3XT~Uk z{)DBhc(T|F_*8554>`)@X7JPXg=(>)8midl`Z*}OS~kO?%N&ROk2#CNvmBI-$u*+m zp|R3wRSSu)*>zb+RWjGX`cbkQmwV1#i44EGp~OZspx0d^G@`OfV05jXA64y8(J9Mq zk+}-2zL01`2dJ@)f>G%9J_qmA6R-xCwJPId(BKdwG2urjR6Iz^j z0+6?i>xX9tmT+9#8m4?^v)m*>yCxNOZh$|t1p+2FblD&BUlC3GIfms~nAY={qR$zN zh)(pm3B`qHG+I)^g#E#Cay;?-=<+Ht$S-H>cMbPSnWk-xx9auRmSaq$=5^{j2aZ8B#Ikfr&IYPw&EjxYF zZ4%qtXrCI{kPLyF465ZzRWFTKrZfMcEb0%)Xx>+L$cH#6BTUsiLB+8ge7R6C z90Gu}kNYG1#M~)b)*Rsb1TrrocYs`g>Lg#K+wFbf+U$q0&_)}hZ~ z@*-ps{=qm?A6sPu!iy;et)B? zpxo2LpcZf{I}#+3O65q>U%E-4t;S7}cLg}n3(ICKv|8k=ec_V`wU8fVC9sjMuQp)v zPW`~yz-tEEQl`>5%b5~eN2+V>tDk@vt>*{B-n)Scg<=}W{{3^QK!SnnD|wytOrMV7 zanAQ@XB}l#21YBS#M?GOX{!Ca*$zW7o{KnUaO!Q1EC0Eua! z)xT?R0X|9H! z1E(Lg0;T%i3^Y@cW}`yDuDo-OYCOB27sCamapR_?NW(@Le(2CsD{oqe&5=UEd3grH zdMV4!nf>nqP|->MU=$Ocd+k~DqTsiQ+7*Uyv1MVDgMUdE-G`|>4WUW6t%U%*imF;s z&yi3?hn55WG}9q`sUIo}ebh82m(8I%UP4!W3@5=n{_5W$KHbgwlDw4ldERuv!WNMc~;;-3ub>Q?W20m(+EUC&T#y<3tt*#(s&&a$l9p z&>tG|y8Q4>kV-gPH!Fg}iV}-VUj8zu#j-)T#u57(f(G2~-YVIq5*h^BNxhTOz0+_00RjYy>13sXTk|wKlax2rY2zxawP=jmARpbz{ zsEh`#P9t7WKT1#H!uj)XGwPFbs-Shz>1(1>r7bmZA*bu1WHz4|yo+aCTR&3i4h43t zoUKf(3P$vIH)%Kq=u7ohCm@tQ@Eu^U2OASUO5Qf!Mfij~j`P4SX*{6+Ql&TU9fNfx<*I>i=aS6_gz^m; z`cn5R1~Qhl{U-VQm0xnJOeqtE`P%@+ZWW<3M@4@+Jkb=ZWHt?KgJi)?dL+iZ*Mes~ z@19A_%9o&ERH1k|@=HhGmBfNNj}-LbONULAr-v^nOvA(R9C+2S$z(?K(1&6Kq)S`m zh&BQHZZ-KT5xI#X?qQ?#$4*~qsY^SziT_FB;<+QPmmx<1M0V~kJEK^Hs;{`KXWz%y zT`K0@dv&)F+$oh99&=S4L?#6RITMrfuCeCOSshrT#Nhc|=gt)l9Xcv$KnrU@2Mo(< zvrlCJ7t?%>X@?eTsHyLZm>}l&|-PRtY+9yjS z7?=cU*2#{Bw%>%`|&c?vYv!(IXt2uw9fn zF!E1*=pvNv_xF$!%T2ln$d35}pQZX`($WA)aL2uo)mzA)qa6o&Jugf38Z z*r*OTdA%?G%3_$FfF9C-Is)UP>&k}~z+bHXI4i)`TRg344}`vZ+s?~0Q1wt#+?MwZq(0~ z@3R1|2XvL4FdyO_LRchV2@HfSPj2vx=}lK6_3)-tkE~c1xY2ZM@g#l1cc&|d=A<+U zCpdAlr0UroR$Z00Th)FH1>aIZICO$wzZ*<4hP;mE?ot^G|NO%@o5^?!KK|7htY12K z*dF@h*e;n??>M{jL`Bo8M3hh;QLB-W{n|a|L*&7$lcCRg*t|j=vAC*MKRJcZC%oDg z4W5Df6mI9iePzr*G2vLBn^YOmb`TfG)GhDxiq1=EXM)(C#HrivA&O*c0HZKR#WO@p z4v~}i?9LnR6O`!bq)zGSX=h^0)Df(!BLHVP`<%_(RDm z+yEe2(rA?&SA^iPhr<^v^I~U{+uO%m;jx`oF;x0{X%e&dUq=6dgw*roq?QuseK<$` zR)Oh0UZAXC75a(cb_fz81f>oNlpeb|D0oOREaOa66na(^VE3-?H%{Diq?&71tNx_B z{hwM+ccQ+$3T_`qSx503%wvS;a=E`IV=qMYA6mrv2J$8BpbE4)Y#I-u+kAme$Ldk_ z_tc|1E2PGE6}`EMYVlNF$FzH9iKU?1M0=}m*i=$i*)XgDd>c}f{QJIF9_>c(3SU{P znvDsH#xeW(1}wD(X6+M&H)AtHBpYExAn+7GVKF723Pl9CIN@^v3(_W!Iqjq4cY7vc z`CbC1%s^O1=KA0v7?%?^Ex_;2WZG%|h|*^d8=zj?%B1dh7~aAkXN=*rmZ9}WLgyTu zlY0*>x=1Q&HvblZ*G>>tv6LzQdoxxxXUlF1qA4-ca6uHCg)Ow0(;tVzF<iCBFx8GNOWw??}|f50@4GVa4nL`)(G6ECBaXpe|iJ!snW&3#$lv6fSu&) ziSM|;**(oV{WJ)K8XFCwZ6GqTw`O9POWz(`3%Y!P*>vF(&i{D7@8@Lt_ZYg&@u@Si zn1Mk}bs|<&FgHst?kL0w1rdUXs7J+ygM)yN*NHc4VZnAkD*S%|h#U(xHXI%MW4 z{{b$AbF&sFJNaDsS->C>C@4n2{}%b?M*JU(*TH{4koZ73;_&_hW+VPnvKL^72n+iyT=74t9^Co=V@Ux12k^}OUnPoovs1#t-@-9r|C7oH z^*sZ*Y~61{Pjt1me>n@J!!7D7 zVwZ#?C3aKq@6842@f2)4c_+tT=MiH*p>)SY{kuKBhaP2AM7TA@YQz}h8rnC^hFFVaD_7hXPlyDeG!4?R$2pp>VVcg!-2p)+ z&@g-QsSDfd?hm&l4jD_x%Qy(CB@^iq10knSK-cJm4(CYcdR=Bl;#p9f%$!sOVq-O< zwo%Adl|hQf=h(|}nSs*+N4ZL_Av;0N91g=>yNG%Od2qobZH$Wm^CarNO~+c6>QTuo zNA=z4!U#5}9LMIy_Q@Y^Z5%R@wPAclobeZ}V$|#ut3-Cj;a_lIY7-?!_ ze&-_YK!T#?PPZT1q#~nch7~EN>=5Um0w2V`BzyC6CPx2TN#ITlnO<_gD> ziJ@Acc-XEpf|<87?5!|CI@IiHKjLDS;Wh$cD@;T+JdIt-X!nW`)e(FZQP7X9$ZgtJ zy^4?%(1@tmwiQqamH$A=PNIK*$13;!5F6WZx?>X?&p26@Ye}00XYy7KP6STw)+l0K zc^7e~v1IDY-7bZh%3~9AzQSGqiqmuMZEXHQ$apAN<24;xHl|}`Xk1r_^3z< z#Y&2;T?*(h@=sn^p{}KsO{!Lr6%v;e86;-R9UPMUN4qSLm`MY?F@Yd88B#Ej8u94y z+gdw-2I9Gvb}}>i%Lf)MKZLCn$-qp41YtQDl6p1_N02zepcW*c43x9D4||?yMaZ^X zW~i)ken$!L2dv*InB>=I9-`(!O|dYw13w)xLVF?fwHxa;U?k802a-Cf9bT$J%byF5J4$3*$$5?`IqSbS z(P{!2>V)MI`kLwSo(L(^$3-C4?qKmfY8vK8FfMMpAIan&N%%%Mme-s{LG~oDln8#b z2uA_Jr9TfKiIpKy^tmD*3(&TCowiaTF=c@JihW(A4-P~dWpJFuVJ7{Ci&Wzy(+Zj| z#eKHmT!b82&q5mbJr4nvg4lNLz$%3ntl^w`frLUQUF%TziJvHo8a|$H__StgTFYga zd3*25vpM4b%N;VS+cZ@Vz5$NsAY*o~6^}|FnZQIw+q}yd#j@5E^s>Z=M_&E_)}#MW z)w}pL9t;Cg(helB>jxw?4~g3^95$%nBoIPy6LBqYqu+^4&?3c}5A9H26x>d?_t_^% zEhnl9(a5mdf9^NS4G4^QE^A$f5+zLA$B%vm7+L`4S>`_*LP9n8C;3_8*2|LPicMW& zKKnASTkBnwNC*jyPl_A_E`wW^6F%vyP=fj5jv4cXr+Nys&Ek+B!@q60c4I-29~3ul8G0WlCNDgHjLIdJE4569(hecP+_WhTs=!SHMkMF`7ul0+~+yUxr73ES4`=-17*iln9bhqHy$1G*(g)GJ*aCmEFUQ9cz? zcg{c1urC~v+*U#w2)5(HNrn>64?FE2C5EB-fLM(?Z{V|bFW zhJ&Y%oaVF13|SNrx76+DWu0nsL@K>m{R1~F?ZX70VRP`Z7%}kQ%&hw3n^P$JjkuO| zBb_>1{e^biHAO{z*`zgJxGcU|dX0H0_fZyA$|M3-{Juq;O)PQe&+OJAuT%j8U2oek zjt&v^gtRz{nPx-52pWn*U6U@Na>g;aLQ|C&j-M67 zr%Hn~l864150;g{e!FC4FAXiP){N>`SmIDay|sE@Yv-7I2Y9b@QxGS&g`dlfvi z&gPykgP3itX)Tf_0%DRCQ9aKdADf?cx-&cqc8vkJ&iM`mj-<U zq6x>K2&copbo%N7t6w2Qk>hy_8Ch2VW%kwao=t@|7i9L-H8>CMnj_8Vb)UtZTHgtW z6KgR8K?GinFCr= z5x5(hvnQKO97=_&9g=Qqw!|`$fFlMFS#ZIHa_Hj}XsoT!mosKL;e91qB=nKfp7<5{ z@J@ozfWoKK8FxH2eLj9mUsbXm^dy?Nw7BK|m4*24om>#OlP-k1P0Le<`O%gk=`}@e z>ld{Bc9O&>eeIMAkXQ&Wb^IRZ$D{nOnMOGQ7GDFGDfZYic$BuOI+h%;|0A_KRb%6-H6$M7mxLaOBT8OmV+DC7F2NkNg)2!y%|N=Ak@7U! z@)lR#I!oO&lhu5lh%pdv+7XKu3}{PnjA_7>!|O>ej)EG%Ymae+34Hxm&!U8Oi0uo{ zbmT6R+*dUU3I#FDw2f>OsYEq{q6d9OPFsQ%aS40vaE2;MmOHn!E^hbThZ)=$M^Vo$ zi?#%u=*B*D^v#shnv(aY`beRCM}V53IULGSqMjNd%P5wBu%uuh zDzEy)842B;66V0Y2{zNbQD#s3==%r?ErK{IqSqX9H%5MWLBhQVxqDj;$U6ggw6?#Q zc8aW9i*omCGUkIAWK4s!N{bii%?xImE<7tyR-@D>lD9r+&99{of5eseKNk7IcI1B? zo)VI&Su-LO$B-kVGjNK%vt*OlX1ZcBC1U_0Nfa{U8Z=5G#BGkB+gCj9m(IDzZJoE) z&plTiGspWIBWvbWU61ZHO^@sQn!aAiVv6MM;P&C2((L<50)5(9X*g8CurDuy_W1&L z#_je}qqv=mD*ZwDG-gYXD4&xA{vci&f6Hs$@){VAZC;Qs5sI#b16i=&$SJbJNNd&) zdA2Xz>7FpqjB3ChZ}9?=;x7M}fA z{6UsQY2nj%stSeU;_pol<+;K)4QY|rBAVDpqNna#1ov>S*)J&>g#;i)_63~T2vJ@j z7GiAmE}+D?GCpfko6F4wyI^g8`RjD#cA3Gjlj!L9BB61!1}VggOjZx2d%pgB*eb7H zG1QNaQCQ#OPMCEww%S*b%zFLkr+ONNH{_Z$K&zKeearmzRm+E3lFNr3?GNs=9rUJE z7v=PH%fh$@dDNk&{tit^LGz9Ub# z-mNT8jUhJ$OylIh@4|=gDM{}3E&1CpaK$u3QSv3h`g@^udv=MK);fbHQuepd zv)52l7Z`G9Exi_MxyAZ2Xz5$W2L_ID3dWng4YOa_I^W(Br)!fOg(=SKutMB>8$$)B zrPs^?zkPlTn3K>?y>H*i6no9kI-G~IX7$GPDd#yv&DK|_l8bQR%6!c^M2cD$3T`C@ zQ6cEkS<4m^RBse)XoY4UT0j{(*p#h{FC4SatL)XPzFC%Jjh&&BYEid&pH3i%@=7>sBm~wqr$W(Blo+popMOuqissAFK<{U!j=cdEizDEByjue4 z%5*0j{_$(2^eFIPIS5;sKI;_eOV+W}g$(40N$w@%E6w#-o~pZ-{ z6!i3ON>K%1Y35=|$*88as`aW|Yj58*&3MR?U%jF&@PaD)HdtL zR^Qu?-H~Ksz7~@e$8drQ{LyIWFPiGSYhTJ{j0jyBiS7xA-buAxFgI&)RD;?b@tV@Q zTmEwAVQZyaSBk(hPK)Rrw&daAy?=t42f+(75%877U(IgS;bX%j{o?{ZU^ znt~~&cH`b|W}d3s{#M@5W|U@<{e!zLJg@B%W4cclU|A=fI_W7h&w}>f#IXgAn03+i z-|5CJ@O+v_{}|TuPZSdy`D0X6HHnbcK%*LMuPQEmir+88TW+zo^Zi1|T00A8x{9aF z?wWSihO7#mjN4?9Q306<-AEPQzY>fcP=QGeKL7ck1v#U8?`V?(cX2)UXFwa$d+KFX zCpc7(2>{wgudB+D{%In}Dm7;z+{6`pt+zOZw3W#=%~vA976V(Gz5X_tiWUU>G|58v z2W1H=(4SK}r98R(L}IA{&h z^OW|6p()JnGvoSL? zACHm7Tzp%%nUy(}e$Mar%w4_}K-L3z!{&Gr%B*^SB>~NTGsG@YM5(r=sAR=OCZ6CG zgY-1ey!BGNM@T*)dR6NXtgOHkdnJz&&X>76nBJft2>_Je-|3Vig3s_2hpAp8L%w{5 zC~iR5S{p0Gmd$Q(9e^kUT)MmhqK(~qWNhrHk=e!4akV|s-SWAdW}7WXT~V>jG3=)- zYU2cApZal_2=X;+6FMLjfR=auzW}*aukho)kO(7FF<_^88r7sQ2fgyPKw@_ym}=@} z(FE}!3mbkq!Y>I^lN0{8)aKGMwMgXTeCO5Hf?p0}mV{B$+Di#0SO3iTfv#~3;X~mB zcY6P#2!!Y8@5tps7RRDC$r)t1p!<4)U#B$t&Mi$Y>Iy*2f(x0Wg!l$+?kK(cDhZCI zR^$o~eXQV(=A>*_zmyBb%v$^x=+pgIpv;)>6#+!@zd~~{uontF{bVC=Jp4usUh<#z zvEBs2Q5Z_;E+9F)jy_uTJ*kH@emr++=bZrfa=!{P()WIliB6phdw|TncupRfZ`F5O zV(Kv8+dAjD`EVo{A=*cVBH){9ytqcreGHNGC7&8k{Xo{6FTp_MC9*QW&dq6_ptZ+3&_Igr<4xW`VRaB{2l6R=qFr^Nva=)5Ouaoi*tG@OSF0) zQlwvl#<%e@wd%`mTq1%bdR2cFiy%YD#9D1SnI5XN=y|I>5{U@`lv)xf(MLAbeLJn| zOPpezY#0?uu44at*gz#Pa{qj#Xp2mnc=iJ$Bd$$lheP#Gl$2^P)@zsoyLxK1u~&ur zsHDKBcrrwku-x(iaVhn3q(^WXy`P8RnxOwl3|5>MxjBr>Kt&|f?*s!?0lV>Qe$x}y z1@BSU8i9(bV9L-;;}l|v2>vVOPOQY;C3?N7m-yL)U1_#Y#^#+;*0-c49kR&k>o9XC z`Sv3JX;H1}LcHGcDT)A~ypD?TNOyC>O4$8f+IP2ZCXCB+BY0BekAwyVX1njkZLtPZ zd=j@SA({}VEMq*lo>1hFiV!L)94M7YSNn6}Yh>uNypdR!(pn{jxzOn5^<<1$Y*|Bp zB7YyVT3t-EG>$^ij1)aLa?JZ8;ya2U6v5BA5*Grlyh3SAc|L9YTEAGFgXmct1!4*g zH-q|=?7ie1KvuG?`_WTSLH zd)>(_$K2f(tN>{ zlrNR@CUFdMUx`~ST5E9trFfnUL$msl3`@3Yu2_crrkDmbDqe_!Ts7LCI{aK(oM4wb zjnB9=#~hwszFL}2SsKH0aG+u;ttQ)4{uhj?!>_Hk_QM#nnIioN)qDBnl>w^y8tEd< zHh^e#Ucqw#PuM@2VH%vV-#(5fH;mAVPvyRsj3_8=emw6`&huR(8ODHt!p3CgVk@UH zD`(T2?$R6?u59^B$jCAyDkYVudVpk7B}&)Syuk3673#3Mv$zLy;rffz$|?mMi>!|Z z{+08g+({iKyJJ6$&K~IJ?R-?>0JQFK9cY%nyb{@jY&jcO{Op8x@+<%TLne^IRycR~| zqe8f@n7|F=#HimEP<(}#JdPsP`;yOQBCuFaf<2b*!kB7qWRVcs1@9V9c27;Xg8R1> zm|q0D_P*{*(qCKcBZKutFfuxe`29=NR6_FekeEqWN0d45nG3DyLx8!Vx!jKBFU_*} zceRh}9Q6Wr!`1?CVw_7^#%dPDE7sqt>CvkY{mIQN;uK(4}E zPYt+=7upxILY?pT>ax(nKmJ6IX<=x0FD*M=f-zqx)pc{Di>HPbISJJFl}YpAG0W1H zfN^K0gB-7czJ$E&G{kESr7!QlsS`A;9xMnW^vwT~cx3ukd%4=mVYF+fcb`rD=4|T>I~X62 zwSeoXN4Si6Y*(u|P%BDH^9t?vys1dtoI0ih$j3kL2E(SPmJ;99zU$2Loan7J!59ro zlws!k$&6=1FCOMX7mD|Ib96gQ5n!DvQ*rGOf+c6%mbRtL>hWheDyTqeHBJb<=$icZ zBEoHt5Bc!5K!23ep;z2P1ui`AF}gD~Q*@Cj^|v@?I+1*WP^|8+b$b@3Ei|KWUt4q4 z9LUh!1H?;mMjl_s6jteghjmYwY*?m2Do4BUKgS|W(faa6R1dBlO9R{g^cWffyLxqv zE`X=7UqkTd14)Z$5iqGoIjnCw$VL-OiKs53M97FAJL-2vPiCcICa;YAv-uotHvp^=*B~-91pE_|*!y)-P7JGFwKUAXs#_!o1t6C>JbwoNc!(gzA zGPLyi$jCe1Ba25T)$iCMQm#A&kp{uMecu3G6lRGA$F9(NssU=FPmdN@T2s2a`I27= zo5ZJ>G;Zq6CHQJ#rE{#8a{N_?_25PXT~nRMJccG>hN_;9(4FTa^pvl zZ;8cM#L2eEYiAKgvZ#9r8;Y1;&L-M)rg-tDfA(bRXfZeF&fMYUc^?qgovIHWsDQot&^61LN#I+*q-HU& zU$f15-JFC33H#ni&OhZ3aB0x3sA*Q}l95pYk5%9Ns!mxCG=51;s3wdOj%TUKaN71r zu#)|Qf4i%_r#mhUyLGy4)M?U8d8*g(jq=!{FA&3V(J06g>)QWZeK$pdiCSikb%liETqws7AnKJ|C?2Y#L)+2lFAlJG|H*pV_GZieiu@L~``n=?E`Rg4XK z!bqnyS`dVFeejhhG~p79^$lw;;g*YdTK@PND?DhdR8eyh>|e3HA$V5w7dNS!zYQqH zB@W>R(V*-p{V`C5evbqS89cuinVzil4vuZZ$~`oyQ8)D8>4CG%^JT`=Prd-sQ-c!S zEhw>FmSThcSLX&3XTXz;+hxK5C;1AcohG@nvdu9$#?YQgD}aKWkvYCC`5Fc^qji3u ztr%rh}z`-I)r-`LuP$dAiY*y{MF$DOo7i?JEz_D$}L4Fa{` zdGs^>emB*c1qLnKbIZ1ME$tHN4<0i~!AKSKRuaJo{OiEP4cTI@J=lZNG0K=bwaVp% zq$&3TRh0~b)ix&nmai?yFUL3V>vs-bj<6-E>vzRo2Zr%2NY57584 z&VgRgll>Tqm4i}p0wS943UrIXR{(CHL3c_Z6Z2`)Ly{$8>LhB@dVehrmowf-(hwkfHcteU(bq6dnS8dH=0ZR=>==7LA?zxh0cMO81 z8PSB(BWTnsIH0a@Owq~MHF$BivS^CHjAp~vU3|fbT|p_p%Yf*pY^KXYSXnh+K|Anz zbNKJjf?B3s9_1`i!V|CFeV%UjJfD7Bg7Bd@fp%hW!FPKQngFsc@X+16l;6j6SN?Vz z9SLM@F(zC2ckR7q_3o~&scSQq zo`|L2Njz52+z+o|9NB|)+g&9zy#YL4PrW9`ac#deianmx(kTZ4chXJ5*-n7Bu0`O) z1Jg}~swMNLtAcR%fqU`ei0$kt0y2r&;#gwe@0vUZbBrY;was@zZhY@)`nh|6gK#ZD zexp6~UuCO9C3!jw36S!;B8s(>HEx}g-!BpMR(#M0(ZdMe)-|AbgduSnH;$I-*&3Sp zkA`Izk6&rOUYHn?B}E0l>N`;dC12yTA|Agzq;r0nRw#9PW1eb9vye5U#DcGP9R%)Y zwHF;8BFQ;ZR%(cK0Z=u^j_;XD=2w5MARg(?2 z86S$V1b8NvIfN7psz4WeGim-dc5CA{N^_%lrV%#Nu&z9qBWEn8pr|R-Rw8a}fmrb} zGIF*p=GUpkze@|m1%f{bhrb?2M55J*cJ0k)!Y5-S;t5CS|57oWnm9M=$pX|BHJW^H zNBjxqm|+P zfx&SZmQTVOMlf79y`(T!=nEpi&G8Nr3&qfb<>;?9mWFoWo+xG7Udz5gt1;rgFtpvL`C1*#FnDI8XtUyFFm)zB7WQD zR>#|vy0V?>A~n~ng6L;6iXdTGHoh}0f9W)sD7f$PG@twybEmC#Yu3@eZF~H3UmBX~ z5+E$oLhw?V^NNnU0) zLh&h=?cJXAe1qQ+##J^H7%p@XA?}~3gbR;FmF~(>>CGPVdqPU$35qHZ4pWs1iq&8m zS%*4i%glhrTkXZO4bAk1j$i~@CLO^dZ!ZFM{9Od@4N7xo_Ld18|7wQYP z{P&QP%L>>+wbjJZ^vDs|D1qI~E9h39OvW{ax6lK^30IRV^$k2?7iyF50R2H%1{DnU zpmZ3i<>Pd%45}kyvrX}&h(bg|q2;n^3Z-2Q_TW1%O`pAzPHB5yoO2t?71h)ScBL$D z@zd?XC=fwi85W9Y(cR`a!1}_{$O2!*XT}ndn>w#Xs(Jimr#60HUi_WuD#_U9=gH1l zO{R~n2jWs&mPu8iKEOX3Ke%s}Q4cq1sOJt31^C=^;yc+w;zTIU-f*B9MB$`6;c3G% zdhqo667I%mut8<@D~cl#AmhCpNqelZ$8eq3YQ%3}xUE(-uDkCfmJgcWRdu^J~uN8(P_Ahksbk3XEjv z)Uw9s2+jj*!B4QI_XbQ9Y19qn`x81AGpT+-MpmHMbkC&%=iChK!R{wmP53wKwL+U{ z9=T)92PciAwmI^07~bB$F_zz^%`U*i;m5wJgyvuR?8>b##un)v#rL#CY&EhlUq$#5 z4i6o$)$pTW8QKIfv@6aje2eL$J3(BFH&0D6%j&qA|Gsbz&pv`obs1cE(`P_9G4|K( zJ8_oZ_Ly2j(K*E(OwNK5x^>{nxd!P@6%)VFaU;#$a((>s&72OeNccLGR?||2BRS9M z&!@tjI@~zesD3nyM8bqB{k@S!FISc~D)?uSH3JX=umYbem7?6(4`s;|yZUR4*8Ek?4E9) zRhdsW%r8uV=WS;+3vgmQOm0+o2ao4Z_gvB*V^jqYkB+eWj;$lx=cs_|FF$$&Q=}RP z&7v)sYv>jUD_?Xj1T$i!Top@qi@uUl)pY6FhcQSdlb^dFYTe{6D}+I98kJu)dmgi> zNPnd6m1*Zgaf-6aW!iEmm)43iTmy9exw<uA{Uw! zlV#74v*C`BSJI}J>W6&93F*XJw&G~YH zDE>}~c4vpQ6_d#@Se?4#F)5WW|Gi1-q*#h8+dITzqCmHQ2+;}5og>3fT)JHr<`o0x z9LiLR@M?EeJnD^u8RUmw3Fm2Q*S;;#*u;)h+a0-=uBae!@06p#j$uq@WGG}8%23u$ zibC09z0R1#LLBU--*AO8PDBt){5w_d%+dr!oo@XRQiDt`(?JcQF|TkK&$KnlcpAGV z!eZeL6FAH3CbweI0n;Q4b4B9PS&O{hP`g!VA<_LDJSpic(T|3jfSVFyZcma+q+%4L zTIG~*5}JR!SaH^uw8&NmHUC3~{Ql%D-{|>~{AiGhQ$yk#_)j^}YtI|$lSNGHmCM)x z{7w2WriqJA-97i&MbKd>jAQbnW@tJ9e3o?Hn{Ag*WHMd>Sux5ys@<;r-CrAY^{FiT z(wb88;de>j{IiVVTe!daixB&j5INyJzf@qT3d>}8lRuKT?Jb6S_*_d4oKQxm_`bj| z_hwum*QxmCE^jeq_i6S?f=X1(R2Q7(n|uRl|8wdgL7jOTr5S5&fSiYa$st^Rq@p1+ z-t_i(rR$I6oK9Xg+)6s8&@Ue2=+@?plx(W4<3kXx&Gx{c>_WnTczU8Wx_?OpM+;rk zzq{^=beZX{h|hK^`8~KnK}mZvA>U{k8ZNO1;U3lM`2S|zuZ`m}+8S<@$#j0m6fu>} z`ag(tbB0vTi>b1+2RW~GH;VP@Tl$60zbnkhQ@OPo+3e^Kc7GUQ`f4fFCPV?TjsG^Q zLVmX#SSvcsr{OoH2>?fV^e8uoy?j@5FIb-L3<$nDR(&<`GpW-~`ReNQoaRuBq8(EX zeG3*oGye|r1puSrH0_%+kR4?*cz|$>PIjO;%&#}>mG_PO2jV_Z-+wxGldb}v#3og_ z$;ltGF`EJ=q{CXWv1b10o6!d}TIwC*E&j__62il3$MhwUKr_0H0L2YrJC|yE8gO5< zRLB@bY$|V4=lRT+KYqov9+TEr@^uJu$|lNnOT?3EEPHdtr*tB3i0~ttSH%~K1&>>o zi|Airv@*`u8VmyvXZFWxHODWrK7NY0WekB_*LjNw_J3XOkE8T$>n9$l_CIIHMok6( z3f72Nxau;|^fn#vhNjb_>X@d~B#XE(TD(g!o}E6Wqg=jP?0r&IR4YULeekVrZij*8T@wTK`QnBYK6jyy=+mxx&K%lCvt|LpWzUX%;Gk`jmIxIu4w?ib>%j=h1AaFD{f`3Std zI9Q5Un3!)ng@j}0Y2?&y>+;N1s6!d?r{LSZS2t5`r5p$*OAUR5Mf^K--m7m$6FMDa zLVu%BdQMitWk;4otWRea4DR1!jjUPU#LmS-JOcD=W#Zjy)rXdbi1Fk!O#R08w}|cJ z#ApuJ8Xv@d(^sp+PnS`JXiz)Mq*=d4Nfs61*_3YAH?j}S`qA5w07?4|bQv-@?_S3D z`wbVH=eliU7(g?`3-R_)Z4Ddpa3hxh`G4|WV=SxY(NTs9KfMs05V~52gm|jTcI4zL zLHbXF(OwIzN5xub6N#@BL*W8GrPOD!a+e)#x#y>1lBDIgruh^``T~b^!7QVPY9ybN zc17fR2UPu7+f<6I7lU`Cy?}co$RoL+o ziFT}I_QJS1B!#ZGpNN7R%xqVGk~vZj`)lN@Y3$0@;5}m^@($)#&vvq z9nMDR#R0CXybkqXgdKQ~Hs~|HxYiyFmk0(sX3B2tQsf1d3S7n`dO&04CS8e4-q_skCn$ot}2x*@pa;ZY*VVV`vQE-(R`pVBk_PLKbbK<>0$Vq94t*JpRa!T{MIFt6t;FJdX^wJjYbuc_>j-=u zUOewn4#e`L2?gAN7?~KOeu1tOr^@(&UvS;!f5;-6Q4U({w$|!MB|ps$IVFUvQngG*R@xtWGY zUCWwLy->-6?HKnYBNIg75SOX|Qr z%W8elewk(e<9#=g-NjhIjA+PL&d4KyMG3#i5T`)R2(%C@A80V2t$I&+V=VO`Vaqa@ zwS?I+$Gf3p=NnDM!RNtpYtfaAyrVzQ)3%Y4g{Z1~(WzX8;W>L)g;v&y4LBt7J}$_> zc4Dhz|M?RBUflZ>lqJxyO`RIIHy2xud^(*Qw2nWnHj*;#)o^t4Lo4EvW)D_dy;%fB zYwtF(KJ^$97SBp6b}^e1fzR1o(Z8Ph#hfTh} z#8Lnmv?B){k=zX17moX3IQq;QF*6n)%tOq_(mHjLU(e7_1 zQL2%qc~4Jtjp#0|Cqno6UV|Cwa@HiOo>~yaN%{C&Gam}dd%Cb;uTx*u)$KISS5&3` z2-cd4!9uZ@Db~rV34{@{FFGT-L(YvyuR=^OH zy|;9Tlm=^OL$B62daGJ97)%kRcGr@6b^SIm$(QPi(ncK#^O>!ql1ev`vw}%hl#G~# zy`4e5_zF~wiA{Eyga2_8(;whVZd*SkC2}ra4t7@DJvwdD&*1xL%NkM25_zgRvW=eQ z7hH)Yo$c3>wujgLuY9BBB3lTKQAtTGwA*;jmppkzhWeiy$uCylpN(GD=a0@3)Ursj4!YY8MJ9(|w{Qj`2 zEPMHD$y`U zfdhZW?5F3`tyFbw*qd{)N8PD%UCHl9aX6( zJ`2hu`ZgT+_5i1c8g7NI2DdH99T_`N>~sNus4#A0L#K+P%utBeZSh}Bt{w{OL>-Sb z4LJ+S{*FkHTr6`hYfA)E+PS*V6B2o+l99kN_C1w}jw4be<}av;ip84k_YI1hBGKl{ z&5S|<5WHuj%Sr@|_{~(gCrzW7uX6e*)lm-NR;jox z#iE?y6PKwqwD*aXEU{)oGzc*R>E}_IcP6f#C9vY^oNyfWZ9Y8%c23O$i~pgirZ;Mx zoeGU}eCGQSGuD9IL0Z!L9K(m^x!h-{oLG%0&li|5YvAXc15$xU~4pnl(uuux_!Wl!g>HQM~{U&zl-hc$#cKOBfh1phK+YlIWrSvX5%?z?k-?NC;X z)VHq)Y(o;fSa8QP3p!)gCV+0FQYJpd86txf2*%fj|E`jEaM5{EDt=0R7Orz0fYw2Y zq>|QvQ+`z>c2`4(a>9BX9LmSey`y6e~YAI54R~Lp0JmLNRsmR1zU*B$qD2uJC))( z8z3Pprhrdpx|E7;>_{)zto#S=rGSOYhmY0Y&42WD%)&iNEq^T2Xo2Qd`CpV;bT*gC z(LrO&_U`>ZA*s-uOjBVO_ieV(vDNqGLR^}lQvt>5quan$jV;p@j9{` z0-_}F7dVA?yDz(UJ5f8VN;ox^tIyKNT28DYN)hgS_|EPh&{wyUGU_?IYNT~ax7xj; zk-M22f=9$WkT96>(wRmwFObYKC5)YXB<&)%Yh6kRyYpfnHRcx~H1!bN2>105+RgwD z_VwkI-c&PUdM2SRD`v6quyIGdub{~M(f6x?=`fY3$&$Oz#wB3TxO!CuE`juw9e!}0nLz3jGJmPBUX1!_*bxFdGNbv!Yn#@zp|hT#2)J9a)-_4NX}$%nRdyCt9>Vj8L5eZosU9Lbp#n z$>SZmv9X)Ci>QS`EvR!+Rrz<`XK8BGB5Gj;^uj>*6J2K6BWdU!hb=y<*a0Tx1KuBd z)s0*IYmX)|4u%%{eaUsA7rq^f*PEv_zUb+e#dc#-iuCswj zThN&L=Rkc=LM3yYZr%=N_+)a)vEmF=L-wc69k@VJ6i&H?2GGK9Oq_B^{(QmmOQ(`j ztx-+!klyz-Lhj=We`~g4ot3L}YbFZwE|qJa*q-_uw26A~ZE1Efdeju0IKgk}m@{C0 z!l}sbYJ_MX#hvsn%AIHR88v+WY82&C^)Go^m2RtKjDy;;6jAx>1-bzQ-v&vTf<|NB70TjF3G{@+G8Biz2Je~cBQ9|Z zaDaMNcCre?jv=+d{XQnN5;eziA!DgxVz6(+BW<27P~#L?8lewo7x$zCA-aYVNv6wX z3ZP6YYE+rlfGf|=c1Nu?CjEZG zB7L-bw?gPWxNBGZk!#6ep}VGrYVNbJd4 zv*0?0+@_*H>hIwZ?G5kt`k_CgHnPlZlVg0c(c5=zK1kOw@)iy>$h0w~A5`WB#qIy@ zQ3>0nwLUeyFc*}XYfk}3SxsQ<;V$77H_2Rn<58;&ONhEQ@`wK|LwQ zsXUKTrIhlE#K{{qyi_K!7aZ>Byw{U^)uf&oVJFPG8*vAJwL$uL_4&K;RPB*wDf+Ls z6A-n+LE$lwniPg2`;0=O4NuE1;X=X^h!5o;d)v9J>+X~1RBG4{xLH55_caAw&`7PL20al8Z#okD5o)CA%= zYm_9!#=fcgfZ>05&ovto&9LvZ2iMAt$vZkVpW+j*TIKCT4e9WOHG-c2BUnYQsK$QI zZeJ_*pg@6g;~sp8KhqDECe&id!y>-#qGGdGRJ?moC4$D&WNtBxCDvzC6tQRALf@@) zC$x2Tum@SzR)0aEPz5g{w#YF+@+JTfA+K}0rm3lel!S6T@0AwvFEkz0O5;2jp|>I< zQ|Dd-GoLrJ>34;Sh7iXx`IFG@FipG|COjzd*Ip%<8;_+vWi6o&B|JyA+gN9r@`poe ztZ;?gDh4ZRP@)yX4m6% zGqC}CM?Lp71x+KnNKYv#FmzcH8W*}40+&<;!>v(`jksSX-_i@_Mo_>6-#d<%A9txR zh}*Nv_O@^28WDQSOyKlFR*(5CG7jWev~!xf{jLKV*zzPWRdY(fRJgIW1WbRfyO=79 z${w{Xe-C+c#xNk$ZI3QHCf{%Wg@>?*n>p-$0#OR62L zmgu>12#3e>@E$iFXH%Wv(0;M4@{VZW|Hmn}#yR!v2IKkjJJ=cxdVwyl zZREy!LGZ^R4p;@7IuX0l+9IkxK&x_xFIGl~h`8s7BsBYdsbs3LZD2{(>Cl7OooXL( z^92cKCsvp!Q#b5*R`T+t`v$7*lGk(go|A7J4aN<#<8=<33gmhtODmcFOSGOZfoJf~ zJ@M-yIB6g5gxxm*MboQ3>fb37AV-Pg(S{I>*_T1sD@aVO3CA0>eX`wMXd=1-Ck9Oo;sgL}l+84eobV|%UhWqG1Nu+|z&4A~9tO@rU zFXW!8s8rkU_n;L)l)Y7l#~to>N$&?#dA*PWt$mYm;1!EUP4P$pCA3N zd;aJels#6uk0b%-WRMu7$wfb&x=V@bm?psb;qM#`Ox^cJtG~@9&d>GwQ}EcRcW>s+ z9R>~;EVE&1Z|c>ls1OHrH`XX-u#<^R?_TXdR-9{+P&otK<6n2>4=1Up(l-vRiVFv& z`y1FwFD<0_v~g9GJ<986qnwl>V?T3mH-?=(ST!6dMqGjJYgt-M=H=#V?9bRnahDKw z=`g>Wpvw)AMYp2fFhpAhW2XqMHBoE)dh!s*5}XlRO~o1%VroP(b}F%?-HlK!U)l^K ze!0RW)4~^JZ}+Hau@a(Tmq~%QbVVLYXiQJ~OOS(caQ%|)2;8q2AzySh5*>lb_q38o zvnX3~jVhxO&%;&wk5)hk);i==hxDH(`1bJXhkWLTTIH^ec+?~VJ5e{Xp^cM0F*AU} z97sxPsw~s*x<2cZ+ZM09MPE(DzsW?J-DLXqwd%K1ZYMnce7`q-*XSt+(hcG8e!zaQ z_6%?GtbHUNi9C$L;a<*kkhm&sMI+*0Q*Kj!G3Pq>K6y$|vvH+UL)=vhEkKrwQNvYl zzDu0_IqhD-g2gqbgml|`iYa&xr26kZ_Gj+Rg{1Q2IPOy`P18&3yWA3 z$8l9L{+AQFLMc}&wFeo6Y0=+&bdBN_*GEW42^?{7sj>#-0$D$vf~D4C?#xSLOgox` z`;u2ndu*e>1$DD@?uT#ut%sf-MyzvJHoA2`55!}B z$&{i}N^kCW=CRgs{XCz0>L9EOhG&zA zK;u1c?P%darzGkfVVJO3RdfL%6V;>8S1jw&nS)&Ybu;lqtrTbYzl~Upr@Ah)kw4-~Lsa=dN-={!sedmjDrf%`&M|9jqNKbK&`51VuiTtOwP0BS zB^UyJhLb)i9y{>fF_JiYLf&j8UKA`J1h;na!a)x&fWZ|h+eiO3WsXg+8bLm^`#D`! zFyN<#7;8vc>y_fk3(+LxOg#>j^z=SS;YnT(h`D*s;J9LI&Lbd`^L%TLvBv@?$@^NM z0ga}ONCSeavG8}#o6}a*w3n@tjn!){@Crcp%e2LyV%gm8=X@z4_iQNvLf!K6|0RE& z9|TS-Vea`waU9B$84=oAp()G_nlX%Y)uc6M6K(uhw978=5!(L7dX_Zs#iomFdpi^{j)u1m07 zh{3Mm-{SQzW;Mi(m){o(QhU$3XiG2BK$6ie|Kf9N!w!a98WaKLAym1x@`_k$1HyBh zMNxm9t_n+%*nNaeIrj_Fji2wkUOe(Z-GQF2skhEw_Dl8FA9^B7)gNJgs{zw%`Zc-B zaF-;(>w_vN7qw||B8%{~{wgTX2C!ZwkrjH^FvM-GMvnpmj$TQAu4J()C7pXrj=m)) zDW!Cki@}#7Gu)LHyp*Fctr?sNgD@W!v#=u7t1VfS(rI z?_FaZOr>IOytrR|edl}v{^ghwetZFbMAv+nhUke9%|2}URn&z#^xd68>ZA$Z)J4{- zRne6Tn7w0X*aF%J{6jYQwlA4dEDUQi-72f5&SDJE%F~6d*GU_Z|B7)(0G#vWLW!e` zydJ0{d|83?KP;lGd_pw%2ZWb7nax8HMfoQdW=4Vvn^Tp)ih&RUcf&tF79NmVP^PQx zDM(Zg8#^WM;>dOV0P ze4-J2hL!veF*nbu$p$#54mw7OqJlw410IJjVNptc`tNAl?f}pW&Fp@fBGx&e?K>rr5hhX? zUYfAHWr^MAHPzWm4u${4xoa^JEW;iv$;#7{E%2o}Lf|tnG`fH92cWr+rRuX#AmQ3p zmTdnP_Nw}V-04ru3lrNI_*(6Mk~S}zHnZMm)F59RGaTTK9snq}|D6M}N^%2ALTM3h zz8DeJVj6r%d~1v@xcT;iN7zYZZNI^RqedB~dHl7bb`*Cs>x#~|d9^34`GI zd-V>Pf=o<$0HmGvrlas|n^v+W+fgIM;PK)~r@;$)aCp7_;tMj;e5d=ViLO^cT2?at z(>{?a**@`IWnKyYyafD3b#ynr)N&xh$1iM*^`X)JkO(ZJ-8%e~TjAR>Xq4;6Ew@9u^1?Ol~XckcnA|;n- z>Q-fZjK%sm_~AmS;zyFX=xzWF0nm`t$$Pa*DA>gMKO58^$I-Z6zN`74Nubv*$WtV| zn_Gy?)A715B3v5j?Q8$#%c9N>hqVnVZ`HVpytOB6&aFEQd+*R$vN}Elxk_3Wf3Fjd@N^788mDQykI?887fZ_VUbiu+ec7{+2K8k}{QmPky@*1G-gc}tn;mJ;q7^O$Et>tHd#395r|hjjJ4@t;3-89jIT zYC?!Dh=A3)UnpM{{mDlKW)$_KCxF;_*vhrh$IRyD-wie?) zt&GZ6ENCpvj4oIkq+v2rJuS{ z4jL^QE^1^2?ZyU?er8zOzU$^5wR%2l`0EVUWjztUHyw<}WNFdoZwZJehzV2_q+zjJ z{a9bM^i5}mULuyZZ}%Mgl9iC-@yCSrzWmkAG3-eWO?fW6hKf2l`V6a!$0ny^HElI& zcDD>C?32EbjF1CH9#J^(%De~3DYDDKZ+-lT_OwWSvi~F(aVyppB-?suR49pUc%SIT z^fnYH7W~+ngP=ofXhGroz!7@k^#|oKK*_~JExt**Ax-J>R(W~M`(M@ZTr}j*TuhkH z0z=x*x>({*Ni-X3jv08V@t=OI!tMMl*jNoQ0u3|zB8y{*w0IEdcmz40~!i?eJ>YIDFj8h{z2ige^ zqGflkl}HGL6GJ1li&ib>(*!qC>)C5qX4DQ9L9U+I#ZMmo2chB?b>{{F{Zz%3y3h=Y zIO60d+bTlMB(S`H(AxNM)WoVaY>jqupQ zZ_(>U+#A2B2mQh^VvDfF30P-cS?CDY>EU@%5rn{wY%I&Q)O`q>NOOZtvh2}(-Swta zfF6?Ux>^k)9!la40F7d4BTo5UU1y=a^PJ)*> zW%?bJB|N->tBEdjG4zGQ5-pzV;O=ZgTf(X>l@6hcfz{ei#5N9sh5DB|r6pF2c(|^{ zXrz*@iY2%9K5FN|kwQIJX8*GBXazbBo+_jRUpyM2)+klS7Pz%`v{_LeXeYL^- z^jw|otFo`+Ri!rG8BoWPzEWuP;MT(@z`*rb_#iCzJ

      p6x zS^SX{^3kJV@h`Uj2Hcr>k{!8}<3fO16`w~dWf}(AK++7Z5G-Tnp`*C)P=vcVnq6=E z+Wy~)w+ZZG%DLU}oTZnyv@vm6i3fFSQG_D;O-LIf+%VyOCgB>eZ=X2Jf^atpRWu9U z$iN<+Y0xI+SucwgeQkHS#lE693_vUqd^pD^B<4&G!*!?$J3%abL1db2BEi)^dremnFB=`xqxsZwn+SkbMm}0P zZ2pd4^Nbedm`MhTi?HGOE51)N{^QHU?=Ze8iIX((*AI3j8mg2WkRd} z*=buQ*{L?KMGi2_lZ^5^SF@T7}iDE3k5R|B{+MfFy) z9w+)kht^KCE1GceX=t?V3qTI z8agEBz@eO>{H@e8zfN}UpyT<8YE=)qgWxR$4v^UjO0hXp$F9*k_?!u=^o7=YxWnNz z0&FhMaF|!w7d52LT_bHt0$rcY!N~=N+HN}H@(gh(gL_YhL}5Do0er7T2-|^uROG(8 z$6&1G__a5=jcx-N@|Qq~;;EA9enb)@j$T`h@2RUB!viF@NqCSLI7bz^1$t7M-xncp zF4+L&>j|d0KnXYf7sU#p<vZD~G;93Fm5CM%_45sn=vRt0Q;5cCY zq_?v&GJ496d{uasz%*muou*?UW4Sjz9jb!{<+0CJ=HVgs{uaE?Psuji-H6#@#eL2A z)F1wprdJd(L>dDO8m)YV-L4oIq7Gx1z=ngolwSQCBYf(JdRtF(c6NCP}+j2b>zb#LGvID|(T_Rc=$^ z4EUrc4r1$)^#cL~t)^N=CQWIDhkO z6HiAG{?YiDwA=GcrQwOJQd&bE@j_Q!8{+lANBKxLw;AqtOKb`TJl8^U5KTWQX#OvoP}gD6wM34d{0la zclNgT5Mz89`co!=F;!Uph->W%uoyY>A=a%uA-PFJQ30Ut-uCg_`s})6985-&cW#*v zp0d{_F2T;&bB?(aV~}qf&gL#{#vlPQ`F-;8HxVhsKGIEVy=UX;aI&!?m9aVmj;Em1 z5k+x>`1ciOp6HND`ENLygT>3*NmAqRIpKkfYUGXu#`(ttn%M_wQKxF{O~1Y8#FGl16ZJEiG=<2bo=wyd#$eO`kV1u*8A2QremdR5U zo@tFT!;FVM?a*~4b{#?cyOeCkDr|;K*Kjvh#CC$;1fS?4lR`oH4(ksHFao5hoR{j2 ze=Q9q{`VbL15i4o5z`~(DYDg7tpy~Z$ruZR3+ElfL#?ozv(l&kX4VP1T;@hLygbVO zq7fr4+d}4%SN@jwG!N@WfFFflo?wgW@fO;&4rZm!Q~$;h)rDLobM#@C;#c{Oz_=?? z?EN5g{S?`@d~&}H-E>(s95-1FHB2ws?%U_# z&A-FcIj+ai^V2N0!|t`iWl$9Y|EA#3ZN*-xUB_U4&EpB*kl3kPJf0C1zRI~-KbkWl z8+&0(F+si+=q6Lm#&Ttz%3ikHj{3GReZH)Sc(4`%qD1>)ZTrOb)zbE`1o#e=%Z)HT?D%BlyS2G73Ka`sLu)w~do zbwyqM5WkJ%j0lvA!zT?@p!(x=l#bSzT-_4YFe}9nvs$J=W+%r$sH_?^-F10QfVcQ% zP^i@6eB3_oAa-;P0;_S#Xok`e5-+?}#(>&gVpU;{V|k6^Pv8dYk)vQ0 zgyNcUtcx?`e#{wpc=1#c$B@kHM+DT?@3?Usx?7(b{d%hwXm~{*_p?F!afsHx*W0j< zOa_WBSR7H#>{ytw04+|Crzi?J>1P1E!0R0nZJuXqe}c=?uwU^_xX=(SOB_OpK1n-Bjo#IkL+b=(psi;33foXxvei0~NcEA-q#W{h)goAN8HLk-XzMzy zA!vOzTFbuYkMqb8_wPrdh!>33DCyU`SPmmk_HgTY0f{%<)~E~r$EF-10hz!kU0xWdH8SR|(=A25N<=r!s@tm$tSkW9;uzl6T}PGnf@I zl<#sl|5BJar#B+GccIiCyDDL}?ch}BJxh>)d%#rp!_U17Y>r1p_h0M&W`0i%e|CU@ z57K={R8zFA92m7B7yy+-oy#KL>XxnPWqN~4>3f!z#c&Ka4aVgN=1X%>{EUNTpN3~f zTnsNBCrbpCQxN0YF98c|P5L>uJ3Rptnv!O%c81I(>>|XOvbQG1t*)HFdjt9_L*}!> zF-koggPA9tk?f`Aq7=$#W}fPFG~JEGW$r2FWt@CG#B-rtz;zhyfooOi7mm$5O+n-I zk$cLZ34ekOl_DfOagzY?8`BqQH)Wp z>%Qfc8ssrw5wct@#Lz`u@*V_T&Cl*}h0l2ag2Q|_y}ap0SI=ilbe^ajnE^L!KjT(Y z7=-vhm)0e=0kl(QPA?orHGtyuzj)ey#y48LDxbqHk+-ykWoI*>jxxdu&wn+H$_;5K z?5a*;xu-Q$S-@HfmvNAx29nCsh^UF5~Zcp}$1(KnmhKISKX(8kY z=+Ni5$%yUz2R%wygboiAAC&w*05Vwkv46V%B!CM6In)hJmY$dp4fTjPNpSn4ydC^M z0M4JEo0xD~K!?Qn(74cfngTIY{NunJaW#bhl;iy$(1-q?Qwtzef0`y{#-0|SF8sJ6 z_s6wQ0{&A@`G3H}|Ckti^koKz4hV!(WB-q;EXjY$_4oe=z=-~jNevpmTfNE$@sNT4 zQl+T;^q=x*;{O5L;s0e4{2ST|4HxNyxXS;iQhW6Mr#$ihfS3Ok%&QxQ$ zW60A*AUSDI3Gv26Q-j&2#v5ZaVqK|pSgHI?QQqSvQ}tm`#_@g36%q%H%1j|skGNT% zbsYbFz$cGOE4s1V-3x=ziHWwET&A7L;~%X$+gt_(OW$V2_0{%bS;SFfi(@)(GzJ&c z$zM_nyz%QxKP|i;Cq#u=#8C>keExQ@j)8?wA^eD>;Pv0pZu0?Lnh>!AS-_$?!?5pN z(f9oqXh|~L-)}D%A4m12DyF?=jpUbq-%g{XCeSm!fZ-UNS|8TcNB6?sJn;|@G?g)> zIAxG3qhld#Gq}awv$e_|Age8Zo3c>(v&nh2*nIzh=UZ59KfU0SF|imq?$e24!46NH zN)6_5PtgTA^2JgumMcw;y9@h=E}qY?qeAhZP7`@Lmo32sas+Y>8W)AZo0w;MsDob% zl~@} z!Nc)T+`zBT??%cWqp!_f0A(Tt8L#_W0t+yItgfwpo&@zBuVdWC4buf2t?{6+PV!_# zX>YQG@Yg3>x=~oOkRwr-&z`BZ&lBEHlO|06K6uP@6GtoPa+MZnuFo4P-zyj0vl(oD zgGVR&^SQS@aSwm-JO3dLHcRol)iEG94-s^6zBCk?IQcL-U)2hY~hHBYFj^dVvY1_PpoMt*zcYjtcdhKPx7dD{H ziQ6euD|&3AxJQz9eQGNbblf+WhfXLcg{TXbGx;0Oy!Xelb`_y{=WYP*RjJ&d+88SD z*|mxBj001WR;vm_1vRz}u5J~^?tGlUpxbw>{*@}S)yZ%x@B2J+EL@r_afgF(o^SH_ zvLvh3_i2PR!8}ZL@^Lb0+2VG`LkW+HL0uXJ&@f0G_aCNayWDX7 znv3MS^}8a@TG!1zr`WGMYXe_DfikB`GmXEXTn(Rc&(V9KT<7RvMy*myF6hZ?<-K6& z_mk$cRHzA>;98lU9TrpuK%aU+l8tDD9G-2nLcv>)Y2afxtT5@odmC-aG()jW94_62DTJjebfKfVG2Nm4FMh}~ZapM~f z`+A?GKDN$pOb-?dfd2Ymv=J?7=TrA)+-*=ztlWn%&%JVChps7d=-Y#kFIPBOXa&$; z&}=$tQ0FTj39ERO#pjdzjJ&&hO?lANABhYDP7QjICjfrC*ltEYbhuvsgW%8NH0@hZ zda<>2E<`0d0|b5ew)!&VNbr#4vlp60CPbKY;U#Nz-`1oBU$D|rKR=3QogPb-X2kdi zrzl?1_Pk6TP0PkG1ko?^N5dxqi|&=*a7J1&>ed&iG^7C>N}mQqGG-Wx&K+gKziI)z zKUaSlEAV-lbw8`h$LXEd@#0y%de%fAEhGG?!*!z3FhdJ=Hpx$QYkBO!1J?C^*hC{L!Y5g02*f*4`6N(&@Cq>*SYWpJ+>n)U(-Oxn*G znlfdx`kpa0LTgYlH6jU=r%AyddGgeg0&qtxdJ5e7yO=ErBYPk!pVMOZ;BQ)4Z-TO8 zg*j|shQbE}rBL7w3 zis*gIRpR2>4y$M33#f^vq&I5SqtBG`)!&+Pqa_R-7e`pmrk)Y#4tBz7;i^Am)_DHp4BaVO4RfVgijb$wU~t1ZYv zoJ4?~?_N9wZ2zW>2LzZRW3d$nTgKV)U9R{k^Wn3mtB|@zr%PuZ4c0koU9Cy@pXoH5yker^~M%_Di2Ptw6DGrGN2J zhiRF`(yCdDRM6-O%DD-q<>5jlco|$fUt=Un2C96WD#v}9Wo-F=@(G2gb=sNbxy$~%8f1$&rsqC3+3qUr#Bl=2(}CKzKmz?o zb6ZDRqO=U2Zp>{_)!)AUKhm=4(<`XFLjF%$kH@fQADE6Shsj)n)2g zX4ws-ej?&c>N1j^Cd7$$Kk=*pI#VASkME(T$fDH%rHxB+@cX)zGP;k^KYZ_4Vr@~h zhY)<6KScWXY^s&tEaqNamw(ZF&Dw$znjSpz>%8Yw(p^~9Fad?FplE&WX!TJuK6lo( ze~y%IR|}UwjcRwF^ie`d-%OeBD-#d1tRJ^FUiE_}eh}=OEY1sGbO5#-Hx7Iz{3Z|= zY=~=P&q3DK2>0XGlz2U2AU>2FdxK@_r?aw8op|Q+{KBW5LhEx1PDQ63tG|V=V+-7D zWDF0!FqL8dW56%=gF?wIzjce6D{uOxT3=l+HOtBq{{hIhOHtS;&7NK!!+dv_Ba_~w zl!SX-Aj{rPT)OD3Dk|ysEv0l@>lt>)9cn4Rn0Vo6Dr>VGZc0&QlepM(vl7=oc|G0Z zr-&_%XuBOL%6%~ngnt$id$SotLBG1BIRnf)ZQqkGcIPtMnO^Ro*0fyFQ2e32)Vpa| zs7ZRl1?149XzIs)tH=&3|N4VG5!h4n_)9b}++2H7O#KAZ&Nz05M{lB5w3jsi4sU*U zs7TS7^a@7tg*eeabCeK*zx(b>>$=0c({-q`44ue_-s|1hRBA$rHo|a){PizP5usBt z{}aMJUhBG#vWZC!Zy-ge>d!+qX%)Ek#Sj^7Q#^OhLmaq+pe)17 z`}@Hd@wmFK?Rz-ACptACW{x@YCLfSiDgR8%Or_~5Z7mQ3c;L^L) z&Ybet1c6t?s}IHh7Oc+j>H4mE`?f*}!gP!C7u(zlOKUGBni(hEBvunHxrWtBezo4M zYN13#5s+-dZ}<0eek1(%cR(Z#{wT09O5c6vg{PNswe@0j-mdGwI|CEYC*#h!ty{=n zpTk*+SV`;7&wlfkvU~o)yW*J52{OHBN_ncP0d5~c>6iE_JJALboyaTIzeP45#Zbee z=)c=ki4z;Z%uKZl*r|_&d102_vo@mP@9T<`mcY19e?;Zdl=9D`#-8JOfH3nT2wqI<$yh&hw{Bt<sjV-){vhuqCv!BH6u|FMiVM#12E8pmABv&t)*d{X`}}@%VE-6WEa21 z=Lypvhw zeX-}1BPU!YWPicFOvqMHbG0h?YLI^_G=$*Z2}Q*1f+w;I4_i)ZUsE|Xu*mhsT)swy zQXeYjuW!^&>kjmr*RHyp=uc`IiKW=FanUV-i(0%nBjTb4&G;WkdwTFIeN7?snbm5K zi|PP$1O+I{=G*i8%hA2jDCwY=FLHAiO={WS)Q6C@_q%F(2mxKix;gbnu|^~ra>nZ= z2}0WK`4naETEx1b5ChluwMgbQPg0#$4@T3(R}B2~3{S^j)&%eh^J|Do**wD?XJEZz zq}^ISTYr>);`bWnJG-!9Ht(7+YBdx=XlkWcxgfYV=u>_myYJYzx;S_ z+~}lpD2lXNb%%){Gu`jc0&X+w^=7I*HSU>6SN{5RyQ$Tfe5KpKnOw&h4-WB$C0&lOUfsV^fiCO_y#ch)vPpOXhyO1@F zJ>Va8GkNsq9D*8b{c;{2rK1^o*(S~{NbB;rCerBs3${qr`o#ODe8o&aXC30%KFwLY zzZzIdY|#8Ax&O~>Us`IsW?SaK zKg-XOSq8HJ${T^M%L8D?da?UzqPGr>nS7R9yd87F2GO+%hbt}tx;a1Uy>|o*I67Gg zC(n&bv>7vHO>YarY}j}B4+~CaY?En8Idwn+u(Ac*Czp%Sh!qzsE5G-3+)oG=$axGc zbvrWg#HW%6pDdV!f(O>WOar_sjXi!x?&)+?70i?K2pTqm4{PDXVD8LY!+z(# zV?Mwi-ZR)j1pCd8bcTpU-ny}|N=fH(zAd@9FlY140XwxcnywqqTsSC9014)NTyJ&F z^zD<+vJCykU2>``#9fXs(!18{YI}t^Br4qj*k+T)a${N$f(MF7dKk#u(`#1#`gvd# zn9iovCp4lNnR%C ze92!1sVmwPQa4kyZMm?FF;KB}B&jJD-U)BWoE4i7yT8L; za*SoW5MMw4#jU4H@3G2MXDmZN7SaJ^I-C-YL(Q#@Bjo5K5}UH-pWmp0Q9+@<%qBe+eTu&P8^){YrW!t%^LF1+P4_Xl8AMl5}c^xJ>_8cIC*HcnRv%7o|?6K-UM zs-9>Nwf$N@O3Bsm*U?yu;l7+mfuX8jEtec;cZ(1i{sYZz%59r=Xc+Gd%=b)gHAbUfL+l&)nxNk0;DzPRmq zBrT)C#Y!Ik#BNcWMg1X_gma@I_2SRe09E28<4&l11G$u@aHm3TH=%tro*cmwAqosd z=8yy$xtTQ&Ev`4AMt9mmb*$9owZis7flJB@yctDD1UYYG@~b7={M;YMnV4kZwCL?T zsR(QEf(nm9E4xzs%YU+L)rjR59_}5`$op2`9UW!@6%iNd^rekhIs!|M$v*M<-ivS&-@!F&%VKWy_n7Q8lS*Rp^29kjI?N;ox(IrCS|4gy=T3z^&( za^nhh-wLynR{wbIzI&6uqQ0+~VRlU6;GphYI$FLX`3*e~Pn)CzK9^;x&X^Aumoxk3 zEB$tJdelB&^_5^~FXjbiEf0-g>4QdDuaWAIa=;D4+ru;aHeU*L<@fXbgF7H3N4a`+ zKtFX|oqzSU-IF(l$i3=qEUvcee9qa!%3M4%Y4fdLej+(UA59;Mse^~+oL^G+Vb)5S z@L&Gii#9D2dQ-geptoR=z7I`TTBH4mYo#1sQXd*xtAW0uLzT2TQ_|)c{^4aV9jM|k z9g$8<-50tSBgUoVpz_c8Lw&@=)D3KhaRCC$5gA4H6tg5@y0aoGZmS=K#Pkoez127I?gRw< zMJngwJkj)!7=!%YLq1V-p`8EBo?RZHkda{0E1JD@s zraa?nL9iS~D&YBvA#i%HynUCc4SEuGg`HV~4t`S{h)J+$*~My-#C^f{@eCABzY%to zcTZfHyWaYjewGq6HZ)MO>v<4SBf2?tuM@FM){lG$?bvnzxF(KY_;s&x_nk1H{)*sQ z8vPr~=8cKRU9ZzdiP~LB#!dPirGexR$t{@v0Qos6Jg0W8Bo@7X*FS^?3SDbi-_6)> zPDPB;L*|BVz_F;G`d23-1a~wjb{}_D(Kt}~LAf*i%w2|sJF5JShX2$y8)o5?4TW6W zT!zNRS#OK&=n>BUd<>S-Rm-|LV79lN^i+jGY>jii#2zSu*||#An^MK|4+|W-@=tXM z9kq?1Kh0PuSBtjA;u`~kK~q?#d0ST72bvN60?S9bl-DyiV$5@2g*8RGAba&7U1)&n zNUcMQp2l5G5B+X}8avRrSL43aq7v{UZIW>ynn zx9%N}kZC(k&GGGDN5uTvt^gS}W;$nUzugru5LxxrZY+SqMJlYN17b8uytG$-%(zJY z__Bq4=<8e>+w6P)Guho+7YalL92i4s6>mvhNzwWc>kXFNs`YSsQoxKx?@~2GNgt3@ zvdsSwcCjwKNs@KX+h5i6H_!Ul<&(cW1h;2C<$NpFhx~0uND=%tHA@!<7+Wsq_E{w< zjo@w!vJ;f_6%BKot(|28xO(q!!A~9QJVm^K#mp%;Uy@=Iqs#^&I(T0GAsfpV zORu^Dhv-jP{v0P9W3;mlcn;Ty(zzP`h{_kfi=i9s4CS31-Rd~oJo=qOYq3nL?1bDL zIDtn!dEOic0c<{k5Nu=aO35{qBvmI|OJ7HJB(3CF`_KECSV=u-@55)P-Jsny$zHS4 z`}(ZtG4ohLNgg3#bR;bf#|)a8*RUi0TDL?bzKPZk|tG0k)-_XiuG>OS)1>v=Zrywgph1i>kXBE4F9 z!W*(Z$xdxSs%4{Q&te%%c6{WUe81EyIe=Nwuc8d+5?Vk>H-#Q(-Py<-o!O!X>)3}; zYspkVY-km}$n2a`I=ukSakQEHqa*7Ycktbj-|_FygN3A$x|?=Jzq}&7jxl%Ahw&oM zZHu5NVue;TGHq8&+oNgggrFmRKC0_SPr6uYk^M|aE19X8N-m1w^ zsF>^t-ZeRrTmx%GAMw(IO(SA&tkUKT>*ypofVTNPE*metB7+cSZN zj}!}S!BtPmTJ$|5H>#|A?@|moI54`{EFHHQ;8pCA2%Sqm=N4&HHlIDmpk4e5T&Zc= zyV3v5vsU6V1@ly1;|HUiqwwenu~ufArl8~#=A>SDxmnrP3Kfr#;p)d;{_K3OP1k@h zg=VkvsBLA>)9YRBjZFJFtv%q4S3(*m!0WxTeI>bqb&-d0)?tIEOw-h&R$xh^3o?rx zLGO9gP_fm)(N-&hymkPB=>ogelJjtxH?P`Cr>)9>d;sRi60YYvv|GFM001 zX;1c$G8y|Vw?XfSH}?&cg^QDg!$gh=STvCV8k4)PvMbx(C1XkD_M z12xV!GrijmY!SB|hlx`wIg*w+15{Gdy zyt*{E^^KuI-_L9Vb`**mGOs&3J*!(t%3()n_7N?TT|Xt8xlRFyk5}Bq9E)FtL|viUvh0)Y`bXud zOuCBZ4j5cZYcws0!gz#QMLHSq&7sZ2VJ8%QU^uE}SdMu4BhxkzbWmwptlpS1Hg1sP zhEqKdSS<20> z;bz8${m&+Dth+Y%)Aifk&R48~E8HGx`_gFExS<@;D-p{<_War50p5Bv_~&kuM|nX+ ze6QS#W=A5MMRMnn0bchmt+Dzg`#Iy&w{bdW2RVw6RZG_iJbMMCtU=$!vjnB`f~F1N zLI-{sPfEIsM5==}T_P-!ZCg%q;~F}86zz`BWppinOy1$jJWDofMtXWi2K_sTg?fp)lWo+`Ma6LTX)oDujW)j6&)>#fB zN&khb0*&b0;Yppt44v$?E~mIF0#A=K2b&##enio!n`|{;mFcWnt+bd!f`Dz*1F5BJ_0zLO}fDQ@gX={d+mT- zrH66yn3EbxS^$<=LAu)bVauz9?(X*B7v+8lP+b_gU2#Z1#5z%V*Wm_vML8&udGXA( z)PD-ih%ZoFwES>aJE+qSEayr@gQePN+`oB>?1ZA@*cwK7g#P?P2T{07-^Z!gjy}MB;2+VL#sN^`@C0M$$iwM!nPriNm>tx9;4Qzh#=lTC)s#Wl8TI zoaT>`2M8`P|JbK713yfS?8vokgdv8_;J1Wf2^ZSggPAP&=1%``Kp`0o&byZ{J~yPv zxN+G+LvE8ExTUx-9mRWSh8ldZMee<~)yK3-r~FoY0)e7bn!K3)AJ28;}J=W;QIVcO83S z>Z#H0dzw9^Xs6iJh~5N^RC|Sg`$fe~{LnA=>1~pY2R>XMr01IPFCR&wuGEX$Y2_VV z=;Jx(=ctP8nS+vFu$}KTm;Of=)i@0|dLi}$y7-8y%-6g7p9SRMP?!!OR6NT}#uZrN zJ@aMv^2^VAbdYND_6fYr8RbCGY+d(hdL=`ATZnt)Y|#N3N?s@S(ZaszY+?-Ju-lxz z;N%fi58qR(uKfs)F;Ko|_k*p5)loHZOkNxw7=I`|D0KZW&@0L@UkzEjC~+w1w5}7I zs=ujOH4hjHi~~#g#DO)et<@lYqda@p->Ik1m;uxGc>!^?35dQWk=wx7gED*i!>DE} zAm1kk$}PP!fA*0dw;tC=#;I0m^s9l+bCIz|FhtI^2cYR(Mt}Dv3*G+J@MPKWyBNaj z=8Q*ABsL1%?P~O(_&1Tv3%7-xS%+i-rJKll`-7z9xYf8JGx9jAHZS}=;UjW`rKLtb z9m&1x!1Mh@W(4ZboT`P7bLA?Q^vcJ5uK`H>wQ`XJ(-FUq;0 zsa{<&c6BwaF`(oj+5vR@WYEez%0I4sz>x{SG=%at>w``JxOr!or)tr|d=a=p) zu`_UWkxm1ZD))W%ht0t$qc6^geT+jYW3=s)XmB6 zlzcZTS=R7ws$<`})yuc|mB}c?Ah9y?I<7(@!SKQhB+B7~te|P)nCuX=bcYHByL>mVeVPj&rQ7T_SLTrI2VASJzv6cr&|hSPL)bW4{G z@?b_p7O@<4tVeD$&zuS_n+iEJ9}kaR{v=IRC$Z+bJ3gh>gB!Euae8Kn)K7}i_(4#9 zIsRLf$?cZeVY@n~#xd-isKEMmNs+R|=8A>98M4%EE1!~p&!>}7R?E6<$jJUv@L{+T znAzh5(=tkf%3GIYfbY1w6e_Uk+$%6bt@L|lU{z7tN2*^QF!#``&{Oe)_=|f1}}?Hkg0- zj=NCAujARN2g&TWjH=spco`9xM1O6B)m4cKk1uyg zo%JjtKbWywCF~3t{2sqQA;b2e3W&!NJZr71vg4M{nj0WA@@d~y2-tTr`tde!%RF%4 z?3AAF88l&Y_f()v4C7+VE!FOq8QFEJBKutg%LtJMhq}-YjQeK7U+7-SItE0C+tWRh z=cHvf-I4(5PkYT{RqfgkYIyQcj5+o7WNU7Pt1EyQu!{K`Mn$pFUT268Rr$8@4^3-O?Yg z2$&4SAUNC4XmSa(VA6%{k2o5*lgJ@akjI0`f^UKchk1C<3kT*73V`YHu! zG*MDa(S6TuQnJq9c7Hnmjgp{}&_iSSUEJic@|{KpUiRNO1+Zc9VZb2OzKW~}A+(zN zb}CXEe>!L3=Y0FKK$p+#HtIW4nbyQZCzZWTQmrLik*C_~+7rHGWozeB$&0ZP`nBewSlVD{ViEbwQhf+6y6K;4`n!v+2h_4OtZpr^1^ggA)enUhqjI*%OjwmC{SY6v?kBNv_Y}7WaOlP}@E6>Q&2gc;ocEH! z5*{?*g9^1E`O7-`>LDDmyEMG%VK=ghGr|uV;xYVDdK-~7QERy-!P!^AyVwJsrg3oS zjq80cl0a^#+bff$QOcx2^uvI{?7+^|OhTnQQXy}VR~?w_w~6#~L)3&Z-Ek zs5{QeB&#A<;4!4*k_(sM1uIo485KuQ1`(e>{)I>~AB{}-Ju!lH!Tx|>a&&zaN%h#7 zIM3_fWSRz-NOip6D%22BcX3&HVf5e;OE5b8F8)SuSO;1Y{&NEtN6%o~5F6{A^KVkA zny4Dw?p69_@S_Ie!%u(pN)JP<2>$kdL@kzgahC0Hi#u~;8jDd*w-mDb+pJ8Wdw8%fP0f~*+Qt=3CQ?J5SWk{&I@ z+A#UnJGEySbJ%L@PUUUB&Glk0JmcF!n;HSJc0_TP!9UtRmfNF;hpV5PVQ78?`F_oO z%|a^AByKL9^paTy`#{jK`80@3ep`xxuu?KNyYOFV;|38-|Cs(!Luf@wp{0JG2Nlac ziIyM_;EM8mM0~{%SZps5L3Cqu4*ui*j9NLzUN{G*UX)-Y*yNMI3^o zw0+*0=Vm(cnMv4}dvvq%p?Yr{v%s|1&yrX@V}H4 z7kdp7;kM=_cI(JLZ%SVtmRikV7fW>MYHgYAMsu|kNmH%TaC&4+U4+0}fNBkLaNl@C z()iyhrM0yBw%_2jR&#mI4<>DTi*p^1W9Q&(8y|QKYB`hy6Y2bvMha-;ntkR0wNuJiWCq%Td+~^!5_OL(l`^g5^2My85 zDitUiqtNXc*9vi61n7--?VbFS6@01{+M6Kmvky7G5t(83^rvOJ{Hg&9CD~hAJauz^ zYn`_c18>A1fe+ZW`){l>QmkuOg}E+@vW?MH9-W1`m%GWxcfa61?k^$bC%Z`A+KBeu z6rU4s?S~I)2K|^Up+;RfHKlF4zb@bScs(+G8e70UWOhbk0rWzqgF~EqO`U67;QZ7vm$ZHH-xIY3Ea9YkWo+0FREgCC=+=Y+jy-BOIk`lhyFB6}Sz{PJ3g@*5xE z*i{k`B$Dzu0w6T_^*R^6oqdHD5XE<1sUd)OMv(^lp#2bh2lf7w3BD03^!rUqiPI1D zS~&tA6G@r=^vtCBKe}zMFq0c_k<+L(A5mU1yzF0$bns{)4j{NY0 zD5NidWrY52!>G$Ov~v)#xDoc}c2yxN-dS9v;i$#^N z{X;QX6{#1WON7HJX#RBA;9rpZ3+?K-_Og=F|Db32b5;NsPxYhe2K4KfyH>(NQ%+;b z|JJ^H>kI$4Jq;k<&+I3~>%`T^ezt3s*{?nXq=I^of4;X)Yk4V`dRy};-6$ybOVTvI zxqX+UxZO#m;P-oXLMXye)f;#m+OBhl8}@44^?*%&XgEOPCFSM{&wI>s#c>M{PXv#O zz)~_!QE;=o@|)2O)9MmA9|CpooL2j{DHjCSeemMGIf6XN<<~hM zJ53Hv-QQz5E{`p@gpl9M@D*%7&WqslVy)Q^R2lO zM!&wBA>@Uge*;rw;`N|01grT~7pEe2O#mlGZ@up=SFy%W+yfybd0QCQk8BsZm2pmT zy>nNkB>LQ>9wf-~5Tg)yNgX)6S_`4xB!hIHM6?lhnfD`xPF|jRRooQ?<_3oEmWv92 zL?pH^GrFdnFAjxJOaC0j&eZ~Vc6kh9#RIeh^(dQ6x0*`Dnzh#+Pb+W#Mlico*~_^e zVn|&0=lB{V-sA$sn{D@`B`zw8%i?aPrvmo2-RO>PTY%!dCHc@-GoBQvFNu+h1yDpn zyFEm3{osR0gQf72s0aqZ2P#i8JnL4RqGXYJm0sLOu?7BzWGP*8pVq1+3M$GqfS1$m z#Cz7_9xv*WEjJ!^T(vXFOxZ#Ka*zk#MPXV~M9@>_-zC`FPDf`F8R|kO+M`&uZ4-tS zxOnx#l8?&zo}r%xZd+SQhq@J8Lp;WnTEEa&>2-~iRur6yY#aH?Nf5=w(?V2!MQE}q z!dUr|C?U9q9><}7kl|+nTV)!On*YHQ4BMR|>bhAm%WhB++XL(R)CrTgs0jg5{?04}<3Sb9+9vz}ghZDa%X72d-T~a0wNj5(U3`MuYQ>SXh{dM`ew? zU`iVp^!3{W#T9Bz2GJ)dOth}>dVp!LhdqO-5<}2&FuY-)lrkZfnRNyczK-Qczj14R zMjifpx|iXJc@kl8X7YZYZzGA`UjrlxbMO7$Z~cA3;CKZn4j+~IPr|O>GQU{fcX!+1 z7Vx}(9y@C}P%}{bZm=hw#n9Anz1EgQu8=Z;OqREyxbv1OXa4g*9 z^VfPef3r`HGBqnk^h4sr+FH?pSe?^n$}pP{+kX)p90(UG?yH`@mlAnbH?NA{xxhTC= zeH!d-!%gSVxyHO;tD=k<0?2S3cp1(2Z8=*?IcGAg zzdR@OLTYi9rD84z0pgkugUl7NM!H#eS0Bjf46M1tp5w*fnMhfof<#U_$b;Nz`55S#Q17nmoz90jc=w`27L4)wi^`o zq@%lG_c}VpPUcGfHzruxB)yY2!14xip8S_%N-z6QC+}rIi!}b-5p$R!W+tj_HQ9nJ zTpB=v>eGsQP`kc+AYf^fxJQ1fy`Q)^!`<`DOs@7PIjIi{x9ib#GWNwwV25Cy6QYc` zV|k{BbYl*zHcWj|&bz?o!xWg@^`J#veW-{6Kydpa(}!tb|+w| zGtvhEEM4NiVD}d4huR2VryVB;DmJ5t7(LDv4y#7BxJ2#4e%|gUMH#2yj4HM>0=gOL z`o)=N+}E=cAz_VU20eoz!Wk91`Zre6~9Y}DD_%;vvKwATr|z=ANXlrEiGcr(*Xf{ zToN7$nfqF}Eo2-qm!J|DL6)7WYM2i!&=FN3_PqGYK6pxq3r`y?)i| zfN>K)<0<>M7d^#u5?j^cZGRh6e5-(};FHp>%hBSws?#!SfewVS#D%-6@_;{0i|dCe z?F^otGl8L^#vaM@32m^as*eD4g*9xaXF=~r{Y4^Q5|x`-ig4!oqDxA#KpQ?kO)2G` zhwruh^mS*2`}beh@n)IxBrMxAS7*^RPnaEyH6N^DpU>)wgOppEe}&udO)R`;)C#OHqE!ZkY7%jL7{7NF+4VwIKWP7|ddCGW!~-Arq|C7^KD&rQTAl zDVTe5Zb3KxT6@}x*go_p%xm!U;MUr$_t;BJ`{VF6?yom)iC$Ie?{%CP_WWW>=#sYt z?0#eH;UFmH9NFYsmZq|Qo~|1J;jf47+mmE$LoVK2p5hqma-Z+0j}b{$k=t3J_0(0uT_qRk3 zZlZjS#{R#Qu4L*x!j&5#u7)$&(NmrSqOK3cA1bvg@nC#tc_Vr=Xl>oKT_jV?h32Jt zk84o=_svJK7~1Tj1p`zX}#K=5b zud-+`B6#ELev=0bcfdAmiukZejlz9pA6dVkh2D2!%UyZ3E_nxymzHM|ZtK@t%~E&1 zoE9hA%gSE73phk5of=}xvrL1|nRBi3@GH{uzlmu{;4(rP?1fXz>+|zE2q@hxMr)}k zscsc01h>ssFc}7_1s_eXEcuPfY3D?HUTMY#5iGBlFW_dM;QF1J(_yvcCs;#c8aSwY z1aA@mK62TAk3zGu*#5aZ8@Onwk%+Io&{N18%O7=$3%Hw6=)hk;ZleDKhmE6nAoctI zgWn=aHym=dLjN~5lUEM|qi6&0Zm7-${@9Pti?t`vCY}dWl|X!J zKfl5{ah(0nl^%R7H8O!Yj@hRh2McGTJO08}!JN&FH`!B5JgCM)M^U097QL&+d~W-9 zYOg`5LX@c%EZR_25xA-DaV%s8HsoT8j}XUOr4v0Gj5?XPxq#9%GZt>K2BwblQQSa zGV&3y-1Qsf6_o$p`Jcu3*5wl8S0Wm2zJ~!1It$pr$+n=$?d*H_0(96faWT1NsNB(4 zk}sR5n+A|}gr1e93aNIK{%s_8d~i zfA9VH zOA-J`Dn&8~%Vn(|Q8qpeq!19y&ztJmJ~E;J-ww6n5Jlzj*1r2(@WHI+T`e&?r$aRT z@ABGrwfQF}*tiLyX{D8~`3-&0^gBCvupbm5W(8eHXOIHK$RIOjxt}xcea22M zpdD$nvdB%|Of`_z9-IUQ+yOjP!Q&+QL5uA1{o^>fe6U9X$2WgRl&PyxoWFOr^6|wF z{cxV|r-(t)!@)O^D#Bx3WYm-Z}w_i^* zF~GP!h3(KNzfr7A^%VcOx8bk_4Hm?5SuR8^3SDCjPK+dC$Lp4r^kL@@ex>s2U~!?n z=hn}7s)L`7I_N~SW3ASMjYpZgLGl90{yedjo z#2p(m0f$#cwxHobHvv(ZIAxmo;@htRaMW*yoGXx0m&%?MYTF7e))L*mNYrU)RoL4g z-cDzhR&LCSakIz$cl}S{)tY4xOPn_6xcp`rpHV&r?D#}rF!}muh5rq$S>Le^u%J5s zvahG3KuJ4UFr{oHy24%P%{d`UjguFJar1g|Bqli_m75JMx>N8WL&+(j4hGY3ZM4bO zXti>%$}4V$Bpm7!&1sNzHlbZGJE|CXaXBq1fPS`AfuI;$TXR-i4sef~6k5|fJSIy= ziv4CJ$&vxOQUITC(nB8j3{yy%TqV^M?WuK%BP4Z}N^t=b<`Nrwb!LN&<|;*<(v2Lw d5Lmu15AVo26X?!%rGg2@Tmm)Q_y+(0|NrBhEyDl+ diff --git a/cpld/db/RAM2E.db_info b/cpld/db/RAM2E.db_info index 7a11d7e..5367950 100755 --- a/cpld/db/RAM2E.db_info +++ b/cpld/db/RAM2E.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Wed Sep 16 19:33:27 2020 +Creation_Time = Wed Sep 16 19:59:46 2020 diff --git a/cpld/db/RAM2E.fit.qmsg b/cpld/db/RAM2E.fit.qmsg index 345d23c..c9e12d8 100755 --- a/cpld/db/RAM2E.fit.qmsg +++ b/cpld/db/RAM2E.fit.qmsg @@ -1,39 +1,38 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1600299287061 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1600299287063 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600299287091 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600299287091 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1600299287121 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1600299287126 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C5 " "Device 5M80ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100I5 " "Device 5M80ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C5 " "Device 5M160ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100I5 " "Device 5M160ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M240ZT100I5 " "Device 5M240ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C5 " "Device 5M570ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100I5 " "Device 5M570ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1600299287188 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1600299287248 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600299287250 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600299287250 "|RAM2E|ARCLK"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1600299287251 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600299287252 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600299287252 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600299287252 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1600299287252 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600299287254 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600299287254 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600299287256 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1600299287261 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600299287261 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1600299287262 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1600299287275 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1600299287275 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1600299287290 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1600299287290 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1600299287290 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1600299287290 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299287310 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1600299287380 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299287510 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1600299287520 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1600299287742 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299287742 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1600299287762 ""} -{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "2e+01 ns 1.2% " "2e+01 ns of routing delay (approximately 1.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1600299287883 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1600299287903 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1600299287903 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299288022 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.13 " "Total time spent on timing analysis during the Fitter is 0.13 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1600299288022 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299288022 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1600299288047 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1600299288095 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4767 " "Peak virtual memory: 4767 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299288155 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:48 2020 " "Processing ended: Wed Sep 16 19:34:48 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299288155 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299288155 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299288155 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1600299288155 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1600301679744 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1600301679744 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600301679774 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600301679774 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1600301679804 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1600301679814 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C5 " "Device 5M80ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100I5 " "Device 5M80ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C5 " "Device 5M160ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100I5 " "Device 5M160ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M240ZT100I5 " "Device 5M240ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C5 " "Device 5M570ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100I5 " "Device 5M570ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1600301679864 ""} +{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1600301679924 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600301679934 "|RAM2E|DRCLK"} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600301679934 "|RAM2E|ARCLK"} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1600301679934 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301679934 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301679934 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301679934 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1600301679934 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600301679934 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600301679934 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600301679934 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1600301679944 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600301679944 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1600301679944 ""} +{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1600301679954 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1600301679954 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1600301679974 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1600301679974 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1600301679974 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1600301679974 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301679994 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1600301680064 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680194 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1600301680194 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1600301680404 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680404 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1600301680424 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1600301680564 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1600301680564 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680682 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.13 " "Total time spent on timing analysis during the Fitter is 0.13 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1600301680690 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680690 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1600301680712 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1600301680752 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4767 " "Peak virtual memory: 4767 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301680812 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:40 2020 " "Processing ended: Wed Sep 16 20:14:40 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301680812 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301680812 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301680812 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1600301680812 ""} diff --git a/cpld/db/RAM2E.hier_info b/cpld/db/RAM2E.hier_info index 1a11018..cba7dd3 100755 --- a/cpld/db/RAM2E.hier_info +++ b/cpld/db/RAM2E.hier_info @@ -19,14 +19,6 @@ C14M => RWBank[5].CLK C14M => RWBank[6].CLK C14M => RWBank[7].CLK C14M => RWSel.CLK -C14M => Areg[0].CLK -C14M => Areg[1].CLK -C14M => Areg[2].CLK -C14M => Areg[3].CLK -C14M => Areg[4].CLK -C14M => Areg[5].CLK -C14M => Areg[6].CLK -C14M => Areg[7].CLK C14M => Ready.CLK C14M => DOEEN.CLK C14M => DQMH~reg0.CLK @@ -133,42 +125,42 @@ Ain[0] => RA.DATAB Ain[0] => RA.DATAB Ain[0] => RA.DATAB Ain[0] => RA.DATAB -Ain[0] => Areg.DATAB +Ain[0] => RA.DATAB +Ain[1] => RA.DATAB Ain[1] => RA.DATAB Ain[1] => RA.DATAB Ain[1] => RA.DATAB Ain[1] => RA.DATAB -Ain[1] => Areg.DATAB Ain[2] => RA.DATAB Ain[2] => RA.DATAB Ain[2] => RA.DATAB Ain[2] => RA.DATAB -Ain[2] => Areg.DATAB +Ain[2] => RA.DATAB +Ain[3] => RA.DATAB Ain[3] => RA.DATAB Ain[3] => RA.DATAB Ain[3] => RA.DATAB Ain[3] => RA.DATAB -Ain[3] => Areg.DATAB Ain[4] => RA.DATAB Ain[4] => RA.DATAB Ain[4] => RA.DATAB Ain[4] => RA.DATAB -Ain[4] => Areg.DATAB +Ain[4] => RA.DATAB +Ain[5] => RA.DATAB Ain[5] => RA.DATAB Ain[5] => RA.DATAB Ain[5] => RA.DATAB Ain[5] => RA.DATAB -Ain[5] => Areg.DATAB Ain[6] => RA.DATAB Ain[6] => RA.DATAB Ain[6] => RA.DATAB Ain[6] => RA.DATAB -Ain[6] => Areg.DATAB +Ain[6] => RA.DATAB +Ain[7] => RA.DATAB Ain[7] => RA.DATAB Ain[7] => RA.DATAB Ain[7] => RA.DATAB Ain[7] => RA.DATAB -Ain[7] => Areg.DATAB Din[0] => RWBank.IN1 Din[0] => RD[0].DATAIN Din[0] => RWMask.DATAB diff --git a/cpld/db/RAM2E.hif b/cpld/db/RAM2E.hif index f1dab0e60e1bb6d19da982439e31bf9323fb34d4..584c4e4928afbdbc472d38038738ebb216b61cbe 100755 GIT binary patch literal 578 zcmV-I0=@mL4*>uG0001Zob6J}j@mF3-COEESei|{Amf;j$Ltsym{gEV6QE1W*f)dK zJf=xNLc{!foj~UWYP)Dxtr9mIp#aC?NTxZ$3_sZUrw> zP8}B*ibgd%v>4CyN8OYazXK#!`*=8`zVY7tV2C0;HC*7Vyi z`=e+Q2J_%B^P>(GyarZ7QEPy<(AFt8l>nBi4A3UcjV*Glfpmp!ny&4ECoPAI6(Ix5 zPFKQ}9zm{7Q$+(+!L#S8dLS0G#fDQh9){6k&ZfaEn0HVgTJn=<5s!S9+zjI&oV@Uy zHhZu~C-z_xMzPP9ejH4r$y3Eq6eja{F`6gcUw^ne^Dxw{MA7ZBp8+A{DIko(vq5w~ zI=t2jG(}?FlJwA0bEOwkMcs7noQtZ|cz)YJApmtG%2!k}o;4a*R+I%Wu&T4v(zn#o z|J43AyN4*>uG0001Zob8m~Z`v>r$KNgOf3Vb-?Ez{#zo2iF1)2$xE&=vv8T-;& z%a1MzNT~FGpA%SH6}0VPd)ky(cD{F)JKx9h=Z&bEO^t&9yLRtdH)sV_t;+&at55nA zUvG4#FtZpY?j>cHj8F?FP;IpYd@JNvOmXrFe}Ogjm2ONVvMI7X$3xL%@-o8iHLJ;r zx6=%^kTFD#&niyl+<2^R4l_Z$9!?rl9G>ZQC90iC*4)>)*J~|)q0Z`uh}F%<+0m@w zb;zmV0z=X0W{2X@ocZRnZpupB0aELIC-vWx4}Dprr@{r!$~!H2`OxkfKcdlc5pmUgK{$P7 zIW6~~M<;qP4WroSD?biq(eydvI0}=mitz0nlHZi~SksS7$UX%lyHti=UsQ O)+>Vs(7W$|4i6NhMIXTc diff --git a/cpld/db/RAM2E.map.cdb b/cpld/db/RAM2E.map.cdb index e7a4d77ca1420b0bf2abdde938a14dba8223fc77..78c1b513b77baabffbc4bdca168a3544d1d8aa91 100755 GIT binary patch literal 17226 zcmeJF1ykGK^F9t!p_I}>ffi_y&=3j~E$$R|Z;@if-8Dd<1&TXGQmjCM;_i^(4#C~s zg9ZsCf8L+({Uq+0-()6dCfV0HyL-;=Uf1SKh;eXmN(dg@zq{VQB<@Eoa~D@@M+e%E zTx=X{A894rt?kTcIk`A#Ie6JWal4L^y$d#ElItNtoG4k!D$ zO4pyZ&IfAhU?anL@_ef&XWWl3#Or=HQ}BcbGp309I@8i-cXvL(%^+1!ql#kyYQKMt zD;}r5bBXeVb}+Y`Ajr0u1CrYsfqb~u8eAPy?&2__{8PY&H`M(YFsxPb9W zxQFV3_YI_P>HSSDj51)*-fM@Mf2_5bNwMY44rHSK(iAnfukLermvV>V!&Oz^*Q+_9 z{#CR6HRzui4JVNRVK*gSQUvGrx^srB{;)H+nWsl@SXx=drL?yJxE+4dBRwp=?wa9h zFzhZc&C_G>|GxWwe))fA;{V^{;kCPKSM=QV_1fB6@Qk17qdl56WIh@Bc4B6@)x18n z7^x@U2CHkV={J%`5uP3~saC>w+78Hp*GY65OJC?6Uvoup+i}-l!@j3tTrhMRWSYjO3Ra~;($M5A-RzH3n%r4$$Qa4E4YOy=yC zE$pO7Ki9j}tc#j%Xh0WkGZ1do_lEZKbsZx)R^k;R1tx>xe(C!^K5#X8$J9K$XN0Q+h^W2JN3&7D$~xEi)0 z%WgJzi~6srDK0Lm5qXMvrF@m0dr@g2^-5|8<)VBZ%dkB&%V1X-5J|8l)@l=vsfb)z zY=KsHEtOJgpDv3t@q}}&jyJSS<87%+i6M83L!ea+lr!I>`RsR%mZbGXHWOFcn~cRf z+vvO|OYU^FhA)26$#-|&{Wn%EmsI<*UyL`Cc~W36&ReQy6ENN)HP&2NQ;oJKHIsf> zTlB8-PC}VEaVG)nNRG>R`wR2kvyaCOW=}XDT~a;i#h0ZyuZCn)Zv(Ka1boocC7A_P z>ZEL8YQO6g)QxAy>8Ib7ZsL0Q`8HDw_y>Inj;^kv_61-|`~B(%AfJrfL$Pu5bmUi` z-`YG(JCfn<5So0vByRugx*fDY&;Cm*!>uML(#ofsb!9{7SA+QllE#IlEN+g!|E#6jt=FT1EsjsjLki-H zoIU-+R6Ni(!eWu=**IBH^GGW{5~nErV%0&6*lW{LTto^dorhRfxT!J|x(UtyqB+ng z>jxcvTHY9Kj~0!5>NY?6eGw`yxeBLIuc)T`e8t zfElYM6_!>w=@%n2++AXl>q+4ueR9;ER!*DcW0H?Ynw}A-D7ABs&%^YV%gqGnfNFc} zpDZ5R*OI*>A($hD;zIHprJ>s%Ed4WI+HE$>fEpk(ASdy-=a-`s$&RPT4FS9gkbj>` zTwQZOiofC>&o;*A_FS8R^O~mlP2OC-?JW{A#1aN`J|mWS_^c`Pm|s;2X7l&yS0mtD z@DqldlE}BjX(x=pMw+9vcp5v7s@oR$NQlBB)Y+lYf;;P8Y$>)mIMh_(ZIWux=98j* zDH-Cp;D0LzCEr8mZDLNQ7=WeAHJp^d+U9DL>?1i5;7(@ONJ{Y1v#|2mp7PSra;DnV zF(_lmmao;Xpy+*#EYqvdWKtJ9K`&1+SbFRY-e^~C(c36r~@=|zc!^0OJ ziMN`|igKF7LWob^yc zh#UBQ_;+ZQ9AYp}W-WFYSxZp`j&Xk%jjz zizX%Boi#0}{hwJTR#M+G;XyY8R@Oc}c*%&PWaSf^H;#)ASydj2Hy3e6R3l%F{SmNLae#7Pvs)DX2(ZQJmcvj;MqIv!b~U zM&w^zO-4OFd!{@9%A(mK#&@SGZ2kHaAiHdcAdrdgDM#i{i1H~dS|HW( z8)Vuq_AJJC*<*Bz5DZr91S%_3i{3FUe`w~qPsRj^FjmQFd>ben*DpLvZog}5*+`8XoIO&T4}RapOT`%q+9*Sm>l__Z@Up;y1PUfX z{}Bvi3gBucx(oj z4Kj*-8d;E_uV)wg&bhtckrn^aUk0QUQ?6;t%0pshXbCB(U1`HVQ6HwB&>Ari?2cx( z=WiT92{F@xip@>3*9ciX_emM)a-t((M09OOF0q{79|x{?DwMvW!ak|{?61_LA(E%7 zOHFbxk!_BH|D*?>S@4Is)D`dknSF3hjEB&G)I)4bxJi$$J%#I%%|5ayL^=Tcv)Vkq zd`zzX=yyM^+|11bdb|=)wSY=0!=D$DVj#8pp=ie%PuDRU(8TuhI{BFl;EN^x1kW

      {2@ZD}8Vo$skI*8j zL5^iY!ecrE8==ZAM24{Igb{&~V z%Tt21cE9af3pU?dDev$h@NPMFjnRKrso`4~>(rL>6R((5Ve8PuuA5@R604L9e^hO} z!?+HeF(=EI>!9Axbw|0O4{vFAN?rSIp%s4`?`L^?#5z1>|6TDt+080P#Ji6dzsLJ6 za%CP4?H998KB-&ygZybvhQY-0Qr%S(LG;LO7n6dThWAB1Y_fbd35sW@sj0rs%w~Wa=l5G9C+pcP>3i4Hn#d|--pYUwGucYQT!Q9?YUf>VE zn|}$RB>w4&(R!XBS=WWM8f0&^2?VvcXDkIO>JRk(uTE5iwTikf?Tu|c0Niy1{J>bb zDx*cDj1De5T%mR)#3dCVs0VR0rEr(;`MBq6mMVGZCz#<$C ziG~vieTa3^>=Ji$C?Bb7jOSk~*_`MrD^!2yqJFW#9rhzES8HDME}E&Q67neo)t zJ|Z-c_yn~og>xb0ls8n)26USt(T`Ur-p(){PG|cY-dEkI5)`NA*^F6?29ObNy^+0; zdaM@cih`=Uq|6D@NlgF!4+n$Rez_%xOWiK9E6@mr9fKyQ%lvzI`wK}wMr57bhIP0BlZ0h^jY==I_N2uwhsI%Klr)TJ+2^(Pe&m%LZ1#-OFsf;Q>pj7@i6|;@X;^!pn59(*d=gilM<*WupO60*BoXkM3ib1` z{6`WyxEBhv;64ZD!2m7Gg~(8 zZeYJ0BHh8;kz))1+dU#@c-5Z(HPz;xI17jg7Ah-JveYTwykkym1X~OG8U2}hb7yXnE z#~MWCGZ8UCze#GZPc$v?+}*{MKA^whdxF+c?dr}Sh(H7zm8q248AR@lOhCFJ2o;Od zxxz305!iTtl&^+QP#ozCf6VmAZhWnq6s|C^J7Z8Wt+@9?j_%Nt;8CsrA|gvdLSniK zAMn5rF4%Yznf-`_aS=a0pY3%iABkc1_z)t3k)JPu;fpJQT^c>N~7R<%R(}!i#B|lAX<#5s}N%mW1*9OSTpnEY3iper9)i% z3yD;E`I}byH}sf?m%IzGN6W!nBzw`*@Kn}2DW{>YZS$3sAAlCBL1JAa(_GyA3A=U< zBUy1_Y8I$_^eAyBw4F32#_b~YSt-%k!By|Kcd*Qz^yo*|$6LDG{MY~K>JC&;yimfU3U_#G}3r-bCrJ&v-n7Y`HwypsRSI* zm=lxb-%FsPJr2tR@tLE7ZbM4lZhkTJw>y=`|9<~P&jj@L{r8OYCSQ;liQAJiC)!Bz zs~vwW{BDvpm*9szB{xpx7mv4a7zfXSqTiU_eJ}}1|E2XsE|UG|YUr^S0DmAX_)APs zqpLb-!da%h;AnKgm2W0TVC-!C^@NV}ZbO7`K5cSh>xXm8iUUkMD8}l(nyKXF$#*ZBe+xSg=)N5oLMI5 z4KgoMF#Pd=9f~7me2mxfbz+<1>o$#QKImQ=ZPHj%w zG-S}H(kuMhkyOOlZ%Hr_FU4&tSO1SUF?S<{-g}o)z_Tad3B12t-OH_OK(FjZU+3J@ z;%%}SJ-N8mUpxdFLqc`jN%rvB z!-E8)xgLAm7eX=vvVMN6_sz9(Ix5@?oAPp=v-J3gmi&09uigz|a{LOb5(OrvHv%dk zkfcJ5$k}6#Ck$!(#;}FeZ|e_88Zlq-ydk0~cTVMQF?kO_6ux#O95E(lpO+P?8tmx65*LuEq??sy9?BKX#*`wG8AYmCz>1a8XS4UR1 zXUbExkMX{*C!-zz2skvDQ{WF!5n6QG#2*vS2I-D^z{c>-BHacmdee}PYoxvAkR?&q zPtjDi*l)ej;J7)|7qJwpCMgOU1?ju$GsXn5t1dBGufHgG?(b7U`yGQLkAUd5Zli?; ze*ZCc@@;=*@^_H*`N){U0JhJcS$)aI4Vu~D#tT(Re`59Yvy1BbI(c6go+H@%k(08k zs1_x|PGNGksLzI1q?d+)6_UXceK z6hX-{K1G|CO$U`FO1j1rlvk7YRr~vzrqv=Az`}cUSUjh z*Y$PYAZUqNtsVl%{NHCUp_DuapmY{#a*S_#nxpc?lxs5$TOs7L@pBvF!PhDuf+ zmYme?e;A!x#LWv>_|^A%lt4bG{dc3eAuAL<_p2e>)h*D>VfGg^_}6T1qZ3-h^vtEt z*Ge-FyUv+dZrhCSH^<)A6 zowpR+gM}}(LoS+XYQk7k>;6s!|+&E8u-}@aaaZh^3EO&Y}E$ zqfp#JaKqTUgwz^ixd(W_h7-#7T)Cu=JZGSBG8)=J3wJsuA6pZnpQ9D_H5!A3 zTlVtjtgLTqxILMgs<|Z~L9-x5b7)sO$cEAF zjT+ng(d1I2zfSXhBQSMvKj`XVruTETgE6UEuWEmvplVt~a`R#Q;DmBl8FP#GyYsIK zS?_BbQVUfuwF zQHJ7w0j3WkTsNv|H3tHIex11`{dnt%`8{JVr-k?#hXAPO6PvjF@>8-i& z0YR4%Ex4TegasR$>!9UvrYvj1a0Cy{5;U<$>6#urQZ-Zs23zm+jkJXVZ;wr zAyjGzP|DyaEN~h+sHJ^_OtRPDn~YYD5nVA8j49PGe010-_bL;>&3j3DM$Yhe+z*>O z7Y8nnB~qekNP*WD+yQ67S`yuy?)oRy9x-ELDcpTl3`wF%W{qdVWsWbjCVv`Ir*I&3 zZgw>&IF0Wd69$jYFdBWgY7&AmSKG;oU1UOaws2#n=Z8`Yyn)sJ`h$Sj;kbl^=M`jT zdvN_>xZTTB{&(XEilmnL~%()K5rZ|k!z|c@{{Z(!=MmWZ)&@YSObg5cVhHdUi#H{AW@JTt#4!T zMsfaWfxnIr&aP{yDF&+eb8R%gYYfSKt^bUr1}@u6n(9f)+H2|t@z*15AbXgHd+5Ix zEB|tmP&vu)-Nxw9wZ=f=zM$t){+v@Ad37c)1=BbI^^iqCtfl|xPm9-PB$F>jAgIK zzc#eS0*0P~)$WhY52f0q6vxrh&-`V%yCyDPHRGpJ2a98+!z8=D*o`r|(F5d_KJsf_ znL(_cjgNCeF4+cRUbk?_I<8MxU^s2=+63JZGJJf&*LLo>8L9JO%%a zwIq}f)#xoFlqe?Ww4$%Ic^`sJ>QvY0^VMAau^}KoQRmKz~BA4^MzZ8gv&b2;W zDEu1ofuLCj{}>l+ZC><8#9i}xxlBu>>rt8#U-T`JFlqedw%%6wZ<*@=53Tgoi8@=c zHzp;t>{H{+5cd0ULp^FZfBknW$i7$XtG5|mWnQ`w&f@2;v9uBiOrhO`7JC<8!cSY0 zjMjg+vI28n^S=Z6TS|Ft)--mVR><8&60%ls7ohm z=~Q=u991D#<(J$=PzE5dUY?G)zg}~>!X2JG4TS=wzZAC~{?1iB!&;|pRPr1xxzS94ZzGa#bPCcBf+a-g|4?EW7t1bWbj$ z-^?d#%~^D38v3L$`HQ7~^!Mj8MLVQbKpfL6AbdkJusW7;QJ3eYLT};C^O9IX{JOE* zb~G?@*>)VFFnuM>j&x8<(49LSuuU*11_q25TH0d9E6m}GveMTAC1oy-`fGG)ed{f6 z&t>>;d>g>d4l0PEa{<hg`KW`-3cpLeAZ&MSfHC60a$`et0k*PMT!BocUCBX{T}cHq7p^q^`9e z*tN1OehM}$y+jW`UeIi)#i2B?G|aqFHgrp`_+q8U5P8bgs7&S_g#K|L^i|*%3vvLa zC3pF`r3)Ck{2B=7qrZ!5_Ai|!zb+y-es)ehZn})S=burI+;4L>*n|*bpNR2JDX62D zcG;)hx*2+;FfbJgC!*0OY^R<^7yf*G56Sz`s>Um`VyyOOrs%(pT4ztE?7>8Zh~;So zWK+#7*Ie(iEIpb6X@F^`zS9C4P8d=I3$}xwqt%7?6HCA?p}bfc`xPKKvv@b^mf8lO z`gZiPJ_Ag#e#!L~E_;3)!eRvU7l3c15M5pkT;El2pn}~tkUw|~ffyL0t||#?T*N!f z)G2eX4Qw@~u*b}rZusg|X2jsZY0zeS52b<=EHjLPqC-<%g_n4aOL z<096>niI;N+@Ah6y#8XCa8UobtPXB) z4Nk($L1Jd9uhEu$MiC)w{{E%Hn2>flu6C$sQPZu6?&#<0K|u-@6===Qj@M z6Vk5q7_qpVBqpSU?(Z$$qjBQlbKS*Fb|ffsjxGi*e&+=CLj*2s2w^Xo`De2p@vrJFg(xBa@;s_k#Hwddo#{r;pdZP!V%{?OFq{b+U8*ey~O@q{k4mL7XpK^xgJvIAUu1 zNsJ~|xBYP375>{#`@3>_^Php_(CtU`A$1k3nKFLO3Ml(zHz42Ib$a{pt=0bdk}(LG z5Ehe}w+$dxImEr0$`fq=aYBFU={PIn0Asx-=|fwLS#r|($8}V(q7zL(h~d<}2&dll zx=6@&$A-?mr=~H;iz_sn@ltUK(!0TPZPT7hkY#}=_&=~&5z0E-6*H6{7D0h zAu}}Q=p^})CGH~o+|xY!%+}enc*z$9ca<)NU88u@eC(`NevS#bQNOU!8Z8HZDxaGV|r&bt}a3tLv^H&X|KlK}9U z1fz2ncg%C+13`+uqH1NaoHKF128GlXa+1C#(Dh0GE4(;3GLfbFXoi#>5!+1q8n5YM z<={4zAr3O-=)S>j+vG^>Ut3Dv*Ti{Ugn0;!gAW?)@acn)p1H|9h>pA~PBQ+f#6DL# z^nDizL9KZtgMn!XvX4$BXPzXxb2TCNPPMW8b^#FwXc1$TY1BJ=3W`+>?TIl)ihsXO z@YK_1*C)gbb?qZPhQ7`4g3-+)76=#PAWo-(U5Q@IjZT2qNAq)pdu{JJl6#G|(uJ4j zN@Z!bFVXGL!O}Bk06~W|1_Lvz$g|qFBPP^kAV9By{udi`{sI882@8zOndbSaPc_lS z4sOrghiPIrS=0}@OAmv(Ryis0Vv3{au08mRck_v-qTy##LGk{=2u-o+y zh@CJ(NR0<2h5CU`bGmUYqAyiur`VD-8bNgiMNIJ-(hugo1?(czgM_h(8@`pYWrJ*I z8`kZskLW97ZhLNLGni%f1^g2ZkUp?4)~S9n$QBji$&BIk>{@SVBw#A%Qq(GXM0 zOqli-ZCwpnbu-+WvB@0~U_a0wA@AB9peKNKD{l1QhmkjlQAUt=H(as`!m*nCTkDYm z$8iux#k+3LpfkbQ7_Wl$PM>`*E=X|9E$y_?s&Djg*`|5Iw1?lM_LhMU1BqP_6F?8EBXniS%z3gMY3Jd<<=sV4>H>p z)7~89-p__kQ9X6&#!&O0mrg$6L#Wi(E|)2&3IGHp`3DH%;FS0Sz9mGmOLxV*0>n4Q zs71E4sWnA?ZlbE|vp}B>U8;fnN-1F@)^t0>ZY;xtO`o`pV$bshBwTMMid$95MsNL@ zKiDzMHgxvQCfpN0uvnWwRbyungVEk_F8+!dGZ=44Px1B5|5^ zD;=;0Rc&7;{;dl8!sAif*CCqO(I$-|K2sg5H3|`?HasX%_LuM`A+Yyu%SshUze_yk zrAjH2+r~~>oWXlVhq1}k?u&>&+9!I)zFUlwH%t8l^#(O33wz%QsZa**pI>s-63&Vjwx*^TK^v?A8{6*u75ZHRqI>tBVFJFJY zcD`S98;mjYh+g-$rSS5yS@o<2gs1z8-=Zin8`W~rB5AB})Jg-qo3KAEhv;2Y@tQYF zfl2(!T<D#jF!yoe>8h4M*-J^+H_(0hW6T`*67nHF#! zWmHN4Sx-A_yNGv>NUx4j9=(e7$DKYEAuSy;I-tW6BM^%9yka9l?1N3!x>Rmmcd`60 z^KTA?X+@h3^Jhj*Y0IYR5c^ZDj^(@D1h~*uXvSIh^)ak^HUvP^;kUtl znMKatvCNuqP+mUwv(Gt2KF9U;?0^s z8+}>l{^qOY8YqDHXrTRo7CMM*tzDhaGSr45-y%k@HU+she1%mi{r;X+$Re)mX=ly- zs!#G^?KdUSYcUP4!3(!m2_f(#qvzA){&{@iaFN_I@6A5s`5=b?%6HOF*bA2DD!T=M7LzgvzL`;%(Fa0`{N0;JBK#Qxw>>z9Bb$oz;B{XrP+GCu&}Lr$ zHeU$}3_N~lK4%*q@)Yf2ye#~w>{?+lPkZQR6hTMrYB^HuFKG+AvUd)ev7BK=vAw2G zlKt#}+@|XCHE`i2_**^J_s@oIM%ckPnBAYjGsDI=`ka$}Np+t5KI! zt-G2r@=jGWY2Ow=Jd6?gx`EdiMY?yNWn}wBF|L^H?-LWxZDNGN==uc*oG~ zWK<{9w0H(y$`UVlrbm!>UNfQD%9n$ErmyQus_^ayen9Wx7=g-1-5JM!t+joU!{eKe zKANA9M8zPV22!Q=$egqz51}2cbXUABHf&`@PFLqN3x|7HzSUUiu#GP*;|NB>Qj(rd zqiLU;cnzw!1J1BG_&t)G_X^zR%1y9sT&u#Nyf*etX-?d`lN+<$N5m~DCK&MIMV`|D z!DW*uSrI>{rT9#(WYJWk0=6l+DI;5S1QYDFwh-H3zNI4Jy6-%Qy&o?vA>m0RpVQ8i zn9qm(P%$UWee2>;pnYdsiM+!vqE?3w3(EM@?^P`l5$V^;=Plj`bO1Y6aGiUv5~ZBu@A|?W zUHUTG#~iz?T~VR+tmbvKZi^P$4mNUsJNHVpPYAS{gKjRFK={9^!TN@)+&2=|k<=pF z4Ey;!CvMFXiIFsbIykcVkSvql;#o7ZEPGWOF9&8K?% zHw5dJ%&Vme_lPC74$eU^H<(%LOZytP`H*!%Mnu?OslMu`sjrD|s^JFpuN&3fwnf!D zS-GX+H6lMSfUu#`lrVbM_XqjW8(nM_x`Yu^tK!=%))%oBV|!f^f1MN*;dSkK;i_ut zJ%5l;^0O}aj zXE;r&VNSiL^lQ@1Nys$kWn5U4<@(PHoepW|Pc$uZ{8uvfMu$uymQ{GcaRA{=@!=v( z>eZF?#77(N29hhhW_3|5dZ>%80cg7cZ!3|{lBXRJyS^%R8V8&~it1T2*PiWZxlB#~ zs5hr&VX%CyF%0hoAeZVJ=366>le(|X!tAqeqUhG3*d}lFcIoD{TnwN$2CiCf{aXbcd#eEjVuK>~Yj!jZCBBX5bo{cwP zK*}}sY2(&m2>xXOAy}}vdE5BKehxl)I$!sZz+A>cj&=`uCh^hAJ)f^vrZ`+W-cLdKbEt)|3oo9IWF8&F-o~sIaS4yaSI(OcW za!HR}?m8I%(E-cEKa;fIAo7hqXcE2%%#!k6-F$0ra7nOZp5dD4wB_gqznO3Uwmv2A z|2KL2OYeLxo@}#zK?wpD0|KL#djPa&ygo7_xNH&G2aymdi{R|VRudV5m>uyd*tW2Q?jCKxi<#ld z{He>b;1|UQX}@^Edn{NoL<>FQJMr*R=1zN-D??wSQca5^*|j27ESBcaTj>4TomGAN z?G5Y#oIU8{em5;{0>Hu=C%; zdc2-BYRA=r?BB@wUwFsfIkN!#`K)78>H$r&)ni zjlN+={$i})Vy;`|O4kxXlQY~@L)O8lxmvk;#^q(beG7dtyG8Z&!VAb-v(x5UM{0ck zxVnW)NaO9MVOJ@g0p=8jdpYSue2tdAaCJ~Ne1%7%QL4x~9kbK$;PR%pzms5p!t?1oy($Fvvar(?;IMPmqPw1)QtA9A zYB?WYasjT#)0lH+;h{@ik~81<#Pw78+P{SZew(Xx#|!5r7D6D#>j?E>72_g<`Y6`C zxEpm~8r^YK&J$GXC7@LQ)6D18ljMJLUnDs-O;V?ChA34 zLko6HkAn>IL6=jP66ZX{W_!+lsUmtskLsZ0h<+HW`LcR^k2i;@aqfDQ`?u3GHMAWK zX}S@Hkk54oo)HHr#^V@1NhC>M4k74B@zEHgjH*KvVr|3)~}5HZs6fsN)~Mzm9pWRZ(?O0Hhq*W>McP{f&sNxSFl<)h8) z4!4ZB`S+?rxX2jloXcm8OPbQ`V4L)f!;B5-vx6#gN@QME!WbEz4I5VL!N>Y^R9nq# zh@nZwMb;rH+i9|MMTL{tVQk0}Lms9St$0qut~)O%2K0vlfcvJ8ykMDTYs|U#kTQ9H zDf!^n>^uQ)&$qg)9ZyM}CG5qB(58NsOeKSYkucT!T!P%<6kZr&5m& z;uzlK+Iu*L4fYassXJ>R&|x!(uL&g|Y0HL0Z@;mQA{g4H2C#TII9ROLgP_mKR;21L zGwjE|+G6#_0TEBb6{21yO0ZVc%Nz2RIe3gOCf5px1flXmXgc+?X`gC{KaN=|q3sM@ z=?fHjeNHQVLJJh}`XUud`)YJ;5R?jd>txJ{9P&LILin&Ja6DAUsV`Vcm%!~&Cu8yP z;NA3Y*Zyd@ZFbszEe8>hmBTdB26>-!7D~=PRRF%34yzutnP^uxG>kB(7w)Nc?;n&C zDkIO;8RJZ-VR}5LM#vdaQwvi_nqnEd1}Nf5%|9?9l924=46lSIKZvsPkJoxZ`rGHw?BQkCXr`I9@<^e! z1lNxT$<~ub84QYI&%UUo*S-?feKSM&sJ^l3be&i?0Q@)iScyGu81EhU)a4Up^7T z+~$*IZr;r$H;wlluI}#;Qr{8v?t$Poi^T}xrz^v&fFj5A9yX0|;$L6Gao7!V0MA12 zyiG5sY^9#_WuGSH9|o1%uXAJ$&vj3VoOjHA=p~7cRwO{WvgK&jT6fvtuW4M{#VDyx zXG-%m_l&qK`$7dNipQMBqt13e^>A4Fe*GHS(neit$ezKLLANOr|(Wd6jRmye=Mhw>?*JA}6KG4ByzF4H4%5X{dDOkIE}Keh=^;5p8JT zFbzOL=ZR8m-!^HdT9%7@>A+Z;GhasJ(Y0wYN~SMy%K) zA_yV;^7_92ir;m8p1H1^TzQ^zp69;LJsyucCsYIk1XU03;NQLRFGYN7Xz%6i=HAwimC2MMQ-~UxDhbvIojH@eXzE5W)or4(_k~Qw_#JU zbM(QFRAnK=PeVZP_rKZj*TZ}NYk?~NYXj~aoezx=4%I z!rX6WDFO|lxmN49;-YSXH1Ws>|J{%DdJ@J zcny48(=iKg>TvcwYjY@&lb9<YY7Q8C?0s0dX5m%g9fmjQWMPmF9nGPp0J3~h9>(x?SAD*5Vcu03^ZCNJ``jE!u} zzxpnF8vWnB|I^F=*~I_P{b4d-S<%<%>9rRC0FXV2n5{#ypM%=K;NKVefXj2I3wKXU zT;|$6zd2-CFpbZBLOhP9e}>*|vd)fe zP4F%B%1dde2yFK}`-#9Ko>x zUG4Mb@0ZQ1@wbd>G;5kaZT?O4H`lBg`(P^V{)~)1`VgKWnh_1F>C0ujX>LXZenil1 zsNBx8N|esI(#^{|QAa+0180M`#C7XCy8ACX5WB z*E8mH76EFIoDezU&Z6!&)~gaRcWk=#&O_HhByz`n!U6Di^d$<2W~W+8+9@h`Q@s_S zyD`h#v`-R^v>xA`Ak=?Y!~haZ&H9jv6CV38Wtg^r`-3SdB<(Ssf!5!b-S5i<_Y9}L z56P+AyFsMoW?Bl^$l*Rf`1*_!=f1@{%2QGyi~3N~KVH3?6i?TC8xFPOeT72GI(sVXeNutag-bQKluaNp;SG3qF=w&h1BBm+m~r6#7*5O*cRWjly%-@(Hzwen+-^x9;fR$EyqG!nF&z`=as5Kj&JYex6G9fTV!Tx zgTKFF(pjjaig~&{(yfx#-jwkau36>N>D@l9RLmtKMbabgtm!h6=6xFCR5;AA=CgI@ zs~BFDjfz!NW?)Qvp<|=`<0pQt*(;|E!avtHhFUe55k?sYFU=q4=a{Gwkh?9LXtDR1 zseR6WX`=NdE~bAoOK(c>x8HoHiH^AV)Lel7uwka*dz~8{(EXR+nqZW7Uh>$mjO<6{ zGZGq`JpWh^Ql4l@$zi{{lc`Ab4CemXYUWvNtb5T1LBHqlwBHR^WWGi^^n;b37rnKe zz89k0Emd-MeH~3dNw~SbHFnJI^qQZD4YD9f3RoyiASFw{ih{A-)5onWM~^qRIrzsb7z6`fCg89&l8l@;h zYM+m4+-rIkesucB(ynGVsjNt?M;=>6`1X3=1pDsC`mLPbgc4DO(l$oL-cB9YuHVb( zBNj*?5Rm0?$yln)GODY;o?(_*U)>hpQ|u*KksIZd?73UQuxJ#hti=$MYCviIJc*i& z!u_jj%Ubk9qo4gXrzr(gR@|cAL!35&EQ}C)>?_P5#pf44S+3BrR1dWsu>+iR@D(H# z8~!P|4Of;iR0=CRyxthnmptK9qXPQKKq5)>0}ln&h+DF%vHnp-6$(Ay@0oS4K8+^^ zj{k3?SWul-LM*74_Ni1>o03;lP1@1V|5L046}_6k$YPC`y<>0Fh_zCW;Kl(N)f@8n zR(UaMf-yqn-x1DEF~+<}6RY{BdHCH0e|>^=WO$gE{!vUfcxk%GG6q0Y0BlZYzx!D$ z^4=TiU`IoV6Kv-GN#4+ zw~SfMUeqM_Amtq&xbjC&4euFL>|xJ0rx2O-Hk}p*jjp$&eYo#`QfRsoLn zRbRRHm*{kMn_txnYT_edJjVSP2I@_?bEBsp&{%UuOm$^L?$}xLZr5MkXd)}(0uoZ5 zIQA~G_o4=s@exHcI?a%-x8FpV2OgHqbBZd4PuT5u*f?(+BDJKKu;o2FDEhr|i3j}50d!uP(%PCQE2+fpI2shK;c z`?_()eYZl;KK2FmmU^!OiODv$9ew*fRkX_WoIL>928@6AG)Tc~o#3okmRG7trPt4C zK^g6ZZW^o*kCkV*r(Oo((|yLq^%0W)+j)b`ds=6V3iJwb(>?wx&pmkFh%x(=j`Z-t zL%<|B`2-BM9{EOLY+t5AG~*de;Kab0{h-Um?FV9MjDb$zDN9eZ3T&ZJR2!h^jK<5IVxAmlFZ)4-7lXDG-eL2^_3fMe0?Y+SKsC4%R*({{34)0Et^k3 z57cw1K`Tth`h*dBD@fe`(rxO!4ZDhHO>KSI81zvbg=Hd9Q*3r`48diaP0v5?Zxp_Q zCQd}#>x6t_@Zqh|>k75*Q>_j#Ub$cP-p$etdvNoj6p#WVP1ZtSK!i2lmk+nE9;LIb z9WPLvfF|-pu6@VUli|6Lu<%G*Vz&vKdO%o)lB2OV^}^JuhU}VM+dWe4fc9vH|ID@8 zKr8z^jGC#`-8;8|jU42K&Pesp-C?8#>FBMdS(&x&-v$~7a5Z{@Nt}%ss4tKP zTs@|aPvTqJFXs2aUSly4r{!6bd~g1s-+tcs6G5INmb=DA`L$WHkE?R}VRFM3*XJj5 zER<^3^8|?^%?SmiYF)mb@x zlh7v53P@r24@ z$TWAHH&-kTw#ceWP8SZ&?uu@;t%Za2zs0DOLr_TRtDf+*S1Jx}l#W67kRsGSx4vgz z$PTQgmt)1jL{zk{F{+lDQpMZO>UmBZE5mHh%>v*rWT_H>q9M6vVzn_WK|N0N?==gZ zOGawPUYt!&^lPPvf@NiNpD6djS4oD0*Qv^FD{-$EF#M|0m?6{ zz&0tBIUmCfT?lkZh6o6T`9-Lts{f?8{6_3aTK=Sa?U3sl;QIHdV{y`@&5%Xp@Ls}T zrVPj3{?&B!@%?t$+x?%)UpG3pA2+6r;vf|(KR#JPE?#(seUH@qm1e5k=n%*Bn6;B- zj4rRNB`xYPqA_izPqOEFgp{1Lmjs+(=W1A;A)!?xujU^c=$0~(NFhN3q_BUV8zaHC z(MvP7bkh_8=z6@IK*CRUVU1GAa`YlKRc0$nq+sT|6BP-d`ZDU@nKs8$h2(hF+^C`) zWPEL^)eGh1woo-uR!B2<6(IEg*BwVbMRWMq^j#cLxrB)s-|Hy59!0#Z(vdJKqrZ3m zyHt|rB!$Pf)Te+#m)F&ehhk(Flo4a@l+N$(F85BZpd();VyrF=By`;}32a%cxP6uW zeoy=J>~HklVl_pkm=mk4n$M$Qk>rcJD*xH9s6pyzfI#lT^*)_;xB4XSZowp49b5H1 za`pb1$9?G6seMwvWfZaWct(#*S94a%D=WVj0f*yjz%ae5=m;MRBBi@(Lze=}5eS*T z*XqfJX)nWwB5FuT=_t0e!_7^zpS%h0VlRAp{M)(o@guS`*yS(ccUCfM%YiyhP9-(Y ztWW9&D}Sz}s2j^Qh%|$q0{tOx|Fn!jNm8wjr)e$9cm)ODsT<#4eD`kblvevQ1!0i9 z%B5%cpSR!qzkg>8>iY?EZnH&-fjp``{2vNh)mE<};0iNGQeAZVK7`L>f1|S0rVx*0 zr-~TdP=DZ@Q>jh=f&^lys2a<)hbiw&uiDal0oI{FlTA;nvaa>jKL(`dlx&buQA`~} z_#`Qn8*5(r9;SDHDFhb7DM#FBCV}qr*6`YJYgA1tSaWqWzG0kCmU>!$(v3g%cTC>| z>&E6sG_k-ti|WxJLdHYsB_^O6{P@=(lcBUZeEnxX(Wh_D7X@t^uD{mV7+RWYfL9P} zH2hDpB(HIwNe(-TR^h1rJv{j&ta+{5CKf5=C%=CLc znm6QY1zrM0LH=Rq!P9md7w@h9~jb+}`h&LrA{c=;S(uVAh~GrkcJ_0EylG#KGRAg|L92Hx0DKjJ9{@{$TJ$CZ15^`E4WeQ|DoJ1OGhEqKt z&3qyGzV}{giq(McW>}Ur=nu9__md=nSbz-k?}26So-RBc=)vghLt;4ZK5Xpcr)73z zmEwvXqn44Wvk>E|HS+H8xLoMDL-m@P?ZHP%owQdz$S>&GStg(sJ-dDEs^(r6Z#bue ztzF{%9-Zo5raw%ihPo15F2av`AvKs^MfO_}wN1^n>LS-ppi! zyEByz$+hW|@w(R?L#JojLukuq=DSRck{?>$y>Mx)k75;c{fj^2+2{%z7R9ms~ zd@-aLPJxbo%83=@IxY)aRE4t{RjdD@8Dt?nY^7A5zVPmDaxgw1tkQ6`U4UEJHG0CY ztl=IeK7rA(uqJS!>*@!Nox1br;u80_k~UNiX5%6~9G!ec@xn=}R%S*O51? zfQ^seyZ6^1+xvRudvnLscKVIvI2rw6!Yxg_m!$sZCCr?&5%)QJHKxaltyxf0gHSww zN%)#QF!2Oi@ZiO4e2b`BSQACAQ1^DuewwH{J)LTU&jb*HDTN|91G8deUNvV3) zyN~|<2^>#8etGX6P$4~%ca-zF%WJ(YCFhRsS$M3!LBRh&Emu_M#rfStrebtWPjsJp z$`3NCnh%dVG;+j_?>~L-R;~O2LKj;!6T{C;K25P4UU6@?FE(4A)DN)BuXL9(!j@<# zc89YtVMBI%(c8`@BHT6qbDW-2X6&N(06wWyDlR-wk0o98;f%J`oNn@L)PXkk>WFEc z%I3z(vdOuPyIII0pU|-hm=mOBvaiu{9AtiZ8J|6nR$e?I0jK2o_EoB6b|S|H{ne^m z#tHAzV}LD{-sg)FTZ+9=S^FwO_iO8A1uKD{U%ME~%xb00$Ez)=YFG%fs@;j5SX2*d z#7DYfk48Ot*mCI%pogLB*~^h+k7Bm#Mp8#vNY}d3FZCPWYzY~+R*5CktOCo^7aWEi z>79VuFYJ?;B&ku4j`ef7l0OUUQi(D5hG+wNX6`3|6^6B=Bg+M3it>NcxQn&2{p@!i zFI|g|{4cJTXoBwZ92o?g`mr?hO|UUAv9jjYrRQoBHK@FN=7qZRyK5kkq7H=;Au@iZ zOvLkms)FaPe9Jw#Zc#mltB9Yr{r9IE*t<{~e{0LU3*OpA$C^2Q>o7)hJ5X{Ob|f#z znZC0S@eps*6+PjiVGR|bb6WhgZ-Ly}#NMMWmvD@_il#16(*KGM(-Rf;NRr zWh;eqJ6hIItV=n^?USi7oqb~CBD-93q4gLfxM84+9ZsP8`&IJ(%gm-yv&jf}KF;dgmP=E0KY>Hm9!aQK)pw;NxSV=Fc zP7pg7>j?;pbgr32SYTm zMWw1+&1^6EgQ(}rk_592^MF-P3-KNW@GL}t9?anWKZH}e@aKuD(mTZs5*)~i=))s+ zf>AncMHAkgXF{H3>3e^kd@RJH9k;VDo;wj{eE;$a{{Wojm>1BYmR60cUv0Q*H8q(x z3v|DHRi7`nQM`O@{~SpzECBWYJkEG-8XXZ}>P>Cf@jhTtWNgOHPZGSnfA>f8LbiwQ zMKuA}DK5_|mmp~6!#p^!i&~rQ?AB`Q%QqDH^B{o`u*do0wK4|a(%kvtl$^@_&_p0R zMKvs=M5@^OKa0UvhK$As5BLehC6$xy-asJzFF*Uf(?mP@qS6`drUMrDqhh^9l^YY> znczV8Kr&7niHM3(Ja2ze7xsmYNh_Se70F+g7Lw+WG2N%^GCnRQYM2^*Z^-|e2?_n^lv{o`Ii7bJm+DCQlDsQg#T2`1|O3`N{N*X1|15EpmjAPx?P zK|oL7qd3J#ndJOZMP+slqF--_kfQ>Xc^ufJ=&>iTgmC3g{jFa=#ku}s_yu`$GE3>o z>@+uD+)r>MG>hqS?NxaG;b(o9$WPZLW3HFeXdw4DU%Il8 zy5?DDWlAnkzp^trW*2_^N|Lx{mgbeeGpIG}IUXAabvvogFYy{E#Y>ESPn+;VXFQ5| zm&<5C8s7nbC#->wuVuUj_*}HKu6{Aw!YIbJCWonh{Y1IjP@I`AvxKV>`Peb#Q3&zq zBjN%ztB<6l<&js%StRygcZe|8r#=DP6 z0nXk?tE>nXVlt8lZ|)q9*FxK*uFTsWHzy>LPKNPJkIo%P#K7jS93*NQ`;dp(*>Cnj zbvnAw~q?g^@nZm7>_K-hV@r|}Ryd?Ug>zc^kZ*fj5u&NwFaJfO5XUsv+Q zv^;i`{CiV(j{7m>j=oly|0a`TLru@yR$m`{JtLV|o1sOQnr?o>sNB8Oy$-~socMz4 z`IF%1T#={`X_TEG|4oR7yc5dbM&cEWdvr_`0gH&N$(wrekTgiPeubu_vGU;ayvIev z+Z_UGvZFR2SCyRB+UMAPXYJ1gPv8Tf)i;3^F)5VcdP0r_q#gW>;pD3NXMi>CSEGA+ zk_*4(Ut&qm^xhv~A~VSD?B{wtA>CTZ6A2@-DoXc6bFAt8C2ay<+oSrvtIYj+UwM-> zl@e15WU_zfCLZm57`i|I8fLVNn|ahfLjRz_TU&Y?wHXXN-e(%7m_Xfore0%MvXZg2 z;qyq!!fWGXeGO`1aea8agrO_f`x*AU{kOngmQ!Ht%$an0&;nVx#Re{GHi(C2Ii2r) zRnmIaGa$_nqM%s$-QQv_yXad^sxPuR*Njd?`zZhoBIif4IWgp!406u2>gRTHwzZ=e z)xY1Bw70}aMr0goM;*vBFF{?RQ2v;2e?IVkg(he5#K_# z6#jAm|C{7%y(_!Iy-2Y;%oW_<|E>7K6?#~z35(RyS=KPP?zG$*;L^LLD3`Of^=9lV zx7#xkrwer-7loy3;ljo~o?WNg$$c6ZJqtRDYah$60AYnEYLJ(N?)j4Hx>Wcjl$ekc{)VXw#C(V{37m>YtVbSX3iPh!{~qG>>Z7cNx#?=`PrOvMqkGJhkl}cvuYmuo0M?2Z<$)V22ZOEicOzw zM(MdB4Ejz^Zq6nV_qa@G5t7{N*Y2VxLyno_ar_lE7H4ZaPSI}$GnQK7;xDH~zINaI z(=wkNj87^2{`2E6Qic~r)*s5knM>o=B_P4$F57OaHUYnWiN8`)l`~n^jyFA;(o63h zIt9xd2&=e~A`X8(`J1F%O^JVwT{zg~15&zL2dP{8En~Xw*S0&6=#Ayb2^Ts!@-Pt= z+Ay;H&-@l_`IwgT+$^a#Fwh7u_Fla~Ip=_5fIeB$;{!XpJxc`x)&s1A;+FLZEUkSo z5>zv1e!1T$WDjW_MVoQvhwCcwl12nHHlr$XkNdUn_1(Iv2n~WibQWnE zarOv5)X_K3DbJ}4=g4t*_>|kOo_Ok{@~2d4o2AjGH#388x3(p{zbBUofi=Vt*g6Bg zT6qmN`ou}mF&XF7<=gsr!p=#UTRwI41;SBN7TXmWGvr) z)UWO0?q2?t?RoF`xg@}@1@rJ%lfRu#_kQipk-{gR1eVE+%U_M7!r!CceFY7IHI24< z9PGoVZ;8!=1Kz0q+88m0)@&<<_9VAqq+XbX5VA@)*l$vYD;qi^~4YTKVm=AG0(rasKh zu#Bt_I!F(|%$}BUYw)EQSg@ihxXclsIQUZZ%FkhUKvJQNrUSJs ztQ@mcEmZ8GDvP9!V%^HhwlfEddmAUkG~tI0?rHfFwj^frF=c1B;%1?j6Ko29HTb#JY;Pj`{ z-fhmDZ66^;?R>4osmj3x`MJ9cVywOeJLVg8)ObfC@@fSweE4ngxc}3wcwmKUOncRi z)H<5RTyEyUH|Ek=^t%r|t`r6Kziqxqy`LX1eLStp$r;yuUY@Uw6wy?m>{Dx}D|C31 zOQ1pCi(e4z>A^yRuNbDbX+P@a>28>Z@RXCa8F(O2brWL7|N2f)N03Rkf)NPlz0 zg(!|l_K!P_zX(3B{9xCqG%D=tT5!*6sQg!y%&(w!xL)Ux?jM1WGnb)m}~w4>~ITwv0zp68VA(_e7Q z#k1rK%S&P|uh6o}7Eg^+px7?Jl|CoH>5}p6xi#%w&P5sw5|uBvll*EyLGLGL#SiFi zefpalMZx8g5#4JTKQ~>@k~+>Xi~EM#HvLVf79Gy_jVfJ}T-5y&kDycViMo&w$LOz##KJ*HkzI~Jbf^kfZJSHe#U&1{xLBklKyg;O@@OG+V*b%HIHhF9?Mq?CWVV-WhE-%T z!#q()w(@%j`%$$!q*7cy3DkqO8S*U2g*hBIDzcD!Wdp!C)<=UT?2{dgmrCpgMmns0 zhzp5-)8V68Dx$yO8?4VCz7;B@3zhusk5lemmmp>@;4dnf(lGDk=d&@`Y0FBsWQ+vA zeVcFM>1TK4?GVM0X1?Cjj`F56Zt$s3?x0ZQFnXG{k)BPp4$D)XP)16>k~O0G)TmSv?q!`1lF8P9u^>w^ z!)ZvB<7B-?TS6$~)fEp!t@CjxRFch~zThkEkB|yOK59SYughgnta#JxV@O4cvdWBs zCnS-kxq!I}!r2(|RYZTXV>XgMM>uKRsr@*)3VxY6TRfZ>YJUt~R9D;dEAM1=c@3TP z`=Y6oNFxP@OnO?^)ShfN^nODI=BsD05a?TGR#Ik|0|JXa>|<)Z_)DlAUiM&1X0pU=(BBk+eIKPIW85o{r{`imNlA0HOAY^-i=2*;crH)Q(x3=E|Y zG#&UaXktF^9~sRy{oR^p0>uk6*IS#kaewHH9Brk0OaF?Qeq=pcdxkvCC^WMnBv4!* zdJZXcP%|cck2N8Gv^+k?sCY}>(ry&%js$!5DDD%dcJA>CF)s-mhfGCn4naXbQDT7g&gM;{5*Lh5?G@veZ^!gq3j>Ul-{wg?nfr+Z-IrFhwMLml?F7b zD7YCp{&;qE^?IM)Z(*yyfMtIy16m7}kifxTI^e4F&}ShVd1o7X-_Xpgp&g32Sdmj? z!b-Cc~vKWQIOPAL$XY#~EY zcj?-M6@iWFL0iU5{r3w(0CYUIj7({_Z~I9P%J*CAH*Yb| zEWlb)-%!+W<>DW}i6AJP9e`y|JNG7>1IhG!;RZ-9`pWZj)})AroNaXtXgp#T1!1wW zob#T_e6m4MzSlBw*KbteJrT^ZAAe)9_MIz_?atQl)vp4G%v9sHDhsTJYRkvoEGyt# zj%x=d_5DT`(7fU*6)5T4a$*Yj92D}yTOh>82{k@EK;k9?2);XDrxWP6S`ftvFr`kF%w1mM!NEW&sk*f?){1WSw{KEagz$l5*pZ;Y(POK#ykH5Q)p z#39Ym0tXT__pAAu0e~c0pkc1Zq$7hxIKBnr-UXfykbWU!d3Jz9@zK*(l+inz%J_yiAwqKb-dsO~=M^_vZC&C8kbm_mj+Ut`7z|3IoKCKLd!bklT$Fa(>HI{9zBqR zSii20B*1wA8KrP_=6PBllWrYlcVYw-i{<(>T@hoo6 z>tho1I?7H~b(6&4FHbLV*C&ti>L;wwQgB5*ykn#1Jn2uejD_6K8(@hGCgLRSKi93o zK!C8Ok!62YAzZ=lJaj}vME)&H0P5f(c>(&=<{I@>lAG0p4k<8~8L{Gx?s76I76 zJncUI2p*Q9mr^@PKI^lJb0ColPjjCwjv=Jsg;~h03^B$4o`QLiL51>ZyZ_>t&(AVN z_o=IMhkl-7RajO~qvlD*bORyhM?X5DS#=oM?%EdXeR-J0;@p*D?LdRl8Ev3>!ERO^ z>eWAIbSE!`iRWH}(d~t8N*ghl*IjP{`EE@jMJ8wr31XD1*c%cH#cq57)+!OxFAmo^ zAfMNxx&Mx>cb2ZS*bA?8*CD$D&QIQPFYXsvYO}a{o`9H^46qR6OIE@akc@fd13+nH z>)I|RJglKp7Er0(b*za>XGw(7UT3`UAKQtEmjuj3Ty(>WLM;0CF=~ZS|9kSs2O$o% z8!QZ=fD#1cEfAmcYxmuo1F(3Ffgt|LK;g%suL^=ro>QDJgoHWN9Lj6HS6KmpODk5i z`Q+syu2u?{4~`N4ulHgFtl-)9y4ZQY2oz?~kkKiNGw#SMyKaq#_>&!8Ie2_3#m@=T zD?lybn=e(tO*X;;YVUC|fCl$m>*PP+~ZJ{3+O zA2r(w1p#X){N`IvJ@j_RCY=sjy?5pifqpV(uU13p3S@C`N`wjXiN|a~MnzK(HV(mE zLn3+bBCk3QcmCZ#$M6DT{_vSD5Ox+4Wyd~+H+D%wW5oQDIGu331hxrbzLNED0PC~( zqqKCzvvB$1{J)7Q_YdJW3%Lstb6eJqe~c(mwCka(E@i<}76UhZ-OripXR2j6~tT4min>Im9k#x(jjF5y~{8@GiW85kI;fZ~2}%Kc_Xuu&fWv=^DfkY~y|0-_Q$K%o z73MK#kFZ9LMRiE6>SERX?j0F2FylJkJOP%%)(xCO?*n-GD!AU8m<$N+{nS?(I9qet zc3gCS*#^J%yx;t6QSxMcSNTNIZaqD7$gQzw52D1w3TWwd zkr;Lv*WwnKRC_vb^I+5X#%7-l%LM@5+U@pzA3O#Dk>q~!QZqdw$c9pMewa3j*Y}yv zw={YQ8?u3u#c^DXdD2z6Wry20*wA)GX=JCX48X7P>g>8RL35W^OSYxY7#UbgaU!@m z6%I0W7fv=S)0ID43iSK~kcn6{IRP$3L_n3I+S2M#+n4C82xgRF;gs>Uqp&S?#m?MD z5>9-*RTg@3dSx|nYo<^g^Q%U(YL>#q+(lGYy47vo8WR}enRj)s!|gu>aKy=>gf-l8 zcQfZZyjOX-wM(@-p*Ao}nY>HVkW^hsY;W{_#-ZFFGm5&zYmi4X{OypQgfH`Lvq!V# z_S$L=a(LOyz5r-Rzol&d#p&Z7#?c3eD_}Cdl`Tg9sdB zdxXpOqTI%2pgze2VXZZ<1+FcitCiXs6ePm1X{qj7e&+fMtvKLO$O*VvTi#{%;|KPs z4vIfDPc)k?(1=3Ty21W7-lPD9_(q(IHcPX|A@-=F*iZKPrF~E5m5@ge-$v-X#>s^( zPVq{q2lu0NWil0mY-hoe8QqiP)}A?QMS#xS7?}M|G(!eCiV$Z5HM~j*& zsJ#sNE5&&~LLa*7BU{0%zNMzWhd)x()qPM3Hu?iV-@r<}oB;4(fbh^dX0Q`<`Eerj z9$-f`RerV&C>Byy5;LZ=cbRGWO!AJW_L+80D#<6ariRkbsNr0ax-3+)fAapnAXe*F zyMXH!)#j{=;H$TI$^)g@+8FL2kp^lm;SB`ja53{Pjs#>mDSYM8aSvbtRU_>ITkX@s zYKk;{brBdAm{5qxEx-5KCtZ6W_R1Ozz~T~o7K>c20$GHmpjhoS5aYpFKvzou5LiH# zco7d?3M9Pwvw0-k#u~K3e938u$`{$6+d$6#O=v1OoC9pco?m#!S3o+mG~hHv1!oJ*nxKw)bv>af*a>#{D z^9Fa)tAluQyRiQP$Cy|T7RU9VtQw%38EpgUI14aJ;du3SQxa^TjWb?I6lwBk%rLbu$~z^L+JXDJ|%Urc*W@h81D z)Lnf`lM|;4wyLR;5CjDR!yuKKWZMb9t{(OvH-UV%1ECrgcKwPP@=Y+SKSBEfN3@CY zr+C7qBZb-M+_E$i9STvf#f$rKMHGB zG#NRU^-1vM0{HfwDn7V1}HY^N7lc*zuK-DO=i0ZoU>ZN)zkQOEarLI;R4V1RvexK)zPcb@sLp{ zPn>9RLAk)fI^y3*$RTICD=QB->;UpnGA`62@7lM*9R_#Tpu=vSr^sH(7JKmy+qm+tFZ z_l$^1HcSntL!2rwUhgSG2Z#V6z(2;Y%Pxr$uV>iv0D$rz&y@$yn_$Iz-|$)9?{~oi z=3u=*zhkIUwP8Wq*-G=t9l7nUkozYx(w%H%`-JE$eW+AOJwzYbXgHt2(Lm@3`zz|Nbn|6Bwgx(YrOOaz>J>^!lgpl}E@`8V)zZp@=>U!kCY zme>+dC~EB9Ni-6C2@XS_5@KGhSxiI__Bbfqn4%YTV=-{_0}vrtYu+{pp?>()(wcB+ z3f8X@p|LTM1fj-;=8~>qt`R;^~XAd_==7^aq-5(DUaC{X>7yiL9?yZp%1(BC7!4JQV-85}!B84Q8tH z*b@2kD}GsNJlWHbw}V)Coo50ID7@TD(gv&aMJS%~FU67U&=07$at?e2!i=E7JVSes z{b*=dC{)xK2EQuLhausPVL}$nvY$_0hffqig`=C z$Lp80*CA%O1*z_aEF@~pNSat09AbY3)1e^6Tik+--FXQ%N`9SHX7Q#WreS;VHDK1w z-U%KqZ}Z&Qq6ctIHQ+i%G&_Yvb%%WLW6niQP2r(?;aP5K4DcYP4pSPc`ti$I|A4CX z^SSF;UTs%eNyL^%J7}hjf!Qm-qMvc}x{ryK{+ub zEs&IbbBZZ>%%zJ5Dj1YXLcDw&VM&Yw2M}aJ49`Qcr?v(A&pe-?%on>~>y&TLWO3-HnQJ}DxPqE55hbBrPwVEs`_sVfT*&RRRm)-#)>4xsDU7O z9BBd84Z@KjK6P2RGn?};--?Ug$j|M}YtYUmN(pSzKSW4Q`sEy~wivKHJ%)#{z}6_} z$8TsPLQ>7j&adQ0Mk`LQ49|j!T{xH}h8{d2_;Z8!H*C(-FT`ennc#$6twI z&*UV6zbv$A%Zaao_v1!ORV20LgC1p=)zrCrs%=t417!g_ET!r46%dtfydf42( zEZu{4h`MU^XggEoW|m@Q9Zm;2Rfo>Sh?j>XE%dMpybqByTe^{|h$Dt*HHNUH)FR|I;iE5GLqn-zxcAE>w4Z5nnbZPkbFl}Kq` ziWRzJ-=RqUA8py7v<}TliGc*iB;kyY43hWz2;~L>>OItm@*I$*(>LU62f+RY%x3q* zN=3K~#Q=IY>wa#W@Zh(!frlu9AXlhlUjyoHXf!&2{fLEUX)gRJi*SLybPzQUtO1jv zkcc{1d*To(^2TD6bifu>UvVmJfz849akxLwE;cYC_a)0H^6y5}8MCJZuRA2*pE*|6 z0=s#=>9b}GMI5ZQJ!}Tcq_YT*Vl)X6RkXs|6j*$U2An+T`}y$hI64bfkq_8H-W_l7 zznvHtEmk*fFkgv&^c?E$>-T?)Z{)w#q(BUjV-W|=G6Jbt^@IQ!i9mfW z*v6&tKPNe*6@CD|Cg2bZ8aYLKuqM0!$((i=wtD+gG?gZH0kB_Vunn9P_wni*y!w3t zeOux=^p@?gcX_AH~6^&G^$v=NAy ztO@>o!*X=Pu%uG>l<6(M^aQecFU@yKmJmLL+;HNRLq7K42kez3I?4pyER#J7x;2pO z(AF4Il9$WvK|eN=55k%=Vk;7S4^-rjnp#PYt}IA!^}x2bk_~em+HlM4k&HI&pUCv5 zr$L(bAX_FFOCpZvn!9u*M**5=xh%XB<;GQGIqOsza{!2pX+X`t)f|~>JM(T5;DYtH z`7b}S?yPnJ4?^kjp?eK;v2ygxQFB)f>cGDtJbJqd26nAu{0eeXOQ-fdA0_$ zl*K)w-jcCL3v0{wUt%IVf|9q_V$+UY{7OA`Fb!-Z%WpxzTOO0%M-7~K$ZnKG6CGf6 z#l~`Ubt<&9uXxMPj6D<_EfAO+KHG_bhDm(X04?k}n0Z|M4STnijP99mI{^o%K%)z_ zhV*>JAFHhlT8|fL4H0?^G||lcNe1t$LV0NLgUa`jG&Fi$$ui7Kn~2H8+b^;*gQusq zD_4<&k7`!fuP23Jn8O@OzkoS%!2%Itr4y{ZT*wFk^Hm~@iq51cT?QMOh2dv?TFW9# zk!S}v(U-q8XMItm4=u08WH`SGP+yxsW|{7Tp5YEUyBAB_T<1&c0z&nMxLf}A8pS?s zEXEp~5ydDXHdxue@jT)c9@0Q`J$PpSPZ5E0Lih@)I}~@N@W@+A(o^3dQK)N0qKI{F?E24!yIR68 z&M0ZALGvo$fj2d>%2Hc?HZML&EfRQjy*bqVl)(dj)>>p=GqCc$o*u^{#T(SN^4jRc z>wMv?))Qf#B>fg@qzSL{{+o35CypD2J*yu0fIH&D1st91zTO?ciR{kztca&pC($QC3I(w$=y=t>R$J<{HpH8yO5dv zSbR-?k!Ar$bIJ`G*3_PZkL~ zwV_CUI$4W3hMfPxgIi&6tX!yv77UZVljVEhnt`N70QL_kNWB%d&}640z&~Gx3-A># z81hFvc~Sb)9gN1Nwpy#41*7_yvj)g?4|XFm>vjE2&9~rSs!E@?5p1yv zyZfA5dwKdwxQ6yfShN*cjuTq{Cs$g4hbOGbmB?qgLh!Ry_zC@_ujY^v6q25k=4bF# zi-H7pAaRDmqd^MM*%XzNb;_GMId&HUe;dR3_&QES?fr7xPL{(KxHvV@&Ir zbi>dzy?_K@`|AG$;=F1yHdIO}X0`Vxu@X`Ta?4Xl!Lt#h+Ho$!PK$_L;xGM`pkUti zPJZcHUwUhsyp~R=!u%8`0FE`m>I;8(Wv+`WuWHY=qe6R2ZV8=^{#V0B-dsDUsz95O z+3QI+E+MujU|%9M)jm1C+*Xftfj#>ZC}~!M!He+_LCEwIC>v_c$`o86(?ouv=i$LS z9=JKlS{L=i6&Hw=#h==XmzjdimJFU=*fK+&HORez5~g3mN$c^kCBDT>RkCI!gv;H? z-Y!Lk`D6!5{JJ&4X**}PK-I74RB6241Jyo{=-<30At1QD4Y(7j|L!x54kgI-yQ%Y3 z=#>MNf=(G#x$bZ7#}Y#$TQg&B?N_NUOJgSBrxOv`nzTd;&tg|~z_gKplrn(YQkLQi zZ%TgI00ZS%w)CHUIqI+EU#@oBZH)yZa|gb8Ivic)l zA2@LW6*Tg2!7IYOuL+Fz4?P)Sc_ zn(5w?pm_JOl?3hmmmNPIXn$7YGsAxSaw_)6z~?gP;awwJK4qt85eLntr{EULz+)YFB4| z5KohI(|v-|(ruM!b7I%@F#VVm2P+pHhCSK){{nshf&XLRleN;T9ehhoKv@Hx#Wz0! z4>42ZZTT5x41md0R^#7B&aR*TC_l32-mOOjjG)l$a0;3$8-w%c+8W;E;}6=WFc)Tw z^F4FJ(QVq^80UJ76MVgPf0yq-8L~+Wd6f^o8*c H00960tKbTM diff --git a/cpld/db/RAM2E.map.hdb b/cpld/db/RAM2E.map.hdb index d7aa86f989b0a7f63a04840ee0fdbee18394bc56..dcb1aaacc4459000a80c5d2bf3a139247824e2f7 100755 GIT binary patch literal 17654 zcmZ5`Wl$YF&@L2;JH@@YySux)dvSMnIJg#fcRe^Q?(Xhx#ZU2b`+nb_d+%hjPqI77 zCYfX>$u2qs1VkMo|RyJlf z7FKprbxT)I8*@ui6;pFNQdUxRIZ|y)Gg3(l8~1-Lsc-)44gx|(=zjnL5$%5wB=NuK z4S5v+pGzW{&CosR zyVqHNdiwfO*G+s`TpUXn8XVJg`FdVm3k#rW4~8woXr5J<_VV!1)P4&3A%^k!l=G+B z_tIzA_p+|Nx~t}Gx5eXfrB3-k2F-Jjb%T9r2TVxO7OZnx7!2id6?zDNYn1RjtLD5B z7ZH-6N>wyVgc=*S9uFm>`ulVIn102u<9zCA zlA24QR`+-L!-?+as|j085z3sZqD(Q_k_l)Ls2{q&3#K;o z8g)vte)Jvbf8)mV7>Omhj3*rtK< zk%o?D_IOk)3U;|CoRe1^4}6*4itOes1{EIm2mUr@Poi;3mpTvU0_LgZKJK+Hd<{)} zTrZr{aUPxNCFW-CUGr;cMVCO!m$M<8Kuq)URtAC!R?Vhy{Rq4CDAHWe=}E1n@0KP|j`%1-R)>c!f#aiuEPz#A7&q%nlf!7nDJ) zn0>W-y^ivT)q-mNpgvD!m{D(hipQSmp69i!uUT$sEkrOW5H>QN3${O)0v43$6#HCd z(kyUnA1%1EuN+f3u-Py=`Nf-WN_{BS&TO)>vT~T=0u|V=Q%o~*aP=djIT!IOe5Tp> zr+ZMSj25TX7*yR&b_=7`1o$j`y6abW?(BWHcH!VDw%;y{&vYyF)|^qUaY-a>TQ-@@|k zzhVQ}c}4U1JHQHxt$6oc>=%5P2PFHaxT94XR!J51Cr2SsDH+Y|o6Uzg7FY4HlMi%x zpR^+J9~RjXU{5)mY97n?<1AS@PQ+g9xn}sJX3ZKI8G#RmiI|GC^+4QUPL21S;3rX4 z$XN&Tr7SxARtTCriJ^VaMp)j?bO}XX?dAVAAVhGAI zQTOB=R&^=jY?4MmkAiLJfq==$jQ2j1c+oxRT_~Ere z`$X?79&~?+fj4V^e;PpX>gCs5a4kIjx%u+Gid!-OP9b=>vztN=|9OH_&rQ{v>mf6) zo=SVF7>_RZL}01#C+RpcKH~EQKb@lfuA+g%!oZ-W!uRv|Is!fW!OQJK&a>@l^U-OZ z%lwo5W;$e(>=dUZ;H5UVqI$x^n0`uW_4C}Qcge~Q812RPb{=_M`-#3Nv;m|d8Ta4IUtzv0?#V`BfiSL2@S8|~I*V|qWLM6o`@AFh}+ zDK?SzCxV}dYxQ_&?5<>DV&v}WamJmp-P@l@#~zG-^ZP#roGW zBU#t46xNKCTK5mC^p`x+Xvga7JqEwKB`zxW+MhD651xC}Sya`q)ynhhn!Vry^Du|f zthNuT?GQkyyBXv2-&60W@Lz0EMrFuBrXMETboI<^4m7;7J_zd>*hMJD*iW0FM_yv zjfOQNyFx_C#R6oxA@M!cR&M5Jg=eX&eUs1iDE7Q(d;;^mTjC|lQ2a(>fiSqUC8!Mi zu-Mk%oPOy;usU07VQ0Ot!G2rvn@+(>Ge*UGl{E*#u zQ#I!GajSdyHb$FJ7*IuWgIbRXGG{`hRexkO5}tg?>SQQYXp)*qBqT4VBCUAP1>D3_ z!mFn{c2xGD4_|E#11yy&yybir2!qosz*rNMd1C_B#FDbwr;@rc{>^3B_yCO^fQ8Py z=!4%Ha&y;yk4%|b&cIX8-=ohScB17q0R!GwB|N<)xJ8qIa^xJQ+F^;?%EnL)fo4hh z%N22Ug-1}_vRVQt|EzA5oDRQEH`zi@tHCPb{MD9IW@(XIt%0~72&BSPTy60zPWL=I zuNfCV#%9L1uF*t4{T02lQm=mL_Jr!yzH)#eq|ss(5jgp3w<4kb*ye$vSxxOkogy*X z9R}a<$!yHubT>eun`+1vHkA~^u7n>%voNF&7BqROM!uXK48q6^PQnAdXDJ5gjqRW{ z2M!P0tumbkk?M3h4pzH0A**`B0-nkRSgnP28jOITP|it*lj9m@op?O5g7vQi%2i4> zc+AlKjONGX@!s1V9FRXL2#ur87WNBv&`*mju@&1O(T{XUVI@&^9gAclr7^!{bOkHm%Io6y2x{i#b1O zq^gwcI^9oR1Uq#Myl1{;I_(Lhim506tYC0x{+occc6{4`jigqR1lLyV^>N|yoFP5~ zo0h8!sL@ny(HD&b&LN7DuLqc5)-Q+=f&$uH)ov?PCG1`jY$I$cze;Yp45Dnt@N9LB z%#m@GeZx}AW9haBt{vWA+-{1z>Zz5B_bYJVwzwB%3vr3V@T7BW?2`9FO6yYCbi~wL zAsa0uFy-``9T-HNH54~aICrK)l=Dc;;mgm9({pu6fHCGqBwOl+^UK?*#)bEIh@#Sv zl;xA>$(G^kNCnaD#OEuQ@Vnu7wk|@lF^a^hG0Rnqu9Nj6Yb%7p1vtG(KvS-;Of8nE z@~j3H(r+_ozM3h7474x2mMLD$<=Ey{z%P>%2xOKC8u7i65(cY8(|0`ZcaO=pnQ!`*RaPU1& zIVG+xy)dol3&iB@`(!#aw_?oN+`adetNm@Ni4@7>fdtblSN;hTw%a0z^f`ZGdOHh2 z3^&SgQ_uQYd`#BP8F)&ZJ8U;^lifxMsd zkR5ZM$MD;)MJ|*fSgpWK(BAD^v$(%Wf+ygw-*0jMy^Be*9bqNH1E|YV@tzs|1GDut_b_GNIGw_ zL5{EBCJ|;6Z_V;+1NnrXxGRK%gO~?#vvaG^EIaI$;;4IA62e+wMsl~J zj2cPH(SZU~;|aKvEf05k6;Je-Xb&$MIcn}SJ4|ut@(erggtZ}t-@>pKCuD>baXjro zD8|B?IeDhDYDi%}yGwxaT=3LbI?VX92t0uotR`s7<|5RR?2Yi=vmE%!sKi9Z0wm0} z@%8=LM1Nq8A2@k<5MInEjc@=}!80QnsOC-Ih{CWi&9^M1dvo{nQ(0ZVW-_};g}wZ? zM$$o=AB$xU8Lny7be*R|@94Vwa;sM9A6IOtI+1n`b0y?LE!&|hQkbi)P#tP+x421< z!b8mFt<4Qfh>G0vPz03_1<`@Tfk@{uS}qibh>ko;^|6KTY~N*m=PJ+5yvUn2tT`;u zyD!PUG~PFO|2ZuGFz3X(>Eovfg=g2;t~|Z`Guv4hChuZ-=p7l#5XM`8Nu;6+i+j3k zZ|zf>xpV)%!a~EqNVBqrJxHIo=Dcuu6eP?yVHi@CI5K$blC?iF=>$EF-(hbZ(F592 zw+qWe0#f(9usMDjrM%@vu35gp zcW$8MWxpuwit(&kY|TX5=rOdzHyR&}oBMN3<8~>oU#=zpi@m^+(!53b7ZRZ?AI&l= zf2*J2NN)a+z-+8PxNxBuPo2c)ZRd{_%P;ZsFwx~dL?mjWv|Q0 zPW5syWhjv*-K&^Wyo~KZl76jBajo>;ICPk~@=i|;_Ecf%e+*X@SQ=2D5vld41h6cu zZ{QflPdMF{EJ!UduYWouIPRwit|gLhF`yxo3QRh|0sIG;G!YpDb_fxR1sswauM`Lp zKqd&0IJDdwCccFb<^H@p=AxmBd%rLVu2#k+tcW&uBw^fiF&dYk+4B->xY*L3n(*SZ zq`R{>ydD}(eo&T6sXpilVf^}KKQX&+bZ%dQq5;eO}G0O6hUz-|JIRa7Wu& z1giZ==X^OMHQVXKjlW;pD%m*1wdj6nh6Tk`YK%8mRiQ2ZAetd=Fax-{wM1sKOLv0< zCp0E&`~9Sk&2M+qUvRrFv#~A%J4kp`o;;McJe`|bN(ie}WCKucdTes!ynUpPKB)ba z*_T$w&qEo@#bn$Z_W~q@jtNc4FmBQo`(2Lmt*4ES)5wgpKsVAytvQ4B)4g4pd?!LX zT3#x^mv+1XJum}){+%f&PWacd`uG* zJcIbPoA^_HAwXo+4jl)WG_xuH^7QW^v*Eyp?qJ5RAu}s&<~QG2CB4;QyA22{gT1o%H>q@(%|zL zKzm{FrYTbpB~jv`kUndbks&U<(_fWz|7qXsvHs5OceNPbWrK-j`*aGwcO3BRY(Tl~bn(5)G-U6P?b zw?bMkmN=D!`BJt$w#eXvV9zpxXx)OdhKW?gQ?uxqV&gjo^cfp1BRDEi^#Xe?JfUCQ z5@4aTAe-j?fzHaA`f=E4jIDMk)X~_KUAoKSv-Xfp`w@{v%YYH_^{Sm}s)Jj00hxXBrX`Yw zngOI2XPP|8zy~0w!i4*u#`{>z?4&?+T0`yXsyOO`7rUiF($e$rFr7^|vBC$yW`=BR(B zD3Npr@opBKB;na}D2nVi)E&c+7nuTRK{f3U%wS7sPyVpzs%ONFF^1pY`od9iS|! z;FlNqT!3DX@SF;m6?s<%IKc`Z-){H{6Sj!9TnfX6|0VDZgzZp48v;=N_EosapEH=*5q@}!4IY}o*q zxh_bxNE$?5bqEvpP2Qff9vGc9+A;zj#R(sCK9a%gXVPRN#-3M@orENWUZqeANe#VF zJ%9K1B;W+%1<}Ico5#gkSmI5Af$4p;}G867Yd~yHGg-0N%V7m z`?wdssFfi7buetla)E+ySOyu^sQ@;|>mpHVT5sJIT6M*_vdf_j!n^ES$}L$wnwrZ< zwB3{>0TYk*=`=r-q95NV`~0)9XtveKg~>lwPP=^%%6xxM*fIw{*Jbmh`_51%UyggctSYM%#OAjK^>&?a27D}*aDKfn9cWdXKA$NHuIP|hnBVcsk?7Md)N~` zJofga&qWF*8GHs1^yt922t)>V#^MW$u)H9?Kuy6B_@kk{6sSZ%d zjpCn60NUR_aSyb~KY0#%CEnqO8YC3Jb9@~KIIt5hwYMLAwUY0~fR{INiT=JE8_;E} zGhsZ(QwP#0>HSvUo|z}I*=Ox19N}*lXO^@Rl!L*(Ehw~k z2dH~InCHv~g)~M(&fuKGOdKO&6;Bb@ZltYRAH>JH`v>L1cRIo_Ye;UkwV5|GCy95@ zp&mqUl7lBeXBF6qhl_ODWoXr`6D35=` zqc`OtVeJoB(s0$ChGb!>vY^Td5$q82{ii+kbu|v8iBnBp?ugDT-){$-blwVN^2sk& zgcT4$lqgyfc}AfWhd1(S_q(P0xFVnOF(A7mRIyi-Ax1!Nyw0qP!x;N7Nz>$SAq1+m z@|N=Rt0KJU$5*gI^v?nZv!p_Jqr?+ZOOsx6#%rhyH{#i>+{9keuS$g7-=_)C>!|@L zSrFE`?O~pP;?z?f!mc=0ZcQiYDdZm#TOu_oiu6 zelDCA0xlbod~?jXEryvX$$TFS(L_Q!3(9WSqZE1K5B4d3)N{bh(EIdVgYl4TDfuU# zO2>4WKcH#&N`1!_sDxTwd8_Pk-Xwq)AUhQK9|Gp(XFM$rdJviIzLKXG%XTN8?VdAv zn+a~xt6Nyx4=e6d`c1Y*US6=I-+TPVrG;S30q}1=g|!>O;AH>)m{v?2$J}2oPt}8S zSo&?QQYZTedh8(l8{g6&m#g{kT5Eq~lDyC6LM5~}w>a~z>uNT%rVO{cx<01Zwd?g- zyIMb{e6HQPwY(Z^7IoCQxv~>uW{v%bJvO?YoYA~HLaQ*lb^nb~sQa7!@IP19H!qJF z-R)ntj{5q4w|wkQ>i%5mHQ8F)UFObos?_>D2?+IJ^e;sz=V~*{rn8@j5w=EO3)2@}41^hMHD%!57 zivvcd9xv|?89$q=mL)g3eoukX9h@J=LE8yb&-MYCEhH4XL|FbUa{e6OH}~vDEhg8+ z3a+Y~$5#jjXX#yiG>!hi-nS(Be|#hFov7Dzu*y0CFOF)sm(uBkjKPXh z<+HOfLj4)sDQVPL3uZ5vlm$gf&Bk0mCt`YT3djC28P*A)-km7*tGy+=XbQ5wP0A*> zFBTI?F0)ZUEVf+;#nm$2pT@0y4hQjaZn%sTZe=nAqD*s3l;MU(D8C+Q@- z8}QScEQr}oMlD~Xaimt?@u!o96~{ z&2fU;40aomzxfs(qffk5%tfaVb3d|$<{zWWmEjN-Y`4=^X&jAhfeJ>-OBu8c(H9S# z)mEtuHgXU^B;vi7dRrM-k&No zscy8hEjtZMdpoWd7&bjUlkF0`fkyX+ZpwY2ZK5)TgiVs$;c&G#i>%F+>E?1n`vRxe zp@d`1KXy5Z_tPs&hE$uY44Bv~^$yvdE^kAj)TUWWh9iGN0xX}dbz4zCmeTGH364Rs zhQ4`kugdZHj`~i@U742%@ztTYL7Ki=cZ$;M?q_P0ExKB}UKJ&~72@Sr`gcn9)kMTi zO((bUoA>W*L|qC>CsPQ5+q1<4bq%%xnSZA=WWJitRyRv|R2|e*JNePo*bcg}_iDE^thUg)8*eV)-J~9!N1x#eA6-MuV=J4+)_i{2)w=C;FkD9E zm&>~99aid=(KkK@#vbukgw9?+?0@R3s2aZz>4M_Y#z}^Meza7RSP)Fu5pq^2(Ehzw zBhXbc8aD>T|9CmN9t=zk_`9vvyYSnmbMrHI^4NbzD_eT3AS3E|`#1_)~e2?+_G*c%)DE-t#udC2(75OeqjWA)MbDTutzeOf^L7Iie55j4F1 zxfO^=z5aRsstyG2Q5<()6YPGT@6TRy3*Hx8fFdqXTYGiu8H_o1eHxNbU<%e5^lwx-z1!+FLS|S9-lX-;S;i?N#%I?_K{s=iB>O`;SZ( z)PBB~8*0Aop63d?eN4=zR;rGH#jXqNed>+PLGb4VlU{G5OLq+}AY~@-V92M-CC);x zu2APka!=3}Xm@_HVpCWQl=bJ8njT!WBCG+nn54EVKntob@VANw7HKNP z;Ofz0ngPg^4&lvKfhm$#quBrq(OQO|a80{*-{rDnmRBVJ1?4&67_pxXu)22g4)F9^ zVm)6pFOn{x4{NdOqDZ*_XuGZ~5yXYimaW$0%H`>ecjQ27 zE4V;yRhqAd`F8A7t1s)i_cWK$T-PFIni&D z7ina2Tpz#VX*@imC3_qp+Z3$38N+t_EyvKhTJcQNp^pIO_F`2VFmM~hx~+PVDoV>V z#rS{)Tl}`n`ZkT&0+r^qb5STC{mTYREtN`@597G{_w|C?UE{kK;`f%FX&Qx};TBGF z#BJ7oAs~RHAF*|BtF>P!h(8u&Fc;`FpAWS`!m!aI`i2wRh6GgB2T~RiMalcApWe*M zEQm91k73Y23cw^kqo7=IPpZR(%i0vR~eba1BAksZh zoQJxx$+#gk_d}oS1KfCS#khs*%&iAZ73m%?{zV9!)di}HbT1Ug2ZO%GazSrsVqaU# z{kETn5$WD2W`w@Rk6l6C@W8Ia0XFCXiRb>bS;K~UCQ9-{0R0#@5JkFYi?2~PKCrJ} z3I$E(K~UGCv2D!O4XxHeP#gM;8&q>l?bbLUAa3mI)Y+l*Ql8hN#kP`<2gP*lvZDW7y;vbkJiNyvvGZ`oQzF6MLsL z2-WRt43yBH{pQKXs9Z%9hqGM8rF?JNm#SUr-pq9gNn|LgFS!s}=xoqn%`f6oG-N{G zA+Z1$u{n)1&YAKBeFXK-qg=YJ#VL*a3~k69i|HZ1%8FIaj49Ii5VVUVC8|o4II9EF z>TiY?>?uOe&e;3~UXe%O*{HD1Dss^faz&xQcd7&|A~c%90H)BGn#@ zf582Mc~>T>iA7#W5p)FB?c^%QV%m?V4nEL+ifU3p!6>JA9f}2~TXktVeE$I*A|jef ziX6VK9o_{h3~5dF+E)usLhmY@%AEuHic4`h@L^FgTvsYb%sG&ks0!Cv4J=4a0yX>+ z1qI42d(!y2ftuWomrV{`_clh8z>cLEi^Y_~NCKQdLc^51e4^Et2-qUgb>B&F|3nhH zT%ALj$;v%k{ol#fOaORP{@vI2R=AhdqB^P|Ji=HTt$n%N>}JH$qA0ylP_D%?x-5hd zv~U=jGPi)BvGJYe<#lHuI1*l1ne4|yP^iPJ*u!oORngQ1rC=Lb|I(x0o7(+Xj;!d8 zDXFxrB98vgJcmLk2eETVhpLjH-hGy*=PrEYfqJ=ofE?HMC;CR%d$z6LGy1#n9$zV6 ze@CPsumQ0R#_|l30}pQ_jEY+C5N0XGFugdUbRB41L9YRCLRP$4{;V0P(@D z{6}*V9#KuTS_$v_7IPjc@vTJqI2&RkHdNvhXW`!6Q%jZ-0EWUhki@|fss>A zNs)C^MF#9OEk3hyRq{-OuqUCPJ#)SQmKXItKjPs4s}G%@(v9Jq&z7&kaGus0;vfjl z4!_=V^Eq?J^KO%))&>{7O-D!SKk6NikQmuGJYa;o;*QQQ5urhiyV{ZN+uEOjf6m9& zrZ}r8xf^N8fj$6l>peGP(L`nr&B2F10ODcPi4C+#?vr|gn^oI4>}Vtoy0(vPge;FB zsjT=uts@j+y-{QXi;`LO2sL3#tVqBSP;g5f!1XUWu20D# zM7P`D;zu+6Uq0;yfxzLB7OEcoA4+Gd5hvJO3U9 z{^?T>!2X-ZM$y(8|4urlYyK(`cBi(WwQZtyPiOs2Ee4sYY|Z5V0!k;A-I(jm#&fZf zaXi{p8uDX0%Tj}ixHS5o$*y&5YV}%D6HmoNIR7FS7CkM6n@9%A`!E7G9Ng|NjI@c>G-?qVn~-6^-^^ zQhZDx%ZTra{*C@lqm@A0=3gAt(XELI4Yr)j%mhaw3d{oay2ja%b%?5#)himaI=?^FfnWS7*8GaQ^UlUHvjxCk(MP+?5P9m#6ZF#*&o=(+JwXzbQ|3Kxz%r;lOO7J5L zM3*TaYiW;P%qUmK^+8EFpV-D=Xj(>t0&TmzLiZlWR;?hc3`VKLSVoVyh^uaDX^!83 z=ihX{tO4G{Ez(!F@E5-}nJdK^8LFaeV`-XeTYA~~c?_Rxp3h~~RuW$%EY$GJH6+mZ zwMssD>X&Ig;l@-q3QiR97pq*maB9&t@K^q;ZI(o;=%>}T(Ohp9^Z6O2)%vJv<>8bC zczv@qO8a#piJai$k`B1IuBVZoif_Ky`VVZ)wf~8vkT-Z;Dl55Ws+NqDA%3zK!3^7A zEw5hxY<7?MSj1THux1M#9pA z#91%Hp)ooO8?N1^``{6hkSIlK8ONYgDC@cP6)vc0m9_h@ql__3-Y-gO#6E83@XZsx zAuDk*yFuRlJp#d|4M9`q?V=39j~&@6oX(!8b1@>Is)#;Sz3c|nZf$?fW}zrynz+8m ziKtVt_G)W(k1b}^+<@FoJjrcVunw+69@98OyNcJzZvmG z_UwudCTO}(Ft@CyW|xY?luxMMLU{z(_?Fn$7WmnK-X@J!{(adNNUg*$(uK1@ry;mf z{zzz1S@9!+D9}H4OTFVQX$I6XzDqH(3!s%`i+{6lw#X7?q(J>j!4cF8hik+y&yT$p zuOP2epo_4;#ozsf8yawAYC5(byvKYu!J{@_+421kiuehn+IB26G9oWzQb6HlO4Db0 zepCAVXM{YApOijKkKOTP-)(5F>D}4bz6Y4rfU5AN;{pYPl zN=%GK$+G`ojDfnMFXN$7(I*LEWG&b4f2;i-AsVKUU4`ZHuP`JtPJ7GAyAAX z+OJU4KFDZAvEThyjZJ+0q}~wSvXeV;aRd9}xktr2ZXajDo}(bdE@Kc&Rw2~{qxOX1 zh7A7TzZw=-*LA22^paN6J}xw>yrYJxQ2#i z4~NrS^!Yo4^3~%8OvtmqE}8dhM-kNQ?_$ zqq@?kg5ZITLt{uV62vE+d*GL0$kk1ZZ}${v23^>sX=xhab$yM;LoCnEOH?r2(R*CN9YaHAcG=g=4SG)W8IYgED-hyL1h8xXwp!sJD=xxj=F3DZ5_Q{CjBBo#*=G(12NGpl(kn3WwE4*qI%*c01+u0V$p2hk#_x(t^t-*bK)S(y<62R83K=2fa6sOk}xS02hu~JU208Y8>DCBFwD&Bsp-?$T%phtu3oPt!sRNLnh zsV2JjNrgqZyXRTjjUi6r2Z z;$@ve5bQYhzLz(Z-{AyB*fz|(GxCbgJ6Iud+|6h%D1I;I55uY%K)psFI!4z<-i|e){&z}15}D-w%dd+q`98PTs@{{@l7IO7I1uoH1z@U zcX~5>V*|&x_&1Y3q#-8H9nXt@_a8evoOqDt!HS+L)Ivl-D~p-vzFdNk{&r7;Fxu%F zzS($|A5jlj-@ze1GmPpuG!oKx)Amqptbh&{ok^;Qu^=cqI++JsqeCfNhfX*+Bm8ez zoDv4L5=Xtc*ayhy4VvHi9(-e~R%y{!JR4NUFSp^urzjo6i$(~x;7ZcvxBrp$f0h>Y z`wqH<0i=ZWLQn;&Iq=!=Pj(!3FD#%h<#nep>90>XuIHN{>W~UZz28@e2>YHFb;$a@ z*M~Hc&iGLz4#p5G(w`RTr8!i?AbS^D=IP*5QCUzbr}sDn*Wt4b)(rU4`|qMrd;>K= zf7Ab2_7OHrJBap3Ubt4iK1wh&(E6e*sEPMn0EvN5(N3>$j=?kib$fsCDCr1CE-xmq zOJ0}Eb#jV~?$P2{q!X{i*bD47Mj-S2pp?1)W|$)WU>>&cSn@(j*#E? za*a{Nf1^kGvm^bxs=a98-aCj?UwcBt)S$z{pu>JeCkTPl0ZogNIl&{oY_c2)nvRVQ zCe401Snp=by*DmVDTo#O(EoNZL9=vPqGAjX3z$!ob5l1smc~mxpTA8G&M}B_9jo@v zvvNbb8zDV#3fW^HD1v;qPVQ|n6k@vyTK~+G%LlvM!G>`L(f~Tt$lmpD3bf(C^i0}N zA+-Hnic{URop^{)++2}wcZV~9V5i|f(Dhvefp`*ISQ76DaMlJ-j{OaTN!?*jTbL4C z1QJ^~5?dq^0%#HfxDxMF0B;(AHxIy@0`TmBcE?Zp<=5L=VUjR3dLj&ZVg#0t0L_uI z=uklzfI1Yw_@5E^&h=Owbux~tMtI~v)S|CHf{jB`v1eXNgAtA;_a=Yp-V1DL zDYL9R1*Wg?GTYcVO$+BxH@*0v$u>L^rrV2U`}N-UKi;#i*6XXkcPeFv1;taG)*VP1o4go`Cn+n4I9vF6&7`OSoAn?FzhA1~st6k-!gB3H@;T;;JJWa3e2 z=5;Rn!3v78SBc-03?+v{gg7_2Xe{+B2N*Za1pVb4Bc((3#wM9kpN;wGAVECK7|oM6 zBoP!nLurY?^rUkWWgg04LGe}CwLDMSN9+FiosH#{osIdGdBOI8ovr$H2RD6ve!a~T zPmfklcTcO`;o~U0AjekBt)KfwdoPz0Ya;#(=^fWZBqh7`%0~_f{Vm(>Hh1Ozbo2?MXlPD(l?6+FJ zX?c^p6a7KHUx~?qZOMU6a!?t>A&-qSFAL2Xo4X$NC^|7K?9K3JND(|*K%unG)OZwgl@{OS7 zsjBut)yQ`e(a$D2@Gj>CADX~3zEZV$T>}w`#ZuX%b0X7*r+)k^hm(CzPBqSCS*84g zX*IUb`yc8O667l|sWSf@F@DVl!2PV}_M?rrN0~}4=?r4N$cr%FKCm7$2 zZZ4$!cl1uPR99+ClkS5)g52oPQN!{GTrWD>&;>2LTD+N5uAn%$k$Uhdza*)6n|>o zzB(*H_u8Ke@WFg60ykcfB#k8Us~ZFX0!IRDv^>8q2>sTi(T69#wp**4eiljqDxbt4 z{PxIadwuDoxs(i8%E7sDQuAO5!dWOajKvjBz|1V5+gL`~z(Lx$M(`>V?Z&i8z)N6U zk?mdJBG2Q>X*k<3@(cS6ocr0()Y}Y|h|&y{^(rHy+HWOqODXX&a5VrvkgZBOEtK!9 zEZV4hpzc4S*6Qk#JfJJZ5nl)m(K1IC^m4@XqnlrhZkD6%79l_h-8(LZ{gv75n)wm1 z*_K!_umeVffB=KNAxpLW?7vCk7JNluJl5Qq^)1vwkd)JuBT1XNp5Auo3~20*B8;w; z3kj7CF)^1_p@4=Tkx)^Io;N8jgU`mr8m8%o{)%DNH%fy4Nvax#s$q6O0>kneu>0rA zqhT(+aeQiL=KUn+<|T(WYI`!0WrPdd^(61zWNUpcu_X^bh$*G@Hw7=>R>Nb`&EU@u zBK+D5>)qx+!?)~}wO7z+jx(O%19=MefR2+aPYT*3r{>aX$=UBCP3a@fEscEh9}b${ zvW)ByMTpOeP^?CqDifhP28+vkbB(9 zrF^|V|A0aRu)2m{*p|=tF0;1DnA#8e`0>+>QbFSjRa#=uwPWVbAm?Ln%`}P5jWjEH z8@{!jQ0okriw|z|IGpI#SKuXC!APht)*(&3&O+ILeZOs+DxGbbJERM{3}#O$uc&w@ za`q+j!+rf=Wd1YS5Iu($I}RCb1y4?fq=|{5w4g5Etb88Z^2`f^f50iNh?pl$fod9M z0y;(viVMfl!8rR<8dr&JefoU`>EmXQSw&Np(Hr1lu<3T_gLv9B9^C7HoyX+eBs(|8 zjP4)WO=z_)XC0=4^h*AK&oaMeZQaoaWU<|GEjpmtgmR#=VY5>FEBn}yc2%ml`6LKf z0l9k;mB~nsmSTJ(qqHk(cS+0lHH$5RZSXp*cqFtaIyo657eew~^IV5ie_Kt}=##Jma6&PrL*OnQl%FGczbCjGr=!aOT=v!$W|R$zYhaUH~j(X#m*C zE63NtdkQr4jV|=KunVB0N#@^OMTamLeUvn5umnm2vf=o?HhASz!8BA`xLD3T)g8%- z0W|t-+hGLDii_kfP7t%qBJ3BhP2VvTMr3TL?vW!1huv`YH1r=_s&7Sg%b}rVL2N?0 z$8dUaGm(RN{~5<(@MB8d(NnlidW2h~vR_G#u<>LgJftAR0b}*`He(A8NtL37geBU{ zW<&q>flF5rC(Vqyf>TwNG(AV+W#l3lI;&9vJrjrruYI!p^Gf)h3JvW{*=E*PnON3m zIb}RWd;U|Wt82hGX&X8tACLeVr)aain@NxHf!I)w098W4D6jbLv7XMlk&{VR?1r*2 zfGz7Pdlv5_@*pW(qEu1-lw8^byLxCQg2`m zPK@mnH_R}G+&7!hOOz)UpboO)T@4OAAF0d@5l9?T9;Q3xSAePrQQbY{(8Vr5+#MoT z0Yc^;98a2&l6zH#7}Q2$5@t3IhiV|_o)8lUjP#lYSCRm5R|q%fGjDSW=;oppuB%K8(cm7ob6Ra#c>x6=Eaw=UhiVr_? z1-~Ra=QGN4Azy@xlf?Ha5`A=!dLBolzkNdktdb^sTgx8pM2w6-C7&a>t){)DB2QkW zBvD&kiM|g_q03?df}P=!v$C|KgB5no*q6 zLefW-ZrY4f!=a(mY;L58FmRA9CAaUcti_{%C7>;HAq!N`$pC#e;)0MQt1S3(M9RP2 z3b?3nS2W_FAB>b2=ZB*`=f3J$2#s*6Y8o!rjG&ZpdRhN*C^(pIJr4H3;&9vh zOee!%_;lBsAA@D+Bi%`Pu2|uE;UVE4u4p+s2hAb#&n`F^QUER~Kr7`$6cbzjB#&ZI QBT9=BBXZdP00030|38x0(*OVf literal 17748 zcmZ5{Wmp}-4k%LGq4>dzySsaV;_mM5c5n{vPH}g4EAFnv-KDt0W@TVvU?vuEwX`uIW?^L^X5wIEW?^Ju zVrC^)Hg$5dG&UtxFf_I%W+qntNvv*aL@aJ%>GIVw{Fi_sp#G1li2sjz zfS>!q{U#Jo|48*G4jZW=-u?zVOloMxGWvsXCNW)_g(a0WF<6u!Q5GV=1cDn34MS`> zSy%m9W8?m%<3q=F@!nujS6z`$!BJf^R#kyPH|}*t^&i-cU-oJFWlKjzdwEYfT>8I7 z`}T^{sw3aCs+P_2)9T9_^Whi*-s}NIQ4i>tyqNB#%l9}BhyfZHC_s!c3LrM6e{SD%l?*|PxJ=oLFnD<;U{Lpt5A5DZG!#^>Hj+_h5pj`W}Az<-RV80 z%9=AO#vY*0+Fop%C`@jXhS*}=jC)K2gCF@&cA>qNxOnRSvsqb`JtRRQ8O|SiqW&$8 zD|0z;5PFggl47f}HNBKo2QVvvh+iTq#?xfZOC8N@(R4uXz1La!8TAo>`Tk9?LIR@y zD%W$-W9Ty=+{u%!Ko|{(uxj5}n>NP<((-T4EDz#Qa4Ti-{4G}_NgOLlh@a3hicrK~ zd1gX~a@zN%j47i_LDto<#*E7&ABy;ba-b4Tsnl}EzckKg46VMJB(0aoK+AfgqjAH6 zqx0K2hx}LZ2H-Dxe`0Jn>EsM~YTo!t(!9McXyA2DVzU~rV>PWr*>6s#u4+Pp)y-iv z_0C7Pm`!Yf$oii|Oq6N5 z^x8fyA$8ABt($SLLPYG1V`GyQhhkYHq-wh6Mg36wB)MuRuGC-E1EGy2a}Z{H1S;OB z{I8dk?lvVHtrZp5p?Bajm-csDRf^m*cFK;m@}*JXw6w*ZqxQU~@tpB)8Ez{smNVzR zY+2_behI#SjGRi2v6r#A;t4f-&2$@W0o$9X4|0}8>} zm60{oy_p9^KO^1$O>tz|djDs8UiwN>x{pBfqPd4n-H!Wp-Fh(J(9CUJZ@k_;p2(>b zFg?|YJc|ojnZdY009PwYb~@B&D^YQPtmC zr3<8gZ8fVTt@4#3c}f-ARDR{ir_5;YwqB~1nZ2v~3!>vKlFf3(NKZPVzK^L8;d;X9+lV)16j3F9-SHe=-UKWv}y9Qz@V5ZLIx#{B;h|6FSSEL+v| z34rNe4I%eFjUic^ndoO7dGDm!th)qwU~k4fown{}dBE);Y>m?17v};UeZqh5=&chg zvE8mu;Pm$zjP2>XiGl_7#>O^dNb<3TBNF_D1(BnAqFAjSf&ECUgKiAfenvyMlJJ_s zxVFZbV69zbwd#=w|DNZkm5cgUxv;@!I0y9n7p#rMPYG+~RYR)DH}yUbQBE52D3!=GlP zo)f@z3&wFY2_$kL^-i?-R|ER%n>2;Xm)g8 z1j@sza)jNwr7t6-KfiFLhc2WZC3+o>CuPKN{Ki`eZRJ1JvC!Al)Js+3&+$PYhq6_x z=#qgIXUTUTY99j9EMuk&>zG6+zf}R8dA^THyPv7`Pam+5Sm`TO5L8H0mOrf^3O2Rx zY-yUC0dPqowvq;}C!qaSCCmNX$zKoNLGN3~Ju6x6*q`h{72k-A7vHz}E`ZE_(yF_9ZR|hh#h#N@3$;2inI_=B>Ijk;l+3yN zpcXw+wxyb(x1O~szqy{p42na)t^1;FQ>T{9oXMsbyCb{h?lQfed-LF^%yYK~R-`rE zcd|m?=lfUCsIRY24e|K=by9J$w&$B|vWA~tj`X|ja!-dd_hY^L(Zk=j&nEBXrH+T< zYGrMXyTApEIK^AN;NWQa*l!~yGz8cqVpi{}k6^N*bGAGi-hTeyPrVea!n zMkABG;{3*GT}X+#Db39*4=N2=1qjyRR+rtbO}b6O_wfZty`x+!K<-;qI%sF(* zbme`d`rUL(GFi#;1Fv=BIZ}@||4|64ZmFiOkw+Wy49em;01Fy>?0e;ko6@cVoeY1Q z2GJUJI55s_#|L9As?0oTg8y&VRp(^kgb(hw%-o>}YrhURuXe##x542H!jrQYDhbA+N_10}S${_XBjQr4{c_!wVS zuaSL5%$GHz)6XiXlCe^6B$1Zc%Ci~MK_@MiWqcbma#sE&%LhcvAO~Qbz}Hu#_EZ=8 zz5%w)SJDRXXfUoP+={AVw554O1`_jpWJWo;Qwk3XZxV~C+cgN6756E#9_izaq}hel z;zxRYr4ggs51jSi*+$~%#VJTbZn$@SE}T!M<6pc-{Fry&TFFCv?vAj`f{WtA5y@>` zGvMhVS{`a(8qGGNTn~I=s72LZ;kNz`nQ?==VxFGXqW!xuMr`$dEI;A z49uRlpEvig87&jTbCRugBBCS>yIdX}%Yn@r^@PS<$$1j3T}U82P^qWpJe5>9BFq@~ zJ~oFE`xyxe)%sJ3G`96K@}})F?vWru(EB2WRJEt9{|@2=7EOL3@`6gXPWFX_2+H!O6Ln!_~nWWj)^NirvLmzBv@e0`iR@V}Hhp4}IoDU>RL(@*R zmkP_2;T-UQ>+?PH$g=*PvX|S7*k|fb7kK9p^R2<(LwH|hHa~{c$0$-$8tg*T=J&r- z@1}nze0VmNnCpI|dXKzMZ4m^vM1Q;j)yyo3USn7`i}ua4{l$oBH>A1}{`Yv<$J#nu z+%QAj$=lSs;kL$4@d@@$5#O(Ev|Qpy(|?bN@ujvfCKJ7abb(*O5q635(SMf*IqB&G z`lqD4WK2m#rK>WE6;hG}5z^OGK99zYKM&s;Mzje1^3(3u`;~X)zSKoF{QTYnI6fXb zOgYXt6d$9O9PU9OIhI^36yxwM`F52;k0|2JOakoNZO*8oQ|p_GRYrN=^s{w#dHsld zv{dw!e8fw9-}My@rTy1K1ORsat;YKHTUS^o`=2(~s22>OSSv9iUvQzXwyw4>|k+73GEny``w=A5U5`zd0I)sJk;%Nduh zPJ2s!*{;QO4!aGd@bGOCQz)9uGeq(51N=sp_oWhZeC7@b|f$h|*mp z_?U6J3*x#>Hj3E`JP&8<56dIY5Y94@5} zmmvc5e=7QJ9i}o3*Z$>K2bhwX@>pE1N^?8}i1%-Zj`L#OyScl#BDtcVptv$Rj#$qH zMrq!A$YU4oS+nlS)D#Gl{RM8L+jd|LQn6T)rT*Hevz%-zGtkKx3hV)qb|~2Ti{bc7 zufiTuX)eU0@nRG{?HW=*Nz&lwBs7{_0j6q)_b#ms!kTWv4QP2dqoV&f(mQ$ROWn`` z4$6-$S;@$nXRdSOZ3Jt1_t<^a_1ZN4m5?MYIT0Md&nrYWH1FAyp#HpfZR(#P;ZRcA zRZd9Jq)qlmf+sTQE_a$g=CQc>>V=-eLBiBjfX><{UgVR`EMi2({`g z4xXT}X19b$Mytsd(Q{!z@Dh6(E?Z-h9J46kr|1=I;YNn1zYC)+n%URp@0PSKe7V$i z!pM!|BuCOv(}Uytq`@LpmP!}e)x5uhbomv?NL|8YMc@xi3$21_WW7#E0bAc-s)?1MR+cjr>RR~ z+Afw`R1`$CedV+zG41^7AH=N*R9gvVw8UiyR2=}S4y%VZG+g~Sk|py_%#}-hu7hI{kZLv~zPRP3TnyjkpIT@BomTxn#f-KgfNCc>_==DU zIrGl_zaW}2vlYwQH8HH(j`1hHIjO@<10Vh(HT@o%KoVJk-fcDMQ%0Qi>u1YdOYIPg+1!zXbf}=Cy93+P4$y*@f>tHqb=W#~=msh?23iB%xU#S5xxH*sOlc3W(DQ&^11&Nf*_!5{z0}z~in$q& zAfdlgF)4>k$4>4nL#$tTtYCbDI+nq`gm3lYSF>8mG=k^f%kTisUG(S{MhM?ztRFn< zn_{4~%wq>{3<5j*{Qe6$m)5Ruw>53xNO&aKW`@SW>JP)7w88ktt=4tTM!WIyPMD!H0oL(8@&eXo=xlLr%jnHTIK|;!D zv9q12pgQU5{g*)uZMDh1u*j;nq&&oOhg#DL^jlKF|RG$@lJyTkGz6cyPw zugrT~KWMc8o>O6$A3-!J&*8wDerhxqsAqWW{ zjZ$@tj8;+W5zYLqq8fFn63{Or_YqM3hc!x14y)XNu_`vOzS7m+X~JQAEY zTacIarby(M{N`3JT4KOMuzfQZ5)C^G;=d`Z66u5N7!|mJ!7d2ADlT?MVP|9=NE4es zQ$wqNri3$LoD~D{+&W}x9rlQKAg+F+7y4L=Q9_zaVKnX;B(_*@J0SNaB7f+JsqMLlCwx{A54jQ^nA7-iqbZ5WO z6FRfhJYRhDFIzi#MD?kaJRdZsyoiSLM>`Bzb%8ixq7zlT8oc2W=1x5KI(HEW8vvTZ zWP?BQA`J-`q*$}O{RiNsS~5K97~P0WoRY*2_aHPd&{=b^uMP@ zNf&6Z{f(ITY$9ezFeo0)<=h%ks3e&tUft>BNZ_l7IHEf+g1z~0S%tef{(hM>#gQTO zes63T+|wY^|E3Wy(o(xu1>N9H*U)jMt)UD`8D0k5%umg zB_!^G@$*1OW@^XQSy`W)7(d^JgV3mdZB;2d@OBaYL$0c|=G?9cFuR^O%V=_=L`SHC z%T9U-=wE1~l7_Rw+NQu}&v#2lHFX*}?w;?syv&aCaio0;gndXIQL0tW%b=5)sg>GL zlS>_BNTp$>$Nfzv%_1F|8$f~^n1V67-8t=CrsBw9?Yec=W~G5T=UR`{I&uBT4r*?* zL0l`Ia`?sz(po-(-mI_33?(TIjryhLZk1pro&lNFLU{7#sDm7Ez|bRb`fOb7{SgO! zw5@iP+`-sCw|Wp};mC-oG~8IDz5a^M5K8QKU`w|Ie@jPe^DrZOn4Z3-rqslztWa~5 zUP-`cZOAkIoh|h^T;2W{^jCQD;`9S4`nsmBp0mzP#kiDr`XSdUy+fv=@S)K@` z%9Q(P$-jxVEqKFyKV?5#UbfP{`2%s3Cln^b+>8Gh-R4`wAxhv|wIM<3XI1k`Dk67? z{$nncG#cjvs)6l%rnbNE30%;7)DQlZ?CnU^F%_eCm?Z$m5f^Pz#L`%H$oRU)u;Wc| zspy(1R=h!(w%n!P%rybc-7_^IlprZ=NFW!2NS%68H`wvmm~ z-H2W;CNcK)sr)R7x960|y_;yU?#!I%jAlF#U_c=}Qzzyvh34@>f z;>_XUDOe^tp#A34rFz@Kb!Q`@yW12Mwq;R4B!)fe%HJb=3cC(+w$EkQ5eugRD@V4&UqF*hEqOvj89g)D2pX% z3uE%?P9D1+p^DIKL>#MOo1|5oi?u-xHL|fw^_Qa1|17H(QC}6Glmz?0&R{ zyP>66?6`_yWOlQeKEW;-@r-!le&$U3qYH_emK{Z4y$KC#GGdB%kfXQWtO2!zo0}P^ zS%D`Uqp&LU9dnnoNs*U>a&cLd#+;CbfNN*GP*h(+YGrkk(kRMoPmEJTg*jOf(*Xi4 z`j^7cQuJ)uuyr{m)FDNR#FNVX@F=g{siQ(?hC^gn!m6pZw93fYyLm^4*8|`&GsX$> zfII0{;H3w)k=C$lCDg-Rg42yJZrULC1a`b1&Ye2K%W&KlHVk3(@+QnsQ)$lI)leCM zWoNEx2f+bik4OeH{>Zo;$Enu9w9p$Izyl z*0R#k%#p} zKMjWU1g4R_^M>gMKXrs*lL85hRC@N(x!U8J|0>S?5(?#Lg_BLtBj?B8UJm+%jO$;H z5eV_%-jydUu3FhLC;c!VFemxo+HF9a4?QCOpxVs|_+%soEx*0)q(N%w!2oq%>_f6Y zp#W!I(8mKn*(BV}i}t7&+*Qv%A9%yy+kTWr57!8aQ$W3t{%x)JW6=-Aq`FvXKfpc zyVX4)X4yCCv#zpy3;(U7S0-nFLYy0rYRfap=exS#i?DhN+%J-oO{Xo^d70ZHJ-k?U zT-KBy);R4^pE zMEW1)p3E4?LnLegm$I7izvtqk;~lV~-aNysvripNJ@e4Uq;g(eJ6&3vtRY~X==rC_ z;b(DhIzh4-XGPL7n@4!0yCA4g>omBV$10r6CE~M#3^Sn{GNlCdQ;HLuHR-sTn7L)y zIQRHcnlhPF;x*a}`ZM+$92tTix?@|~-=&`CvYmMQiega{%hZ?1=apJe+mjew<6O#m z3f1Lge9Lc^0*=@fRS|-8p@4j$CFtOq~CIM|qM>({p}Aw);sAzH z_ccdpP~GZ6Ly~CD$~${ue}{8~Cgfo4P-|;EFnEHW8p2@E=KZ3Oo1a3mZ_bGKunbzI z-+lt5phLF62Z4e(lB{zJdo%#FoAvK|M(^wcenLK6$jcf#6yWc#Xa*hyF(wxh0QwPD zU99d{kq@ILL=2?|SfO>XHWX&gmJw&x7!11lScrFGJUmmgyk4l5-E>Hn*KF)xAc%gB zI@?YhFV>hIp^VJftKMFy^II;e2y7HSu1(MN+zbDG2Hco{IeY(ZQ{V?l(kDU;TI05I zSPMxv`t@MgC*E~Y*z=$590#3Hw#QmVNgtTq*zHz`6IxXLa1VSVu_pE1C!V=RTofaD zvEA=-dr-JUwzeW~Y6IG+JrI(dF|UU6jssUMKLYbhakdaS8fPa|-`xG|mr`rHN6hDL9v^nXs zqwYtnRBVcYay3^I{Oni#cA>3R6t8XhXNJAcg?eE49W__$&ZDK=9`wzJl%W3;>VTlA z&0#x6{1E^}iNXiB&2DB|;!RP8yI1hfY(%{_+E#d)*YD6Y{1{mfAS>faYx_~;#SGw0 zx)HpGRfx8jO}4)Qy&915;hi+f^8`3l&>i~VQ!U|+LAnIL+i_>t?_iKz!ncD6G*01E z@mAlyg|`C>r29wevfVQ4F1!tGk=pVnXeREq5)n{vL!py0NS4Oxl49j#=9B5eVMeWP z;cY-&W4vC}+3uKn2_mhQcHCYRfV=`*ke4R$;w_Ox-v{CeBZdYjvk(>55kh=3SvuDd?Kn@kofP2)T0xI-0I|2WDa$FWNnA^SXGBdr>r3iOvf|Y zXtlMLCNkIf{CeL!>8;n)t95yomR9*%s%-Bw+yoGnc0Sa7{g)A~>bA#sDmZt0V(qos z?0m)#xig2=h=Qs;j9}E62%8PqjBBp#XqIgH+nWqRE@EK9L2zIt7+zgfuhv^!=c}<5_^!>=9Jli%%+zMF%+XrC zrrl+#2!E*{)Hlb0s~9V_S3L8%!f zb9bM}dOJvRFGcGut6mu9~5)s$%@D%_=C1(QfO)B5-_ZSmTxCu%jT@UM(P2 z!USLEBF%zZ`#3>YjbqkJ^vM%yoJ_CI3JvMYI}Ut^ugDSEPyO3ec|qrVl6<2WR5|sP z;Ek`Z|A_RBnTu>sN`785PsMGCeS{i((eav7ved84N?_i;O|Ey+-%9#BySQcJteMsA zl=G|Fi?Z+Nv?qMTqE5$5|P`Oty8rR@l8$Z1WtiGESRKIi<%4JT1lhP zM*^1t=4&DX%bmxxv<+E3vKxOTRit1XdyHBv=@L2D2RV|eA|2D)5u#jle?nV_n{KMH zs3)H&RYi3Tz34PwCpR?L3%HGvZ>iil+NgJ1Xr9NmcE47+(a+zS$5{UhZ|th<_PWrd zNUT{kl7Am1+D%Phi$Nai*<*QdDX26|eR53>m1i3YB1Ev#+tykB7ygy=5z#adIWzN# zHhL`b8sBZ|X`gt?DCN=b^5S^HYo3}WG40k?Q#+I~^|?Yk)EDC$$M zyNuK|UAK$defFBUdSpWwFcP^N#N=Naj=j!k@lkHF)8S8Y&o$N5 zY8Xs?Oz$s#nwr|CMbzt-U33I7D9=hNlQc{$nHslO+R)tnPLsW#j~|ic3meq2(;uc# z+qz3RxmtsIE<7aj^HwZR?-QDL`+mvKjeB+Vp>+bt zkCs}tRe|Y4%CL8}81aFgnjD=RRP|_ezk2TXb90&|QF918_4;vS@L_PzqPYp_gZV+Z zVq(QiM&|8hlHA8lJN3Tbg*x)pe#RSRo z_C-lkaKM(T+Hv7Gi*cJe=IV&k&Cr|pmPL~My1;o$>($dmyiLzsw`JSL$M5mI=guOg z*f>G@$LqE668X>D4qWlqq8G;6RZ9An7Qg(5+w0d;`Qal_<%P_+`Vu=(`C~U5>YZ}& zipToh(o<6RLGHO^=tJOn=it-O*3YVk9K*)X@qKi)$35`o;sMG2bKzgfLnhv{yz8sa z{p;!$73VUg+b3+xv^W3Wqt4kAe5#=0`}v!|=XLVyQcj6?+cdDl`&sPW+r348RiJvv zbU9Ui@=5OgcJZqo<4xnI)!AG6sk%?LShUXv=i;q$xxVki^-0cH%j5L^*ADqXp62sC z`=jpcXud~Lpzb}s(eL~r=kh@Lf=J+1*7Y4D`BUZWs=_b-0HY~3R&bFKXkG=EqdSbP z-r~&C=}-AhU?MV2L9`N2_#z{vzVuxqoC}?wo{T=vjm_dSvw#3a6!7o? zutjV{Ikcz{vhFMDT6m7ZZ94t~xGi_-{lY77$c=91(^ZIpCsgcR~tj&Z}au%DP^fVmh0*y3BL?^~$* zH`7aYpf`>?H*yBLX~sNI%rH#OZX&K${+6=`$q6R)cj2{8A6aze`Z7y;<600+&FVp| zes!nr|1b-#0S5a=Uzp%$eEml7Ve;sJcGG7;$FSZeJ7Rt z`f8)+UUkgf$S5E{SheL|WzOBmE|`S4hyhf=HP!(x-g9+-7re7uXgf8w_%~Baded59 zA6lpfaby>CK{noVuXYIW3QBr&S{xl%_@S(x|3-O-5V)HFT%hD$Bp1BHHSRe#<^;Lv z#JC|?z#Leh1T?$HJV?&`8kx0&zDNUHaLmL^&e}mC-CD57>z7k52Ira?erY@MQc`eH zEp&ydS9)!3JMQpqa&4*BHK}Zh|0jG>dgp>)W@3W{5+j-^n#|3&K32M|DGa?`)82@* zbHt&IVf-u$@n%(gXsWdOJ`HNtw`vnHC3!E}pT}H=dZJ)z%gW;EK4%M0ve8X28|S zmdAPAB&m4Icc)O}GKNes*eqUeX|epM+ThlGW~(v%sbl3{Z`tek9(^kq!!Y7ue*QW? z`t`a<=NbHIvlh(* zvW|qA)%98dlQx#d>535}9|ILEeWg$cePCb>Q7IyotOrua$l)i%+FkmgvAkT!z$p~J z8>z6>OY&RMzOyAu_|rIF{x)(5+(XUYP_clUN4A28koGavy**bU;mv20gjRM0JO??u zlK4yGI7t+YVviJUS-zOEF^UqIYN0&bE~;=7S(FT#g(Qx?JJ}n{1XxB`RJzI1f32YP zyVn!i&`HuZk99ht+@u#T8vk2WmO$89aD$|DkI-k~Bk#S_ZgX0X{wn%t^(>Pw9fvR5 z{p0wZ<@jZxWr6;JOWXughw>K3YQlx~r`H+|l4c}-7oWxF#_^be`t|;3EARD|W*EY- z)(tV(boB!mO*=zp5hNJx0u*;TkICO_ly@bVC1vu(|_Lb=zmRTh;^AdLbp_5#mEXbKPRs5S?a716=mtRa7W_c%K&VtJKNKRV@eCw z?Hp;q{pn6l(OZWDkbl#}HnJYPI3(w|gfyB92tQjZ+K&uiN<4u{TI9mxuy_*chrtt= z`41ag ze0`W-6n@4KnqAOJXtXmX!X4y<98J5~aQn4$hW_a9!p2VYV8o&(p>*xsMog+(_@rm3 zpWY%nK|$gK3d1VZaAh0-!7O@PUmrdp-?ryXPYKQ(EvE6^>eRH1TuObL#9k%pwm zio8&Tan;emQa-Yd_A7>u;5L{EAC}%yeb%P1(8{_Pg2XmNqR@6GxDhHN?z9H3?BR=4 z!y&Nz`ZkGW2B+J17*Qnip_{Zw&G?Z@sa#Iv zCw=OF$G7SVPO?gltH=6pn8mz{;D%vmgRw?T`}LHeLZTw)Ci@K1mV$8vJ<-*r{)`Q5 zgdf#G>@96OD?~~O1Xua6y2(M+v0m%hM%?P!|#k;7qO}Ml<7jNz7n#J$hs=>x?+1F;Ku0S4dI|ae1EbM%B?>NyG zn8g*n)r}#&3q!AD9v4#DQV`a+lO|%Rnl|AFE4b5(6s3v`=I<(o)^VcKNFq(4iyGyf zC%H?+AUh;H5qjZzt-wZmxYP3x;d(4+O;^LX2ZTTcgrM^#CJP5T#0D`1`xY2YBVe5B z(SL{n{#wvEyP(C)6oM#JlcQeQgBb)>790|lY(;Lj9euJX4IQSbP|*de^FSwYO*-}h z$T@3hH?`fPjr->x4@ihd%oIJy8hWfb32a+QX;1nxg$Jrs{f9MrW3^lP zJ7QG*YVo|PE7)+l>A&fG{j_?KDdpplRc#)mpnNMvYz_9Nvcd>HL}Ty3?Xb#oizyR@ z*JH!~ur}$y!kV|mn36#TvL<$pFdjzxZ`Y)pCdB(s=)Z&jAa(gq3C}=)BhbWyXbenY zr|?mMA{gf3g}CK7ql3@@e0?vtbjSk7D~UE3_40#KPuzHyNc9~;+Zb)I;B(7gBomB} zs9+c;Vu_fd!FV*q3W9IJk|WaoGtbzJXR7!!a1F<~SRfold-Q!h-cgU5f3$o}rw+~ZWPl&Cn;L#UphS=#8Jpv7Eg{HrppcuZD?lS{nyMdKa zM@a$lwA#^>r&`+}x^IP@*!ug}H%3CpB3&~K?jm3QcbSY@S8A;|>F)13ul_82bF*8E%nQlX-_z$I97`b0odroEI<9?ufwjgS zt>&KY^A3*+@{(>?-;c$GZiizDvdDlA=H#=ws$%Zy=v}SoUecK2DQf5&%5c&0h3dx{ ztTcJo(r!8C|7Pj^-j?ULSXox*1zDKZsrg6}2SBsFbI@<7KA{_wrYk7DFq_t(d2vuC zbV@WL1HR=c-l^tPK#Pfmfo6}hEd6cd(BnS8@+dqp%_PQ?jW2s>LpjT~@$;e;R|yTI zz^p#+k7f^fK_mf|1!wr;+IYK?y6d9Pa=HT5MK4#?(B#ErE!C!&MT63AhTkv@(|o{g zA}4U-1KJ%gMx&sf49A+dNg#<2{JG_|nms=@3o6CD^_a34fUZF8wAV?pU+#__b0W5Ex zlQY_R_zzxo6|-pv|0|3^_MZ9?nBcyU;J$(2{F{C<_!nqu9Y9V{iEpS z4(Kh5=tfv@pXfa<_JxE9G8jQq-(7v0<@xnhR{e96@mNvlpZYF*>~qK)II7+E?dD4F z(kEx+*fahe%$pymRzz-fueis^ z1}jcNDyWkq6nS3<%@V|R6b_~BOWA&G84K-_xrO`z{rWor!lbYgGsy>TTu?@gJ#k%G zA`?TWtO5UYiLNmG|8jyg=z|^p1y6g2WSWNi13>lXMomK{;-NxycObru6I##vvr{vX zh%Cyzv2-E*=F=cKa{Ct7{~ky77Iy^M7e3NP?(+l8CdRwS7aWxmLUH}$(z~6m!x0Izp+f|G=kzY5WAAKlovK0y4XpjgdeFu2)-J_}05{IsO z1FAsr?sJgN8OUc56o}*OP0wO02Vjq3)+n2i8+vaMPzT4|bf5&3oHrMeXmUXfZJe1*xW^A#h#;J5p zUj5inL84qIWkR@bm{&v=TI=&`wm7%fivGeS_0%5CE!BJPBESBL{(=t1i~Y^MGJn$j zgKw~63J`7K+FjvRjy;PQJj`(WWjP-ZJUc?!I*7zSAc-Y=8GPDfk8rX?H+(nV$q2d% zz)=#m&X%`*k;6O&UNK>Q1gi1qAV{@|0vBUY7k@{T%-+3q$FOFm;P=u9&`*kM=<`p% zcE@)YaLPvxG>k7@s=z8KW^}-k>igSF3(Xr0kId~*@O9es6-;G!oBGO;1?e)g50c$4 zHb%&8GuS_OQyp5z9+pu-?@LK!jGV(%`t@8BE}UFX!Mw%6yvM!1#dW;LVY$4=Tl_f8 zFWMj=YjrshB-`}A$hG`htP6!Pi5T- zs}fte4ABSD@qsjuzWPJj8OE~C&k}eP@wNOBcSFJ0MCx}Uxpy$-2!V6Hf_PsRGNF9O zz3N;&)k?evpMT7x#GqpOj72_SGw#mo4Ve4?TRGiS~GAr8Tc#6OaHGOM1k7VGJDkdJ6@gN#wzl?0matE@o4DIvWs}wb5Ap zbK?>?kIf+h+v+`>ElkdLAkWKa>-Z>HSstK+jhp|O{D4pd`2vgv_U~+9ez36oi-92i z?WRB1WI7y}hyz2(%IGS6FU@?9@+qbO3LwBN>ndxKtob&+?q-eE^aIoF8f zt_VyEpBm>E%+Q>vB7FFH*ms39u)aOq$VQsmjE(+0jaO2H&OnBD4zzcD;Ub`7A*Z=C|oabMl)M>3NKVf+lO=RN0 zEm&dC)h)QxG?ReD$}5 zg8((}oU-%YXO3~76*#z5ml}a`~dwV8!lKb%pdWEgz-^S;0UC%=Py>qqW^7Rj@WR` z&ly(Qbm3zI5mURm~)nXRt-$pGc;2Q z5LM!%82g%@ao3BOZ|d1raGw`A;{G0o{}y-kO!5gQ)wYoh+I1S((HlV18!#j0OX-@U z-A4kF*guGFei_>plxJ)qfVX-dwID(8i!ADCgK+6IR9-cl$h$S_>8+hgQpPTVT~eB? zd~mGCx(WUmNyWZv_J!U6rrtniz&2}NH{qK_d`|-b!LfUfjbBsB5m4t%&p!I&qtk8xLkaTmzA3(mMJ%(zR!xNFF`i^jOisW)KX74`|)H{a273;*1O zeO-!uou(fz=n?WZ8u`?Kecg(E{SB2cGbn6_=I`lh?=lDAJq+EZ{-(l(}9O?*_ zZ8bW-^5xZ+d`|?ug{7s1g=I%I<=akdjwX(hoXN<#lQwMWlDqF}YY}XZz&)7x0_)`} z{lxdSUrB*}(Lau=&P{7HxGyD0@UwsssOhpE=-jafOS{O!Z58-Mb9#rZ7(daPK5s;S zK@QBx=PO3OivS^Eb@H1V%}13KeJMtX!Y@Dt1@0z)ce`gl0zNKfJyj~wL4H2M*}KQM zxQHy!w`he8UX0-6eTE`vj=q;?(&FD%1poq({=jwa)G9w zAmyB|w&YrZ02|G-hnDo_p#~=2z0}J@Hk8owC=HMQrb_^~NyDw_MmYs4p(PzE;qxsI z3Z2;0iTGI_f2l;~Lo^hNn(}BU1d4^5e$21X;f7`m`EGQ!`4=^>D5l+Cv$Gv#CtO0P zKr+TQ*!zk0kIx_ zBKb8ypn1p1+^O92PdI^~KehwEBbRik5?msO-Og8lR7S-+=d);}lQf7~_|_DY_(H{w zVx5wPc<#At&*AimhXNJSx9BT{&r7w^L_g-H(NFeV@LDZ6=G1qdX7+fSSq0N!)~u1q zmiKMzdR4-Gon+hOiwDvh!*x5mov?Eve|gqVq^7RK-HF|I5ds6N2LtsHX1pt5n@QZODrVqOIhR$@9(-LR;_d3HLzVd1_JU1%)%w71KF{ ze~u*bHlv6vzt%!K$nV(=q)iv}JNO`3rl37SWp&H!g>kmloUz<&Q5`?2rtThTxv&Zk5_kuA9GaSB%>B$TjT_~ z3)rE3_BYC4uHxO?vZ7zy?1ij*|lgPk*4gIqj9JrWNsB zTEVC(T>O79Wg7A|w*{9?ks`#HNO-FfBR2FKWDyk@OC7`q}M<<~%0 z5)+@Hi7R9JPML&i3*Yd8-BMof>C{6NK4)W%b+oOGUp`#wYy&Z=C3Dn$axfWOoszP+ zFMq_Pyq=txUO)W-x7m_fNa_Mh5NbOUX`?4`UU`{zF$to(fh)H7kL4{T7Iy%>>2XlP zvyhnCg`BAq`-vlOvUqrQW`mfYfUg581hYhpg6$gA(r+63*ve z=IDSiL7^U#it{2zXruirhH1XfyF>*$P$}Qqd8x_Dp;p_1*+oBJMgNHsh_LVg-F1zd_5gabV#wFwsY4v?!HwjXj8D z%4MijopFZ{rY2-HT6T29%&u-uRTq3OJN~T{NLpw@f5#Csrz~&7{5BI!_?DC%M7OXG z@EiaMqwu1bo=+6xLb#@ZBPENgo#1=^p8$6Ri2GVp0h~0I9f#jIzO(S<>`8iIXq=+W_H7|OCI(_dJpxn- z1*5#;yT^Mw>t;?SU9lU^#sRjhyX-lj+f`L zf6SnaDk94tI_svR2CvKQw47qPEp_eI7Ekqq;#k(3Dcs^2@7b1{2q&!S_ z%C7)b5u$o}$)Sr~fVekAAS1}!gX2jvQgW}#5QExCOv22@;ZP0a+!tcvfRSF);7Sqz z?g;_sL5egfZbF>}hy)?79IvJ_kmX`=8<@)#wj!uZ+OK_uwuD?6ES^Gb3dgb`{AHgfsBe?H`ERM)&49T>X{kQ;i83m1)!oL|QNjeKo?fR<- zCRkZ?)(HjSLE1^#YDafBS|8SS3yNww68C zg%}xsN;<3v3KFe`tqJ#Bj7D#$ZebF=weAI zJcu>o(35Q)|KgB5no*q6Lej^SZr*}ZBcY+wY;L58FmRA9C%5mZti_{%C7`WvAq!N` z$pC#e;)0MQt1b9)M9RP23b?3nS2W_FAB>b2=Zd!KA8LH6l?m7|#Cud}}$T#M`F@p7|06pN~}Yk$ogghn`3H4T?*Mo`K) zy<*@v6dX*q9|wDIak%4sW|HAAezN<`&%iSDk?y2CSFCcq@R0BiSG1g+hvty^=N29c gDFBxgpp|kWipi~il1H(q5v4_m5jpIC00030|JD;UY5)KL diff --git a/cpld/db/RAM2E.map.qmsg b/cpld/db/RAM2E.map.qmsg index abc2600..c333a7d 100755 --- a/cpld/db/RAM2E.map.qmsg +++ b/cpld/db/RAM2E.map.qmsg @@ -1,19 +1,19 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600299285139 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 19:34:45 2020 " "Processing started: Wed Sep 16 19:34:45 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600299285319 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(39) " "Verilog HDL warning at RAM2E.v(39): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 39 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1600299285349 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600299285349 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600299285349 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600299285389 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600299285389 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_e4r " "Found entity 1: UFM_altufm_none_e4r" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600299285389 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600299285389 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600299285389 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1600299285419 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(101) " "Verilog HDL assignment warning at RAM2E.v(101): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 101 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(104) " "Verilog HDL assignment warning at RAM2E.v(104): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 104 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(551) " "Verilog HDL assignment warning at RAM2E.v(551): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 551 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(568) " "Verilog HDL assignment warning at RAM2E.v(568): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 568 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 80 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600299285429 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_e4r UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component " "Elaborating entity \"UFM_altufm_none_e4r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component\"" { } { { "UFM.v" "UFM_altufm_none_e4r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600299285439 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "278 " "Implemented 278 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_LCELLS" "208 " "Implemented 208 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1600299285969 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1600299285969 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1600299286009 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4575 " "Peak virtual memory: 4575 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299286049 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:46 2020 " "Processing ended: Wed Sep 16 19:34:46 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600301677825 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600301677825 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 20:14:37 2020 " "Processing started: Wed Sep 16 20:14:37 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600301677825 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600301677825 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600301677825 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600301678014 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(38) " "Verilog HDL warning at RAM2E.v(38): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 38 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1600301678049 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600301678049 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600301678049 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600301678093 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600301678093 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_e4r " "Found entity 1: UFM_altufm_none_e4r" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600301678093 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600301678093 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600301678093 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1600301678114 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(100) " "Verilog HDL assignment warning at RAM2E.v(100): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 100 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301678114 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(103) " "Verilog HDL assignment warning at RAM2E.v(103): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 103 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301678124 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(544) " "Verilog HDL assignment warning at RAM2E.v(544): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 544 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301678124 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(561) " "Verilog HDL assignment warning at RAM2E.v(561): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 561 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301678124 "|RAM2E"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 79 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600301678124 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_e4r UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component " "Elaborating entity \"UFM_altufm_none_e4r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component\"" { } { { "UFM.v" "UFM_altufm_none_e4r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600301678124 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "268 " "Implemented 268 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1600301678637 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1600301678637 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1600301678637 ""} { "Info" "ICUT_CUT_TM_LCELLS" "198 " "Implemented 198 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1600301678637 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1600301678637 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1600301678637 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1600301678679 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4568 " "Peak virtual memory: 4568 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301678723 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:38 2020 " "Processing ended: Wed Sep 16 20:14:38 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301678723 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301678723 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301678723 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600301678723 ""} diff --git a/cpld/db/RAM2E.map.rdb b/cpld/db/RAM2E.map.rdb index 541c185dbb5def0147a3a4a5c6d28ae92dd62030..f42c4f6ec3989819fdfdefc8392567889b8f12de 100755 GIT binary patch delta 864 zcmV-m1E2if3El~icYjN76h{!=1q8}~5XyrC%AqcREv@aHHL@_LES4P+v1nlpB&Vo% zwrAX-r+d^7!*GHB#ho9-ElR-odV2Q3!`ED3rBT;(fA#vRYI;vjPEJ1H>9oIYx066D zS@oykl{RjEu)227;O#xM{*BtNp!GAhhKkAB3QIR=QY&}FgMU2nWywsvsy~;%gV5Oe z2X?~qf4#u%`6dViei_0cw@#>ner3Mkb{^j9+I@|;zY*fl#Uxld)i>am=jthe`s&WTTVLv z!tqT@GYnIq$bV@qZI7fbL`Jz+S({N}O(@ z0g0g^EDYztd2i192&F}7I32X~E;U!c zh%)lcs!EECO(iF+jR&^0xnQVA$#db3KrLXUh`IKV19Mc*i;vGG5^oZ-8U`zm4v9^X ztWq0G7k@doR5ImrmN{?0<+PsX5sD5g%~sNK5x7MkFpo%#cp11C))_JNP8+*PG?tvf zq2W0?q~$nSNpX={6KL*D({nTKn2>fe>2`j^G#qM&;fO(U*|59(sJ;#367HCYs)Sme zy}}2!^ZnxNSQzJF=_AaWfC>7K4@NYVI(ruNyMNdUPy0LlJ_7Z=b$J8eiO(|hR_-Nj z&{Hrn;T5GjH0a++Z{69x4PnraMz3Lc=rKOt(!G1Mb8F+SaP)*5i%yD=fK0bH`2a5UJDsH<2G zbyT$>`7xKYubgo8>F5hUAFxu$_Vx|NM#JCfNi_CTW9+n2ox^FmdpkleE}+X=oWkfz+mQ+vURRtFQqNevPNmYPuFSvKLE4E0~rAg9=Le` delta 864 zcmV-m1E2if3El~icYn)n6h{=DNf1#w2t|Zs5wW-%z?R1L^w_atRv9cCffzJl%xi^e zdaB!%R9Cg?Vd!k&zxWBhg&l&#oa*X%B;YNZFjA{t=f2Lpw`xvLPf!2B*J*#NbmB3 zNxG+<$oQuM@4i8g?CYvFqBwT6w!cp4-d?&f=%?E>l#Uxl``Vzxm=jthPqa7WEhn9S z;`q9y8Jek3uq}NC=Rg?Cv@{V#Lxux9b5+~Tr2?i+Fh8H??OR^?ZCjBhTXZ!?AP-S#sSXqWG z4PQj*WfNdjw!XBHFl%)tnBy~=>CBg0xrl_EP_R0g^EDYztcyG@82&qMCI32e1E+tpM z@G|nvs!EECO(iFcjRm&UxnQVA$ur@OK`mgVh_Ut%19Mc*laK2Xfj5C!4TY6Qg~Xyr zR;i7ti+`M3Dw*;*%bYjha$3*h2uX*QW-DpA2;8C$n1?4u{1vzt))_JNP8+*PG?tvf zpy4?xq~$nS32_lx<7nfe>2@xo8;-O?b3`Y(Y}j31s;@)4gf}LlDxsEV z9v5uq(&Fqy80VqsUzk?`6XYKpj%X@%_GgsuVt*@q?eFaO2-N%5rE#1CNyE_{+bq=S4{;v`G-2%GI#n;%| q-HYcxEY3g9S@^Ti5*XC{CvBbYv6s>oXj!AQ->0jz{sFVa0~rBWxV(S> diff --git a/cpld/db/RAM2E.pre_map.hdb b/cpld/db/RAM2E.pre_map.hdb index ede3b5750df77d7409da7cb5994504ff55f85aed..52a496fde55b37081813e3c1c3e75c15b21a02a3 100755 GIT binary patch literal 15766 zcmY*=1CVAh)9%=|ZQHhObH}!A@7VT^ZQHhIcKD9XyWjWMt^40fs-Hf6lFq4APIoGu zMg{@`s(}UmM_v9Ek$wnY%0fBM;7l3LJ{|D3f{|7yRul%5M z2*fizD2XDWMEXI2u@z2K2lXm1lg)W33VWSDh(Bf& ztMRRTjBYH?*9daWaJ>^tSc$4nYw}_{B)!&}GnM}uLM;KFj-b@-0Wh@Oi_pX3M_*u+ zbBBIBOy)P-=FWP1-&Dgn*58>LxIXx5v&~66SN*nglN1D4^B>CB=&f&CM8AF>c|)qr zpv~;}_V|~!JO;mKO|N1=UcCPYs{p3A{$su!?b0*(03ngp>ClJ!gDtTF4wv{BK{Ic4 zO*8zjCHoY9%~lCh)7mq`b7l{d%KMSe2k<#`^(s0g?Se)j^PowPRB#$tH4H5-49}q= zPC6I_e>fbrhJ!14UJe7U4s*Rf@Q(Pr`zW9I?Km~9lMo4^SXx|Poz~L-uWQBs)-|cS zU$sy32lUp7{ST5{oI|Ewia%Q7T!((6+lTmOlV5ZwIoKAfKToJ4*k}S&Rq*J@T_IQ5 zR)eGP0^eVgQ7{gQhI(Z4k-GSSq2;b9Qi!Zbail0j@bypa;l;f|ilE<0sAL3SXo%&F z#~ch5S5X8*N>Z)f%8u2)Nhj`=rNI}U3#T74#lJelX@H2k@&o5{v=uP0sgLh+nSgAlJbxuW2`d9D+7_=+cZ(X+ zoSWD2doy>0>=peUr;mB%DqG|<+Zgfyj4->LvjjnAxKeB?oyJwrNjt;V=KMki(6-JP z3$gD$<8Ay|)o>^_&$BxqTJzKmb$&?LTjE%Hc;IW1SMK)OjFY`gXwQfSxCee-pJ$U2 zMD^Y^%dhMucZfKrS=bBaHXRBy|6Im+*Sx}S9PXIxrtCeBbG(@+)xMSz$PTOn-~l^&Zaeue7$*8z~EG58%AAd+Ll+u+r_vJQiz6 zcOUd~B^;+jU9!OD2kK6Wg}D^}8UF>pWsb3liSg>d&{8Nh7Y^VCb@~<0n7)_)h~6Z( z)>J_^7-hJi$>8N`+y~Ai_&4VBUt9hvLBqcyRMl6Fj()mm`El<(%Do%&rBZ+8QZkPg zEebuw@rSD!}!PE!e z$)IfT8Gan)TceyLZ;Nv9DAggpE^ruAs}IknCF1X)k2w)-on6+BfVt8}rA3B^A;^YA zO`u+L>PCG|WCHC#Cv@#K!zhy?pUkxo*Rm5*RX;6~|+DzqqpyeR4q`%k#Nb1FK7epg}kI4(|l)E=b)T_b08iC$Q4oQe%xK z)LHz=H7Cwe^~g;%oxQ~D+Z>G4s@3bj;9LG`Qnp+?DF$+CTEqI5v2dK#LUhxCHx zl+NgEWIBQ(Xfm}o&C2%7-qtavXMu7KZI+zAW%dIXPJj9V-{SM9y+K};*BxR2mG$ON zg$&v^HHyP$c2`53Zkt|gfEOn?am@6{spn3H2vuSl-d?ZMgE-h_wR1tlx|mD|C5L?J z=>iC<#5|FbkpWb^!=BT@n+T#h%}XJVh9$&YxIQnFo<$LB2)b$4qBitzAK3QLS=22` zCg=CF0SpDtwnQC8d%`{DbJDEBPPZ`$LaG)ZS7+;O$QkO@k*zj^dOzP7M&FOw7(ad& zwh{;IKG&r(=I^5s`0O_O?uwCeoZfD?i84U4DaAuZA7^~q|X!)Q2c z4hLABl;U8WRrr)d+6zJrK0Qn6F~aP$DWQ&l3Za3f?yh0o(=)=Fq(t~7E&jdQm>fJ( z6-o!Vg z#TbX!KndsX@Slw6Q;;LHs+`|b(Cha)BpkgvPxNSXW%C)}p--)XY%5q4bO6 zQi}NY_jyW=ifzc!)R?Z@9BNVHhUOx4(%bZzYwQ z+l^>7GQxF|T6dI*zz2HP@7LiSl4p8WU;Tob!*y67QCQIM*jtA#fPbg*Q$_aSNNnj4=A6 z<;bVqPPHl;%-bFDZ_{MORPR@m=*$+JK&!!l`+tcH%7WMI-`!xM81%yY_!C!AZ7_l* z1oS1|-q+mTU=~IH{t~%;eSw8$-24Au4{DtGNed3Wt_(KGD=ttc^vJsLLbqm9LE3s? zYqqDD3A7;148Y9xcDlw5DB{Nr)c!7o@G;ZHy6Tx3G$I71gL_t<7&OWkK0_t)*5b3V z%;qWJLx7aX2ErUIuT4O6c+rY~Md6P<98qQI-Gp_d2E6ITuD#`tBJVdI0v4=rAP?~^ z{Fk2h>&KkqJ;$(eNp}g}xDWB0>hSKK{eP40I^|6xJKU)M6S4096A5IH_BMI)q>%Pj z%Ne<+V(jTl__pS-DEIZtD_JygJa^3+!KGhc6KbNk$}^eu15GOedB zW+UbHfQ9(^)-$d9bcYS>M$p4O8(10Fzp%oF^qii-b<^!zk9s}lCBn8zwj!jzfZerc z`dOzYygWFO?9Gip@6HWD?_TfsSt{exhrgZ^7=Jy+*SqJw-B2!fCt5tBo0pXXeOS?v ze^k;9xaYd{$=2_Ta~t&$kdc2p5kNm*a-&?WIgqW_tvTM7s(!x5ihR5&6@KMZ%=;Ut zSqgH}H18yMkX9MPBv}g4$#2Swq+EbF=B2Ug4i2?()lTwLf(JXZ@zmu^dW+>ZN-mJBAL!lHQW99a6lwqhYPy`{^ham z8T{ovc*HK_APJW9jbAfEZ#Z5~$6;)-4ZF|%t$KIs^hu2DBiHgYOF#RI05pZ)E$#=@ z=RSC9tBuWKW-rHy@cg*}AuOh_V8n(_YCo(8S45nI4+pNh(}SdkY}ad?ad zZ?!yp%?I()S8_zseo?57m^#QOPTIsWsx$Gt4g=WcWSEd{ z2a+W%CWzgMNWly(mVvf|cU`NOS1KtfMFaVa3IoXIq_|L*CYWPdkn~Cx0MoYe z$c^>oikZWwOLKhOE0C)?y`~_CeXA!pmQ`fByr}DxR^zo<>wQ($*Cf}OdE0;?d~0gN zu}c%qg(MJh={3s?=BE730;SAz#yW^;mt1hNZf3-UK}*E1e(sMsd$Xr=040@jyAB%Ym|YhC~C zkdWZ#u`-Yp*f9QKM5P%~LvG-!Y$f)cx>xmzR5S36qLnkFuIMAsDyk9%mQU4lPiI2? z=0bVY(XId;T-h7N-S-z3_3$u5V*V;(b&uB5pDU!AZw>bhV%|?2XZx)thZiSE@I#k` zqSKR4Bo`eKM8(?oUw9#7gYF*v0#RN?c%u!skD*leD_nm$;|FXHnCq)~l&M%3XZgma z(C!~KR?E0n!rQDol2Vwiz3!R-2jl5ELgvZefJI%G5~V>fYo(kw6*cM?4(dcS8a;06 z?m=yi`OV$W;Dxlme+l%o$fR}H$ig)Gf0#FB))wSs_n>7Nf%S9a2orp@WeKT1laaV> zvp34J7bsdq%N%i8AZ5m?4EmA^8kb0i6t3!g@0PEc+6v|fioNM1h9x9EQR$Bc;u2?W zD8|v8|F9m-l&#eb_@o?$8g#d^d*Sj6OcdR~S0n?{sgHSc<5rpV1+w++gX6mwC{)K; z*IX}I-V|HcPcbWWedbzH1Ovc#NmEyEDc8WKy{8EBtrs3FDq<8XC(!PfaLvuh=*^)8QS0EOejb z$a&JujnHhT3A?jqr8;QQ(2Azih~Rq%cf>|y|fWt|Ld8NX?Tq#U59e%u!8 zh!ay^XyFNYqot`!6Tvm^)v!)pqVB*xf~ivZpUmNLuiva1Kd7#1*W>s~i3P3VmY$xP z;T>O&VzNBQqNRQ~7UJ_cIV~1p+iy!gF@JMQvkSjk?5u7&OAys2ptIB<)CsAhq!-@> zwSo|YRxYKq@+9^aqWUYZ1rFfK9)VE_NJ%fznX?_hM+1M{x^)Ip^oa3uN$Gg26fqV@ z+$?&jq*~KL3tI|uNv;W@{t~-EY$;OGg+dr?=?qM`s5gBIL7Q{(mWCPaTBixC456fA zdA5RgTqC|4lw59?){)jI$3n0g#fg&u0<)p{=UF5b^4YBDaWv++esE@+pii5bChQ9g+l-ayMe!b*w<6YIQ1306kY~DDhQ2_k&RDBzujwQh#8x&m-Me6Tv)E9J66w3k-O|G@VGnICAO0rM&9;| z%EO5|!5JaGN-Y%TVZsunPYFSqy62Lr?#?uUkGOI|p(s!03K`p?q z8p0h#OBG0}jw}a*#BbCJ1sW16r7-KH9NnU%py2Jy+T!KWx!YRZVBTHf-&p1EZRo15 zmN(w(ef}a8A}9HLZSNngd2Msu8sn4)Y&W=$4UeksNB4ZpiU7BDm#`JDwXb4XwVE%z z8HE96fugcy%TZ!#?NEct&v;c+cGt-GEGCvr;FYv)4xQTZ>C3{IX+$Mdj9zOCGlIN-JBM| zzp)fQ?`Z8us5*Zk-R-FLdpL(DNSJ-t+U3}D>slu3t8wgj`@Oz3Yd#KZt|A_nC)oPm zCmEWp6}2`^muU`4!Urb`vPAV-E~Wd%rJ=tuMsv%|U8t!2wKwdYu7Ka0t(hyc*wj3o zlOZfH;P`0#E^;+7R@E;yP8ZX z_40b5gnA=Y&0yF&*bhM65SgI8xAM3C%|J=sXs*##@+Xq9kM4fw()sd(`cM$cAkzw# zeB*T;Ax->5d)L*gS3#Va71une)MYHws*T|or)&L zmZ7#>RGs))B3C=NLOf~P^6F^k*;RMk0vA)?d?^Oe$(&GyIFqlA&~qTyPISSGnFdue zsPK>MxY0)u$7hw%9}*f}LsGl)wQ-9hw^Y?+62h(H&QGo5ACk-@{gEa^Vl`FiSLWYd z7Pa~@X5V5ty!UpymRm6w+3=aZzsR6wys9V)JSzOYCU6B0VcjL`Fl- zaPfevdR0cm&`}3LR9??04_%@=%&x~DA_)bi?-`DuG6SO30hqjia!i#MnZ zuUTrdG0wgGJAW;HI`{Q)yu^GN_84+E*^ZmM-oL~oW;^6uj%_^iE~SyZLK%_m)vs#& zvPeJ6A+@iuFwyM0<}~%_O%eBd=zB8~Df3g-?yaeAoMiYlt;x*XXCjin)t?S5h==0G zXC~c$*Kd%gFRJjcm#^I>mmb4dhBn?eHZVt7u=u`kul(7QmylCxMrocDFiw}uAy_$? zqFi5hMN*rt$roDz^#CC04YZ-AC6pDvJcBH|qP2A|^Gjmj&-|XiAjIN-u;Wj6U6_mc z7ilRGPQvp^h$q74@cT*{#cpj-e=nRGk_4J*->GOHTtr6WV7J>r6?oe^Sc_`&Tyfp zPvS_v|#tT0egu* zous4RiJNoIgJ(XAf1N#UbF_b~C%BkPaOkQdtvOKbPdOMS5&o6cMnko62B2)bH+8s2 zy&9VLkSJdFLUooq5L^wF*fNPGEzl-mem_EmqE z1o#uR`ANTdihgk(%++d_e7vxCJW;-{;WRo73i3XCOuR7unAm@ye$?dr`e-`MZ~poy zrxx7n{RZUYe-HaNk^Ts^&8hcQf2nt5xp7miKKQjirEv+!-@1YCUIkH;w*0dzXLa-(L*PcP}70yQ1mCeV~9fSnG_FteU!sg%i!v zg+Vp!R+F-1MK{&OBh{^5hQdvuDo>)daV%l9nP7wcKnBYcPC62<6+I#iLm(gOqID`g z{o6R`q$HKA#^|c9e4Z~4{&46FcPUL#3ZLFo$hD+!>K860C1D4zNb0d>je+jjv)OT3G{Gv^OwFF(Z;6658)4s4 zA|_&^8=0*YKROsqw|&^mBVczZF$zjGambXg5OF7R$%&P%z`>1@dNscXCPlQ1*HeYe zX3OkjDy>;t)}gUu?)DUzH?;rMv^3@5P0%6Hs0Az*fjJF@yn5Jj31BUmp@pl6)I+j; zw)LR_i02WQEfIs=D};T3nk8|Y`-0swgu4iv$FQ0|gg!oZU3>guLT+Ip?8q%Kal18x zJ*Er%kecBk0QthWoR&Wzn+Fg8gLwj*`Dtd_0?F00h7Z0$@KM&tmBj&-*pXV^uv#V; z91vQS9iG6P`KAU{gL?D`+W-R~Apo}Xy|(k-LS35$D?&p*NpdS6c=NsX^Lg-_Ef4?) zp^r4-O9%i@$SU-v2mB_>e6Pd&rcl>f0Y1X!7X*N5o`A_RBKSE`*aywh2Yyqy;NXX{ zY_kihSv(x@GVol->BtCq%?|+png1Rrumb_;LjXwTca7&;1D`oUTG5+n;WjzucTMKq z1D`2ET49^@C3ts3-RW2@_rPmr3j7G0({Y+PgIkvhda#=@ahnkXSMBF}Aveh(0M7HR zxXo?BtwRMp;B2wQcU*pzcxtA>A7IY0p~G~UF7;fphE>{1da*80YY}(*{>gzq2bnN= zK$uom7<^(W5_k?MEG^rnlU$1v!K@~on_Y5)>}7EN3ZyIT1j*zf{Q;74O}B;_=u@8K zVrDwBKQ!2xwBX-!vSnCKGOjsD{WL1EN_oZ2il$oLdYkz34=GV^ksM9bbHEJf--en`hIOoG@<6Ri2<5%aI5 z+!M+rEtyB-@w+ilfpzlU_UPZXvx5aqhzY%fiI}yVm4dN4-cq)rkv;rfA0ncW9ePT? zqfLg3=f3V%Mj{b^4!73KkrkKOzGka_-soEra4B_kkxhK|~U&HGq@Z4fJL(Sv_ zu}tA-Y6$N>1$9$Z<+}O{eN4*h}dVURR$)?TVE@6%Y|m)K@h}xrlLT1CqwwG6*moHDtoL9?rC@+raYI%Tkio>`fjw3;NOz?3};LEKi zLMy$elxY82ti3y#$`HiJ7R>7WVhPx6Ok}J1Bnc1k{M3@_t=atjT=U_p`xM?hLZFT8 zT4L$@h-&?2_RSn{#jHuUTr)q-m>>riG2Kg`~zo!xD%F~CHQ zzH8m?Vs$yrQFoet=s(mi-uVThZDd>dY}FMVpG5Va^-j-_?a?}dZSlp%{upy%J!ZyoEH)maA30OP2N@JVs58|--U^t0Cug=eDsl-fnFd|uFx zoJ2^)UpM~6fNUm33WLQg#D_u%i^hf6X#o`re_2p z7Pp#F+GI(2hBMa=UrZ`=9YtdZW~>v&SMMMr+hoG<{ul0SUzYdlNZfAfoJIE}Xl#G+ z0yW9h<-LaFwwJ53WFnB+EXR?PQ2~z=k?9;LDZ!QdUqA-`SGA!>*8{Meg_n#HXQEQ1 zA}8Y-Eghx8OuaxuL2f-#BF4Ds^q&Bxx#qiZM*K!~CVXhxusRzqb=2l>YEda2Vq|Gt zGGePBGO~ABk)j?lvQAkMO`6C8ZI7(^>J7d6M{8yx=GT>a{ z4dpB-78prWT!HM$LKnV8r2JgCd-s;_d0KvN?tXfz{S{`xJxWiWXU$M|K3SN4 z5M{bBppDs;K||r$9!^-;$#roeR1glEl@+W#HcOAWCub(?O*o15Zu1T?mgYu96xJY; zs#5W4EK&4WQoOmPCQV$m1bd=xnoU@>RX%DSw#h1BS(nn0qIK;i+U!}mQq&7W{%JX> zf{qbwB3Yn8KSMH0RXqN19zsCmLAdB{djS|SUodkKyR>XPu*N~A!>l$>J9GtPuoh} zsK`lbh)xek=Snqfi|2&(-D2;^)W(iMV`tBwO+cw%I%d_@l);WcZt>O}iox#nW20C^ zx;k$+-NM1jr~;#po2T2Rxj$7M2LtW00X$F`X(7m))yc`_s)%;gpolVz+PVO{1l>b) zmbrm|Z4*w6;r!vU>!TO#Y6a?%dWL%y-^&=tkW~c-=|W6q03T6~<>(A2u%alnIb4mF zF-E1SuOP!du8*DU)~wFja$l{5k)>E_rjM^roZ&IdY;?8*iGV86j9MX-&8$Im{T>sX zW+*+WM{E5YTP%m_`*(XNex86VPfEjvKKUa(Y1^R0Y;HV$FO|>xd;tuaizm*o&_0$9 z14L-Co*m#U5ykYntz+bqpXe19MPH7%&IOhmypv~{e+8a<2A+Edo*Ojr5TXZ+9*m0B zeu$PvKU9uDEY-Ac1b*Wn{0gi@YfE-V#NZt59;YpFDp%z7y>o~Pv4dE$_!O{e*~Tz9qAKX1KUCh8=Uo!ffJ0-#NG2Q)1LTs(4`)% zvlhRSb44QSUu<{nc`ZEq{m<#7MbJSM^ibA8Vd_vzP*Cqcs=QVw8pY(>z*s5h_grey z!lsdfT{|ZviPWj*;VrO@=BpEXUP5qPWnAoIO*LoSa>R%Axw6ud3HQ8jQr#-+1;k4V z%8srCTkp5N$R&E|{3}U!0Ip^#%8n+?*S5;pO6mNU5HAG9Zs$pitzU>=v8i83u_!6O z4p~WKh`p7l{dhet%VBsdh%=t3Yl)R5;4G`9SiWb-?{fW40|BuHzxlbc#eU%uh+5$0 zQ1<;~H0m_nyx-$(YUMV#5$<&|paaJMD%_Mb<^_Inzj(;c!Was-MmO%O?L_-l5qz@p z>97&*=p=PhsWm>%h$_IK9Z4^K_Z@{3EPvM{^yU{ONdAR~70U@);}CtQM-V>!sOC5R zj2AUht@Hvp%91K>ZTTo0U|+@P6`0ci57Fq*3LnxCf~bWd1M47wlB?Mt9O@$J%z{Q zPJ#27Kqy*~;A2~aYM^lPAdu-S``_&ulf>-!#EhbqJW_t91ea=;6kO+KT<2I^XFj5< zlA$M^fITT;O8x=*OEJ!4YWs0%d#Pkx=SE!TXk6ziT<0uY=T2PbaNK(}&SNY4@yx*e zI-^RbFx_z(PBVNWivoga%9#!MrT5+ib@|K7mKAxMdRN!g%~yz|jmuUMsBf5*opZ4( zXOdmGP*YdWYSnRch2hj|>)pTM-8=AJ>A3fE_T!K{i9dkwPe>xZB=}{YkJYNNOnFYo zmrn2<$bSk%4*c5^`#=W6K=jg9A|L^4mxw@;HM&{Z5E_*M=;;gZ!Uc}k4f()?AV2}& zJU7A(q>s-$VSI34iu$yPEHW~K0!Hi3CKcBM>V(i^jW%=3Ry3AwoftT>id=2CCtYu!Y9 zp`{vH_ncun5ReQgMr>Sv;Z+>nw43YSgnyzT3DotNJ`Asib#YJ~{Qb5FbSlB$YjLqb zzGm=<8#{<~hZ1XPX3%%<)K1_LASf_V%>x2MC&aVXSy(gFjQ#s9)?c8yfaKfRRLi<8 zl6Mi#hmT~<=Y5^kOYo6$OIOY#OJ20{{%3PTL5vj%q05ykOz#}yo&(ydbuZc%k%)4T zp}Q;L1B-v?Dd>IQvL;~Kos=6)tL&wd-7_D&h@bbC9F|ON1#BQ4m>OZc?wGXMdUkZj zQsP?(@FstWvM`>Tj2Fh$$8-JSNAGoEoQW3YlV0;A2IXw*92zi%lM_1BTUf^4vY<{X z-|n>$s+;QvY6F=ivGypj43@C1`J1LSq^tcTL717)N6kZgfml*?u{mT6h)04Q1eR{F=9J0 zVkfZ=FmDE)3e7-{q~A!q5C9vzYw5fO@1z zrUGQz&`zg%&zC9v&W>G`&=q^(9K`&}_$g5GQ6p0l_FqyIAtlg3WbCO@nyDnj3>_2vETMNs zZHwwygt`ymI_a`GAj`*u>1A|VgD+{(xgNDnfjj~GH>-%GOP^$OQSp~eJ-))Qf(3mS z8HpF9TD6dw;f0tU2v|9UhB#v?$#2f`85si#@#bvsi`NsCP`{3se+H8_H&T-uIh`BX zVOBDchHPhf?Nr0NYR{6Q%p z7;NEI@0=}mzH8U(f@s}`9C9Lcb0YUZfa7BF=-XG|J7%=!ZgL{GawB<#6BmY+NYx0x1wH~Q138_@OFE~sE-XO4+SU>{o6){n%lzz2<+ zT&G_^2)k0k+Hjn$KBU z+bIZSV;U##`J2@&qH5K{deweOaF&hD?lpU{e03^h1UiVP9cg%PR_AitixT7J`bHx` zRz5PtQAM%u z1O(Eks(7$sAyi!dx|*$J(KD7#0EN6nj`YPa9@WP%-t0pT#a4Tt8mn z6sTOeJ_LVOeG7+2Ycoe%OS79>v%7~YgWb8My}gb7`Pr4Nz0FN@U(6ID>$gm6G9l|( zP2J^XWkO8_{Nq!;4@T(H?8aD|@bP2vToghLHURg`2iP+_d~DbS)~wix74b4T4Aszg z!{l|lAy2)fSJK`|FWXNv5N;XfN3f`TRrkfbaF(?A^m&asm$d=CCCT$wxM)(! z_tU`Uy?^AjA%D1H`wFCwSkpPyLmrxxS@il&UmNIDx{@F2U9Z;RU!GmbzK|C(yXS$A zb;BomIT$I>ml}c zD;7jOPoDd9Q=ij3zb-GnWZbQ44suQWQviC|^e(w%YM0psSE{BDZe8{Es70}Ap21(9 z)dqpL^9vvPLoh_+5dro4Up@)ROa65(ty{;mKN~(Tcch9%U+t>=NA6q($v?Q`g3<(g zdPTLQ{Vt5R)^(5UFOt@7l`L|aV%h$>MFJz2T>(I*xD6ROk)3FS5dKcFh@vBps0Bwg zTxIx{h+*m;&=sm;$UT z3|g}V{BfRh*Hak#5d5%o={_WnotUvq2q-^e#mn2TV2_YUCHSj+^Y-`t}8%&qLU4q+VU+nC-avAC#L~=DK=3-O~yK4yNT=_;Kk5AJMqb*Z`& zOiz_i4Gy6u)hkCQ+zXt z7oX;2@@{R2=nj&-%+B)f@xOG>?U?_`f;Wz}`!@f;yftBGT8wH>M+v8l{c}yu%C}N- zk#^d?J;T$i)l%a(_3j2xY#v>0bFdq=dt4Udq7QA^&2c0rPP3`9v=mzPyUQj#_?H>v zAD`Kxen8$b4V1wU$)vwXsJgODzl0RN)z^+F&c(T%#_EAbZ+-@P_~saD0igGCWYxE;JOu@b5fwEq+v?Fkl zT6ud<3Ib2G*s@PY=os*X8loBzVT6E!%%Mn#U{z7zku9o9cFG`@1T^2EE^>?nw^9vO$}9s7R>6EH~xR2fei&Xi`;|pz#g!)B-y0y2BA0r33r?A958u z8={!T8IXO0yYMP)$zz4-ARg|#;;=0Lz(LqE(LZLj=~c8(G7JFmBL2Gi46g+7Z>VHK zip1X8x)3t6#aIrJz9RedHg#AL-&O+&;V5O7tt6tI{yx8im7;-{@>igRr-Rre%-^aV z@-~PwxK_u>1P?q8*uX@J>^uTS8pz6iVA0wB>pt7mp7vscTBuR8eTQ+4g?^>PTGqL& zI@3)a(J&>n)o!s=ehc%pQ7o~~QmHoGxxZ$U3+oR78kY6SLKKcZkTJR&_SRFai%23h z>Y;_fC#aAjUNTn{XZi!I=4mZrROO>B>XPal9eEzm=U;|{#6psT2cd}cfHgl!HJEAR z5?2mHNjkt}*8{|rRSIlG>5wA;ax=j+AKE#8G{_K`hCJ8D6BA!F_dd?^yC8D~*!uQ7 z#O`)dGS;f1)sgwgJDT@5I%vg}$rdx%REgta8Q>i{E0Q$mcL0U4pdgFgnvzx$L&@?m z8wH-i&_)wefM-o1x~?iX+%lb`@$Tck8>2miv(QU^1094Q#acdBgtbhJV~p5ysZ(g& zOvG)7QJzrmyY;{P%k&Z{V{>A>UkfH2xPRLa4KGK@D}ODc$yT(cvE5KUFS;PZwWuBo z&3U%s>~bmsK5bdM%ln1+Ra-Nk#LGmmz}C6$-Je)bZ771BRXEusc8P&glG`?dX2{0c z1MR3J18ImY)TZB`C-O!+Q%fI(t;E(o!dkjYcWHc`TflFr!Rdh7|D10|+F zz_Mb8w}%Jb??rNiZkI|uq&U_N%#e+|q^$0g1cJ?Ez-TLU?ikcilSzKQW>ikEFM-IW z{9WD^3pk7Te49_yr>_K02Xh@Z!U)mke%l$lg*kz$B{Oc21gB`yG^#ZhR4b;VLRE+P zxi2ECFwfcfvOq@C@=Cb~jZNeVvj-j-%j*ge$Em0q3o-z94f~#>r@UaYAVIHBC6*77^n)z&B0oVThR5pA_meQ ziQDY*in|C+r25fT=2`7u z#hNpS1zm7sQ{~H#)6)G`$MEGQIWZIh*V}HV&2n7ukeG~dkz^aY|3T9k-%Rb|Staq9 zwmBql03P$AiKt%N$*X505&RXv_~yff3A>nUlN4oXJkAQ!(thb;q6WA)#iJS>Pu$Us z=>0|UFp|GrXdQUV$e?Pq)?2xE5xJSU2$1*t2~BH&SqQ#ihlHT9}ko zl@&h4PAX+imi_fnSiro~LHH-=?VxrpQz5$Vbs~>bwjbG&bVu5&R_nmqIQcf4)>`2w pCN1e5^Ry2q4QN{>P~*B#gt_nuv5|^`y{OsJco^qd@V_4E{{VR-5;Fh* literal 16440 zcmZX*b95%p6E~V{Y}$j_*Me~Qp){*7)UkJ|2UV> z|F|3Ig*TiVfu#H68Vn3LbgMlKc^)}Ac`z4G+i%RIf5UUNRT7-!tCU5m$qd{mwZ_AB zQhvy#G}mz{bBaG2W*#lvU!Qz^@)~ADXj1iw^MiDsTl=?_FN4UD`xr>D*1z7SrY@$Y zE-xl>$X4q*@3I_CA2Xlcjxue#(zCO(Hyy8cdIO=Z5s(Q3uYP-%72bf8KL-9jk^wc_ z^Th9p4R|sgh!qRyr^SSSSgiU=?+5Fi}ezj!9DF91PxZW(=sQ~Bm zL#iGM@$xWTJWJn>=Z)F?Nqu{c{ou{4^KOm4X^4P zTuWa1?oaE|?f+}_|5wum0e}Pa^MEur83gP5SOQGNJ=Tez26WnumKWCqgv9$$18fBI z5O*(o-FWlI%yscOXP7slgW)2Mn6X$(ZcG$kW|bOXlnwsfcre)yh$bq|+GFo!BG84s zqevU#1ItGO21M(Dq$maZiUazf;IHsp`o35Kgrqx57!e4nuJJ*cpc zu62CWv^(K84J@Jtj?#(3({CRt8VS75ps?X~bS=&*r+6$^Js)rO6RJE4JD`-={u~SL zi4KViN~sFl#(2gjmhiQIc7RsBLvPs>~&f4x`(?4IV+$w&3f^A-RSg< zibA`+#YfuR-~LTNj?LYGQLPQ1jmpE5adlkQVfq*joT0BE&#jnqL2exT7TuBDi*u}X zVlGyA+3m&t*X%BnjFR9yl*YBDCN~q?9pd}-PNcOv-8B5;>TSd`fQJ>@#!KlXUN5sv z)m?7&aOj5?)?x34TypBT>(1QH(0P2|?&VHnSm4g$p2lZTl;$5)Wy$>CHqYcW7wOW+ z6q2$Ol3dV0Yh^Wz|5maWICpe98Sna2ysJOq0m(W*1jwVMNN5dV2$u#|G4WB^g(Ua7jP z57#WyAi~E8kwx`hGKTM*#I8eq?x~>K8%?*`P5f2&A0muTEH9SR?zI)6-=<#v=RCt$ zIzA3AQp(~l+P(`SC0-1%qlJt_r85+bR}TMjkBkaF5*$mJ>BQa~-gmR{L#Tbm5^@CH z+9lx@Un+Qfp(NMe#h+}IIBnjK)iN<-+HTY;@DkHs|2Czx$aY2gwjMJ*yl8bb$))d> z)#sL{Ma7Q3rXOE14J?0H#^7s7X>SW?5lY69^8dNI~v|B5%qUh5#8)> zo$~d9$GN6&5_0hq+p$Mp)%N(453FxkS`Uj&G^<1_}AwR2hk zhBl~zgTibAa0wJhd7|ZJ!tQVXtZZ6ONEgtXCe~Q#&DRfALrM7~&ot7Sp?yqam^cN~ z8rsuK&y|y~b_Z&%U#y7MwZG^ryZ_~FI`hlv#DsK=F^>DbYr_g!HEm`d(v|lskI>Uj zb57%@VjA(WnqZz5Yzs!&?P){>8lV|132cDnrUaCTRmPCEzN?uHo_PErFu5!ASh?#) z%~b$r@)h}{j-ghUoRB&e)QG!y(Ho0r0z^l!6P3($jH0NFqKwPM6!6tYM_hivvIlqc zcW)oN<;(gIIPn)YJR^*vH8x6!v(!oj&GR3=^*y5KIRP#6dQopXpW1$5s^(@N^JuGX zMd$~c|8o-+FLG0D$XO~b$`0c0sQuBZ(a=q=L@GtI6$g`Dxnen4>&!O1w2H5#;b^@% zSz85&sT!Uv*$yfutE{3Lud@psNqr85^N^`Mtec#A!?8Ly(b92nXKUkt4Eq4}ZmcHJ z(acYNM6AJ#ZvY!o&n9e@JvT& z#G4Of?Ikk9t^`JuyX5=uw3;H70WXS9(j&T4OlCc)?y#2(yQolD?v4?!ePKx`QC3pZO+J??{~)j zYDy8Mm?YopkE+f2QfNqBC2Lc7YdE;O0b&rATS_UowL1Zfoyo!dPv_oZh&kDFCYoYf zSO?@mvZhI=OuSC8jt_dXQRDwhQu!055i;#tKkJT_G}T!dm$xT0om4(G?>SB8kGTD} z4xIcitWyg)AyS=#zwKfDwr7*%h>ja_(2GLn+c0j#@60CY(H(Z=eIxeOoN{bTm7h^FZcBsuO%3MRWPd2^|`FY;AS`T+Uk2)PUam6tk zX!&VsO(p)ISI~L-Vf-jLS##8&dHgy?*I%#xKXohthUz@quqMNSC2yJ97*P#lS#X7k z`PB|nYr#2_PUfg(+Na}bM-srJp}}H2w_Dj=?MY>sj_v-kK{g_sVZA50sVSxl#DG#$ z!ewR+&zI+q`<&KR4f)HgHFj2{9E`mxwhR`-)AZqTcmd)8mMC9}tc^A!0M%#yPgFyA z-*l6sGQWjCd{PEtv9LxNRcwt`=Z_WhtN>8BK_;IvoOu*C50@>diSzNlI&c~`XvviA zm?FvQ1*d6RkMtdLk}Qj9jD>gi)u=pRQA(?lNK1BW?I8;urppU`QL*MOfdp_`-gyf@ zX3}41h}g^kysp3&hvkJVCa>ko>@EgJ+M;PW9Zp`}(XaW9I`8473M+xZEQBsAGuKJk zjETh0svGzUcs*b)AbDpM{i5|{amAr{K4b@D*W#Id(ysq`?K3_aO}r#&qgUMhg3M|d z&nWZE3JUMx^Rss7`T4g|r)bVu+PI0|?=$%;Ud80IA(=JKarpFE#Y5hI$=KdpIq2}n zHggQgoJuT5+@lvqKb*S{)AQo9z6ObQ4bQdlMUs^rEFxd4)9KK9Z=$oNN$B*-F63)} zg6ub-PH670c}QD3oqdq9s4Lq|{1C}nT74T*xk$nW&+Xxy8>0xoyv)qZ>5-z5oXVBq zJ|>orSe}>0$RKnTQ)Z#YtC;;i7a|ZUJ#{BYBzyKombO~$8ibu!JfCagg&+?S@wRzT zbP7WVPCB8Ir{k?%sdb8!jC|A+52>Qm*dTJ7nMY`47E*BS0GY4Y{Jd>MFwB_ z?!AWF*nXGn%vK47FFwlNf*ra@OVa5xg!XU4j&l&m_RnaGIra1Vq_2Q#H}e?#$|MPP z4ODUb+f+-si~abNK=_m{8+>F!nPqNEbwqXL&m-l&05?T?JADE7 z@7`67)N1DEzlF>zYIRBaPu6gOoz0rq7i50Hy#ln~8CGcT9|Qi$Siw0}$o(H{#365u zLhw)ZzTf2YP2S(+^-a8wm3JPpL>IQK`WCd^ERz{{XU0j#pg## z5YNuqYJNr=FKVVsJ%ER!9 z3R$m>ht-PcF8E2X08iEgjJCu^S_R(HnXyk+`(j;sXjE?9nDW<;ke|Uvi?gIjxtAzQ z3Rlop%jv!!1xNdQg*9}Jnjrsjhm`Ab$55@8HEmsCn((-xE|vp}jJvnUdVTmM13q4( z!QUQm5xcC>y9Ekod~C{Qe4@Xp>YFCZW*%MMaXN5Iko_SC68>$Hi~VIJUXHczqSF;D z(fCUY_fIQcs1PLUN*YU_(@P#Sts;f7XG@(MLci%x8;IxK5xU=t<>`+L!gv)B)5>DF zf3c9?vZ+7XAOPwz;stvndgl?5fz?dZxLrCmPV!w%`LO*U;S={@Bbp>*9H?wZ@IoJJrF!ab=oW zCY+FZm-eSRd$ZHT@>7}!QJSb9QB}{{+hfh6Pw(aZRl;oP?IupT#G9c z>4Ka>&!#uvc@kMq*%zX$d+*_9lVR1;c9uQS=|e4IaAbbo5j!UNb>G(H zk|8l4E__FuD`_YBh85QiBs!nqfe{8`$(>PVCzOT2_yHN`-@N6xq@K$Wa=e_Sy(P^?gpfr;}Bw*0K(i=-LX!d zj&};B;w(6)BuD=k2gVttDF~kNRv|NPtP}d_xS~%3zZx z7k9kr0hNf?M1z~?lJ>Y?=g_NVd_0sJr3Ws`OO7Qr6vw;PZFp86<^qz4UIDa4pZ;9+ z@KrliBiD#(&ngSnRu>FSVUeOgj}we)*c{K64{k@c3LmV*>JWS9#}<6$kE8T0w-|gQ zv_h+!%8iwYWC22w;S5y#i)t@vbWbxc*qvWJR5aeT=)gb>6}xT=_D-Li>72I_41Iyx zD}*CDIIo_D0k81G40pcNnuBuADy5-sX9gIKk{d4R<)Q>Mb1mF~-p?R4dUbv}Ua^Yq zWCF}l#O>fLCO!|lD~e%=oBGv)Cmg{6KHmJ`V^wd~$KGu}U>E!w`BAts_Hf@FTEQ=k z{j_Z^$ogQc-s&^4{E1(@clb=ABlB9CnR}{uTg98=%6-_5r(Wy+WenW@V~`(JP=XWj zA~5sR!uCfpO07{g$|r)b8uG9;c5R_NZEJcwN>;X4{lVg>MdG5$<`C~vW4Z#6=6_!4 z{@q*TiNb|kk3Q2_o%RRoK};KLPVju-oeg-5AnOJW?9SEFF58lqT{u~y=Qno z3eK7-Xz*k1E3%ULl$!9hpw+#Q!sCd=WOa`qZ$%Bn>n=-w8SUe%H{RU>jd-D!Lx70#LM@ly{fJ~mmJs$qoR(P{7M%y(KMHJ- z8#>>Z+Cb4QST@IUjF>+NTNE8~=MQuJF~q+6DtVGQOVUO|2CPtKHL@)ksB!-?alPaO zQ@wOKuOit>;+p@cA!8lh_)Gu%UZ_OG3CyHy2L5#BSx6zDbB*tdJvp0kH=50I9)zN? z$S4lDKIWlkm+{QbnJ*C|L0klg&&{!tcmoBzDy9-?RkgaHMc#Ug+aNQ3_KS9vnS`r3 zIpYHsAUZVSQ9{jrBjpqvn_I04yEWw`qV{w`&<3TJq3>N=X7w!CKl`u)Sg0sKGNJb3 za;S!k$q^Og$6On5vCsGvYECuSw9Thy74677Gqa0cWXg&I?}f+0{-(T@F*2yhmkZF` z-6|Q0L)Xkn%i(>pET~GsW8~PML)C;r!i!``hk@A)QbKQ&k&uQ8(iVjxh@0TAAt@)m zt|YheQMtradO(B{UFc~)zz*z6mAyt@A39v{&jhCQKvw&W$0-cmRE0^^dpCpL6$E(Q zdZVW~Lmr88ebSuJKamftv|y*+5OJAeye704@j!4-~Ws%xQ@|9_7gp*xWh_rN~sLf3Nk(Rrg`xo&7Y-hiVo9m%8P%v zV!QK9QGMWE7vAA}(RY0?j5#DfHB;|1DGoKGwEuH|S(fQ~Bi@JkRpW5-cGYu@e&-r< zTm2*C%V9B;>BByf(iLg${v(xC0OzJC#q8`^Yh?cweB4CunbvT_Qos$RYefRj&YWC9 z(^u`e**}$xR^f5Mp2$!)d^`O2gKN`a?9Jgsu+xzvn?bJ8Ds=v{_{1k4%H}gtyyPni zo)J7x!Goac;}?s1${!1}YnGrr&Txq6&!Dc&gm+OBC=Kpp!Iy_p@oTC>nZD5HK;($+ z6UkyV*>dTM-!oiHJ6xe*PB&FCSj&WS)q8wSOs_m|DBW}>l`)yoSAnuQfi;`8=ayom zc3pXMYWU#w87N!2s}jG#KC#O12(!Rw&}`;Uu4YcM?g*lVYu+3xwsWl>^#E;ZumAHm z?)=pBb1d^a{t0d`lY301d!9JOv|RMO#!%AwSy+9{t+9tHc&eQ%f_%QhBq?&UW_YWN zxiZKT9AiW{O##1f?qaR3!}7>n^3tA83}@Z^(StvH6zN4Klhrt2-tx3 zM~Ng)X6x&Dc3rFX(xJ2!`T_y*nrLOG$h|IOPh6gYGKGL5ymmuBEW?`EMwWeV>n4`n zodSt4gRxoHE0W9~zIQxDRcPB7T=tYrRfWXIC4o>4henc^v{^wvLXLnMX?oMPFz49hPsfNYI--*4Yxl^Zta6O8s3s zmO6v1EkBlA?bpuW$POnH_2dd;%TY?kTBYl}O%E=Wm+88!fszV^dFMmYj-BrrtJw>uJH2;8->Ob{YBvW&cptbKO)6{) zwroZ&PtS66>z$penoOOqWUrhwrWckNfWS?iiu{#~)2(NTgz9OUoy;uX6@#) zwpQRVBotw@U{1>qeFWDEmqyZpUOxc6RTYWo+G}@km~9;Xtt2EvEVb5F4cslESqw@J zOXL_`?vq}D4^~yf^6vQ?(EV^c@}jlH>q}vQM9);U+ZLmtzqJ*JeB<5jca;TC6g~2~ zdbVOIU}c`DleBEvD)7Exh;73<(FFFgD$(R}8?R@)T+qfkU82z^i4YJc$P(6NKA-9} zd4$oZci@fT#k^<%q{lbONkOJz9X7^hIfuwGf|*qntY=Sy?}uFBI0@eD~0@R7BDMoG5Um* z7wb^m=7|Rr?Yl~8YkBue*v`9zQEJ`f#hhv^>cG@}{zd$O&&hrA)iz$IqQe0w*_}6; z(g#p_s|zANh=tErNEh!?O2S$c)m8{C7aEXA*UV1nO1AAbzu1?LaMB5DijJ)ASh8lU zz7VA)59ClkTqdE7#oAcqLC?l2@X9;*w2PeC1h&kd+8|CQ?C&e&~#SkKmcb#UH* zZiBy?T%oc07&g|P|}TO!nk42#F`wxTd$$6S-o}YlC(d^dK!4@b6I0Q<)^dLMa)Oh+b}y2U#3&j zHB24Ry9FvA67aN(9pl?u@$a|4X84`9OG@i5*mh4u!=%58yT3I3cSmUgdM^X4v>mJ;v z1-V!jsyJdqbaVA#wludXBB`Y5YP#;*IuR~8;MOx3|Y=O1ej_!n4B#d;+$q52@5OWi?qjo>fbglh=f3 zBXqP(f;C}GV{fK_j{+Yj9{|CI_eDi33t6TO_4PR?`t{**O&LKWUN;{2Hh7TyMq+DCQuU94nU&HR=c;A-uW7=)CFIv4x zh1-w*ZGkIf@1-r@nhBuZ=dIQvQ||VmV71rs%kl4N*VQZYY1_r_SeG~Qhy7J9&rgM0 zB!#aBrf#*5m(pJGhi(ejciH>#ErkLJ#xJe28=Bb~?zfhEg@fa_;k}#bgC+&iuW15c zVok2h7j1XrLwu3lIl^((SE8xbbR@7-t^ zBFXw$%yGmV;mB8%v%vy#un{s!l-9-QCd}>dYTmqk41vBL)!!bdsQtFE^tuTc6Z!(| zd`mnu)mmoZ3sNW*SuPpa2pF6c3X-z6fkEA)Dk%Sau}JEad^QSpbj{o2pCl}UZ7`}_ zNq-`#`$a6N>kbqkXJHMBX;eEen}TNO*nlDo*<9Jaq9zf=9qPeNq-3n%6{)YLY|$`= zkRpvrHu-JkU70fxC7;Cu9`q9DoJtoCMzvZW)}|x_nB!$W2H?Mt_de4}$9{{s;H0zO zT0{^H2Fq6HjyjDHVO)rGlanx$&ab4mg#kJBBQ>9=P2ib@`4)=MRBem~xC4ZZT1Uu@ zBWwclE>N&4jQ{;pOrb774Vze9uwhoSE=(l6Rq7TCWz#Lpi`W1LX+vTDygiJ5 z1v|54HA5-nnJbJ3W&`P~Q+oa`zYytbRyw~0w`Lmn=qt2tJhO#>yXBg@hoCqF8|Z@E zk_?G3tsFdz)9{f;U^_zy0c3^*qRhzuG)D?_DG>IAZfM5gaO1vjU(B0HYyoIL&?aXLLc=@Zi^+^K4k1e4v~@p};s{H-L~pPT{vGVNU^bqMzpd zc^`?wc;G-$NMN(j+dtuTkTps8HJ%v()0tP{_Q|{|2%B7CIN`S>;db-}Pxv(zp|@IL zUa*EY_%(~XP1_lH&~Nw$8qfGx%;bQqfk7hpF5xj^HwgX!K0pH1@;aCDbdc8MAc2rG z05)^Z0GB}Fc9@29gf%N+&tzdwe)DCV22Q_6hyKtlNTOGSz(=(iMmBRjCCet@yN^$j$ z2Cy3bf&5e^mRm6!+c{TL9(+uv#{vI@VV~^lrlU`}2@4-tNC8$D8&{)0>y5)R?`@oL zk@%?vVdrCF8Hqsl3Qn5*BV(a|39`xP-?*lB;oB~S!4PlR+=ZVP!YSS7p&vsE7W(vB zAveZ_^+ifB9c5d9YcwR%2d0QQmuD;U@0VV-8E>F|jb^*F$sV%McP|YkZI{5XdhBe| z&1K}6rz8j)d$^R~uq+DZo!%&26Y;8J!4=^wR}anCtmE`8S_6xW(DOw@P$|mo$=CaFihj>f zZhqS4c?_w{r?RcgMm!AYe@Q7Kd(DQdBi!0=&sRk<5X2ro5wt_2nyOR_O*X`#1MjI{ zQu{1|CCc0!W%_~sz+fPfbvqCFC&AFyIHivKgW`Jtnhe9JAi1)thBf%I8N^c9|E0YD z-9(Qw>}++`)tD21lR~!q5)73ua?|5dQmG?5ve2X%pgT5g2NWqRmYGHQicF=$5hL;c z8XG}|bKYKbwCzNe0dF((4WO|vM{nk5DKrTw4qt~z*CN8nPiIv$Z6(`3FNFoOqNIdJD>g*H0(L=^2FWu|ZVccs!l-naqhAaM{>1^#UBuuTouI?2R|Wtx)5;ZF_55kI8=zTYnDkJN@dy~ zsa=UL{M)V*lhuYVm!S?M4GDC6=gL@XDwk=q**sn^=PY7yv38#sEx4UkC@W5U8ICuK zJ=`0Ow0GX?s+*yV>D{&FZgdeo9$hwKu5Q@SJ!uNnQgNjT9U5M!i`*;$$ZbM54{oq* z{Ffe6yV}}mO#p)da-9E!jiJ>7?893Zt}MOsQy9tiXBsvP8>+M~45;2sAVHL1JTekzYlq+B;zt)P1iHUD5{c!N$tF zk`ZW$%DnP!{l}pG%k2ER1$71$&eoD*Qp--3SJVD40)-oHA?7VLx%Wog_A*?NaWtAv_S_?V(+P|;+#kLSPPrp}(o6f5`$g4lsajPFp zgs9Ds4g11z`(yW!utsFd!fFP}38d!e%5xZ$6gmd~H~ah374_+ArCzJTK~|bALMmLE znP!%Tl0tg0NUS0)sSG0#wbOj~zs*?_ji!EVJkxGd4rEIym09Z|a!Z*Kc#^-tV&u+o zK}C=WaVJbL;g8>p5ynbc9(>YcKFDYCD4YUM9RW!|J@v z;lyO6m?6nP^TLoebElTaC+KXiESKelBBewY;UHfo`Yq4Pa zv@vx>ObdG@M%g}i(sOP6WEpHMtSS+VPP>IKokZxQs!=*dBlh5y-ywZ*FZ%GBw4zhS z?;ZLmoh}n@Qi)e^RID!7X(H25OCy8vn>r#p=+EL>Fp{!F{Hf=nqjzwbJd1HWy!Vj!pQNd58bX1-!3-$+xJp%&2`ROV8=M|H-15m^ z_tqu3@X%Bl_78GB!6U^{@y_QH^v)Y#(7ApW+KcWtB~86@-lAmtw9`>${)b+#do8b& zdqi+W>9ptuldCc)k1qXo;wvl;sjzQe{pOLyR~j-ayR9-sqBxnGdLr8_4s_NFEmbSK zWOb#nb|gyRj&8U^LOa-hTz>Q^Rd?ANib;=(Ew>DIU?O`3h+(OWoo|Rt1y>_FPdkd{ z07J5_LbO4C*$3;!;QmO$X`ZE})s7Y;6gwo#aTLYeh}-l-xAM%^8hMZ>;cN}wxIph) z2IY#n4a0Mw^^muZRsbWd$wKZkX@N%O`D%*}G_?OS3)T^?Qf!6EOiN@Ri+Ae@7?A^v zT!ATTpFXt*Z=8m_OZspdC?9`KNRs>Q+{q7M`RSX5AA1Ghm3)=(oqD18%?slb;^37d zH9bVbM7Q9)h=PJHZ)SUJko=(Ug_{ZO_((gPD-ta7A3;z8Hk4as642_(yG#4Spg z1|MMe5ro5@$|mD2S!oR9XcjpB^~*+$4{RAc?4T7e;_kPD_S;08*aUqdY`KM`(+|Cw zRJRpqS%d}Rfa|o$wPjur+Z@fl^gXM@HX{64I@f;>A_Qk*wr znDP2qx5c>&`IL+rjTQG62}nYXe#%ZQ+ka(=*m*dk5JO55*>}FAFxFFtjb0vZ=L>9h ze$n6Qx$YS_=(!#kamzh|`Ae>=c$L7h7qU}>pshT#83!XYRTLT19BrM2y~#DZB*^hE zoWS;DWn{>}DtGM!vlAw6FW;CIxp*i%HOZu|4tp#YtNKT(5&p2RVGFSanDRx}Ga3Sv;gk;i zr*l7vaW6xVYZw9h);>Md*Ya)_x(*$)_d~6OrR+T7H4zc0Uf6KtK8Y+})&340Xweb` z8rl0*P(M!%WWk0L)|b{U%aWBe+#r!&OVFjWC;)tb|F~n6;RFc;g%xQL@}akPypcni z;_Dy$b2P}lQSQKYw00=d)_KS~YTVwI>kLB>Dt-Cz;+H9~POk0_0YE|m4v_Y4V z#IlXDMNaPoKn3lnm#M;z7CYnapD?Xi{$aV{DOIsWb z!XFR1(nf@Q@aR+gkE}anAm5j}D;#lY+43ok0}~2!UU7c(%!Bebm;^-u9eoF}@v@`i zv#0YHofVFCw_;=b;8Ktp1`Aa<3K6Dnv(!yr9%5)XRQ_%t`!bxjAMMF_=bGlWsFXMtVD&`awYExfU;!9O z2aL>b#+iZi`H!@bdtri9#(c=W(GxR*leWLB5CPV?_ypEY@P2d7oY>+K{nqf-(i;@m;{ImoP~I8Ahb>*yaeIZ zO(VRJ6AyOos{lxHT|@z{qL+4}0${&to4(F49jJ&qWFwYOWCaz6a~;QDJMeCug1FZHEyr2b^1Ql)5oa0Kv(;#IlNoJtJgQ1dZ zO9=2B=!H1B2-r@vxB=6yDbD^{BaRz!$3H}(sThd}PU;n4m|V*1rAc`zJr^16N!R@C zR!kaIMi>AjwkdHgZ&f3LU{A`Mc0FCX4l?Fp0Cd1L}d7Mf>h6mC@=@01fIBBQI_^ za#7#G32vAdCL)x?n#4I8-q5<;T^&L*4^#*TVxwHtqvQg3?1GIH%JZFxS>zC9#Vh%{9XXlM1_55zOpo^%_UdYCN$i`vF!pyx{egbwR2iqB1S{u9`W);7F zww2w#DuJIR>BPw!F=Uc*xrnEGxF}$z>n}6_pg!CjH)0!yzqL3^4fUjGDMQ@Pcn6FG zKEX-tX2;>`&BS?nPMC~JO~tzinVw$0xg_VsB`H41CP$=Z$-@vUA?FbfcH)lFK?0C- zD9I&5vN1O!!yiu=!;azs&k|k+T6_qcTmKBUqTv}IW0yk*rULS#1An)5bWHmUxg*VR zBjjUT>r(AqWraO)qxd2P^_#wJ#I0|})qI1@u5C-O*E+&W44wlgv1+ktr%>x~c#8(+ zd^}$#q%NdEnI1EEb@mDY6YqFny<%BLi zEzWQ&$ndy`0|z<{4%Lr70WBx91A7eVkPj$VB@=h`H;`v(Lc7frDMpol=s0PZL}|>`VJZNvc@4V zVc^IM{&sFdfBs6lws-R0OED8Xj%x|9WffMH$dD1`YnpVb7MGnu-4>f4YC{GUP6f)7 zhyqCZ0V82}EN3AdD+oAX1BVLxJ?PCdLG5|paBFJD&16r?=t`=4rhWhmgR^0tUHQpD ze6g`Yu+m+N^k#}{B$`22oZv+R{ZTHoan(YS_>IwRBr1N_mIR4;uXefKsneJW8|n%h z4OD<3G*=3{4*kA@yiQaNci2n_d8Z#6e)5f3F4qo76N`k{9qrULtie)Bymu&TcCOK4 zRY) zix!_5gbuQm*-ZeGSOqwTRhT5W2R49__UyxsVTOY%2IQ)-FMWUWwa-L|0?orf%rMGB zQNW0x%&*>s_jy0`+zml18p(>gV|wH&kuOt!bBxz+z(^{{?u6&R+P}}D{ZZ0Kh$F?s)yK$m21ceSY zv2}ob@otXeY$37z2B*W2cLL&+MmifluUxFNt4_tQonVh{tTQm(GT(cVk3<3t%97_i zg5vDoOhK_+8wZdFqUyaaIi2zY(eeX$emneq`Jj9aBgfWMk|DrMpl$r^MRVl#F8xim z{J{{-nCb#@{$cRl3?p0dM;KtQ7- zA2Hu&5L(AIr@s5VJv+=ZE6np-!iyqkPkQM{>T@QxaV7RX5&qnYb^}L@U-c$a8mGsN zg2aV_)Q0i$Jq`EE50J?Z6v_|y5aV;Sqr-U&>fEt7(YW0HIWB)}x|zKPqIZ;`Ym(a0 zq{N;%JMndUPTsY*t*wQer8YOZ3f5E=^1IvU2FdwA#WzSR==Ty9wLao)rsZsKw0l#2 zw8*FV`G%1XSKT*g@H%b;%c}FZV~`*!?}EhOr>uw2;peJtZae6~e6-^^&=3y-8>Scz z%=~&N0uaE?Ig83G2(C$Lsdlu8vQ}CE<_>PgE%}F#!r0(vM?{<>D){_l!M*-{?+9}i zoy&o!meKLz8wMTxG?7C5%K110aLT9wc{n)tEd0hM@75@1B5$W8S`ikpu0pjcJq0cp z4>tIC{gjLpLD3~pP#e}rP#r}q6uYMN1nMTzX8@jlgT>AJ&0@6>I{H)l9k)%e_$gA^ z?r~DtH_pJH1m!q5k@=yg_%el|t7nl)(o^Lr+{TAOvYCfM6U#(LLVQK^hyz}Y299lM zOe_=ex7vkz2SS*o5=SzyST%W0l6v?ynMn@Rs=Em`ZTMRh%l%+N|BeUe$v*=nNqR0y zrA7EYJ~L$c?wnqJ(ZSRr9{DALrja?h`>mRD3dM4G@veDVe(#7jPO(?;dT2aVmRA96 zuTFr#-}5>~sL&|ShC^TR4+rD$uXve|uegclXQE(%se16c4UR5v9AQ~L4!I{ICj&h* zJuQrAg( zdRbjw-p)5#we786KWPI8nv0c)V(%xa{|2XzZq_@p204i0UsZRI5KTM{grG=aBO;H30~uOYNf_i!jSha61z%sLIzAu#Gmg%(a?28OjegyjwY{7 zj{ycb!1Jri&nZQd4>viMt({Nh&y7j(&`~Lw@-!J8VtZ6(6;(JS7_D=w0-cW)Ee^>y zlM|^~3D#@>PrJId|4Y^?t30Wd93OM@`z4rAhUjle)o_b#+1Ytl{@k2oxn>2+95W$Z z_l(gg*<#TH_7j4d_a>+6pVwdPW@-{VLq>;K9`xQtrES&RL)oJ0dQS++DFSsRxA9|= z=Ai$RHUC$z7U=t!;Kgi`XPlUlW1P6y;^+0H*bIJiw6to`5qG6dJ|YAAf8&s~I|hTN z2OU!5gM&R^o3Fs%lX(YYunE6olAj{}b3(*f^K3-(b9w>WZHc$AWHuaz-%ecL3#GEX zuLT}w5Bbh|^Y;07#@f?N;=iQJkm^ks|IT%)c-;aO?6r#B<50Uz;Tdw75!F3XULMu>gnJ@7*e(x$-QPrx1caKI z-*!X2OeYL)(s*%?#_hZLF=N_~*#8+sBAcwF#rJ`;GkoP} z7^Yr3Aspx+ZHHr?iriJX{s@{Ny9{Lc01GG6-Te;08ToRLj!7+oTfGmqC6-H%2yt`^ z8^#e!PZ~7hCo*!qmYBhrr@}FEppO~<_Ef`uO;ZROL-UtW9oAm`RKGXfhk}1eGiIkq zZL$?7n#j$dO?@!M(+g{X(pyZ)4H39!IQpQOb9*Np;FE7)CA^Ec`^2`u+g3lY0gb(7 z=M%%f>x8q&ocQ~r3z)+9`m#83F6zFJ8LR!CLmxQ2Et$~}OET1c1aZ_&0n~Fa|07?K;hW<>-o2VJeDkl_LMIO$eijzuPD(o=d7Le1_mSz+8)XWC^KgPdQU>SM&uxon zSJP88M_bNgL*695@c4*+HdT%Y8$|Rzo=jDPHTttLr}qqfh(o)G(rG#h;z^RD<_;!kd8GjJYV#@LC&E^2B^KMA1C|f7|5b8W*x?L|2j*c zz;I7gJA5_R)US-3oLdDU2L>&KyZn@6q}^q3?6AQdzn=P_c;t|B7^v|31(Yk+*8+N< zm=J%rNu_y_W0Wb;6_mU#yVIxm>mPDIRtk<77fS=%ez2cI&QdITLaw_vFc0Oe)ikz}EY|zTziHgC*q7 zOM^xFKMo%?o&U?xC`|QCmM{e+e$ji>1TVOB0}=aobkq@r+1#3aM_+yTu_jigM(5h$ z&np4JyAbu4@j;cTM( zZL@*T@$FI|bixfm3dD3QnhDBjz4`u%F9=3X26Eb&?$V5QaTclJ>vl~}Ib={2G*xIX z+5jJ9151S6(VF%?m0x1Kep-_*2>#?8$iKv8`2umuej&6okyyBPkUtW9UuX0@0Rrb_ z=f46>)uGCIsgRm_>4=z1^4IzXqt0nAf2qUg)!o@hqR=PyNo6*jQ<;;-fJ=X-2YtLJ zTeOGtML3ZZQbtxfN^1ee#`-&gqO0aTDXuA3lHDWP)Efm8h0ipr z>(L((qjz^UNZ^QB-niPxRNRcV>we5AxcMN!Vunk@mNx|yiQ1tAcq4nuzQ|1EoM#Gv*G&yqVC97DTP zf?Va)M({|8RV^0*PeB|->d)Sz6Pkoo(&2xe*`yQNARtu-6&WIQLZ19JT2CtqFHOb_ z!-^N$g>~IZH8225R#nnlMFO&c@q-Zr>#y=g5FZ?}BaHonnA#JxKFR#x9)~KU#!7^= z#oX2h#TjbKg8rS@ty5|(!AG1R5pG=tMdMC_Ukvty;ZHiMt~X@eeEi;Ia{9-z9^*&S ziN=di%OnVs0_j~n-6ZhFsQLCU`X4{&VwJPwP*6)}81kM^=9jN!)Q`Qy+!+TV?c#rR ziXxxyzo}Yts#Ds!opzy;%1l7{piB?Tn+)eEp!^*&EWC4T|hyRo2boE#OZ1 zDxDEos@_0&ZZ$>(F^n&Uln|3vhFfG~Y#WoT|^y$)vKEec2v-gyf@_TFSQ-=T~zCXuYcG7VK zMl}`(Om}O+(S5f$&R<`jPZBYo@ z2aS3#F;@QoGatL#_GSVX6TJ|LXF?F0U`w!s#EXBlSGCS|*)Y$KFiWX`!jP2i%k0ei zywAK}JIj1NpZ^Y@wUeJ-Z|z~Y+?*cG#*@AN$MNBA|NZvC*MD|A{_Z^p(yuUi+0T#p z{CiOJG)(_6oo(+oYPM$M{qeW$*?2mMwY1mw!bR_X`1Lbr?E2iQ8-v}!Hg7|NhUAp4S}tbpPIa9;kqGjb9$VaTY|Y4fw`@N{zga$J9UWWsO?BYt=lzG z`!a|d)8k1d@PERoSqXd<#Le;E=UHa(k_^@^_-^-8CUAa90-w|_SoE9v@pdxXJ=~d2 zCLtZ3dg|%bU2a!e7V3(7LRu5LnY8m7*Os!TT09P7@U%s=X3j3gS?y@+IXcfHtNpt= z+M|ZF(AZ@+YZ>CVGFFFXaI-}(mpLO>t$fN^xT;H`d4Fw;Y5v-Xb}))XUk+lCqt3>o zu9T&mE#gtkgzuUsWN2oYQXBw)Zm z=!Ix2!Hg8-)Lw5SK>;KzGDC4B=!FOvu!wroo1zvGl}0yUq5?!d&b^p{Tfnny;`_8U~yY zsDC(`v={q}xoH@f3m9JYVifjbDY!}+1~7AF zaD~w{&v`U{@LY}!ZK%vh@+2#}wra~Y7`ie+CSjn3@cML&7O|+L{lLvwiZHa>G(c5p z!Ns$cl7l`LqA!H!4j4eCBKk=fi0&F98h^P}VBGq-c=Q-(mpjx}e4x%ys`JAcuw`i` zv4e$|N1jq$l(C>*hv=p=C}yDQfT4kd2<-?-=+&hNLw5nF&eTxJ44@rxL^hHzfa;Em z*adhyoB?4~-a$5jnpIG6bN3Zf_ZOWXCm1+Z;;5cMo#|BN@ep&cx)ss(p&I;$TG*H8e zA&K`3`hOIRl>9zr;n3~VlfFyU)IY~v(0(JGk*+OLkotg%qgPf_;QWArHy0R%i()AR oU3ybsFIU$61uEXWLj0lt!GsP`vkI2V?^EJ>bk-UE0FxU9#mfm@qyPW_ delta 1236 zcmV;_1S|X23*ZZoTYnz~00000000#M00000007_x00000004La?3qt*6EP6Qn+p;L z4j|xwga~{9w6=FQ$qlK!AeBo+#ks0ViByuRq^iV)56u+_-fmX)`$6q)IV7U4lx8RP z+B0w7{8{gExm^D8pRLv3V6gSjU+&G%7t`7C@Wb@%X!!2n^nXh?9)1rVcuOltG@=kXa2ecZ*P8)k?Von3y<9X>2Sv9tELnduYZf8Xn$Rat`0?4jY83mWwf*t z88u}nI%`7FR=N@PRngcgyw^p=Qtx%84ezzJm|(^(95scQKs2gxIN2Im%8pb?o$SZmK!1gGW$oRO?dHPl|Bp(_J+t4=Yhvr#k(7em7o;subf ztc8RS$$v@Zvl&InKqVy>))gs*1}q{JK}RK(qSlG`5rZT{6bx4*&nlh4=%~(WRi@Tv@S?Fjf`{Le2O@GlGi^+%uI~H)D6-KQQU`?W?i20@x z192}_hC`VsCIU{prX<37O^jWeR$5b#Mj-w{74={#L5FIUFc4~JLS|CcZqrR^ zt$(y<=%ohjRT5$}p@u5iOAT72{S~|q5<)AmE}@3zNkj@)hPyS`;a`fnQCur9mKI^( zJ}bxf&7oY5PGhXxkIl${8sl=m{%bs;s5$feBOtG}EQn z6KeN`jVfJ=Sg8pKHEOZ9&<={-muiJkn14`UWz{*M)g7Dcl-`wkq1Lk?jDn(ciryQC z9TAEs1I-}91Vnc~GAMIUQxKsDI!xxi8gxhn00$;uWr~`DfMk3l?3+a?BAN>D0EoxZ z{6rr@M~Z6p26T)g@Hs!xUn2YW7br^6O^IL4b;w9eZpw{#Zbw}}#i9AC1~MVELVs>Y zY0KT0L^mQ-4;5MXHj|>8p%q#e^jEq23R#B;W!1nziZ*hHeTqs3CMaE*r46xwrWYwl zH)6ip%uzkE*pRa?oaVtPR1zM{Zytk=0w;1fze-S4PkP}Lyzz{m6Pop!(_IRSu zfQ3ULB5>fre>%N{8hQYWjlc~Y8cAa^Oh{m*YQSFXi=%rSp<^-vC$0vN#L5u!`;@Tm y!znn}hg0C+xKnV>4=;d(7)_{=-=~DxOk*(N6isdjcEk}p*lXp@nfx1*5e3DGp+_44 diff --git a/cpld/db/RAM2E.rtlv.hdb b/cpld/db/RAM2E.rtlv.hdb index fb26d6d5308af781096930b0f0e61e91684092de..f1fc6e6381237df4d628e2eada48e9e1ccd7cd66 100755 GIT binary patch literal 15685 zcmY*=V~{9KtmxXdZR4zM+qTZyw!LR<+qP}nw(WiU-TUL#tEmY()9Fcdb*j6QAOZjY zR6zs&LdV}n@E5C^I5=9^+7K`@(=pI75(qk5SQ!&AF*6Y`u+lR!(K9hHG7~77IJj6C znGnbu7+Df95-3R$sF@fNh!|Tq{q}5r`A;1Hz!2;IAb?Q#|HUFa|BKxKFTEi%@kG*G z$q6DL9Ktu@ARuy(j>mDT4SUku6Qa_HC#8`Px9sCF3F4&*LUW1io$tT{0#2&iugg0= zu5KQ9Rdqx_m6f@9c~^8|pMZ{rffI#dNOpeobe42Ez3&I}YjKk~5@dm$v2*3+eq`*_TX(VH!ZCBf`HlvM{GK$GY$Trxh zl_x{`>^h9K{EF*q87+Ty&-%J)RKZZ{v#=rv6aSP;1N_;ge1MOYm7z_onw4hOzma@==4W#=y~J7QY1qgoJ??e3-w>=@V|_t`_!( z@ddg9#EH6b#o-LGc%T@t9iU2U_QKX#v2MpG8rVkqL9`w+a$OF!?q!;4mT%-i&3JE} zc5TU~^LA15DR|0`@#$FLdwH&3^=U4U-hzkqftS#7y$8v3+^o<>zErIFXjt>-uK9|uYTrGi#QTlJ)|8w#LC1Nq>^ zMNL=t9Db+0n9kW`r+Wr#jm5Qt{?^rwRuwY|BoBnY1f+b?KmHlxS2McPKyW7^#<>VAX<S)D=~tYLxm8ix_=f(PoHh$iT0zfaoz!O ze~YtQmFpqHj0>U%8zKwUvyY6E5e2h%z=3On0?b%7@K8{12U#`K@|`K9L3wVbLTN}` z?ZBbJ(@g;JN0i?~CO6!F;4+z$rjgzJB+PPQowvirGfA3OjuvS0eTJIX@Joj;vWYW> z*2k^?%rwy99Aec(%G-S3d9Is!Tye`;D;?TqyBsXPCrp++~;GQW!j!&J6oX z#I7#U83Iyz#DO$3evBsA?dTJEn{2qi+kM(qhTuvO$p|YHtvTc+9g?fE`HmItL1B9& z_AP9SKv(^gzz%kt@+tmNzAE`t9eUXDZQ`Z+q(^XcSd?n2^RJzJ1zK^Ap>O|u+^jkh z9*gq2M*T~eP;bdz%>%~@q z&H8iU<^qt7egwVC$7DorX<2btSM6slyXVksKpFYc`B@2dL+fjx#OL_)Yv2`2r3%rA za{v9B#2ssh+~oMi)oae@Yw$v7iM)Wl;#H?q6<>TRf#A>JXE&iXA?eq!n)V6j{ ztC=VyBX5tcwv(`@rl!vymq^o=Lr6QHZP>?z&1~^!k}P=MJlHUB}VlmjeDICb~Yj?1V+`5#Gd5Mfc}mD*n;~GTAcWHBUsG* zD8zU%vV`&A7teQ&VJeMb%Jv`9j5BYPo_?3eD~(!u#V}7;DwD0eDr`kKhaX1j-7$DA zE@P=k&QTbQD;a~mn0nO>(2?77RQvr=n-L!{D zn~yxe!grXl@G%jTQ50ql`kWh!s48M?ULIau$1<|Cjk2~kvciq7b8cUI%!qReB5g9( zLc2zIL*jd_X+hDV+wkeksVh`%ULs8qE3oud+{C}Ju)v>9Le;e3%S%R+c=w!%@Sw!o z4!hy8)ORPA{whi>)v;Z~4sNb(y^Qu^3_fnbMb!QdiFz6~Yzf$`zsg_b#v-d}1oI$H zvdU$Pukzm(Z~kT0uuh|msn@WBPpsFQRa}YA5JtwyY$gfW2VVs3G-AWvB-@22)#k|! zyW?dMACev;Qfmse2E9nXh>k6L8CB_SEaz~6WzwMx&SA(w;7dkaV$KEZpx`p_kLExV z-XYUwJNU#N3~D&|ec!{4U|Th@)EVNY2JrEhlX(@z;Hxv!lO7E;R3+e(wMoC!9FiV& z$5iHBNmD{kj#q0Zx@gAs&RrbOs%UV!)ozF#ZmkmNCW!9g;t~*;(iDnYE@vqxmpo;O z=~n&+Xt|IjVX^KzbeWteXsG_SZj92jbNZrr|Fc@>CZEm7q>IzJa(FD>t*=ISNOB7K zHHGWfl#$$DLh3&v?|+TMGy3OKCq$lkZgK6E#w%P~^n`FuF72qmx}=0nIW(B`r7XvR zryzeL-xi6gQ1Y&?=1BP@tS~@&fx=itS(2l#sZ+1m??DQU6r!%=im|V1tlm_@Xc=z>~_9Tb; zQ9j=|Q((b;*=8ePkMkf4vl3$?X<9Kif@T+qQ_d>8cl4|P+>(7yN9Zq4o4eOUVXS%k zc`@yUSMDOv>{yvS{+|?ebenCb?c=-X?%OFX`bX*gJgs>aALfEl^tC zq1&UX$Q9dc9OkrmA3XTt7JY$p??JE1y`{g-;*z@lU#xyx^8f zIv;3UWtfCanwQ?=u1ZGn;>baPg^`^Rjtfv=TK+SR;-lD4mz2 zL)Vx38@~GLveNReE>6%04Pn1=dYd`kuw;xB=w`ZQQk_M9rxwlQCQ4Of4W#8zVSvT?1kg^EC^+l zAyfn@#pMP$*{qfSM&I1-jjF*f#zFiJJsW&4nYgJCX4~ciaI(n#!ytxcLn8b|i!^ZO zld6>@a61_m{~1D6*0@Wa3G!MGS!uegq4w{yW;r7C7^836NZFB8VUWqSr?~{VPf@UwpI-9#@ZMc9M6@5;jIxI#l zncmR8jR=iRUf8pZXdRm(ze*d?8WzRig`CyBxJ?V2qW{{jO{DRUd{7tu5!#%#Hi#Ih ziX$g2xjZAv>k~92{ZV7UNROVl+Z)m6M=(XcaSsCb_m^P*#7cjUUKo+&Gj!j<4n0Yy z7w!KihPKRmA@vTqqYiG6k()~#*)D3&0@;vB1a4--bZt#E=50c#>Vq=X(`g^xEr}V{ zR~u6d*lM7KdEPcPsEq|c1N$g7HmI#3cmzezQs=fb*Wx7La{>3r06>2)sg6alwXMUs zBlW--iYzhqYC_*tTz_c8sCmm7M%=C6zuLCI0^i4F?y>N+TlMA;>okg*MznqT#JP)E zQj2l(2?*a+bq3nX1yClW9JO~W#+dlZUf!E5Q z_C*ppuq#Ic>Qbu0t5Xk~{QfJQz3-#RE}(_& zHixAqhE?d(DYn+fLrlG6`tt?(ug)l@w?*0!Wv>sG)R+&IRIQF#*B0rj%^^;$9(;WK zmq#qf#}f|Z^938y<%%WyYrVqfdz9cuQ=Z@lOa3qZeOU?wH#Y77-B_dvfndX`BswI* z6m|HojSRb~w?_)q$_Suqwf^xJ08F|TLDypQmHPEgjbo!rekz>o5-uH!tjNJM5n8aJhe4HC=f0oG)_1mrKQ=PVeLaz{Y;E(uu4Edww%-fJ zwZnD+tD0DEOfyOa;#Up0iB2P{WTe$?drlqNWc)}k;-9ouV&t;a1lv~{xF1X3MK*@n z+|G~+piPuK!RVSt*tEI7*J*?8OnTMbD&$Cw{%ljtW$7m3{4Nq>EZKV$Q3O+J?Ncr=fc!IA#!c5**ZdUiGR>YE{$cpSc6JC4C)BA26u zPcAeYtP9mT-{mjQ?mUQNKIG?Z4jW)SBLF6HI%hw<*`2%hHd^To$F|e0u}&ZAq5VVh zv->P)B)9$Buz5rXc(7o)TU`Hik*-;AZUrDZ(f5r|;VzU0EIUJ;y9@S-*~)TP;u3qi zhDqu=b8vEQJp|eQU9kj^+SL%!_7xeh!+@spaYmsP2rY4UvHa3S)^F1bBsVYpM&VS4mrwzH3Fz8h`;s9qdr)NfOb z0i@fV>ZQ`pI*UFTW#n#mfYhzR%I2OKAwE=B3JBavqW|8zlgj~ON4h;?*P{{n&>uqD zwAGNQ$=T-`;&lK`wKen%e4}cIPfbpxs_SD8eyK{*eyYYZfms35{D&A!jChx35QG5T z!SAEVS7}&xp|M7|{#A9!Ams8&d${>ASbZgSi5xb=6Oo2#n2e&cD z8}6QuGF*3i7(_9@#D2^c(`U73P+O5sk%(zwHhsqwl({{=j-q7kmg0gQz5*NxuDOw+ z$Gbkixfy8UhGhIkNO`yi&F1Akv3S9I>P&I^_u2z(-sEXr>6O^PY6i} z5y?|>q+GklM``VB%z*q^t-ILbd97pC3|@%`?LeFO;9xHKZkH`?ss?5h!J(4*YpQq! zcb7-qUXWfFGQBf88{cy7MKy8keFEVgc2smCl`dzBt|vIAla^FyH1hc@+2kJIwr-|I zzWG_zyzIFXwiBGPYA(Aof^~w{bK7|C0i7ap#%T=UUI^91WXAPVq81kKVe;`sZ-7<1 zXB0skc>CThO~0){vNH=N_u;ai=l z{G*wo(Y#<$H~T*|JD^EIHlI}nZF=*$8SM&fD>sTxZ{ZcqjJ-hljQZ%|cA=9!)M_Y$ zPBv&sw*=JW{qQBiSRVmg(Vq`eB)shcDbHZjw_7}Q-em$4HxUyYA8l$15|OM(WbxR| z`f)l59(D+&g~VpA zxb81RAB`2iX>6$u89oV#1sW6OJ*c5*_D*f|*}{`s(W#&1OZ>bT}o0#PE^21AE}`+q53A`*wik+c8GInRYtT%zI-6ep~?~2cJ}f zjWJiNATZydMpQr0>_5b(iS87J+%o7VKL96}cs-(%w|wHv$AJ``OeGF_B9mf&#Kk&p zGy@N?GB=zEzJT;~2^bFvtE{}r4ohI~$M_=5qF~0pG=yMZtUz-1UVnvHKut->JbI+BHbvF zT7%YQvF^olS{R3O-K&1C>wkO=fXz6sV01&|KgsHH=W=Yaia)nZz|}aC_}-pMFmK6^ zr20c&1OMB(*>QKfEgVBHw)uD$nyYAQ@VE2|W|qR9K+;C@_NakLU)_PuYFF&?O&V++ zr&m#V|IYMB_~LTr z)p6j*Dd z_g?o7z+1)x`~-i^(P^)y!n`4&Ys*puT}&1X-PHHfbw&}BjM1!KTx>x)Z_oAYd|3p~A*t)->n+8gbsKKML@J)h2_9vkFu#Es*- z-xFC2%{o)vHOQZ#7@ZR0KrvnU^tz>1Jq(Lx`>!_r;44|c@K7ijai?Y{>E;&J8cWQZ zRP$_eo|nz0i)EQVE!i7e>vJ4)Ea5NP`MDRvl8!SE`A_JIB|071&_J=Bxfg?`Xc}G0Dl*G1p_);m^}|&XyS&=q;}Nb=Be5ucm(0Gkv=m>Yk#? z8_t&W8ct)wu;d_IFFSip`Uj%4AShsPU`|FFmay3K%RMMWKB5kz_?V5{`Bv_}l%pFv zIKz6EBVmpxC8z^gY%iEQX3Tf3|DYDHDB?D%bJ!hkhZyM|5)l;uZoIl}FGx8;+I?X2c^PQc6 z$U&d~1fpoiR%?g)d{Nf~$EfEm-WEx+Xo5{(ax zc#!a??u#EDoT={9)<&uCKh`K};?t~MeSc=|;}wk;O1(7%5$?sn3TBQcXz!!*JH_$s zd2q{t5V1{6o%7K|917}UT_+cu33IHqosLk~rnAVaFNLT`0-ITv1sK^2IL3m4Xi@123xU@wkFgl1kDF)LNML6tCZc_b%=b&TBMR%J}!}_ zIQEx4hFza{02gXbq;wbAej?$?jL*@JjyBrID=rP+y^fF{CdeO~VAY_!b|S#HMPbuxvAX9B+8go#oF&{wasak3~Jx z7-YkCZ^)DSH%V2~c{45AF%vRbYCXiDTXETsnB!Y!!5VJ_#qLxZBD<)=|iLK~W#jE9ffdanQVIRw-nN;D^yt(eu@W(T{(S zW)LX#l;US)YJt1rf7n+~j+#zDf4)Zmb$`C2HVt@XQ~AC>8+3?RyGj-7 zSnQDS?R?`*6x?-^GRMU6jk(^PoXq4r_i(KEgn#R_Jxkm_T=409w7u#ak$*i1wLMAQ zk6@qoK>E7O5N-9?j?(hY^(@DEBY&^e^+g`(|WJ-Fq6QuW75;b ze2MsayiH?#nOeM&-hOt?bG*K^e}`Pyz?WDj-}!#v@ooMZFv(e?^KHL>Y5cCf zFUk0|u=N%BE8lGS6<_NnvRezk6kg~-RA_Wg!HIE@6vA+&F;3)ix zm?=GCO-(FJ?ZR0wKmriE$9*4k=Prz9|}!90Dx*=ubi%Wiwzvy_j}od|$tyMt+zi0aHWShGF@t zG^LB+u;J&50*niqrS%mJxiAxS5Y$qvb{v7v8^(7L*7VB|6J}aoA_6xc*0+&f#5243 z2WI04zh}9CCrV=pW@C?EbB};0NMi|hW2nH#evDI_H+0~w6qq%pIXYJ7N`UKRt~W+w z6WA5L05+TX56C(o*cIVyw!thO$Q6I!v)L>hllgCuG!5(ua2Af${3XyOOyGmqJQS;u z&+pk62D{Q9_IoNf3wFH+tI?7F!z}Pwa+cR>c9{QzJdhP`9UOL@bCy?smP}xPZ$SEO zf@SuN6Ic!W8ZB@cXdNDQ9W&Q^Dfh|GrAwf~-=#pn6ViN#!JHoKiY)M1E!TS^*E!Io zLEr-9$`j0*(VUOL+&Y)Xcoq)e3Nf&m&pZ~Zu_WNRRlpOu(iVEy+4ErE@{v(;c%DqvSaxlO;KK$kj!4y48@u&b-w-(Ru? zhCMLnmenHyUrOE0=7&p&OC@CnkJNZanhMxA%oJ+njRKEN1^L9EPJ94q6_;w*CCvY` zkMTR>M*>R20;fJOs{KU%10j3$uK}adkj%WXN2wH|e)pZD{pjhm>WnbXt| zz%Q3s4B;_B&Mc}6Q(_7io0+8(Dt&$Z$y@RN88dRO6G*QUW63TKm1`mI7+C;j!8aO# z+l~qbpq+W#3ia75--TZt71Klzhk@H77l_()+1D8g`{3=~BOVHC+0pg&X56GYf@IDRZBV@2GG(5W^d0--V!C0!xHzx{gKD^r zYp$yO*Y-8R21ECg4jg8DLx!Bqp{D zW?0g1(unGj7Gqlkm!x~)#JaOhF$(y4%koPDF&sK7{I4KQ&a<`gqSUll`EDDrR+Cb= zKe(9VfyKlFRb>wCXNgS6>E&-OoF1)~T)qfw=F@od6h!<#t!6KyXF-^Gqe*;>{abWb zSbE)2Z$@=*1!WyN$8tK)WHJ>lhyw!LK9@4qT1%u`&DU?u=dc!$JZ;>k1PX7b_et29qbrKv$RSku;3{_l$|jMQ&nBc%$7!8DbBFl-u04 ze${Uo80!kw#xwJZMuf*tLchSHRgCh5E>kPE<#1VK6<`1eZxylQMn!wgKSuGbZ}J1s zS`#-3mI}YrEBD@i9Q;2*pZCqL-7j~V`JXCNdFy{E6+D*yM$d02!F}DE7n#4A6tBq- z#b_Y!%|YuMtIdIb%coNC4G2o%e6eS3Htq7#EH6r?Eqq94oFpfx1;iCJnG>5~ig<)H zGV`2_DY6)YGj^r^%8Q}WL58zF24^Qf1KKz%>DiFHRF*n|XO~P~^H}m*Ia;245sW0A zp`s28NpvU&OGrfIDu_#{r6xN4(*K7F`@N`|T^E|GG?R=J^A)5el_Mm=Wf>_IsK`h) zhYE$OQWH-_xT>|cSMo2%T`)`sE@vmf1f}Mc|6o&wug6mgiT@=;l)xn+Eb}KJd6yE* z?;;^-ml9MP56{(bO`ok;)2@B|%S!+mQrwjeE*_L;QM3GwWMIB-+4y~Kbpfqt$if;+ zK)OCyIOxDgIY&W8x*RDHuF6Ph-B*@5%gtc-5fg#)o@C!nOnFtOTx*S`@)1A)(vr{im~v%9(v2U^I0Rw@XE$~P&V zi07p2nK4c&?Cg=(DQWc}?r7pw)|OSz2)QVXld12_iD9yRjfvqKJ@yL zs;;57Evbw1>ygUoR6SV@+S&bV>8MC8Bc)5TQ?sLJw!9qP9d-5mXx3V{RJ8{QI!O(7 z@t3R8)hQj4QjJV`rqzw!x+1OEm?+=UU3YcQYwx;Tx?19B|DxGjTF=r=KE18~8=6AO z*?GQtW>N!JBipeGf21-p6ue#mm}^I(Ou$#wx!&F+pG;LNp9qAyEEkO!+0|)Ur-t3E z5j{k2Zg0Wqu{@vj*RT_ALN>|Ni=9C`?>GujgWx$~yS4 z2i#e;)LL|TKQI1X;sF*SrkaVmyJGSAN6pSt-5vg_?f*w9n5eSNgRGIZOZ6OpasUUS=fdC9>WY&R@0O#m$ zg=lCZ26Oi)?XfLjpI+RlAynHY?V<4Lx%^9;&8URU=$OqYr5}pg=~5{nf0{jZRenFH z_@RI@?|!t+C>onFHNnuj?mn7BnbK&+reL)b)ZWDfTmkoW2u?t@lJDWN<4P6@dp|=EYgHLwlPuu?% zZYyJ3U{%v=E$Ly~oHtA-(E|-MAcrIk2u*}o0t0&oPNdg5Q%Wa31jb5%f96mV7c}*4 zuiM$e3CB%84(pC2iG_-K{E-tU z1>c$v*^Dz_GaiJ+1U;l^KNVk?EuTxO5Y6xi{ZMTM=j(mZg-z^yME-`|@uF{JBS~=3Vl>;3wdn&Jo zIXFtv)M}1$)~Dd3&xEHFv-NJm4v@Ry+H?&>_LqC=_><-UwYHDe-_aX`bwK?SbKVIC zv66pk7=Bg;yP{;2?&1K+@acz59|K-{+ZYpA0~)7^P7`(8gN&ougBRv7u_a|v2MS%p zmWbw&rgiGVb{Or~x{_(@0PR6dV0e_bp1Zu`+q^7VcBo>~s|Fm^)7u`_1KtLw*Es|0 zju5v$W|X1@LCUVo0f4~i?YMyA3ga-~kEGPN;TQ*goMz(;=OP4WF9hc(6ayHP<m-zY5t4Zrl6e`DxgWwk1Iw`l%K?k{riRM^&Tp}D};-f1Dz)tqlZ+8iURhq^<+clp z;I~`Ti;8Ct{RU02NzrSf;q5l-)AdbZx7c3PW})Bqu4a!}g%1qn80!t@g#+-3din`c zk6Ya`Kxs^lklCJ0WSp27q|;k_Jjk$Ww>S*m(u>@Jz>b3Jq$#yIqBP&#yGQRhz+W+@ zWNh$^JN>mOnEe+upH(#nEXdNkvj_b63@_EpO&{*D7w6F5y%_vhAAXXs_w{u)FS~Vs z+dkBDKN}S1JA(TR;&8$GDtk~4kt+!2EClB$1m`LQ2N(jJ=py;H4xpCvIqjK)KNCvW z<)egkwehc=u}N2nt%Y7!i$$TWMqlsRq3Sb8S>#sZj3YG16zrRx&FDoT)fh5kEkBhu z72;TeSD962XJ2^heATG4&8XT|TumF{N(UiZDyS1a|(`X{prOjLQM)KWS>QO2y#v-Owc6jPeD;5L>^q)?!25yLL@+ zLosdqA}B9%p4(5Da#(z01VGKWS0^Za-IZUM@BVH#{Bv*s5GX4mbUQX(FKZ9&o{fF) z#b0`Nx<>usaVgcmkabQIAEtWI@YjqTaf+Y)a()lRp-O9%i==SPhx*>z4+p&C_L}$F z=n-ZG{5-Ir>6>vQ`Vc`MbNgm_7Z@$fCG>+DO}sP$GN1-X@iIkNden9ZI-oO4XAvgrb2%i0=dzH+YG+kPNkD!Gu`4-9tTO z3+D%zD(s)=>dWX-NW8KdQV}aKSNo})7%SfA((8zPp_t-A3-TF&<*pq!ws9x7lbg-B zoesj6c0$)SLR#~8BL#^lk%@~Y1sWYNHDOQq)syoZ+wvz^OEPg%w%tjEg#tnyZDPY- zD^8A~s;o*8&XTlbk~nAaW7Hz|(;!eJGR0}J_=klJYB*!4ko!yQ`dXcL ztcooLyb&-q&#{YOg9)I(74LP?dCqXv?>ggUtYWq`4JkhPd%-RU76|G9`HFS_;8b4i76Wj;=^h#>)+Rv zVJ~IBKbknj1L9qemi?;t+-`B1gmnOz1olxjG|EZ$k{eBlulX8ACz*E+m2fc(Iq<}u z&C~t%cU|)JHER-~q0DI{pp(iyY4715jnq+?2SiAMQoz02C{o3wp{eKVS>mC_b$Z)3 zL%W#fbh;<6Ak!oh#iab=n~9YCqy``ev8<0QL9k6hu&p>kHsc~}hh%Ifn6QZ)Q9nwX zJOx*Qimf=7X$Re7;mj-H&dsV(4y5^>@pg4@P=d=%H_lpD75MCoGI)6N>O{%=0sO}b zAba1dkf=7o8V8|az`Z3-G=Zi*ybmQ_OCI|RZhPeHRtdRH_ujhz$c%tz$;=x{D;~Ri zVeMSB%b5ez2hf@}$+Cw!-MC+)1QF`Pet1X^-H^SQI!v8J)$?#TAd_*!9 z4=r9IKoHv*G4q8Z7XDVSFH0VVn^Ag+g=Zc9Lz%L4rkiQ>e3erkG(xPyE}K!YtRt^U z+CwF@Fx4YDEdtG?pDmy{sz-OWLui{(bkah7i*H!|2=bR3_3^p$PaSCCiiZ~(gsSPE z8Q3>O0&Vw~6}6R*1)-4QX*oZ`EVQgV3Aw5%-#xnX6u(x^u^Q51b4afW@MG38Splvs zI>KYnIMu5TfH4cnD#Yt%h`C88c!KJ`Kijm&@OXyb5YjQ-=}hn*;_i!-!8sJ;*g=f} z&jTpRmE#M3b`Tn8A(=-ZUc<0&mDu;7{1McTA}w)0c0%2UA==;&wl$pPvvbu13{$h+io?ghQq zp`S467tMZ|2f?onTvW2+*G}9bnaYxWF&rBQ%zMI$UHj}S*+J~AFlxVDo_>Gc&c@Lf ztC0|}EBFmP>Z3JV^qw7!eele%8SxZ>Uh;ic*}g4$euD<)x;(W_7PVZd zx`dWS+P^kf8fo2G^~~-J{#tcjDm6_{!I2%htJ~X)f8@D3%G~du(={^^W{v^lpuX4} zBv;}#;*Pg%os2v#H=nS{7HTenAYWxkIBbeiy4EM{(U~;P4wZt~C4#fq9o4V3wf9~x z&$}1BNguu04yE}cHZ01tMuGi$Ndu0&Cz4CbE3$4$>&$jbpJJ;uIT={l|ERAx1QNgi zIX}e0k1FBt5C`{#_kTjqTXd!PBmIs3C$eem#X}j%&!d=!IH*@d0wO@nbZX);I_{?`ow9#6A{8~O(tNF~q zEkzq19=Ielurd||L8?d8%Z~JLQGR4%$Wf^6Q&m@ZvuEyv;)TD&z`yU zwUvdnjitrKx%t)gsrKUh+~(%;=KS2++UD{$sxNv9zNs>_gwJGdOLu!s32$>F>+Fi} z^BICHml^&J*gtJASts)&^Bw-3i9Y5(++^8W1lZ9T?&>)-+OPW)Xai=kPc$`8ut*c$ z9scXP<(Jq%#<{AmKZra{+wJtw&UlzS9X+yV+TpZS(Sy{?nGv~8!zF7)4Xo@AEyr4xB9bpc()w3 zFRdfVlDDd8)uQM+jo*T_W1(#H>#W`G7tOR6D{>zoU5swVE7eysf|Gy1!f(xKWr9(& zI=ZN)bRdiEgK+p#w;?%ju$0pS!z3TtrHeR(%O3MI-vMa7(n#D>QV5)eC%W3g@zuD@(!}tOK{EMgH`V4au1H0ZvSYY zbGxBB;J`ER62o!GR=hG6rl|z;*aFf???gVUhqJb$Gp3BW5)OA_;Q1eTq={{wn7qS< z6YK8TB)T8=h!h+o$q;6A-!d0cD$Oy3hPJjMnJA*oJb6WJ^)2Nx7t1jX@2h8~L{s{5 ze)`6L#t}Z|W+#qx52(*!N8_^|8vR>}(_Z)CeAoY4ly9qK+jvzT%wkv8@&SIIZApE3 z5kD|w803^~WM<9U@3f3-zN-@2*1VTk?L3+WAe#S0bGh1y@C;O6Ii*j1G3NNJg#07fa z@u%~SzCy)srN=07_RvkY4)7GV%9wneY-)QHuyuDgNo|ZISSeIF`Lpma_^V+-Sb%Sm1n5Z1z_`2fO$@ua8Eh+o? zaRV$=^Rz+{!N>unq6L+7=ezKaK#8C&P2{DRyqdbQZu4&K2*vTOr9y=w0}U9A&lQJ2 ziVDv~U;zsZPbM=rR89neB%_E!0OtLky#)`)^2$X*{$UU)*m=2{)_sAphRm3}yqdJ_ ze#`DjYgqHP!Z5OWpx@~BL$3%-mLT<(N$qBE49S^xsM27u+IebCakQv4wH!%)y5PjK zm8!gPs|QV)Q^HPYgcyF8?Ejajo>!4yhbZzwUPDJ#b5?w>O?^_@FL$vf0HK3`+-^mX zS8lSJOet-v?~Fc7!nUo<{@hk@B%gnCWIdN7hmR4&AN7w~ zS*~T$ze=`t2+9bXj+2X@gOzac)_^BNu`S^q*2Y1GoqZ&PAA5px&-p7On6ICT6&LGz z*n)ZI*=s9^o0%}Z-N4L%)eX;>dM~ucgv>i87*8#26Cg9K*-Hr8rT0wa67dwivD*uv zhfGk2h76n}n|3mhK}DyPT|$m$jMwP5PT&N?JZwdWg%}1efjbH}WKE6kix)~)U!t_5 zBF&z5c6!JP^RXwoRak|zX%}(%31>$NjV5!34EX!}$+cs5h)jUEXYiKt+CI~yA{W*G z@-%kZwqMu?b=J<`#AxvoRd7hb1rY1iox;eBO&a+&QYJ8jzw)$OH&kNS6c}IHbYyQO z!}IU-K|YgtBSpc+ILv*2W==P6sM67%viyQ1u$14E*oiX+gDyjXoLiEpDKNp8-n9rw z{#7fWaqacdS7o+SYr>0lHTwR#aOqM-b5At2Xejk!Wf9$rO2zKj-X+nb^=8+ZlAFlg zs6HQtXkhKw>5yMIg)7nY8v2WyMmn&#&P! zP5x2&4)@6jhBQW{q`9Dm<>L%l0NIe$Mw|i&_7D?*-NKqIZjc+w*;%#_hWLKU0M)q3 zo_0w%BZhZM@_Bv8LQ>;_v9GIJs=@%1@|fG&M2`xth$NuWeXkEhkO?bS=Hvs=q!h`0 z5S1tCejgw6_h~MD;o*?Ka2E-7tI_&1fr0JP*|F1!({oIFeN#SitefK+4eMm ze8qooxB@Q<#ad;kz}H**`;>xjg>rMm>)!u%w1I{eN+Oydz)chcPx*gm1*&=2iKk<_ z4k;2%)hDBicSdQ$2%V5f=9&T7&psh@@ovEEDCOm4r5haqC57(+g(wUwHCpCyXt6;? zB{b(TLjgkOO;D^`?U>FRc~P*=6ALFPFqE76ehAx|ZHNljvQ5CGmsOJqvU->P4P&->{1d!ujqWA0xxy} zVR2U1hR9QRp}D`#-M?trn`?sv#xu*r|cE0w@+rNI;*{2v3p@E*-G&5DNs+pl5LP zYG>Oek|arp&7_!14JPgFOQ4+DIARay)$(OFW@j%CEZ_q(CX(i=#rVE3b`tUQcZd$| zoA;tTQ$0RihH5T?IujK`*pNm@n)RLO_$K#)R7B0R|0BrElpOIq`*%{kmtuS(DEfwX z7OjvDle5Wb%OX^UpojCnjlynZ-@Ud_C3O0hRO$}=AmJW$`< zC%z+}RN)mmH=(7%)umeGOnrrfXAR2#K?TmWd}Aw*rI=6E7yru>6dm$xxk??cp+C_R zMazEUV^hLvg~3&i(lms-3zmJQ(#ijm5~mz4j6kSluDnaGDTVOkv1eSR{;uUo;VqT=cN^=eN}P H=jZ7&uK&s(Ezq!lzCGw5xX3j2F4)(;%tPD&H%)}yYR<@?ZEUYZVOdO2NEQ~Bn z%&f#JX3p+bCT7HnMkY4I%)}}(#2RMC#Nwt_uHQAch{3+ifPl1d{SSbIBmWn<1pkYk zpclTdo&=I9S54?(5HM?+V6apnArKKol|zY!Qm@+W&Ei0kF%FV|czSNsdee~x=>QZ~ zqZb$^6yn~xNgr09`(xiY-yK+UO1c4)@R9B?aylc~QRBA{e>XJ`H#ZH9hpt3=9lbnl zHQzJAGWi@HH9bAOt*72VaOlT_Kg65xBbIh`)qeiukAa$p`2i}9_@M`cH~P`fSBj2` z!~MM_1Ty}HlC&TB`9zzLd~qg*oxG?P1fyss3TzP{;6g|ec*{sE0Oj=T^v!0Qr{%TC zwo8`<^aTQ5Od8_>!&b?LY9SS^)pWO=@%oe^tttd6Q)G4wQ7S9d3=qp+mE%18+)QmI zoq`PW-rX#W7BsWhXfo|cqa#xosj|l^$`WR%>V|qRhxZ*O*S*=BQj(x+4U)h;huKcY zcMT>bhPZPj;sFDpH&&$K7H%Yh0U!Wluf-_kmri#~&G|B&#HnNPkiSUQE!XOUv3H$r zqaMbk;!vr;R4&nl7hC1E$StS#wfVTg;@+%$x7tO}ujRU&A#<-$(K2XRia2~7#QU*R5i;BJu zNPp9gS*xSO{i;dD-SpC#@j{;_KO-O21X=^oXw~(Hzmk|J0`@uI2bOF($X@=p*8gwj z2CKEe8GISEwF!|H!X>G3fi`gis*Un0>XMgn;SrPuEfvM~4b%@ z2wvOK@|x0D;|_{a5juU|e8B6Tb&-5_zEaVGp*)uMk(`ru0~~wxPCZw7&1PcapyOvaBc0$s8zk&D&wJXG%GrP)S4g@f zxOXqw_v&D|b?#15*^tIfd#s)IOevGmGwD$?j%b4xX8N`pK)p$)V+s$n9J@x!ZOUoZ z7yC}pt&NdXXl@(ZL~X~xJBK~}C8;AdV$S!Rim zab}T1W9H+n$St|dT14yk@gZfLjQkP-wUX5d&9S2WX~CA3*$T5urXL4~M}x+PlbbOQ z;J!uc$Iu7ouGUD8ARko=vHkt|46W?$uI=+rsOpP0VUnb-07F5v^-hVQ z;M9oN+t7-r?NJ)1oKM^6}(-!FH$aW&hTg#+x8k%K-#YJ9G6EQ4%062L2p zhHXC&U`MXIb!l<@>}==yVf(ISsY!He@Na__SNCh$rboQKOmWSQwb5_yrSE|D()q^L zw{C-@wo_uGOR0NkmO|lJ@=wQ;{nw~P5~1dfdOfh zyikBS-Vy&7rZpTO#^7M`4mSk=G@PsnW?=ml{k0DnTvyFblUOe&qT8=Rp6s*NRT(JZ z*L%G!n;r2-*Umil+|dX?OG7IbERIJbGBi;50AwgZyZOZ!>*6!o)%t+L%r1{Qg>sW8 zuWq|Eytp-w=^7nTn{OcI zgUB^J`ubUM^}}*r30lEpAzkP|7`Cl?MLEE%#aIZCocQ=~|cAd_Er7v|^G(V4NN_tJ#!LAAg-Qv-uYsgbf*mq#aUR^BbOmwR{ zbP-)V2CGVC6j+|_7i4A9Cj)zqNhkHgSY^v7BF`oXjKluNV6AL9`mk`cUl?0;1L6++x)%HpBj*&)ygaVH^zS|v+LapELaKBA!sz7IZEr5I_jOAZlQANRJb%6{pclW zG4E5H-d%SFCpX_~WR6J6SKKC-^t=58o4nm0+t7J`ddSZNT7*8+#FYNl^g3>%31)<; zM>x=crEIp%+X^(GLJ0jaU|^lWO-EdhrJ#&5f6%`wlf>I%+d;>DfW-vwX>C3YJ^3~< zmUEwGkC}o6cHmDv=Q=snagujVmcgTdIR~(|0L=j%{&P_TZNqh>Cu+^#<>KtOF3Hx> z_xM6DplA*H_upnQawcmF0dI>zgNySrcDKt4(oQNj=)47GZFWY+?zNTucC+tPT>2DH<9gn%Yaz8ic#PINbS$P}qAAzC z>W2UJJQG=kfKn~0+hSwsCxeYyjf?lGk^vIVkAXz}TV&$QaH`s4CG^(3WsH%KlE}{8 zK~=T|4ZPz0Aiw8jm@Hy!tYPc-N}hB^HKqGd;bD}tEv^Y<>r{+O?yT?&)EQ8Lj@K89 zTnsWe&f7Fc*|A&<`UdDp)`BB4x@BcbVUV>{*k@b-wg&Iy(r)H;Fiiu0mI7-CV zbJ=cHLx@34Lf6u85iKTWeY+-VWu8)J#I&)vD~F<(WIZVlE>&TdCh8?3hS3ux2cV*D z1DC4*Dj-8#6_2WaJB}sVa*8piD6xV&ClyW`u-M5RcP3Q z!uRZzqzveN-Pu zF)%bO@~qDq*YE~qDCU1s08DjQ;)62KY+ognIuUO(AF$XVBXuBKM0n`pu?}wd3W#=^ zEN78yZ%eWa@*Y#->|grQh;|-KlZkeAA)7~BkLQJbbB6u&tIf0R|&#kX*w#J!R&Iy85@B`k_f6eTEt`wL!y%L_~B5kAx0Jbx%g{ z!Ce~KKQ2BdzrE(>0cUjA6>neNMrYY`pIP3!17&HZST6&vdG>I`9=)+U{eH69`{CfQ z+heUyz##H+N@)D?52@Zg=VgJ>T40*?b)B>Y66DQ_j^eGHuFpN^!9C5eBZ0Nok6%jW z@thy+V#S4gwRT6U&Y*U0cS7R!oE7eJCG49bzA5UPe#6;0j0g@?8o{Aj3emxC$cZGM zfjNKtSm}6wF!4%(YXIrMgk^*4eW-B+bsw<62xzn31G}(GQ35fq427@bbdUf|uf<~M zy+Xx-G#u7$1$ncKR{}Y%dV$=XlRPYSJ-g{r-5C7!#2wnqtB19IC9GxH!E0J@X_pn+ z%&CgCZvQ|B^hG%?gx-h@#5#<2cy#JZmIL;5MLxE0&V4@qT;JIid)vse^!G-$Yia2& z$w5cGnB1_vw{MQbB?s`vl^9t|5LgUdKg+REv-Txca@{U)~ub<`uFGc zsDrWvARRl~)=&-)PPvh^QIqa-<-?$h!-TedY!VL>(-P-ehD`yzFZfwv>VYBRfhAJQ z5OQ@Ve6Nl!&(7lu*z)iwFg?x7T3ZSyC=n=eWKqPsBxs$*-aLUXh5r{5tH*QDqQur6 zNs!en02My!+Ts3IxxI4TG?en8wdi8{#L?rM;|%fRb|;Y9p|x{Ey=v(-i}j3*Utqbw zkr_j^YdGjf?ncL&HbO{vaG=IhYzcOVHzM?AK+sdTPgQ@PtG#Q|6Y-QshR@+J=0Ds- zlpnj^6@iJyqBV(TZBG6|bI|1FV&<6$>FJIg^ zvrNM<=fQc3w`U1;jTEV zOIK!Yw_J$Yz$Q5#yZYWu1Ci5H(`oB;S;L?1Qgk0m*vEfVBQ}$eAs~wncCEvJ{&dHD zK}QhAiB_ALN7_4cunLE47^o#a;eEniy?-vEnd?|9e8TyP0J#2wmuGx4KMalwy|m0* zmYPe~&j}*u0Css-{PkjALb?e_#gV381xB(X|fF0+va268*)uJ-75- z_iSov!d|%H8odKo9v#5Wd7jTMU-2kdiOjFGSqYA3s8}iJuMNu4;%t=v3g)RNWPwN{ zbNb^-H}P=JS(1|)p0jH3;#IX~(Gw})op@IN;{+Fn=+>!r@^;rIeriTy6veTM^<%1Z zg|pYYb3a7C6P*o!$M4Yi_YE_7>~#W#4<%A!!ZLNnJgrt#QXn;vWLM6+(JbSg0PD>M z$86yz3x@SfZO{|fP~_vG9#G4E?tTf2zbB%hwM;fFz<`jN=FM?L5VX0EV@B1#2eoJ@u6_&PH=>TDBvngZbEyR_R7|l3ijEW*{l89DT5l4F;hYl`yo#{jODt zmFiWroY^W+*&utAmkabb9?y;g!5o7Ay=9RG8;20?8fT#?);Yg59jsg%V*O#mvTf%t zm}f_&2nzjT5SmXPoa_xb+w65s@C|gZ$X?%6J8(*y6{1IkRScJ0TUZGP`g#QpPD<%y zA7WmVh~9;!pBQ?JN3>IGPA8DQ?|UM>+hO75{#z}?N~ygUv9xQ~zX8-|nd7rLJFkzYSCW7-ca--%J=lzKy58&OGei0?l?Sy_$H}V()wf=%g&amUOX$<#3{Xz<-dF$Tg}`JVztRPR{EWo&c%ZBESVel~2Yp;y-gqkiN>}@i{P3oD2n}f1QzO9N%THTGXF$?|@AnP=xUnW5yWJy`0KxnK?Zd`Ozrs{o%Db(><~n z68Mp%aAKsL)9C$Ivt$GI&zC!y!pKXp0f?V#F8EX5+)6Q>2H-1(0R!RKK{Rvsm#qSsfDM!uxGA8bnV>ogQSF!2iVk! z?i>EWXOai-lil9Z1-M$qL+Z0yxG$(1XR)K9T%IfO&B$ko!HS+ndjGg!R_ytVnYj?( zSTiz+eL>^LliLsD3DE$)dE|{BCbLG>UwsW<9(4_izj%YwNKn2GG-v-R{N0cn6V!Bf zOi_7FGVs?1@mKC9_lfvI0lOvo;vzJt_dPK?)9ndY1|H$hSf==s4SQa?uKm;~Jhr~g zS`jsisK))6!p&LvB4hsRW?=o`U1|PRQmRv~@ZSI;QUatWvicr7f-v9q(L>~uZ}I)^ zD}KZy|$M`!T6W43o^M8nc2&XIAI_C|^d z;FiesNYHcM&+7cJujFd`v{8ZZT$h!^F9irR9U87w%%;N)oC`NYO}GN+q|TwvbEr8#fZ>u zU&Xc}&zQF+oP&hV1r9-|CA<bZG)t|+nvHd= zFTkG8rrHb|_@EFHL6nGplZT*9sMOr)e|WgHf=|Y0cATQYcRf<*cjEXL?oSm$CWGKA zw01sAdhDfgXXk7#{KONPQn+n~(TAVJ1Ndi>aOFS|%Ld8e8}}aQ*I52-PVT-AEXuh> zrAgyU%zD?Fv+wg4(b*JPXRaEU8Pg!>%bG;9`&~kwg+`j5t=EvxB5^tEz3nSUlEppZ zID&6%IgkMWxOz34KsbLon!L01`nh3!Uic3*t@iM!WBun$Z1bae&i%OSQHN_o$_7GS z^+#rc)qP{Jf^OPfndv7#bzXtIQOAY+5e2~DD4zd%*FQ8kFH;rl`%Uq#7ur#CEKWK* z>!u9)jsK%c#j9?LbT`+aRi&Wp zs>W+XH3=PB7u-fW7{UPi3{3H6usC!S$uA4p^)w1;#sG#Mr=v!;mTS{<;14_e^^{7D z(?^(z4)%o_fZsj%1b$~m`$@{mAO3q&KZC`+_m8-Nb8fa+g#MlZzGl}9jdcp$Xe!^r z3*-jI2&X_3y;JlOg=7H|y4giH*6bqFH{~M0UQZ1?=~@sGrl4gdhq zojkH)sn5kYW8c%+DKW9|!sL5m!S~qfzRrJ2PwS|Ml#eP1Fh2}^()|t%r_CBPTq++E z@O6Z&;NM#EA9cKD2Ap<;%j&N>^bEwnmcNDq)|wgaWjfLY3|q3o!)$M(Io zQpO`OW1%14|KU0(e#I`fo3R-9B-2ZN?%=;~f~G9Sr6PY^mu!Zo#S@%_UW%II0AHjt z%62HAGpAR6oFI~k1YND8t6I8B!s7fU#o1ho!Ci!7R}(-Ik8-4Y~H zg#Xp^@!vmVkvTBCjwNXwV?J#SSw0QL<1|;VF5ppxOIGP=w3>MC4bKey5v6i5i_JX+ zpy&XV^zL4R{bm$GO;H{vCu~&H__{mqQkC`f3BD~+FMUYOnlZ0iGMgT+@zg4u9MOm6 zPK{yIc0*Y23RlG(t*z7_kMnk#wQoEkV=g*MZ?OJ5M;~0zU%LVb5H-IkU<3UCGoQE* ztiCbJRR%?X0zJY6ttTc%ZvoWfl5IQ;U%E;3yhWK8+4Qs(4f*j-4Vy*tJ!rf8@rmh) z1NeKt{-07yj2Ds?=F5FsBi(7#tiZKb{2o6oBm?)4yY)TCF?e5N@&$&1YQbcywD{{a zZl5)QYx|xi?xT{Y=LR#844-nwOIg4r$})*>Own;N&UhDxn&fd3=b^%zM8;01@2b7g zhA-Jt4bUMw&(1&gXwOq$E{5zd?q2VG?Im2{Z71ETNAv6I*W2LB+JnQgAA_I9i__P8 z+~n)fOA_M4PP$;1dBVkxH|s>)LoK`MPP)I-#dYF!-q-Eq>&Tyyb!(#8bjCF%D^-Epy&Gh_azGu?G-k01y&-RVz>LvP;h~bUS{bg!g zaPhTc+<~J<>#g&yw#WfM2CDB>Er zHkkVDN;2ilsLI+{l-lL<5R3`Y_z9FH4mIo+V`>QhbD>ZniXW0CRQwS2!XeLCp$z{D zloeH@Se#i)TG`c>97-`hckAH-Wtd{mNX;c!DC6rRNJA>@#1tzeA?j^@{6gJRrKTb+ z*2D_UKSRUxE@&ZyfI_1$lnR?qUKBDN^ACqfpjnp7?+;wm7Nf>e22Y^)DnXe-QO^`v zI+25i>C#+an4Pa*c;Qs7&>Xi>w`65e7Oi`Yu#s7aAgYZV7S<@5xGG3O zduBU*X_Q=wF=a8`a9*NuU8AOrjRiMjJ^<7?y8{>oT)9Sq`wujZu z?b8mzUC%1&JeN87b}W`((7+g|%gg+|w`16CxN%LRStO8_`TV`Dj9seWW@KOy;yQo+ z)_C1Mz2F5*WGr{#u_ceQX9_$5zpGdNHK2bh!JHJ^V8c6kJK z$(`RdnXd=Ct}c4QDSGmR+lsm?;4u3M3uMFvQU*Nw1#>{GLnE$(SQ2qqDnMNpLD}(J z5^-AE2)`8zpMzgQ1vf(hyP)VnE*qgPeP->MEs>!vpP(*HXFn}xQ@FV!_O;Jtc=bP88Il?-g@P^^+Ht0Gx)a6^g z9joO^uzRGiH@amSF3=wm@xYQz-hdi@Z zl3hy~<{i#BF;q}3mGQtHJ)werLt{*8i~7bx9fx$VsQ*44L>-kB!v8FggtQptz3&$k zFX>RC+-e2))^DDZ3J^*8IK7ypK|`%-(x@AKn;Hc0*%(<(9A!lPdHO_OX_IzeWa`U9{+Lwpu_L0cuYOA@lDE2X(JJ3 z4SY*3Bx2W!xIM@@yvS2aGy-O;U^0D|S~X3n0ftP1Ll4H=u(bY01Y3l;<+sH5T9DpQ zME5QUN;}!u-y*ev>VslwwcKpPNd?)1H94Z#pUp5%+^HPupJoFc^@y9znIAAW@wRYqV*t8d!{sqR0u}UClD|VkUp^f*LidGHq;;c2^k5>@=~?sQW^QqdAzVQ(mRxSa z9d$sM%@1GNPG^~7t@-NQZVfLHOGls3HT#dxGvn<1?fbz^{fP4IVQ4F_(~g!U`p9^J zEq9Z<@X6S+3CR_3Q~z&sxQ>bkHT1~HVng&+slD75Ov}(F%jSRh3YCY0qt4`IsJ+}u zqp&HAYLHW4+hQYIw~#D$f|H}RJ<0kqeUTUpCDpF5Wa1E16XC3-gX6{lsRgw)_|L$Y zvpvF+%}Pgme*H`>Y$I?4_*Ji;>N^vyKyZSRf5D+o;Ojs>8N6fx#aE$I@Dk+z2)%oU z;Ac=lJe*1TRXnsgxFC$2IrRxERR=Ac zQT_42g#j3i!j>aUuRD_6@C*Z`ADW~X|!xA;% z75&A7;x4*7vTT56d`UsFtcTW) zE)Ox~l^7y0bsSWpa1ScY#CEyBCq#-M_Xd1SgArX2D0<*5)iH=SuB-<@P%r-^9ZHRs zs+T~eZq^rzYKoGQhM#_DcIQ`P7u+(cY2he+YH!N|LF+~ z^A~Y*T9(Y&-4@G_f3io4cg`I*fv}0vsob#|hUgc_P z25V?`w&Ti>$5Fg4956bzQujR~z z3llaxUF&sQ)^vUezg6aL%c=;Ju!j{4BqNa#@c_Gt7X#p#d}M6F&lgS}!U=ok+S+YKWTrSbcw!$*>)4=kWSfsM>I zfTP4ZHz6JjD9g~aZ_*zR1?<+Mog2#lB6UWK@+(ERSU2M(J4h_rNi0AU4GJuZ!az!f z?SN6=!K?&GrI!ect@!Vs8f@a1b^TK;=MvQQsP=C5ML}f4{W72eZ>$b&gqdb-we%+B-jam@=V;$62Ov$O<~ zRZgXt4*I)^UV)JBOn!j`Rp^{(PP$Pw^doZ1i9m&MTCq!!6M;t9y!(+eW%Gu+|Eja0 zq__8#Pm4dRu!O3CMH!Q9Be4Jp>WNYt)>4+3{@uEPcnV71gLykJLpDe#7tgmW*4SP*!`T~1W@gdpimqDhm$!xj~>>V9Q=AJ>? zdj5KS<2E3Wr-rr=Mxa(-$km^OP2fad=rJ^O_SvU%g7sN5jS=b*`5{i>A94(G+=F#) zywpDU1B2CoJ&fOaFNN78A`bK+M*CmQiJP$71jGY+-=Yt^wcF(wB!?djkL8H=b9kU* zL^2$pN|xE4)=FW~Q7nAbR0BieIJrn+NutqD$aA{~MIR@|FnF~(F&~rrbm-zsUg}C= zWBW384BEq3Oc*^3x^N65T(LWYj=~J!FNR(@S%9IR$9mi3uHIp_y-ivB5Kt@!BW9e&2MUBc1aRMHnyWz1xRQ zXfjgKVF>!{p|y`70!WCjxKG&ag&Cp6CiztH7NMXR=GUnbe8f?2XhDbbe9`<_oe8=< zEAj3)h3>p}KwD5`9RD~A3VBk_uCt6_22b9AM2_iPa!-Tb3$^f!bdXTCl2EphAVY`b zxB~U@{t```7MHb~+`VYjP8%pSKV6S!Ye~IudoRu2*4yK@--_n?+$hos+kY z#4g-P^XKym#nZV5m}GTjHGS~;lEO6w+wqv5(B8U957;&$ z$fvVwH}o3P%Ek#s0})I;QpfTq!aoqEDsEy@;tYcP7-aiUN_z@Ns`hy^0o)iF!Eu%O zx!yg-hhAbd2(r=s3)<|F*3iuj8Zr8+ZD^R4!aZMprV%g0tLs2O0Q4~z@+A0o-rGoA{h zJ?Zxl(%v!D8a$ax4I;Mnu{-dr9j>*1i@KlL0Q;8dKm*=6HR zc*WrnS+*PHjv%JCq3)Jzm0C1SgrwL_tpFJmg^buzbxHJxR)SRkk?C*p_t$VrcTU$5 zKhjDP2OfeOXTUbUW0QxLd#-AcA_mlYs>nGJ1A^_ld7kK~$G>LF)5r3@`l0$<`+?cmOIn)aQDL3$d?a$bZGv@I55qeJzrsB} zw-H~0@tmXaoRjdB#TW9TWQ^&w4QgXd&RATHS|0=4Y61gOwZHzD2Z=f3P2;os{wR+2 z7DGsX8=6H$J-7A~#QS2qJhup9FO)kp@bD=33 z1}Kf=_|hubmS4O44Fnx+{4}h(NEM>X_n>>C3|AnUoJ^ficuK=(2M3-tT&dJ(gBtq* zcDEdxnpP?__U!Ta}cY>H`esvoarX@`Jo z4h}E#j$zH23=g&;<|%Ht)r2inK}v46sCs3ZVj)lLaS}{ymbzujE_@`lF;lLGUP8x zD6eX3EvboNDx~Wwyp5R7+mWkB zbY?ALgVzBxr!;=C=uVI**|?gRUCL1rJK5^}YVW$#g`%jF&j95R-?pb0`9U@LLHGt# z;i{>n|Ev=LCFr+|@`IHPsF`1#xG*dE{yEUc>}wQO?0y6&T4}0s8Rw0mmJ)z2@dzkD zFy5WJ1^2ZhJRlCTQ0=7)E28ugnjU3}hNwY^jhe_^RDhI(TjhQRG|}JbtDywHdfMQ- zH^TWYfz8qU^WTbh0PoLu67n_iCFVA@Jo6!^?g^aG2iOnU!id{^2l z_|*F@QjxSE-;;`R4<%LkC>LPLRB>r6WBt`fe;h|>{0Z?ZtUHs%|32Qi zOa)HRAekM;3X&jLRBQ@*W47IS=w@4}rjmn9p|PGZ+fe$$KH* z9R=s%g#BoY{iw!fd@Wd_LrXX*FOgFe`YjWsF(FL2S*;TsAVFtzVl#fFjl{u4bQ?0l z>{12~dFL|JD-QdtOaIRkpkVtt^fdtcEZAKYC2L>QJ=xueA5UQ4F{v^ES&MrE@W_SA z=t`;&1(An}^mtGr-fh9l%xAh|>z|Ws;jjB{ZV~C=M7m9h`dISxBpc!zJuwo{8*kVn z_s++Fpu75`;^1hy{X?(b04!|>p~soj?^5g?3dSwTW{<(I47r2)Wbf@nWu9M);6}ovOws|SGc_4NH z-fz@+U!R}0!_Fv{W=vVzTx)HIgC{K@zeeQnYgd`hV9CbDTg2iCorgzT8_EW|3Ft1+ zT~f{dX-fza$rQj^>5ABfXI1kNhFnV7JZ+mL-(7<~xVu9-=0wYVxm1JCNs^QjD<~e3 zB(@sI8h+Hgk2GST_B3|ITTt6Zasr;XBX6@M@`(VWTiDR;zx68S)`Z?o?(UD@6nKkh z15Ftg7JjB}UxKDebGApsIjVxsPZro0+5e6`FWt2gh-Mj+AmP*Z?4^tw=tV9<7D4%w zT$LtfG(YW>g#KU#UJ-j;0r^zA;QsKAFJxNz9ChkINA87KYyAjlz;ga6U-E8<^Nx1eIGIAt`uY z`z<%}lY7fzUPDpA?N4PRUXJho@ z7es?kN_60Q0e3BJE-RXwnl&~$G+G|2uC&$kw$|5|7Z#Scw9L?8P@jz?*b@#%5MIyl z-n`GzZES)+z_D}S_kb>5ZyXVa`vP-&3AdkppJjm-o~|MnI>dM}S?g5_Sn@u%X3=xe zKfP8~Uc)1f+P4htEJa@tL0J@O-sB9xI*eVmIn zv4f-VJMKXU?5Pu-VJ?{uq|X!!DZ|Oh%Ux#Y=H;~-n_I7xRCMzf7^*@==83xH?jVo+ zn;v^PjnrdpTnKR%#m}pX6pFxsNzceyqp_yNat{(*fisk_&6_}oRR90eL_x9 zlJvio)Bi_V`CWZ`y1+W`h}=1&IJv)=^GVg$Ft0XR2Km`8qSxVuQtR!yLC*&=oWyT>R zJuf3CJ#V|o#{3Gc9scP=wWCdsVxs?U8_&*Z&%1up%+zQv_tTCS#QzGZ zo-FL7F-b$f;ya$8MUIEWX)=Q-_;!UC@ic_ivUn@-O5m%YYeCc*=j+P-8WTO6leC+#5*lBp^dd@p!M<5wu)2+aCltU(`K0J^a*b};n8GjNjZ zN|faTFOp1uPk`)x^b0X2Hm(?c?O!Mnsa!@>n2l$^3XWJt@{kKZk%`AqN5IXyPe+x>4NO44Chnd4dR52w3M0` zEbx#1_=9%d^MkaPPaepse;;+H9|MeWfWPAimVCk0CyjmH4g<;^n=@LX0S4qW@EuZ!T2$ZKyJeDhG)L)6?Y-8w_ltOq83iiDr{AZY^mFjV`(WaI4 z<^O$*@axMHv{=K&7DElA8yrI$F8R)H84{BkLr0O?SFx4X+rxc)dLTo~^ri2QDpfiL zN+gAF0tz`Ux-g0LZzyznx!J)#RFR}Vsp3(<1^g_Zg$tpG{tONhm0JGGW_7XP)v=UK zKipnxJLx#deQL95xoxQb$w=XK=7`9@rdN>N9rLI@j5H}%fxQa8-`)#n`_R^+4trVKK+!$=w z&NS@$BJ@jbqK;lAeY07e|RR7?J^Y}fV73%Wi;^ZfY-NWL1>Ug$lE8B zq|re%fsyU?F%?FK!mSJl#G}LOIYWzF;q7v24=}LhL4YupcxvK7!N~%D zFoffU>e1iPalztD>C2bH*-|%8#614|_kcs55?)MPmI9Ruav3*y&1Be>FG2J~6Eg`V z^xCuO0wcC{3ld?tHSwm!f9gniy`jta+!U#3qkip)?(rMe3B4&GzQ+Cj2c{8|NimHHj79YXr!N@SsqupIZ_-`ORM3E=ZfAV895`@06Bsh; zY*I_e+xN=KLlmB(JXccKR1r-PHvF9(q*@Uw&C)X%WR>!k)*>zH0GjD$B8j@+?wlsv z`tg8rax|(+3)IJZ4T}q0B-(k-WS8{3kO3=lZ?xklM)u}{Ry8KY##v6Ka&eDWY1$NM zubbZ%u+Pj*dw;1nDe3#|(75A=F_GLc`;h|yXfJ1#1jp*SiKOBb!H(&K^tKF>4g{Tx z!LrIzt<3pr3Yxee5Ab`+NDVw- z3wk1()dmEH(O!^Xu;j^M`Q^WFsq5krfs#<0F(SzDrKVc9FZ=XV8{y*0k0Yi8t27}* z{ZtHE_kb=)qmE+~!!aH9=3&bMDW8l((c~f*MDU2JMRD^EIlCGPXHX;AZ-%LdLScE# zXa8IJb0!ndND((uTC0tm4H!R@4U+`%8<>f-5{Tkx1HlEYTP!0De@7=aNj7+7!p>h5 z5c&%{i&wFYZ;wh^CMh(( z&A4_%ajw(e#fzgV#vmf4--zO_I;D);h#RAVdw5al?T1jXU**SdLMBwdH!R$>p z(WhE}aEab0ZDP_#_8WlYROxk9x)3;zbpE^=Q+Ld+b#7JJx`3@_eqnxl8{L^`(~P&* zjmBiA{{@h!$Kv#zrdPehJ-(zJ8jauD2>NXLj0A|)UV(hV~LN_Y3rU4oRP(m8Z@4V?oF z!^GwL|GU<`bJlwHGyCkl*E#R|ygSZ0oAS<`I~Ak^x9Ds*BS$+!lfcag%^6_&ON?FRs?2K`DC@CKp zs)#>Uxy$9M{5U^wJp7?jZ8qx{xj$i;%P`lwIc$bu-_Nke%@Va&2a7I8nXN0W{T}@z z=LbtmbArbiF55$?e|-M?A@=+K-85bXhwssU563^tx;kH_qg@n?f-56Bp-ym=&>Me> zpTuJKvuIe5$d+XDl=1*YNeq^%ar>_i%l^7I7reDs&X?gN;%`waLG0Cf&&v4$MGU*@ zTCgI6WKz3_CG65Ie{1bnDyrT19#V4r%NO&wR?-A9C(rHTVX;_ftt5VIL4r9cs<-P@vs#C^Pzw3QIBvDY=c zIT(g%WpxLB0hF&2<5~8S4_ZNT;wBfeiQ#>)boX_u@tql^bR&4Qt&sGtFhks?@X1@> zJ_)}ovOMrbjC2w6#pKPSqFf3hp%C4MHEFe0;Iq$( z9Tw^=$?8pX&~{iy3UMBksI{g(!3+<3)hu^pvhz#Zf194jh+&>^+x0AZm5xI!0D~ar zMjCY^6Sa#Gb|0vnq^feIPcbSwRo-vMUG6@A139D@aExbmH2qRzT1_RG73wkn4b>qj zpW2n-p4r%2oiuKGZ{&Bn!>sq7iSdEz-ZRE;^_IIW@Sr39wq1Hjll5iBBYknP#Qk`R z1O2l|bdv8z8~aMWL%-;u{u%g+=h~uz6ENT4Yi_WH%1gw#|>PTQXeKrdQp{Lds$!XKq2*_`lO(sWB=|09jJ#2;Fx zW18E7Uz=Am-qG=@A_@5ENR}yNU&`m?Zfd5Qp<3jHrWt67Y5VzsFW(_&k#tx8<+bc> zR@-gXIA1>P7C;|Dkyk#)o(6R7JzGi>He5UAjUfJ15Xq^&LcF0oarM`89O?HaOUMrp z@&9M>(80r(J>4DtdS(TlR)eIz-kb#~9w%LP2Or4#sLGXhS5fRut}Bx9!cfv*GdNhr zWli&ksiLh+9ymRxs0)&wy%;KD87pdu{o~-(@V@gtf@jhp_Rr6G zo(~Q08|3$BZ0c`swUwqsln>O zIgjNvQm=qn6$SBR&t}O}81Qun3-uB+TR*D{xr^mGBPDpdS3}%&=(KDtw=FahS$fCD*ko+7VW_|XT zJ5bHk0N7m>H7F409(KvLnpb`)>-`p49=yTJl;CyJ=v1d%A+*hIB_R1Yab&yTPpbdd z2ayq0&v)i}j;W^a6^$uahcXwc8+MRM@9wU-MFi=EC1hErtat%wx(OEMy#Gz|=W()p zPj4x9$|O>7JdWYJnO*GrRbXo>Za7oOt8N(os4zwMP4C=CEjTvGSNGuahi4!PRj z`4{NQagk}4g0eL8#Jbn*TT>`JhtKKh;=w!(3b+WZ#U)X644WcA%KXa?<>`+c%DXUH%8=BptZUH^jvR`6n#~pr z$D+mh%LoC7N$J$JDDQA4wmk8u!36S)c$Ys;H^42Xh;A;g5!t4369$_knmxJNdFRKd zY+tL)pnvab{#}F$zSPUEVV%44Myo%(G(Faao#$s6C}|k?`%IkC zO2>=h-b0Bnw#!))WI~{Rc^mcH>4xL)RF>IxkJG5xtoKx8(04DT1|}6FMauJ%2?QGs zhST)jQ%wlYO?lqs37BHmIys`V1lsOzJbl^8sgWY?I^L!8UP0UK9(xYmCx(5<=bF)@ zyNyf-mrERT&&&oTJ-cG~>{bHQJL!CwX%p3Fu3L_3UOG!y%3DRN*Pcxj2G?6nAgib7 zvVla|_N-l~DX}8)H1O8YctBbwb*ki$o~{5w%qm)N->y|Knwqv_q%t!X;5NHHuX28DvfWDX5wGz9;YbdDfih@BZal-p4_~@pHj2Q z+;5n(`PWBw47*`Gw0_H9CJ@3Z!wq?iUW*FWdJDC`<$}T7djB%MSRa%yZVd_FYbdoH zb`VmDYME$#lJacM`YfN2BU<|Dq9DOxIu-wkI8yUK(^>KEIY7$m;2H4-89a!soL5~OFPXWTP}bV>4bcm08MYUNUQr(GAJ2VWACttRur2dhX(-|&e$07(I(5WXF`T|AEAQv; zNu6!c+Y=lkUXPOJX<1nEdEeTaW(62t)p7*Ya}#!te&RH2PEQf=niy=T3*}1pN>8Ud zHf=rPX^pR}3^nnPCwk4lE6`1ymLkyK$x*2Gxgzvg8L3%AMly^#^peJ96!*{23&#@e zJ^fe47ovSKI;NGY><=)3cJr(Ypb`}_b?va>P zlig?Q6LQ8!HFohW883`ch*L1=>V(-#XGNA;0lK7S((Oov!4d1-4iU z^DO<;Dqp^bD-Ll-&TvJEtlH>@V$FqkxQvZLzzp3O;#*S8-X2yt0@c^$^Ol3uYxS@BL8oA8k&M!oUwzBVi6a+bE5 zIME=52fjw{zNoD{mZT^VenOt3SGVo%sUOWHkEkMsA?MTaS5^dzp8!H3V=TQ`M&2r|C9?k3i8T*+)0QQJUR zT<2~H1J9lf(eTUBy>K)U&ZzLU(Di*uaatbY9Bj&DqYL+&AgPsDH0&U!L8e8qYWxZaVy2t#JJHq@vEm*elA$d9m~O*I8Q9xyE$&t?l;4_>s@dj#id} zX1#bhU^S7rX>^4mSkg1yUYu)z_ob+K*|SI@#yEeS@Q9yl0^`hj%_^#2zj{b7uv7Nt z>G2EGmASFjj+DJu649S}DV^~eIjynrI4<<~z+GDKRBw62lA~>X;d-b`5h@Wgm)en! zp!?O!yFo#Tx;Af)2(*P*D;)G~?X2e-(pQkGStPjU-h)8qDkFfUxlKixt-s@+Jl`;( zo1&#S7PtvG*4(1H4tF=NuibaZ2fTzk?@!%0NLyyQiTA^tER1D-1DdPkDAu(KhN}DrEVg~H-oMqmS*2P z<-A0;gFF`gqx(L$^lIXkro*Qf>r= zg8E^e39f=vr;+N3xUJMRkcx$>h3ifR(b4ZO9*sQ}^-K9};iJnq3U;|~yW!Zza?(Q^ zyIJjmLpHv4SPL)TyWg*y(_@&2`4_UJ7J~j@L`pEUw9ibNU!2z;zeqQfThgkT6P{ET z{ErIN{(p3Y+^r$-XS2;A=rPjez{-J21fjB&`W1M+FLv! z@w`)x6u&od+9D2mts7riKpi(uB~sTx6-^!jZhmUp3 zHw&auq?CXDmYm)9tldsm$2U(ZvvHjmD>u4RG!D`ParVMJIf6l42prA1x z-B;g7GF~4WkNnuUxk=KZ)1|J7lOy}h=3qIkH^1VYjprsW9K1|Xz{*e+8(Oi;Iaufg zQR4MC1UH(F=|_$tp@eKAxH|2Bkt%Jjv8*10pSF`w)gPYPx#kroOWT;wS~4ybZ>o~} z!Qbq$|8!TOt4q!-h`C=z!2Xl3%vGPtT~=_D%QC+~eWkyUXbP!!Ml*3W%%G^k{I2fas*^&JeI6B>Dea{Ow*{k6=ktMaQEjLDt1DSs7MqZQcO%tkUZtTM zxu3a1Wu>)&53{ckjK!sp;&<2LPkXm@j{W72g5Mql;24ORb+&hNy?+RoS#Nsc?)P?X zogGL>pZyfO(tYlkG|bgqgVr3K`4oAmI`TzCYIm?zN8#6L&XK3F*~`+Z&=-^d39mN) zqYu{OFok(e8O@uoNs49jh=q)%YR2A67t1%DbJ^I)JankjJhUEQ1HJ$9S0Q#*dXH=m z;%)^hrC=<=7Tx4r>IP8R&PVTn8aC`}?uD)1cr~A6Tjnd(`0KiBhF`g%Ax7>Clwc3h zYTJh)dlbEim+{Qp_Vwd!;Y0g$q7;?q+p)Mr7<`B{r(eO~I__&K2a0#|*}7C_d~Wd^k*{f-|xDVc|JeJDQ;!BDn?OJ*L7G8=dYnlw+n!#SF*|4V$Q72+XyY! z7^hxFvCobniNE>Gt{FTcrmZH9X6$W;IQjGQ`HM@UQrv2Wns@f^1bgy8&2s!gcZtw@ z0kcR1Z*jjqb;Y0Tg;>Sm`|_?83u^&E$_tt5d_|jKb~So!6TDR?vX~x9xnQle-yA=5 z7;6vn|NXL>vfB45qIM+R?Bn?sAF}ptk09g9Mg%Mqy;dJ{B$UcmW;PJybXXi^6e<_K zB#=!@cpjfKC2O`ITc&;KB*fJp z;OjQ}6l1}Cvzc^`#dVJpppD7YoVO!s6y5H)_sj>=OQY2DkhV<&b_;q|A;Bl(TJwc8 z20O+7ES^2lQSr^+BITKU{pU_{iy;8%@}a26A{YJxyxQ33U`8VO;iEp|52SYB?8T$! z_66YXS@7q{nr(?E&bo4y^Z&+bMuUYnb0blsLlp-^4IQDLSIoOKnCC8O6A!@t#O{VD z_98omD1+-!HDdgC@{~Vyd>mEL33|p=1#t3};Gv04`x~2IwCM4I#_eU?pi@npT&4x;7lZdSsq=j5Eu~6p~)qgI6*3xuR!!Wt= zrr%Y4Tdk%0Y-(+(-SNLaeA0b+;N&=mzTfENh}avR?O$9-eWvSV~jpZt8ABgkRO>6&^-B$PajwGyVB`TY7$LBsNo>PG1|tqJs6^5?%ESWCYV z_(=D|!d~h>ruc3A@F*0BD{N>^boAxu6R>-=J#^`15 z$kxHj(o6A)i$L$W@L3J!K0J!mEd*r$ml-(l+mnEUZM$IKB%_Ck0OiJHcEqO z^0F+B-?|qICH zI=$kLjP^>s!&1F;rMfFIju&bPMi&ZxPR~7fQ5tIz<>Ml@?UCx5v4VqG>$^F7Ts2+k ziK5n}IEK1a*@9}^?-A{brwBBO*z_Rpv5k&P*vn2Ejo74eI9C*cEr@>CVM518I!3!f z#Ep86|M18?fBq~+C!E*e{>#n`>KvQk_xH3V-pNfa&KMj+x<6V`qn5v=yjyjb$UvR^bT|Xad5jE ze@1gZwlCi5(gw%xJ;}klXY_rY>gCbYx{ZxJ#PBYJWw`GBL;a2^QpRt8;!b&YBt!y* z*f#Q0;ewYfK>oA>k;|5MylSpH?P6@~!DmxTW3fZJ&3T!TsE>mB&kX$-McGuBKd*D! zPk1ZTpfk$4YR_N)jIG<#eVN$it7560Pm6EVa@T+%d9O22wAVHsZaQ3~6*hAMFWw~+ zY6&XcT8Ah!Y4N_Gl3<_Z`BFWgBg=xra{?DUH4&j^bRm?Piz(UTzAUmI;7;T{Fw_-P zGF(>9UDAGz)FjphKhe>;5YkKjlU<}NLYY>FXWq58&=VbQmUBsqZPJw*&eAC)onQ1z zjZM@M6lB* zlX;UHbxO~|q?=sY ze1l~yhN=q>#l^UDKiZWi6=cMEe2Ag_Fy+ijEh4>%`6!n4N|%l813jz#hoPTmW_QKG z-~m(eQwG^TcQO}$3KvTgf6ycP$Vce*A;Dj8*68DBsS0>37Y$*Q!qTU}i>-I%-E7r8 zo+8`UQdi!OTuxV?JaSGRp9Fp>RgfIi;%9%$C}x+HCf!iS@=2W*#R|SqZ`-es*KZ%9*~=Ti4R6dP+a7-? zCXsIcyi4h}`(5REmx0gZC$4GAYcG7Si<(jX={0r1j)7jszxdoG$OG>3m-!)UEm^j? zU&=qc%&|~nVON+z-nSL~d*vx?csU%%>h@uhny0)xA%|wKDPyTFTG~pmZ~O460LO(v ztjZ;0e%*93-RJPPx{;EG6+aj>rw|{Gl~W+6UOrUwbu+(tRekYh`CNne_huzLCE>Z; zr%gLGo{>IYu6mN%FP+MJK7Cf(mrBY~mH3)d-!hFfKtCU}`ED@LzwXB048QmgTPB13 zQT8ePliZ2wLRoT{@FPW|!D34=b$WP%gt3CO-!F1KtzS)}xmF%SKWUaz4Q2(eE{d3& z-Z!j@?`WdMmApPh&-0P0G!w&0M+WO|ebo1Pk85wxEL5D{_p>pJ^dTLTpMopj@S6By zr>oX}gN&Ud&>?E_6h-|H|`PAHAyZ{U0au_62H~@T8=OkB0}RHe&9jOmZYPVgU_(Y1xBH zuVaP#5$^`q+?vgqzGECi801KR>fKb+tvW3c7cAlI0n2vtjAry73P-<5JYzd6PUqP| zjU$B9XdgFmUWnA(la&7z(TeRC=s!#W?z(d14_7d!pd-GvqLXR+kq5#==Le}T5)0Y} z)i0`EjP^r3-w1n^k}NjZ=ym2z3ChVK&h|swPA0q*BuM`Z(A`X;Kb;oOG zM9lt@!Mtd=Y9*BMyjE~e;m#Q=dd^)6y%x?KT@%ScJ<>SbzlM(oKU{q+HS$0U-E4-= zEEcn%5VzB)}P zwmLj)3XztG+fRIHDsJzPr8xV5$@mm!gtp{V>HH|a z@?J_R5yG&K*gtZz!`?MyFx_={dKuj=ml@SXLckOiY^L?>G1TH6)S|QlkCc__AC|}b zu2fmAU3_#3B8ODI1te=SE!wP^0N?k-8%g7%2X%Yy&)i3^rn;v)@{mz@dSXw;ANJpo zai9!EQAkSlzVsyiYAYJP@ebURn=)fHs%}|xyMBMlksi8?c7Ei&pYeiD+;k^ujwu>` z;FbSpIPn>R^k0Y8s#C9>K(CbgiH((!;F~1_%Q~u`NvNxcs&_r2jT*p)00ebwWxfjN zkCCrk`zZW6ig6xKSZv;?E*S`9`%ICK_;$a=EG0&^EHMHU4SJ9dC9S=~*PJRi^hscH zs-IYX@Pxb&CKDes%20BiO1fJvSos7nwz){@T>{?u(Q$I;QIkF z{>U(FV-bBMh8^4R9?Iu|;pPE^?ccn^V)It<-D;KMICc;p;mxby=++A|H;fX7O&)$# z@Bl;5;ckB5zkgC|Cy2$+Az>~U?p?q=MBqEGB{t+Silo@KVX`O}&miL{+%O8@Mqc~u zxKI~C%UVxtav>TtXz1V?3W4K3w11tyR(MBxqxtNC+a>Gaq2*nG`#b$_R&eeWb0%q@ z;sxK4`x!Qa6ZE-wmdG*l;{YOtY_OvIU83DVe2>?-N&2*%o1 zbSP6WDxLfYrdJ?=yuyDe4W%w&!<{=Pn{(st$Q=7Bo4Py-)~xY;?PFzN+)`;aSjO$A z=kqpGo$z`sh-&fVO(3`NwKXB4P-GJ9$BRLHgQ5y}!i)gZ3yMENu3?b;6TGLcpp1oNTF@tmU=kt<$H`K0(U|S~qT|);>HQMvdMG0D{E#-bg=%KR%EOH4~D_%#YH&1Gr3ht3 z`ED;EzJ>!sMuREmp8wd8^+DIT#h?rDo$&hnjhy_77*?s^#yQ!kB?(Odv%bnK1gXq2 zw)@;;p*mYT->Rd`Jy6fD*!d}tFj$CQ%UDdXHd9~`GdV*&y8kwyf7x|Va^rVEJPGl@ zj{{1ls@9O#1_M7BLpe#Fw6UZ*nx)39)Opp($d0ohsNN!DiG%y)0hpRd`BM_(7pe*cGi?qVo+Umk3a)Vw^REhc(X;=3C*wSi3yxxP z>C68`Ou>}eTJpk;bB4AgZwc_qIqX`FF#PzbS4F@}k_uT#)n}(875+bH9q&2ay`;Lk zt`z9Go#);1AVld*$)MWvAFWokf!SBd?eECpmzst5SNb9bEqLh$uX8uaI#k>T=rk7n z;MBGydM8dQ06|y`RdROkRsZ-~i0O%a0GY z^tj?LRQBNRl2Lk>dk~Mq{!TK#HO?StKir#nJ9vfVdv+)nHw&2~MG4IQ zq)_79tqzhL)O?0#80bO(a>pwYAqC0jcz)DP?sqAW=(`~cjGxJ^Mc_R6{^FynK^aeaeS-w$GFxs) z(G@2;>E}&S4)0E)y($dwtW}*BL2-BjdOng3Lq}88!n_Ynf@lxuCL!N)fpMqz){xSj zk5|@LdgF1hmkSoC82gY%ZY;vl zRCP2tb7F^4uOb^nZEs(`sH&h1pZ9D?%Z>M$0uvMK=%)4Pa!GN7ESHjd)v^%efJ zI1C2UDb>Gsr2f8X=wouH$w4uF`-4ee<|l^ z(Y-OffxwVM#HJTdU>BYab&8BrFoJV=!>Tra&PcN$@~LrG?uWV|`^W6K#A?whz*8T? zCDSxPL)kOI2bb=_wW1)6(?%S3@1SbylmzzcjM0rS9~c4O|3kV?A$QaEFZVultN*OF ziZ)*{T>`r+IxfO}+utgAB@|@i{JrS<6 z{|4DndQ7OQW1(cIfV=E-5DPOoT5P5 z##91p&u(4ls*8p&JuPffJ`p5Gro2&6+UOm7*1C!CL@mUtpb&X7(9b03l59}(J?x87 zm~H@$b=24(03x~b-?cs6sj`!8&;0mia9=gMot3dO<RF7_>+<4(|{@D101s;IIr2$VL8wvglLS;BbH#y0o%5mmg&2s0#GLb zCG@7;9!&RB%E|_R@<6lQQ4G7Yj){;f%X|UiBwU#=)6EQX7@K(gcUO|w|)mlB}LfOWJ_KWMiVa%bhK@!rISrvdcx6v!F345EN9$pSXu5UY=6dR8&b@m~dCoY(f-$4U+y5>|r@exUcHsqkZ11Q%pb5mk zIuYge$A41BaQfp(d%+P91xvHMAWVByn9B9{WM2Yf^3EF`E-WW~$xxNW7(KQw=7Lgm z`Sf%;6_tO5qREWr#0H$e%y-~-a0~1xF;XPN?_8!6rUbzS;V#os)z3i}3`A!jDx^6o zI@W{sj^Jbb-Bb46n@Dt`rX})(gyiUU9@B*f9uWMH96FIR0hP&#>ROsn;cU6&46y^b zf{?7YSgBGHToW(B^|dIvp;4Xg;_Z)?42n~v+QiGp?YRxY@35Hn5u)}32PC^@+juDr z`D0;CNw6y<6g{W-yC|x(64HOcbx@)HwzreG<7sDRE^b|*oX-s3@>*lAcCem4Yt-#xF`tgfh$vO^~1l4h%J>Yr4M@(1no79!9S6|M*9_Ijlc}W3bFcM6O)sn zELm5NF=Tf^x{bJ|g1&vGJJ)&@5Z|Z&t`0a(V3Ebf8-_hyE5yWiyio6Zr`_-3rypRG zr=kto^_kEFY?4Ec-&gU1lo+Vd34Y=M&f_Uk;@yc$?M4E+rG%wjBZ~PRZiEs=OyYG4 z`%3a(8@fiz-}=F7eoU(|rn~%zjOnIN-t9+Bs(5{%bj>^Olcd$SU<$X3B~XW$%5n`7 ztGHYzlMG-3;yJXp8SQJjn5z&H%=dZ#K~K_ z#znIdsD(6o(k+O-(}eAvG=}~8i0<+=VhDjYe=lP@sZx4J^N0It?MPq9OB7k=-Ry?Y_^S?;;gBlallFbM8?|FJD>X&IETk%{{P2a{ko zxAF% z8njpC3y^dBsXXOA+c>o6_wg-^s^aP8_^_5_twSHWsSBP2#zAJAPemzqTsc!TMPKLM1}2>|nQ04jX6}o(69L81{?yKtj`C z?RM!|bZREfBrxQfeGZDU6RB5fd1Qkq#gEQPm*M2hu%78*hgBo?$jnQMvanN>+{N*X zryIhu2{H!gu@z*t{B`+pxV^4HdH_qpfBC+SHuA#wKiFW_wDW^4>_{vby*;)LnZm1$ zwRNF_W!QHS^=F5_TeNSMXYoV!`?i4mOFx4yNSsY;$uF$OJYEa+Ukk^P7a!-qW>~F5 zpZ^QUhSO#Y9y!Ri%xPG_VEkfPQAE5q>R3{N+iwfXFjF;vzr7vkImnT73L!A6)9^P!6;4tNsNky=?sj`O}I$6vEe*UP_&c ze{+pG#Ct}3E4ad+tKz_+vruiFWgFiaBdGQ@{&KIjT*^ZNTa|IA{Fr~G-B5e9*B3z5 zXdQA9Y`(h%iA%W<=+)@G)E%-%O`$4(;Y)fvZcQC|d@Bf>6~_G{KHR1FDRiHuSG>30 zSlSPo1KXkt+bXiV(NAjo3_Hw_>Md_iu7lrRpMlY>4?ltaAN<1E*-#k?$X|>bufj4+kTh2p!95`+7XX+w?G9c9MzPhfZxG*+vw@j?UYe5QZ^4 zF(xTz2Ki;}Bg{%?@5J1zwaFq$g1=B)Vgz~5?V)Fb2Cb_SsYCOt&;?p=q&zjXH z*WAcdxcMob^2F?R<;0|86Wp*4eYtEP*lCF=qJ(a(yM3}2%L2`nr3lqC5_#QfeT^~Y*}RtBakeB&~fhjnMVp-nr@ zF5ciim)2zg?1tt)go;uEP(k}N)f8PET+X5i>-~d1)7Qn(`%br=d%x90SU1B_*;4%* z(E>Y!jD5Q|`WWpX79)Xm9_%M)p2R)QTIl%Gi%+kU|Be8brJ%#x%AmGlW19PNXHL3N z#JS9|5C;}oaIUB`D(maRogOxdOEzyGK}d+4I3VegRt7UT;;`W)K)wqj9$D1_c@Zh| za8Q&a6 z8cD(W<_?sD@}jGy=X?E8Rrxo}>0KDFU!b**PdDR$3k1RTcW>TOA(C!61F-#NXl-QY zvyC{IJ}Iy%=x&qE)`iHX7`gqt-j1$PhWtbCZ&BwojzU=O&r}F?LWCT<)3PJ5Sq}W6 zvRh>xbvigaTGpla6g4T;DXDLXI7hR2gSlqgyU*E zoGMo2f)maP>1H(=?Nd#yx0dv}_P6zT!Cx8gW+>rY+?R~Rzu6&vARaA`Uh9~B@3Rl4&zIv~PY_-={px^5X00gB@*>)AA9*OY+dne|3y>jK?n~{* z+O-?G(M7<=oDOfq+W)%ff%U5R78!dp3``w>%= z<={!@^}|TOUJ=;{7179RcBdpEMzU9rC&u9^49ceOyu&~Xrb?E8* z{X1zk4+z;pXs%XM&<)fPIa(yf?DMZy!|B!+Ny+BL7RuhEM8=PBc(yZYa+V$@F& zj2-Z+E6=20Dk}CUP3&`{X=C(1vz%McaQ7OdZ3@o@w#Q>Dx86rZz(7>Nm&)FkPV5@P zS5L7WrQ}fURaL0$jV~Epsvi}MedluNI`Rg#Ekqsj9>j}ps zQOB$|jx<7!Ny3g6^gvR2;2<3k%m5^11gg>l!SujzI-u%p4kNJJi?Hf6YqPV%i^*N! z!L`zjSI=6^hk8XX6@wwR?bp(eGF<#!Am7iS9VTgfwV!->N$ZdBWh0`;USTLxRq0{5wwrf;!#bb$U&8ta-|G>MeD6Ep@E<{t2p0 z^S=$o&bFW+IZ$5zMbfQpTXdat_#JU(x7N!&z5O!z^t{r)v+408OLjs;I}!PfbXSgF z&m`$lcsAdPXfdsa7zW=dxQh@az>0<3n0$dlg-~mrdm2n8t+RB%=I6MdcMx!*ksG2B z1)>q-M@%DnHW)G?N8GnnN42UoyB$aOZasA54H?r>)$Ak1V!#OeJ?L4~jRsA4!z%QI zH#h8@i!c~_^7KW=+TzzpnA%+oM^=*MuC6XEJRg)n!n7xzVC#3{La`2@7{ICr0;Y4M zs536eG8!IbDBcE@oG@gW-;ssiahl(8SCEflxRebXoQn2!-z20%rp`Z zbu0wgCTMLS(L17`12U~x1J4HhdQLqCU);mrf~N+1*X{`$%OMo|v^Q&zV+Ar$TT~E`kpaW~0J3vs zM1s2JLCtBdS!VkSw)>w;5L&VkS{e}|u82l@h{$J#g`04zj^uKGdcA9%b3jaMj#(Xa zL&-E7!EcG%4IlgI9K_SF0$3p*nR?A&3t}NqA`m7xd-p}+yWMWOHd>Q7&;?WzBfvv-MY;Uh<8 zwff~v2TUr`pci?yo>2)7y+PLG!iC3mvA(O=PEP9PT&$AM#r#*yoj{|WV=`HyaTlym zv+6O{oM0vPT#vxG$A#(e$)IO{QA9GP&-2n)m`TrpU8>Rr{nLiA`5b6!h>?~b-o*NM zb2=0W*JPlZ2t1|-X6TMNZlbfh zt|=UWIB!Zzu_IKtf2UinR`J`)1~R4*xE02?r(+E#8VNYME&6rg<(Btt3`#}^o$Cd{ zbRRJtMUOj5Tdua)tva?Tbq}0d4%c;@os@9nKC*ZzvJBpm>ENW<1i0t z&!ytl2zls9^su!|w|m!$m_p@np`CtuRJVU0Jsc?@BHv;k(FCe8)dwzm zJWZ0go;g6=B@8~y4m!V`i%9+t>vSGNiS>4vNEELbSgiUE{|_5o7J`fjIL?yW0Cnkr zmUKWnV&M!2Mqm;B|FGA${nOwWq%ETMH=sVyz?ku;XA{7JV0ODd#d0Hytco*Z0(Vk! zvZVO>w1^GY$7+k+dIb$P<-E&!ucZG+#6E^e%9N*wXpBs;#fAM`Bw`7RO|T!x z#Tizo#^qstuTLw)5=|)_7FigLFV%(|t=w18rbeC<4RTmhjM^Psrh}Q^$Ch)oR&3vx zk1QCrI_I1c%}n84B0Ay&@JIQb8l_Aw_{UsDj}CK$B%e9A2BlCGcyDNnK-PdiF54}` z;@Q}{yhu>`&y43_Yv{2@9S<%<`qvI}S6d!rR1h*%S}ql@s<{G-veIxRnTgfA@ zm&c0pQ3bopdX9)HF%5Kh67|EqvTrEJF9q2_zn|dA6T9XpPLBg1)){6PlB+NQ@DU&u zShkww_O1VE@KbB0PsfXH(VM+D`R^-9zspHC&FBo6uf37l?L>b&%-d)y7L@@@vx8RL zft%U`Zqn;3oqs+1;a}w{J(ze9itHmlLoC~V0l7PWey1D|e(2Q3bX(SCwkz4$Nqy>g zE!;YqCv(p}};$j$=5^}x=}9myE7+p+B_@&jlQwynudj>xk?mz=TVpWnqP-oGOJH&#+Zx=osMPhDWEpdgqV^T_gvj5EaA+Mf-PtRaul-wCWf z2a%#VTVwXmcVJyT#``-C8cy@w zMeMqf&`bT1doWAl4d5BvK|CQ8FSv8N1ru$Cv3LkGCcys}X3udp>=5HFp$$P3L?FDk;Euk}5*sGA zKAgjmiJ}N}hTrvel4eWD?|4Z~P*DR?Zvx62+V~~az+a$5e#&vya^(*3jYN3ZMFMDT zd1BB#!|dCoyh7*g?(JSF(@QL%@`+&nuxgL$turu$@V(||fI zu{ul(Z;uQl8VjL~4|+WUJok2#x-21VV3YADc-4nd(J-1-L`ZjdzGwQ1U&lI+PnO>$ z+&$*_C}?Z&46RJtef(sW`nsJ+G1c>ucn9xn``Rdc=kBlpBY5R4^UTxtwFs$`gJ#c5 zZgMg3jh_tcBe8eV`8iUx3-PBRqe}JaX=8+0PZ1=_@oiZA*8eH$Tfmuq!~V-M6{BRXU;QEH#8!QW-){o29IBD#z%XkxB=LO2rDB=BzeSmXghJ$zf(O?DRhC z_x|7ib-7&ko;}a^`yB84{@nNH;h-#%HG5)rbL1A42YCZC4JU4UH)BeDTTeIvm`E#+kvauR~FGs<&uOOzMJNF)k}sphVPJ{>3Hw`!z;A z-+6V@f)O#24fn+9W7+cYJqhXSSAdY@WI5eCx|Z+lWG9}^MIU8llVm5ViaHMot3xDW zt0ZSpu>EjyPcFe;nfi_{Fv*)5s`vbBbI(_#FVM23Rr%+wl@ea_-4^EV!S=t-3fg8* zbDn(Bw|5C;Q1#8Zh3eC*vl8rX8a$)KEDG0b=qL)|JFX&HTFA~0xu11j=WvNE=sED?Z;LnEW)`E;Ay)MAn70g+ zpO~{nn%&P4CWbO?`X6Dtc?>k%n0>tItzk?9zhmwpFOZ$Bra>DPJ@ksdT>xh!9FHboI| zJJUy=nr651S&uG$QKz!r-fvA5?|nPD<4NmapD5RyTIof--x_G)P}=_U`u(w!=?A}RFv-en2`RW5L8-A881Jzd~P4@NVTrTy}Tk1Q{ z-BVH%J`(b+!M#7}lPiuLI8oo|R6EBQE8Z z-RUn|e|^nkY>~qTChW=!YzQVNBT;Pp!QGTfbZM!t4*6xmKH(#$WF$tzKDd`|Mo_0m zLZ~&Dnk-(o4!-|*C-8W7YZz6Z{o6EqWV=J@HV;#Fg&MUXoQma`59qK5Lt+_s0%Jg( zXZmaz_|h-|t8#^k)zS?S$oGGs>V@6aXI}?xcRQ5Eg8`|kQPsyos7$MY9go2Lh-V3< zyHaTCW1z@lAousc4izDCke$twRDC}0+cOl>6d!WXrBQe}BQQgsy~4v@&*ICSk)Pl_ zgaoV`=!94&#N*pw$e3?aSXg%J+X{UxIsf&2akba>f%orP2YLB)_>8n+Vbj#pb?m7-fyzx`Ha(et8Y0`* z>t~COzOHgam^+!>YSD0K?K&Jp7|jlYK`(>V3%Ap&vGFB2_! z(C!yrk~IgcTHj{tvm?M$?7%T7sZ|^U)RA%rSrGVYP|izy?MSQT?>t&64^Gj$~oHPa$p)d6Q z2CKY?b#nS4+E41K@Ue2ov%14#nuKPc=XiQjzA0sE-k7ocm_Z_{l~!MLBfUMH_%6PO zb-=z$eNyT-n`b_Y!;s~7mo*$S{ZdZIkbCCT6PZi5jySBB3bonLZu{9wTPIPRba==d zWu669XC(RW-peL)(5C#dVTyF~A7aru|G8KX0!SXN&6}gm7eE+hd+;IZDoXnq$*HKz z$!ne&Xk2@+smHkRi|dn{goor@DN5<*>LmM{n~jK0(sCd6uBsDWwg>&>F3TKC@AmCo zK^ZP|o;n;zo1xu4)~?q>{l4^zR#n8(<_``enhxfL4~6k? zwp>SQ@`~foSEfFf3t7y0#SwHGPz62TX%FpZX_0TQ3=<1BNHl1NDWj~wsFeZ1?)|h? z6hVCDqg9-Hd2^5KCWr@Ap3HqZRwQL82ny(%MZaX)0$sS^&9W`_`wyaYgs`+n2Phn6 zfwuZ=5p%pzKH;BX0h&G5wmO-_KR{g6GIBIYWy{>6#nM^CZzJQsIIDchlQznaIN7Ni zvdxI!m<6#{Z3L4wStDZQ<5x(=INx;jT3{OfK@=#UQ&3H^3qIO{Fu$a`XIL-jO? zwdIo18MX(Z)%TDG!7V1O$qddzawk6Y^~&83n%oL4CH2e8awLrt40&MZOs{<3(s+G1 zF9t@Im)*U#rw@CUJ3*{&Rp7#d8*hc)Wkl-mOIqZJ0*=h^4_`Ku>dMk$27H)Be3G6L z_#T_X7H%(zGd^p1ll4crEv@i6cHOSY;JVg`)w4-|eb=(BxPhG;pE$$0dGeCPXD{~j zz5ErU*7zqN#$6UT<#u)`dw>@+5wdLh)#$CcOw3MOp`G5GZCjggmy^UWpY<17{}}DI zmQb~usNE+z)>pPmve8hssg9r7kp0aenId_9_3rW@;Rp4406O>fY6!6f#H{2>SCn=m zVfU(3@%5>7Nk&q)U6^H!`|5edGQFj=fF-UH&Y&PGZ_9@Z2VDv0gNQJDUaT_h8CK$| z&g@SR5SwP3DUbdR5YyxG; zH+I9eDY+AQY;3{r(IdzLk9NxWL5q2|ue!@aj9*k7G?i?a&8*C?;??$`;oSG+s~K5h zxjwpGV^Ru8_5ndO`l|<;MAg2EMScB$A#f~Km<~kj;oqnhXtM_gdj&fsKuT<+WOM+>{$CBi+jZ-%! z*gHs=hOYL zZUbXI{&jgV#0Zwa_Ir(G#b!+Hk#RRVQd@{W9?Nro zO%gS_D0jteIVh>n-N`nr(N3fv%k+uz7Cg%?{3;BmZ>S?R?f(;LR_9t@TXV$buf&*J zRP2wL)Y-OD9(g;i=XTj}j*KLmo>}YlCnvTIwZEZUmUphrVOxi*8Xld8Q5U?LeeDSq zb9tIiw6X|)C*Mv5wDYJyg*eapKMN?AZywf@sjglwbm42x@wVp8*nYg)Z3Hc|W7wsK zGet88P19{KNKCDB+Q9nx4;$rK>Dxt=MEhs6K!in^^Xe^-GZC8z26?cgJq~bJ<&2r<(>o z#vV=FjNRM79=$WBBi^gLyzUkDQRy$Cc~5rEfn_;mOxmbueEgiv8e!N`*2qqlMz3xt z6cI$u%ijEFE7yxxUR-t5l$IKizO!^{E!(7N>eT&#)4rBXgL0PoX(zET`&xvxtD4>8 z@Q*VvCBk_dXubWOTeP~Hyzc6KLA_YDC~Mcz*3ZKdDI3waLbF_6ZsWZVaOQ1^A=mbw5#`%l^Z z`8IJDmFIOygpjel4QJ*)Ni5se1-A@tX0z2v9?}KU^zt^nChDTe%(0=&zMP9z?1a@a zT@~xQ)6J1dKkp8CLt-CF<26=vE$??eC(Sv}b3oIeenw)t$#YKlfG(;*-&{M`hZeoF zY_7L&Ila5AX%n~HE~Ggs!no!3ln;Je*;fkZ#Jaqx(xuWR%9N>vN>17xQws^g^M{wP zf>i_+>U{<4{|)onRcFfB^N1Za656QaOPztObrP< zVD5+M%bs?7#%$z}o9g?jx4Z(G(8!UH>3MEW<@9dxK$O!xl$83e#<#x1-Z7|T*$qKP z=8lfe2onf%&3>SKqZ=W6z^y)UZO@k?Kgw7^HX&86Cy#8}Yx&qC*jDChE4F6FPVv4@ zg`@A0|G}^#*Cq4cSZaod;X4TivFmkgk@;Y#3>^+KCzZ+%ue7$T1AAV%6Btt=s6mP$O;y zwTiYlc%TN|tijuDj>K@*^fk>cz2$JG1K;Cd8@8mcDK<%yw+=>@9b;I3YGd7iGi~6^ zb1-r!CKoH;hJT`ihL(N7*BJeNEVUh;FfRY9!S235xbIpXDduO_<@j*1Zko8)jINF6 z^@5hlTv{@NA*mD9^jZ*FO*S2uU!!-N-h4N0oy+GS>_X%rj7oMLl@0>aH&`|esloDl z4Xgsg;7Zg1M$Rcs9v0-DYPSRQi{8~k*Y@Ea+=frkMR#)wn=QW4yE^IG{@jCR_yleA&sFG2;&tH<#*z;7*9Gb(kQIU$g&7 zbA6a2f(Is_UlxFl(Qsy1*V936u(#5cVf~Hn-cIjg)2%<#-FxU=Ea0+}B7^j$!m0_a zT*7ykfRG2?+-`p^UUrCK-9gW3yc2kv6q-dUzX!5-84av1xO!u5E@9seJcz z)n7srsnDB_>;6bjNAoi3auy&4(5EpzNtwRXv#E-z%{09*oR`YdMlCaeyzCrgk>?nT6$;c}#P zPqySDAFmEF0j8(+)fNziVLSWOBGA4tWQuB*8-AdQRM*wnn*?m9=_&Wx9RCTkPrak7 zxp?f$_t!$Nlg#RRN0%x-WnBw>MCuP~DF3S~F@1j$H4vS66tj}~49;vh)C5s(nnI*&G5g{GMQE35J0 z;xC`s9Fd}G4lM(_?b0&d{h2inOhCb!MiKI32&S3t-qDNI0o~u~Ts!-mRQ{epMT19k zjg`Unz?~pFoB=UmZ2mBBISl6q!|B0z$6+`{>Q*V4(xC>;w)4NVJP^9+Fo)sH)o>;Y zL6CS}{c~(CIr0Hk+;1}VDO^~{HGV@eLl9O*p!<~|LB5wut#vEiy`dLheSDG;+_AVc zb+cI4%M8DAXH?7kC3((}m51$>!@pT5?n?*hCyglDKFz)*>%X$DA@DapuMNIEQr;EX zXL?+d$Kf6ebk*9z9WbPvv-YEf*o`0Z#ZD9`x#aE8mpYAC!;G~=)_6YgDWzTwLN3(; zE#MeEQ?7aTNEi$!NJE!tqxFn$3BkDc%r{~?rFkjOMS_#?I<5nYL4ovlW?dwhq4x&N zL^yN1VX(}P+g1_zpdsnL=T`jCe|lpn54URlSQo!=;Yj^QWoT${>Rl~s9Uz-*$7gNf zejR8jQ=;ZYpzqVQ4#=z4#dNja6WQx<8k-*PhOoqpIc-FBu=L9M0aWmBj?11k&QC^W zthK;ZqCvg^b7Py{P3yA5tgp0$(0Gy=IN@^5o)mtyZQQFn86#^7teRN_xH`{{pbB9Y zpucdOpjZU-LRr3Tx=I88X1Xso4IQnEW@pvSrZFN_2RDnfE-67p1r!!NA;!8NdKo*r zW%QhItxTtmlQ^>_{^~S_SW>5YFfyk}x7~MfUts@!N0eywmed$NY4s$vJEBgM`mSNe z@Gf+PFQ@&+$MHKSK1wd=SF}A^=~j6=i8Y{n`r|BO+~ZW?J-5@QX2~;+OKDL%iCg;C zFkbmD@#2<-FKaF`E$fbGnKfkIT6Q{1l|#`4x-ze?kH0fE zb2+_+t6{w1G&Bc%*mO&12jew^4_1I6Qb%RdBbCtIn=6jC z@!z&cRRFi)#T!P^F`I!CI+_tKu3re9*H@bseb<-cpgPxmeKBh2%^T4gFrM+*CC)$0 z__22+#mdki$!y8gk-5l6hiqe$ z+hD%p2gF+2LfnM}S#`oG=_w&$(k`Xy&DxQa-@+bs?HV|7-Pr7=nPlB|KH_I$y(-94 zBx9P@;mmJv=6*G341s*-rGo)P6UIM@nWiwL>OWBkoG}asY|FGW!~KWC2)~qm!Dnq# zIwy{6S_vW)ASDPffoaLkp zfoQaX()El|q((itGT@xuTReKGQ^MM07!0^CFS6<)KhoVx;?kY7mJj}!s#wH>n^RAs zZZW7T2wXB4W_lZbz;)TcI8G3us_Ti zy?)I2T!Qh~z#w|*s86MsNg3s(fr1IDw2 z;UZx;uo1KX)xyPs02DmoGKfEnm_vY!LpAILgXO5%{1svj8nyoVIQxQB?(ImJLCoun z7+U``k)#Ykg^!wCKc~hni|Q|bS-nc5de+?fHhswKnI56yun;58uIm*c%psUlAJ&IE zfl+I13VTH|D~L-E+}P;9CM2d-)MQS5E^7Mns>bfGzNoFVu!aB?BFCW!metEd-GtVs}h0FKN- zL}OIy=xG>F8>SF0zOu!z1qW%n8%JBv(;kLh{c zomy8pysIf@IWtXf+~K}PV3JpDH*^tYxfTCJUnnptrG59=FYs_nN8Q?eRS(YNSIziV=m(P7 z8v}LG!t>K+#Rkk0IMY%Ys>;xOXY&^meU@KStmSZ+R+DV*9sR0=)c^Cr60+FJ9Qc$- z&iHjSLk;SMrvydphAyc=EM0WXuSLP{Eb#BF@G&2j^~33=9K3)-n?aTORln>xBhMfKe~A%HYGA?POmkQxQfn2u zTO+^OFGW=i0!koM5p|2jCF}hY?EDb8i~`;vHDo6WLGJe{sj{@d+xtv}zNlptA^!D+ z{Y+4vqgYn}NxHh})^s}f)5D#HT@=nox*#kC=T56kp;x}d(ER2bl|5S&5PL^R5Y5{^ zk{bIiuK!qP%sgKM3^uh5Z|_DAV6w{8ppwPt&$GSn6B=0gV44WymiV+ZG*+*DMjNZi zbAjPTK&ce}U~fO}!2BXA;j$GzA*-%8D!GC6Qpx2|sn#~igu$FJ>2CN8uB|K%=S?K& z!g$Is9_k-P2vyL{6c_;)*H5!vH#n#J+sI*m0hWP)iqs&QE}8&6$lJ7;y2x7he+*^%lWP5 zAEgJRkdk!5rlNPpdQr#aTlTg2XEC&?H6w@LBpYoSiSOpT=IggObiwDM41=;#djVt>MSM% zxg}WU!>v+KQ7 zAlEJ~$j!^%^4l5}xvY_B1t3d^{3XlApJL6?_%`!uZp&!I*ezegjD7&wCg1)7;Ttjf zmhIqCQkK}-LZNrMG1&B0uPFmb9xb7~kW`)q^zcL=T9TKyf!zWJ_)VQ>XbzoFgUWQ# zP<9*sqy?U7iASRfdW!+R4dCKEcQbmUIW-yQsGzHlr4!X5pVeo3DqNSvGej*G&@n(E zsdi=D9eXl>+uL?bBgjGTsA)>Jg%t9iD6ay@^mT%7tUS^kY(YOnBdh)>`)H}38gv90 z3n}lh8r1$zFjRxK!I@nNd0JNZ1|75llbGQfw9#Hx_>~s;23<67m4X&41_I#6f{2A{ z+o*!g#o1yIdkUp)j%Qlohb-_LR-u6|lc-Z0Si9g%5b2<8&H93$*rs{{19)U^zM~I& zyL~4@Uy+1WqG}Hg#u;8Ye_?kK{qn%ydwi8SPxAFssmU7D{7yi0uL%%4dO4yPabvp zJ`OFQMeRN8N}G3vxFif!Tm+od@8jpuAnk+ZnEijz>iDz>rlbFirZIqg)MY&66%+s#D+SIc_&lVB^F}mm)#vvH3>X8zO%V^I~nY z33(%4jY^w~wXAf}Wjmn3__=zSZEWC8A+YIwWMK?lLo7u0-#}xw;XTdq-zjW7;R3gc zi|<@KprZ_VtRN8lxwbg&OH5g>q#H2zbjGX)$kIpzZzb@Rfnx$BEjZKUKj|cl7yO@O z3FB>p;fOF^IE=ScQIt%vQG>|9$pOOymR#jO>4O^N^-!<0izUcs;}u*^mDiR^4$#qgvEbt$!X>-#raSqf~5%8!P3wm7P0E_g9UIPV@CWL0D+T!r<}! zNS^v`d1z^^B0vU%Gb7>5SvWKP-*4(aO0Y6Kg>A<=%8Efb1V)&G+|?aY=#lwGs9E6i zmFO`=Hs%CVQ|`dC^v640A57YL7-vs;(!mDP1UuZ5{us{egR6_==fznxZ?1_yh@dFP zbkN%AkOpWE^&(Da{_~(7Jv@YMUTqQqa~&} z3Bm=E=TCTrTxWA6A^RYM`jyL5kJnR(fTfP~1NpggI_kU;b1GWzadX%Mk}wxMMnCvo z#l`Gdk3-3NdX43OStuAKxL3AuJm{=#JeI9Xnyasz-{i$ijfGWB=-%}f*SrCqONngc zx32;zll2I$`xqb|C-7@3e?xf@SJu@_0NBwSzi%ZzMAhlG8QL>SSv}3?H|`AyoG}pH0Cse?Krwq}opb;yd*vnP2kn{kS+Gj{?hELC z1jGmGYP>X|oJI;wR&*v1t+ERJ=1fWVtcMSRw-cDWeb7X87{MrqvwpF75%7px5lBLX zJHxt(KC6f_9sn(L(VVULO4eb9bse2rNB7oqVpxBrQ=91C94AH&pwyn_wvhwS>1xO; zf4+wBYU~l@XjXMZbM>ki<`5i%j0i-NboaKgLc27ECU z`pYodXpQ_T=B}P@jN#xzsYG*!da&%G!kg`lN>31GE1cAXy1^7;O zQsL4T0q4@Fj`yH&r;2D7rqMX+38&JlT?jlTyTjvF-iQ2VB=wVhqMh)K=p^<`Z-k!( za$KbizpAWv6of!AaS4p82po8STx zfItL9Mj#sk6>*5Ui^&vz?aGxm9w1e1?uIu-jR_v-4Kq@XkCJEdJo_YTrax8J7jVvs z>#6TvR;>a0<3KY%PUshNCL^**u=o9C^&ST#U+$>ak$Rf(wCHbo-PtDUd_GMXqY)<$ z#BZ5mL_L42m8y#tDUm~O6se#)32{ci1rW*?qZ?JwCi}!%T-` zB7nPrk6g-nOsLfRr#^s}*-My*-~}iQ6OCTzSi@Uqy%2R)vVXH@_`a&UlcItA0}3C9g%^*gd%zY)=Oiqx-Rm_uxKUQE>Lnu zLl0oEvi3P)=lh~Yu6w5_5CLj-eC&On+K~EooND(w$nA&fJDby(?$aXVW$vkdrNCdI zXJCywTFcpXp(O@y91fYjv&qF4CJ82&0G@qH0sw^C7r8Hgwc{IBp`+)Kqw|T0SH2L? z{GzFm;xHBfvH(*D{z~VZ0nCpoN(1YvgXy@u9b613>OD1*X9L_kQ3oE>Tn!v}&Lc%x zu~#lOhUUn4)oJHWGymVx%t*u{i3ZQZg63V~b{fqC_ob#MbR zuYv~b$4E?y^dsRK7>*(SyXSRrmi2$HIs-2DfFs@cp63s)l0p0kC+csC1?XGoF_1TN zSG>;3692zN`6LH$FbkPwy5@Zn9C5V@xV1+Pdxf#cJ?N80wSg)p-Su09-dhcJ4TYi8da6ypP^+vVrS>KzS(QvhsL zXk7~(j8S%-HE8@euA|Cs5G*L`8Bp0okbNpK02wppFsQs0&)j&+>@(fE+<D)Z*aTqaQVAReI%P zUAx=nb#~ZfmxA=>p5B;%sLM;{49$*zK}fZqw9QAP{Cc@fe$90*j(Dc4bZ{FiK=WIh zdFRxJ*+u6Lj_o{`QSq1}_#9)spgb^OzF|%*bsDDhw&8oJnzh-<^Q;FuZsBlq?3sa$ zOCl}pita7CRJb-175XxbKsS+Ev@1=&NDSQ!x!NB1{qrh$dqi={(;Uspwl?u!TgyL6 z57!5i9J7{}?PlfL2?5VL)b3uw{Nm;*>o->>geW@bKkx5PdGelzY^KgRq4ix{_`_k*W`o_E+Qu5?xIKM y*@A=*sfdsl;Y!G|zOG%lE#bG7r}Js{eNiPMlayDdBB!cj4)qUefd9oOm;VopTPJ`3 literal 29054 zcmYJa1yq#Z6E|)VN+~EHDJUf+-K?~Gv9qByxT#Lz$)_i#itkaltC07 z&;NZQew7f)&X)g+m^$Hg#P=7R?^0hIJl2W29uH0@|0mUs#INMc$w*yh2m2%2!~)X~ z*fDger^~&C zz9_!35}tgguy-x74VFuSSPUG!d3JEW{X$l;xtD&kIS_{IKKW>N1!TG*uW@9;t}(gi zZdEOfW55)U77P%6GE?_9H@N+I`78Up&w?U;RSXppB7fYUZYwM;C0jKQ%y&SU<3&7C zTrPt*R0qs$r|}-xzd5H&Z~$k^g!aHO53{{Y(HT0X%QMx2fJcy% z%jUW2VuKqJ-M{<+DKnLJE#pT`-LaCv9bkrbQ(p}i1*xlx@U0>p$7el_4%Sj7^mWt>{;;SUM!>-_(Lj6Y(-A^1jiXR0qW@RDU+nRFQGRB1YI-)xZFYwjW|F(B1z&J$ zopUaU7tEC)koH5wW}>3!o?M%^K~Foy=36;Bi7uI&)px6*cAB2=^od~A*m$Mw4 zaCEjD;#2IOc=YW)+|}?InsrDDSemZ@@^k>3)yMrPKV4ERC0WsY)q1?7nE4;ma?3>h z$6V={L`9xK1{yMC$1Bb(=b4Y#JOXLp6QoAd8V}}yr zys>}fwqyV?pKRbES;wTXNJPFSDN9_4gJ=akIciGS#$5PcXXIxj`%uQEOudSAw?F)k zTR|wvXcp(nAAhQ!rdMtSF1L!NxU98Bdhvp)%pp53Kvfgj?s2pkrkS)Jf*wfoO;PFf zQCA+Z`LjQR9u8=x+9gBNBBm&6!66BFR#7fLB8E3ezx-(D9l>)iT1gp80{_dm-UIfZ;UoeU-J9i6EsgN?a#e4U#EO4Gbw2_dA z6I34A3?s#tZvmm}R^^(lGvum9^>H>?yIRhkqg-m7Vmr-kA%Ghrg?& zG1+Ij87H>$Cv0WC5HT0FuJ^5>pcnG{qqw{uwQ((al(s~*GH9uc{_DAMX%odE&=)C$ zO%iYTD8jac2xYRXpc%S9-avVQsTLXwQH~ud`uj1x$!gFeTu<7ccEMh--MTD2QduEa zChD-;3&HaN&Og@xNoM^WtVF{2-0%Pz8_ahxH~I5 z&YycukabO$?7#{{y5RD?)RiGaLCXd~_bY;R+gc%>(;w35fmy!&Ewd)tZa#mzx-XF{ zz0O?A->U3J<@(>?(;kLHCOizeDYd9SbkK$AngvRL@CxC~vepgNaeo-y&WYReI1(~K zbEovP2NV4!$9DUR`CW(ig~!U8_{M(4wVu+w{7x4;{Db^3*;(?tZ>AS{-@g<|4%3#& zeC7{n5|i$+&TCKphMXu^+7Q>iOKM*Bu2E}8<%%tB_JCq}v`c>bcp7X;Qj9(=TamOi zv#Zc~RmWb6LWm%_~Yqg67}WP0S?^CEz5g=>>f- z;Qj-dao;E68=FMUBTna%dpIUl$oo;_Krcb9IY@mlPpH(#!oLnnS9%@~TJ+pY+g9Y% z&+N)UlrMp=tymT>cC)3)%X6Ab5trgu4s_kf55cc>iWv8p6d^_R5X!Po54VOd?lUvSN8N>cm&8 zDkOAMJ$3nQr{5e|w}~uQA{edvwP(h|7yPiz;64h#o%xCT+)w)==;0<(Cp$oPKc<;5 z`fg@f$R-Z67J=BAX7Q2m=+mO?gNjVuELmZz_T`WnJQ4R+}0m{>tf@Te)qCF zAZwvT*-X}5nt$ID>XJ46&7)MI2-xx35%TU|y(h13?UC)6Dz@N-=vq3jvTlg*TE^Y} zbCn55mXTzMR3@o-r9|ejI*{#LnJRa#r{%-_PLs>)o^B^lrHBAOi{)i4dsv`}TTEDy zmi1 zV=+^vvN)c1kn-7`0c<~0R^x`@%%fSSM-Wfsr?v3d=Rz^O{Si0)v5Wks5~BQWL#~2+ z?Eb@xzoS?dcczh|?_xWlHI+#IZyNQa^Umk7h7wjI%jP%D+k&R*ltGo1+$8$cS3#z$ zvgKc|>M^H2Xu^F}le`)WRH0_2ggK>D*|yV(XSY&>*uveOjv&xL+E21*(YIUEob8d2p0)PF~&1cAS613PrUsb~`XwbJ8R~K83`p{C(+#EnWP`F~;fT^VI zK3{s1>pkIX<-5=9Ct4abhkca_!~On|2zCC`GTnSKd$D?RN_-GWXbgng@CSF+F8? z^s-p|xzFm2bl3i4g#B{g^e}OBTrk}MCHdrVgaw_i9i1_#~9a_GhuxK;caum z&d=z-QTjz-q&qlWjb9XPKaQ{#g3gjK(b@TQnoK17HJ_}1Ri-;2ChLq#6-#1UqMQ5l zWulerz#_m|Ia|2a*1d!m%w{kF*!uh1KPh9gGs_$~5Z3SCWwPb5{6)LDG2QaAt6_x` z-q+7hXnVJ+A0hLcaAmTCG*~j!q$Ief*w=tsV+Jr(VXdCXs9&mI{D7G%pW{z~-cq|<;Ohhnm|KiTqODlZT zF+$GlX8O2a`R>IT<$lr;@8wRgOOU7QykTa}A0tJuX`b)S^#reMj!^BE7NZLJQg(kcO*CQ+D4Bdyn zZ$Gv?Q2TviS!C;8B~ke`&P0yqI2SbM@9~gXGU)18cVbSO;-HgK@djCX|3<$|O-TsA zI?`1%M~o<)x92Zo|Y#qhXg4r0zu7X!!5=C$*u#ICF{-_8~f7P)N}%E_LWy<%ts zySj?6M@QaUEY+8MsA2C6iGhNGg?d={^4!g;{CNvzeZa~K)mE(EFB}MI-ebH&NPcz9 z$$irT0rg&~m7#Ko-+|KVI_^gD2Q-SkIH`eO5WeapnP2|Y!=bqF^s&?jp6YdAp(}aG zjc-W29)_EUSr-HR56Xy`lgt62`5e0^7uh$>ajDUH(;0UW?Qy|wSP6o&#pZF|+-tvh z;XqCNzpC_&5UTcEV%W5i?(VREH;FSP42w|UEP04+e4l*vtdCvf^9uE?FkM}wgU znyU#}F)bwEq!>94dYNnIe#xQNz0fiNlIq{-1t2Tw3+dnT!}hf=f|;xaRgaj6nu7*a zcXEl09g~{BvYU5o{%{Pfu2__)XrAHyT1Jyu?`rpm`rCrKB$w)U!{RSB>toW=K8QmV zB!8<|4SeuqC5&4`10Q+Gesfv=@!}+kdwYsxWLv^~Ljg|Wo1b<^QcLSgMY=qeP{tuU z?5KV1xCUGPN=#YX?fgzk*`1DQLQvRx+Y6=K;>{$%5u#_`Pn<(DQGcakIGHItJI9<& zODdSZ*Qx|C`xzRmY8w4g`KHut(b45VV%Cya4ostXyru1(qB8~6f1%UhXz3;B^Lsi@ z#a>v^zD*vi8Q@un3u+9mh&x=L?Sbw+;Y?_fP1V%pV>;;%6`V#)917RokGk;Y=wGW} zxxWACJS5r#pK9TF^VNHrf1W7s<23(dIIsN;zH$881q&*UL>RJhEt%MZz7A40-9OtX z&&G_l7oO~t0{Gf$5Te(~6cxiM4bb22hSQJEXVz>4{ajqDb_t*EQP8Nn;uc*xb3ZYBc$$!p?kAUV4+twDV>e=eXgEfVuB+Dpo7X35H7uEUY} z$6Kju5I@|!ZBX&|qU(*~r_@J1Xx4}=^{1!yK(&;EmXqg^cS2yG@?K6RMeNh?t?v7sG7wDaO?vjBek_8F_Xw7{MB5$ zN(LcrR`F`$4D)# zb{^9&a!r=sWp(n9)-89qL!%FJ6qR;AzG~_{S^Z%Va434^`fnBZl(w@+B(BVzel)r| zER!r48?_)ySC6pQVgz-m_va ze-8KFdp0eGDK}k$^dbk&wt5c^2b7ywQzwSpWAEAY*Um+_JFXYb4`yHX(YO60?)L%e z7oh1{3=8z`XweCP&W)1uhu^yS?h-~|)|S{m3W>?vy8MI3N`++$yEFot2%8VyHkk-D7T^=go-a%a45d%qdwC+rI7N`qSg{ZMR}TTEh;YsL42*CcQ2vNd z`S#t5n=*)CC*UPyUaA&ZS?S zPF8TQliiiA!5cqEy()1TQmVdX(~GdDKB`U18K0I|3_mFliDdYtA||I}gXN!Akc$2! zy?A}^V+~Wgk`Pj6>`<*QOor>ZW*~$}vQC-yzoV0=&g(f6&t);!e^Nwj3XOBWe!hgm zx^qF#c`?p+`2H~I#VXY4@rAz>CNJ2S`{EK+Os~}v`)Ht8FD6htgx+Ez9!l9rIp*zc z41D@cI5f`($o}^ATjMAG9u zP=aESWnEyxoce=loKc~omEL5`AyiJSr~C%_F7o%m%#Zm5xq1BSpAja(mc`O!+t$yX zRpq7?p%4|NK@@Mz2g1A`=l*`xYjXJb$|~?c)l)0P8EX9>===wA|AD}N!15nFxdr#T zveX1@e7~`LL}T5k4;z17NS)Tx_R>m`2VsdP?QrTlrJg97q>LTl--aAjLLuTKoZw=5zu6scI zfZpvQ)f5w#-xO*;F~;=gu~uKiy%kep@#~$(N0>=PpT}}eGnf`qKd%kO0Pdy{PT}G| zwFCtWq+F32QyXH+N_omJX7o*r537FFR?nMilgYU`h%)^DXP=s!uhHK8*_w2MPhokJ zW1sBrWP5r61jfg{U)}Fl%_ekj`AYp)**N6-xkDidUxOu8!4zuwKu#JeF!o`Y`*6uo zP9JGL-ghuD;s49<0joK6qUPZ*ZMmRU9#&Xu$KSSE6ZsnBuzkKiK=Oy1lB5oi7MChbC1aJvCMIfE}y+7EfkV9N1@O z>?PK0GYdY*52|Syy5Q2^!(?}}+x4b?F+vyv^Zj|h#MBjICf)_(&WsH*n3k&S=PMJ} zN{96Ag|fv2X?ubDVS_$y)86Y%g9+U5rOrG_g3^;OA-$!7Iqa54C9n02=+O$^sZItz zH3wf;%$T;7YLpG7Xtpgz8S+fMSuv^j)m3__3tJ`xy4nS)z2~Ffs)bp9W8x2;&mdtx zmN%q&IlYYe{au6LoISMcVKjRZY|m!cCG1q$E^fVnike(E5SIzZQEMQkMM>n!{=SLU zm9^M^Ve#2Nsy|%0#m7&WJG*TgXs@ZyMOuhj{#+D%=V3o+14>Zj(n%iy>OPmABKle6 zN`IC_p_V$@S@mMyP(7(ugv%1oruy#K2RO-T_%i0|CVelF(_5o(L_Whg;VpM_g`jaX zk@6JreTg!~x6GEHOOB*d?$oAy>c?J*g?ahhC4kv!Z} zxgLHy>7EIXiyu$@>~eoCSHp1BQd6>LJ9m0NrBv;$=uVD-{9NR>s>|T_cCY)L|K(@; zygOAd6wUcE^+UnA(mAbY3%Txd@k3!sPh&`VO7CDGZ@+{|drB)`>%L6yplZJBG89#OsSEtRsMW2h_g+b@V1?6@k_Grk`;m8ouF-dFX9qAq%Y!E(#NN& z<&Wl|pg%VK@Ugg?5aQ)Q{X>hAYFC)Ourx$NFvQxh64 z@6UyA`QiIbr5pNEyXtNF9l#IPf_UMvS1vZi%O63`#$T8JUDlJxx`Ag*m|$bgc2xYc z-f`g%Y4FW9Xd2W`2M2d$*%LxiW3tCVE+NjLcd0JMp7eFIS+3KDg_XP34J2nw(1{hf zW+?F)=U0*57{83)qS#HXN@VuC`E;d%54{|Gd#mP5D6*OUNo6YEFVOkJUp*tcE6Nu| zR;~bluXUINJaQ$BOS5MF)}QrI_p1ohr#jxH=8kmp-NjcX&wK{=I9K@Ua+aBF-ctU< zjZ|~4_Z1EHiQ8sZGu6czlZIp%W<%||@0X>;yQEtb1`aiuO7^jTDs~~@1`F#CNILqhK1z?!CF{RjoqO-p%j1y0gxtpqC6;bB8Wda(C0&w+|-03`>&u(o<~zdv(9!r zL8S-cBIgqUBMO?q+rvK^%tcviG{0&oQhGcS6nvo4j_*!n-#x)Hu}plBG?E#0>PYW3 z15_;hw`NrOGMdz1ON&kZw_@t@jRxSw(&D*|W#6fZ?CD^W^7la*WcHubUiQammPy8O zzyjKWDH*RO7`p@I`**i{n0cPX{T0=4_Tz||e1dyb^bsrh@T_Q%Ni;5~wsgBD`r6_m z@U&Ghj)643=f1%`rxKrukqchM&-ViBbSTTpyc17#GoEvvOWf-bNIla-f>p=I{NG9iJ z)d%{?qC2MpvJ-X}s{zL6u#FVan@ed;Y4hFQTumDSv*J zeDfnWOxHB|z4}Vq$;r6FiLP}_^rox{5TjceuDkfr&&-k6T|_3uu%~xMm$X7A|DEX@ z*R%Il3&J!*(z>tAukW__tgaLzQzWPRqCU9(Rj+^jQEB^#EuY`}UPWKF4n4OCVY_RG z{`mXZtn;nnXZdfCZ-D}IMQO4t0Y+2sRr|B~LRQ%j7 zjSRU|zj^-UGOJkV$oi_mN3fcNq(-w`jC@?-z(oCn>5M?!!8m&HZ?gE8pFN2!pF~mJ zm&eBRtQ+Fopnx)G6=d1;zaWO2tJvMuo48|+iSb91%i){ulmE`e1sP#)$=A#ecLGf! z4&SNFA&k(v9_bgb44Psz$sG#OQkcO5tK}5Z(x74?59t&Bj z$DIks%1_x)UBE|0T@&gIG=A?+eeBbe2y*tqrND?=m8cbBp7LH3e7MqBZO9P(;K8{Q zO|4K=DQk)f8gg1|`;8K}bFHyTV3qZOx-{@6^iO_Nl>r%dlp5DA*T!~zF)cTqp2n8v zXi@uwt!uvdlb3SPUqQ?i?P)I`_UCn1fBv)l@1=V35>49Ekb2e>*QxhK8fLboO{{z) z7dsWcWXu3B{LQyU3h=DVZU+C!o6i!QEkdsYsrxb`F+MKuADdofR3n$EJSi^=jZM(o zrNEvpDslvw--DLodL3B?zlSZ+QhW^TAKUfrhY2}jIi=l8yV#oAABnV&Z-i^pYHCX` zc`r3Te7QTe-X$~r=}mJylT>z-Z0N_uVw*I(VDHr{PfQFm*+hB9g z7(c(+8S|MI<-$LG#AVAm#Nw+Dh8!)f@y|bM<$t5V3a9+;nuHg0+1tA9Vt|U2iJnD_ z#kX=W#?yVO9a)%XKMWK`p#-!nl7D8U00>bk=?MTPluAkhUSN}gn+3|cNx{d058iBA zGQ*vnJlZ&1@{GX|qjR!BoQNvRr)Yd&ihr|8`(>w&#q}|}D9S`a)d}P|D^Octj67du3vmw0G*z#kbb=x(2N-{^Wtf zJ;*mN!*OQSsvH^=zZPIRYbe64pT9W>EeAF?zqa|(fl*4aGQ1|ODqzZ-f*|?zQzif*hM> zg@>#AaMjixZK?Kpz&{$FMfMErNoON9+kL%5k(NcwcA|tQ%y#mL>ZK&&3F4y%ENLcI ze@>+-+W+v`g~WtYH#Q!jV-1;B^E(JZ4O{i^Gd^Jy-!FExQB+6ObMgmV^`MNaH2Q>M z6C#Bx*QcoiotS$PTRkpK%`u#)Wy{gTi}Fq2NRs4V*?#sz<=Z?dkq^qaZh4O0RfII^ zC!+Bn>7dVMuWU!L+Tg#ckG8(fZ2IsLdlj}PK^K=4m2p3@E#G<-;JgJ=)Ba@(G6lsK z5r6TTg;+K4G9l((fB$@to5=M$Tz&xpV|W+BTy0Jl>_G;2C|Uy-Nw8em?RKvOE)eTj zF0maT&&Jz(-0F)z;+-rYme%lKYj|(Y%}Hpq=@J(I(i$Jnz;TjxlZrlUv+~X$K%yEH zTtv`v+fDlf%;<>L$(@&I4NE|+bv%_R8I=EtW#!5j(n~&w#}^e~87kf`qo?B+xRd_? zAN9^srAjsA-271zd`m51<4DYZ#?t1wQ$_QplUpo-dPW*QXz8Y;_>a zEXcj2foZyD%7bSWD1{r;x~sWx$IwvvC-+cF zq5Aw2#qYqJ6Z;YK*NZCrGDD~U`OjBFXRiz5DIC>)%+!C6*H~daIozQ9{_BQ?#$8Vy zLbBOZwm3upv36B2=>X(&#p2phWa%TWW z;mMfL(?w+JouX}s{EW?H+r-~Rf4)U>w6EF#o(#3Z#R4VToZ)AIN^OcczKCziS&zM7 zNZI@vLEgy}?H&biGo!jp-yv$cRh@$s_AK#|dw_EotS-&V%7ED0-ah#Vu6%ZSKMjYA zOhDxATybLdwk1*kPqBHTe;r((to&38Ufae4uko@Ln}s}z@4vLQ7@!X8;)Pqa{dfDk zo$$xGeoJm>1x3h)Klr$#6b!URReTMl*D2}vR~~@h6UIeEwcQA%6)_cq(nxe zvtEEt#j;+%gFFGhf(_7`p`H^tjxH_U@6w^(1*t6>1*>v= z7~>mkwSY|+WBcXZZsh}j+a{!pOx@ynVq-EK?pT3cr_69-2l?13P zek!HYkQ9HQDr@@B$?h@EgmyVxfl%r&zE)Om?N1`sNzNke*5y*S{7uS9zYelmi=Ngy zLXJPFEt|4Jc}yY5r~6`Bik3%x*rd^Bp25ePr$?H-L2qWrSxwGjcc(Z8NfP-I{eMbt zXRgyk1QJ1}$X042#fIGD7-<&UuOLj&IJAF`)8D5!V#uYHL(R}9}S zhbsm4i5UY{-HZD>LS=!(H)ZGdOCr~)=Ia=5GEU9kY;IJftA4AB*|FWy=3r`4K|TsZ zx-kwGw?~ICXU#-xWZgMY4wMk}+^SJ#@+!e?u2>r&(@K%N8_#J(FQagi7G_ue2S z7DHv=J%^SSg9@~t-29Z~ZZKi)G>wl?wzu^xjBiuLwClZP&gcY!3u|O<=m$4xoK|im z(FG_k9E=#Mk_fHjv|@H|E4bE2ou6hM0G_Jyn^;5*45Lg9A>WPhyAuM^M?5GvNuH1P zwU!`Z1Pnqkmx&wUWP#pAEj&9yj}x+pH`_znXm1wi&7iw<{SSNosSiPur1ztDc7~N+ zuWB`hz7QL#18nT$M%l*F^0;rNGKH^V{~{jzNQYCnb$o8iV%!Yc4p)LMU+exMANwAIL>^=5UL8Ta z{cr4yX6X6y-rv66KCz0$4V<1Rqh~1nHnS%~C=yVhi#6uVfXlxViuv%v0E>ftqALS2 zI1AprKvw7Lnz1476%i}m{@C;R_(Qmdvx|a1TKWlVGP%!rJd#LP*-bak@&&j{IDEg~xXW9Z4uCWI9!~$LA$kuXIN732XU4jwLRqem zEhytq7_#3akpB;W21}!Md?HY-`d+x8R_Tf(1W&_ZsfY)v%_FRi@$bLz!xr@vp%A4V zZMLhtlu0P}F&y|CKpFLKc?94cjm!OsUzUeZwEMy(qj5`uU%vJ3d%T1J&LpOGsg^0K zxL{J(joz>9*xSv$HPH_f0Dn$yghuJ)5O2}RFYuoK!mE39{rQpTU9yc(lu&*L_e;FZ z00y1WpOZrd%C@ky0YlNi&^dKSW`wLj@cxL zy~LVUB5`X;fAR80FzcK$}%a60&uawqiw@o%N1Ff8oVyS0W4$(Fv52&#MkAFiU&c?+)xG+ z0~%(YHfoz<5Dq#yFEKGRq{o|Jo2rxJpf2Du`k2IgAO?BbfTioWc#MS*T*(Yld;`XQ zzvJ({pt*BuRe`(R&Sh7SmSxbT>(AF$I0I&dmnMMK=XM`p`IUuyBG<$kB^SiFM}zo9 zg5PIg?i#ySf6D1s{OaS)=K5l<7x}M^B7qg)&Fd(mM2oCfq9QN`P~`nYJaZy5*4x!z zO6JPvhjbFBW|jU6@x*gD@Gk=UBi0r)dFX{u!?6@}${RadBwTYI+Gx@)NRp#rm7{PG z6p^G77fi;F*yA#*ZJ)obRrD!V;;T%Kq9(&}Y{_gamY!rs$YQ6?O%-g<{T-erpBGQE z}tkAN*u!wfN z);k@rn-aUa6sV6MzBatz zFu$=(?v$-AxZvTA7oj*&##yjo07@tY6L678AWW;oeAje3_i9_aT_?{-)^Ty!l?{a# zVe8*0d_s6{W7(rnmU((QTmVZ&soY>**{ zX5P)$`z9d(+wd4tvcbkt^{9Is_CgVC@h8BQrKxiu2-5Mj8qrVxec+x+!^Eb7z=O7hS)$f4OVVZFVwV_}cg^qTqI31zuk(nmQ4HvqK6&Z|qF$Wx? z_LUmtdIzR{3kjav5(D1!-DSlu#-IcTWSBv7tLoq%AV(Ug`Cva-);%tBqh{>ut_bsW zxJnBG*||(CV?{2s%n5t4*I2leKB*u9J=|v-Iz`V24APFQR7wn;Nm3qc&qvZQ)+9pwINlFb22w?&r%splYQEoCq+j~=o&iU}W33$>}sN^=%8T=5XI+fQ`$yHWd?wG@?1-E?DShACG~gc_Pc2fv!swz8VNA_>Nsjls^t z(8hBsrdv0eXNO~^uri>H4rE0>2#t#Hv{;JWl`46Q?wHfz1X%u~R`NmZA1@uAy;0mb z1@8!n^}TA3Yzgx1=2z*XN0&ul!`!)qDv#W@&snkH30 ziCh@3Zk{#}WpMKzfY-md6=qBi4cAkzaG3zgUvIay=X4hy0LsHhIfI!nAMV?}|@zC7dQH$F|Pq>%V^0S4t^cRlI5py0;lqxgSWLxb2ama#<7 z?n@LG9De0^nwpM=iOpJW<5{lpxpg5N(Uy5 zSVPqL;mYns;zl$pn&=$T{;UE&JY;luJ~`@c5Ny7J>uBlVt6eU10UOA;SL87d&DS|s znF8Up7f7GLIg6M4N)Z(P-rNhgwA8Bk{p*X%hkEreeZx-Ys{I96Q^QOx4qE^Fy6iPr ziW@wfwo;2SfR;lboIq_8rsx>xFp3*JU1a25S9}o9`;X+>2A&357O4IKa<79H@9Q-n z&-cS~&sNykR$8M$OyhA=r-|1WRm(GF?#y|`^CF#PS9&wJS_oe)u2ijRx@e|t>^qMo z|5Iati65@Cy#3a!yGVnZvgz&c+Aa08mE|{``)B7I-8});W}lHi(>1G{A%zWFKQmVx zD`bYZ5nAc68KqB$?uj@!rTlG99j`rfHwi?8N(WABwY002i=b1u=_+WDAWY{6KyTE2 zvI!~z(^--2sE{o=b8-zDM9?VhCI7FJL?>T?wn(R&D}>Xuv-F~)^QP<;z@?W`dke*M zu$d<9xohVS$yM{0uklyX{P8>K&#sw*r#ffg00WH0ty}+J=p1|f!Sc2^bSv3n&O>Y^ zZv7m%ZYl*=Ui*WSOc9|drr*@w11;pa9hiVpcfG~bovzh98RzoG^}X|jd)2=F{?u+fJGhZ7bHzC)qnQOQXtUCaLUUFDuH{Xm6Wv=a*+!3h z#EVoaSl&(9eZ36EzGhSd$)6jKT}5c0zsxp5!a2YbXLh}Gq{=;#yHp4&l>>d;%~Mk{ zZhL+eiR{Jdzm{^^=`_SOP16rmB~buUDNrAM>g(X_=-SL7qI0u7oIVPY7caMW#28TC zVEPfd7!_bS$J!gKl^Xo<8`C_?aMO)>5Psq(^o#a2uQW2bDR40_Klra!(NXwwlpNE$ zt>7#SK^Wum?c!jJPu7t$nCn=oOuOy(iaztYuDj0D%{9~1R3Hk5DE#Kk${hrk% zmqftBI2j-K8T00uvBgeE^}j2^){LVnDSS$vT)kf1LpQpz-=f0~iP83o(A|R!D~`#$ zcuA2#?4O3>r@VhM?lMg09NbqOOJ|dqpwF&S^STx%KTp5*L5MqzunwVJ181~<`-b{s z3j(e4C{Au11~Y^l5PjMHzsC=Rj8w<)q+^S067EuChSkY2Gtz7loi}aI{Zg|{eqUZ> z^9{?D&?8l)ycvGXIZP*IQ;@|416~<&Y4UbyokhBzYM*`HI9`_%R@xPKbpzs{P?as^H7!T0MVgJOujS^r7Q7G|3h-OKED96qf??I2BX~4feBfd z#*&;?<3Qdkm0?^OXwP)(J^uL<``4*CJvMqp>f0%26tKH(9S<(Mb+mdrn$5-U+ExB) zJLcAAqdGEdr<8h+hkNg)4gwy@h-sd~H;p_{3)|Sw_oodK93+wsXGofhzAUVN`{#Lt zxepCzo`djy*^jP4l0P@WV`*lxfmg|0b0VueQNW?_!x?opiRkCqH0oZ|V&e7M83DZ3 z9=ZI3yAB??LgFq}NQF$xPZ+_DGN zJQ2n+?#ki|#;H7%XM=73Gmg(PmjDpo8YmPtEHN&&rW}}+yjw>n?%idn>&_uNfTj`u z7^BG0#EIL-4d4)as~)6uUg8j2K$Jz)j?kTK^wTl$>eWRDu>+Y0^?UKlFWtDeI^gLC z;o#qIEG|E7beiaLS^z(H%i0W}Rk9&V$>K1JR~A5pvCDDW8~l^S6WN^~3#r$j$9rFv zkB(~f10v*!{Fk^Fy|-vC08Ddh-obH#hKuS8XeY z<350JcLJ#o;V@E_c9o zICSO48^t-MpLDCXk^)RnDx3sFns@ao@Ec7CcEm6ACTi&g|0z6-cqW|XeC?D!&iBuM zVl-&xlTgoUyN$Ex}ebzFC zy+B~dX;>G!tt)ZEI^*b|&hfgs^WWKX=bi4B$FZkkA$1{L)+^x*+vBWTUrwXShc8Ko zdU@g!&uEeu;=LZr5P-G1I`+R{+hg)6`t@e4DTaHKR}b!7Ufv(`B1CY9oQ8Ix8@txB ze-^L(o=A`IVW6DCJEIOe_2GawstAVfR~>4r2L#(TO6 zPH@ybm{=M{aqdsC^~#v=i5nJHC}5;X!AD;(-g2$(c01jI_0fL<2UcBdJdD$U3~>q~ z;6@>F?dRDk1rMz2!Xp z#Kak*f!EdM)8fH&*($eIvx^lPS(QEzKR^yZkaVngnn1VpJncG*lxzd}wgjZV>6z@Cy z+E+XAwx)Sg3H~-HPy+Q}YA)9Oy6EtD-=XpAXge~69QQ0@VkBZ=SUla&g3@gyygpu| zYARGK$@{sui>3US?kcI#KcH`jcS)DYyywMdfCLjjyJxtcqJQU&M~^RP=+^`YTk<=AXOym<&-QvnB7zo0SZ7x_D&p7e|i_DO$ z%M_b^5VUZiXdVuj$homN%9lb01`qhAdNC48v%>`hd+q~qv{Yh-j9^ZbrJ2yJ&BndsG^6dyr z8o1lZ_@G6{V%q^RVk*(;lg_X^cET^?m3*YDz3b*V3yo#DtCD6q*cJGCMa$J|`VBxpnSB;jwE%1ZhY&nl<*+Jj6S*%cOVJ#mWQXbQ_C5 zc0)!AxAT(8$n#zTv*id8h}-duHs2tJP!0KAElfu*U*KOJ+d^{31=4zSyhMjQ|52v( zrLGMj#>Ki|%vv&GAeY)iWXwxsjyIS4R{BAAV%_B^l ze8xdds;*=8I{WXK18p`L8TkT9tanjY>!&cA6eHp=vJfaRn|u`gM>`P# z=Kri6D2q?uZQvAVxF5c?wD1`cHNwIFfN()>XxIl)=ih1`>Ms=FW7;EG+8F&zXffR1`jbw~|IN9!%(^|ySwn&E zZsSdCwA7*o=AIoue)NAM>ZJ zTqbJU;!h<##*~%oRYuC<0exoPLV>umE z*BOBfPbkjS&AUwG%LHqM93W<&6P3UNURr=Gv`xlT)G*n1{liRCx`hu&lxeHn<)Kx@ zlL+sbxCH)UjIg|WwPuHrsibuPS=x7a(4@<_iXCFGz_XOle^`xpR8 z-hWO_gz%4Jli>diKbpPWj9&fWP0DNOl%C)i|8B@!Wn$!0c3W-}6&44@A;Ibx55{!= zbcV47$7>Js&*}Vs)})jA5_e5QyU+LN5G6_19uSQUY4fCRv}Ek5hAKINLS(FtP2xW3 zm^Yh589f6U(yUQM^T2YOS(a#K{X8szUze|$&~oF-pX3`&w8w0vV|KXuUR}XPd6eY4 z%4lO_kImKys#OT{yhY>9){K%5rhpoqyh__#%th5)-1(@LW99Jv&8!5l`MQgaR41#e)n;_aV2pb-$Q*NnwVmp@)6hS@DLhhUqYX}SAYDvh7M z+JSywhdf$@>nvV|VXB^8j)!4F95F_6kVHRf1WJDhM7Fq)lcz`Ztll&YKZoxmwsR+8 z(2E+z&w$C6(4ga>1Jk|}SN~SqbD{kqK=DPk*IJZtYO!DijPNK;MhG+f?%KO3657w_ zIV=w1a5p#rF>%5QeeYFvKp`q9fFEvPdRjO zf*p?*>w@n5e_efhJkxvp|LHi*DYsK9%py_hxaF45T;`NYr8uRN+$*%)HNtsm`Y#jN9HhU0r0(O$y*JDP~(Hj?~`iPM%BI=_0$lo>8NXm~uTY z(`)v9;pS@|ZD8;6Udp;W6}BTW--mrUQ}Sb*?}=q!KNDV(G2d}5(LylfQg=bTC*7+k z=1thyKGGud0%v<&aFW&p_Tdp`M{{hhg-8(k@Bz!;&H+UtwTP|Xhmjj0Q6ytTH8Lx+ z$i5UwZQjGDY$;vZ$(a1gNH&_|+Nup9^V|+-Gqq2mz9gfkr2${nWVI#l5;w+5(;Ibk ziRhN$uc>Wv7_BVfFYNQjj0NI6x%j}e$e~5$n=La@=JWj%4?X_GZ5#sJJs++ywIxn5 zWV|AKsl<4;#^;cS_jS_*18~j#lTf7(_0poXL8mR{YK?7vW4a_^ggInzrj zL;0mKRMp-gM1F^rvD#PC=d_PM(~drb6AJXF=P$c6JOM&E?-_t~}>`r7LaZTfd}xdR-3 zZDyOkC*LI|hu60CkNLe9qkkCl=JXpxDlbI~31zCh3cK07#$>`si=>88eRxLgnx<`9AtlF_fy9af6pOV(H|B4EV z8xQ5zlWlBk`3qhz*vPF~CdiC!yidp!=Mt+!aB*g&egUF%!y!si2X`7C)-Xy@&S3i- zqA(|?VwB7W=X$`SC@ls%eny|IDOKLY*wyQAhufFT2v*VcQM(*NsoH7kT)eF|X@EQZ zz3rA78@d!B9*q8_Vz#=fo>!5&q166T4CV6P!8u2@Qqgn*RaLO2%7;j$y)&Ihp&UM@ zkLtxVO*SM9y=a4AOOGRceOs{|iGPgkQJ&PBen8Et7hxMYk%%T_KekR41H(sdgGSdP zN?acLauEV(^fzMq2juU>t80=+v(^5A7}m@WSIFxi9?jj3gGrn@xn7{oa5v|Kz?i>b zPAfxRBnstY{um_pD{~9y*l%d@mHN3RFFEz^8mj47>yj;)g|=ZljT;FV2419IZPC5? zNs~5eldvY%l=Ue#K55eY@-f)~h5Zi)D8r%y&us)d7LNP0*on3FL^!`a2rTn0m!dT` zRsSaAISsoI8(7+CR?C4z%#!h?p?K{#m>Kzi_wgI4G3#$5i z8>Vu$a!}#dz2EQEys#I_&8gJ+ZJN7EIc>bqnm%7jS{@yh%iaDnH*}77urCl-hqJJl zv@$Mq+XXCbxAOzmndoY-+-kOp+Ty`{!h7}J0__?c;l=p#o-DftE@Uf2T6Z>I> z`LbBi0qv@Tv7*J9uQ!(dm`9_2?hfAvG`Y(z z)g>$D1THE3JXFWN)5{ve*wCL}da%{+M7UM6%EvjIiHDPJ4u-Oau%YZp_JxFIs*fWo zmbBeu`Q%pdj!lUVi*%`J+gT#n%U3U!Z{L>6-L#c_J7GxrOi6@Pva6oq{3KH{kIcN$ z;)PnsB=?L?-O;|79c=gGzv093xVzY*2=Cwe-f!k&5^v1=7_rm!a?TSS6qznZBa|&6 z{Tx2x0w&2TE3%3vK5>MpqR3QniXdQ!!oR~C=UBy+(FerdzXy{Os=4Z-0iRFSsx67K zGpM%R?WG_1_o8}hc=4?R(*7HpE(yN8t+G1CkE(V}@*5L83O%#lQNP9EtGo?$!Q!t=K!EtxK3MA+uY{%ewJ zx22jGk`orEG9lbGG5I0!T~}@KRs0C zPE-+aEUQa}QuQ&`N7hg1w#0NfsVY+TPjxoVSL|iMDXqj z(euS;_vx1($%vxk-dLu{ZsKIGMk(%`_8*NMO9KF{d|tBea!VZ=Whii+wqm+__^JwD zWK!>&{69sN0pj#g#>1O^{AiyIC%sgpN)t0SZ!b;amz1kYll@|Ao@1{Jd{3Vqik9WO zEFcaYZV(H!F(OuKZ*5n>z>?GKk;I0HR=3A8^J^`lu#XF7%Tp54@0*r8jE1F~C-9p@ zJzTAu4;Is0;(%klRAn3&pgHp(L7TyvCKAd}80zSH*Mn9c?mGGvc2{@&{4;Nhw|cPH zXhz?*uJ+PT9S`*-c4b)@PL>fd7v|j0tlVvxS=pP73AAGRnv$k$P8I=6LVH1B{`h8* zCYLF@k)tMnD%uTlZ?}^d^g09%IZt~&4D95%YS-mehjN&)a!KfI2`>3VLlISKLzy`D zt>R3VSY~#zaI-k(x!Z}jEu@?Zm!CGAoG8|e=TBGS9(ym>w+L*p?jJG>k>uH1#2ck& zpZ%}zX!#=h@k;A@e27iF2caukrN_PWOhicT5;>tIVs_i50d2<(r@d52kE=R53;&$> zXyR;SH+L!L@(ZJ;?`qt`HW@bx7(6X1qjS9txYSa4PgZm)=i!jcucB5a>1ongo0v;~ z829WWED`?v!JLVw=%~~mvo_{n`aayjn81x&)-uW;qr?~QIt{!P@4LRaiyTt5E+uyU z(9@(J*_Cc%S7&@b!1k-);BS)V)yxLoPC7)FJk1l&|Hbcdz+@!8IL-GgshQ^TcCoO5 z-THGI8a*f6>uSH3$qC|2R6Z8=UA`&Bo<*R~AByQ0G(%&xPeml~^cvg!^^133WwwRjz%CpUcmy2lr@^)aIHf`{Ef>Z(mzZXAKRR=j7Eqj)z$FQdaCk0JkU0 zWA{Yd$Ews9tl3+)xp<$roM6_&XRfdIoFAJnyM{2)0-uxeMp+S+M*8JP2x~%eg0eIG zCf;*H%dQqS%RbCuNF9CI;%r{;0~(Kb){bOL(nG@FC(M)=MCvU0C?+dGI)AW;@ zX1-XdOuapMJknt1+l#ZNij@FAAU&p!KL0hg#g0@Oh6TyTazYhh0frao9X-7H_`}$P zk>NKIKFsB<#6YgbzZ%QGxp68gy4$#LBXmay6TO#(E=Z!2(opL~Lvas}pOrYB6_Cyn zbLs2DDiwNKrcpR6zSgXKRxJL2!V3|-%e_)d9n)1gQ+-sK{WgH8=N~~a%rNsIr^IIo z*Fb5WUt$%-{nz*u0W|RGL`nRe#quEHs6^$gW0KuBlWEU@?Zu6yv5GTsmu`^d`i81* zMs_(?4OnN-DGEIM9qtp;ErJi)k*&_0bioojEaZ=9>^}L~?iG6PKFUJ;$&J^9U8t*} zyEgVXMyg09Esdn#7{nUG=vK6L{R`DhpM!PbO?%VF5>YM7${Tt<4PhoZ*AOUqxbmSh z6IIUVHF|BzwqWzgW=7Mp3PEi*YoJ6jYGPgUL!M5ktJ^cd`J$KMbr z&%?W7nO_tgQu0bm_Y=I-^yj#dYtwmx9tY;Sn${t<{S7huhXoRD33lRO!Sz7t!oy=Cc^w>lzUA5ss=X;|2hS1~)wFe& zgSnrgE;9HE&3e1xWC*p1vlFR`K)<+BKVYR}z)#x?IeiTKil$!?%2i2Fb>%D+ESVSg zvrFwBDpY#LwJ7AFYgnz9v85^Cc=xi4i!rtorEf71GuLw9Ct5 zr52w~TJ>jBJu7VnUtt#{g*iiaPd?Hgs@&h>9Iat8UtfB_bL;nHs*Q_#SXOqG9&QKP z%|ZKp(%Zw7%cc;nbtF4z)6m?=eBKu(OpujDEKnqP73KxW*~G7n$(ir|!jTp|L)oYk zTaHG&vx4&S_==X0TMj=2jo~krZx^FI@wx+YStFmOD_qR;edXEOi+WyE;f7MQjjd0#`gB}L zJ#WqpIDGUgvU^H<(qn2rgX(R!*r}kpCwTk{9zWe;<3M#Q&FR6Kkpi#qUjK&CTwve% zro~KqDi;uaW)czIB;0GzGyaZGX><&w#A@(U_^C?~C<^ly`vK@GI2}~gU8!p4>mE+& zkIzyQbRWVgI#`fQ7*f0NXkv$uBcJU-eVGH zGT7N`>$#=$6n+H*hAdHbQQar%Gp7eD+ZOElozvL~hba9&refNH8%EodGu&npYxVS- z6BbSbt20cuwtoT?1vHG}fGsQAa{aXSOiyNkm-bBUW+R_TV3F1ZHjLJ;Hfz`W|JabGfPP3-@YvQ&B-bSv_OM!&Lv} zDbX#JMYk_$U8Nb*t+72F-4^d=brM~yy zVh4aBJO-t}4i7~)jNS&Nm1!|{-=4%w#}3(lxZln)7?ON>i(9a`6gU*w#ZQ#Cf11}e zzQg!6&Sy7uQinHpESceyq<@q?+O3?Sh7-~R${M8tu(6~Us9Q<};DswSr{f-j#eT4% zRLv7%LelRwZT79K19SBWrRZK)a)7eH#1@tebkQ&7mF}ll$7-Do*7b1%`{JG1;2c`5 zRDSyPOXvKItbus`q}falLJnLI_lP@D!Wa4QB{EH}7ByZqiG5>WbV0v!zE3To@YX=% z?2uc;%`0S+;a&BUurf+8A_?!xQ``%sZufroDZW&*Eh{5Ws+{r_?SPNm0>xh;JAZI@ z=F2x}_+$KQT=^2k4bb;!C;u9+>*TAnJ4<)BBa%pYi&N1jhD7o=>qDPsWS8HW91+Hk z_;R-XFu0@dG5t{XZd&pcC0|~sJweq?j`)LGIB+9;{yJ5qCw+mrMkG3$d`h#C3X7J7 zjU``|NUN+;2aTo8u1(kDJ+{k* zFdteShGXo{FPimacxU8!WmKDebE<-sqiFIvJR!vT*$B_Bj|e z_tWS3zm|4WUFJ5;7D^_k+iJcxJKvq?^{-tt>g`#ZR#qF+jy2xZ=nfOf9?;V)!e~Vj z6%-;eFMlanFF3(KQbD$7k1N-95TY74y00N}*9*=ukgv9(4`L1(5R-uqrq{()K_D&J znmu~DH%}Z3H7~Yd6oe``Uh2$2q^=@UZU<5qL}PRLX=af0!sYy!^u0i#_TB!D4#(F| z;_iMyYlFXcW_qq?{I=v{&?mBl>PQL4h$=+efW@CkqQ<2 za*iY(-!WNpn1Zr`3@Za5k_JM?4A)Smy8ip=RX8D=ZWv&$*zGfA^Bj&I&O$K%xkz+B z8qwwU4U*NgeWf0jaVv`~2-5zj4ay{)i^Ogxddni=xiswZeUG!G+w+54t}iL1>G~&W z-HhY?nWp=3{yc$*DnCg%Sg1~{Rb&!j0yS9jc;1VQ1bb)tC>n;oPP@bM))VM~Xpd6| zT1(f*-V_RP?@} z@9+-g``ks9S}RYs#Q6}MQgb@;Xgc`0yL`iwWQ8rU;zFl*d+PdKHYhV5^FL=43p57Ova?n&%v_g0mqn~s zVy@ePOx7ThfFC6Y<80W3Y~T=ccnkg`x095_o@LaV$V=|d$nd6cS~4${1SgV}n5r-V zh}Rq9>tnr-lSrCGb48}>$_fiC@WOH$vYP_}h-K8rpGG&~>j#v+w5Kj9NEBt_<9mwUq z&?^CyJ}x!En?KSMB;m=2jxZBp=r(X5!h=WZP9I^~!O&-qFzuC?-;vJuQ*~u^8K>(m zUm7wfW^|fD@)`gVGOJ-|BCWfdlfd90&SC&?90hy9Ir9R^TM0yjlc|hn*vsb7fF&eR zC-x~ZVK8(#t-GER5`<|dURQP3?mx?~Z#x=MVg)?_gBc}_Dmv%zp1ny~knA=Y?Ef~U z&$DbUV{OBeAJ8;HF(BY1Ezmtqs#Rj11rw~_zS6A@_!)y|*sh(y5l0S$AP*Rlvb`LGNzng!p6VaN_HgUd@>+ zGz*vpQBH~Z4u+P)M`qHxnVjNq3|*7>7$&fRp|8=p`#Hsan4NIqRz>Dt_{cIEfWyV$ zbWC*4aGhc3D>Sa{6*`!e;tLqaEXWXU7_fck09{r}wot>HKRomWY;`Nl8=R>lEN}A-AN!>eiGKCkYCFDOwh@^vr_9I|{t06I$%+$>Fa*Is?G&U5 zN6d#eAq_bgulFxMYJ_0A!u8STKX9wwl0Isj#~iX|0;fK&Iea%UT~T?!<`GIF4;tpV zL7JMxa|CiYs2Q>2204XxByyv(e`3tjJ1SO2P48)(kh?sK65M}VCop9Dz{KZ+^wg2Y z_kCq{eIGd?f-yt{RPq(_gcCy*m4$`$JCmW$JFoV8YJl!72??dgBi`+S45Q(Wbs~M_ z0}aG{qJ^^LVEGQKrtSt#S@A`LxKFOByAh1L2+NPw(LL`qs4ujo7@LJC-aUT*A{!jo5Y0wsXKodkSRcRx-KrotmdYK8Do+z$|Ah2c zrWJi+g~F4&RdD@EJ$5K$eeyu}Ers~M``s=ffVebg8>y%ww7WM0sF5^8yhB zw~83M5X@aOC{c;|6ox*6H&^Wy?U%VPXo^Kww5*^duq4^^*mjQLIm|*Go*w%hgmH>4 zpuq<_Rhs#>7p($nt<@(y-dAl%NH?#gfo14Di&<1B9#>?7@8#^6O|FPBG9E7Suf-P7 zxT0SynQNc;WD$dvlqKQ8I?IadW>{%30UU3>X(IRv8T&!pTgD@Jfo>*0N z0E0vnjtC?1!a5pvF*aSOfUmzyoHVJV&J?+c=c-n52ssXDevg5(;5kBr^9v0}b~aQq=@#3uZnnc``nTDEK?$ zB9W}fj5tIAGS^oFfnuPmoaD!C*qXtkZ&-VwVwNQ{gSIny{K}X;DVyiNR8QrJCBc(X zF4e*Z#cpI7H=HPa2-MVjq_RUwW#d*JzL@0rk=p4KgR*<}{R7PO!_plhfB}@OMMWS- z^Y~){n4|~1XQm2+x!tk%XmZEpw)7RPe5aURsZ^PyMs2}2e$UcRzjHk})1WU5Bm@eaB#Js?gsSTJw0qONJ3jIBh zAb@8F@bGg01>e#&0x&ZF|EX6I<9*@(H5pnhK8N$+d7b-DCuW4o*WFbqHEiN8x}-<` zL+GeV2=&91FtP1j2QnZ@!VQU;4}yxg8h`Hn0+|-uh~2WjSxq7=_Hjr}T za5DcJk*{gu46s!|&uENn2x|Fl`aJGo@U8k7?{G|&ajJL^9}$2F+XH#IJjZsC*t74y za4=hQ=qoLxpTG&vT21!)W2z<&^UCZ?v0-~5FB70?N$eGhXAd}Q7vX}__{dkZ$6#0O z#Yd7?f37zSBTJP%zMzc?{|4bKq4>vbUm?~LRv~s`4# zn*^*+Svyn=s3ib=5A=LlP3FM_M~hWDAurq$Y@Hco@WksP(eX~Dg)mws8g=?{q!LqW+JXRqIgP@EHH6OP z$3}jK_b~-9fhLlme<7s0F(&Z>=E9#%;5^N?VcYK;9<@xJYoh0p01?(H0<{++=kOE! zF&B)Q#Gg5>r?Z4tY5BE!f<(Nq4WWPh`42=+srFN2&ZJ_CluSO6(RL04*kb@VM8b*Y zO3V@%+87^MP3vysw1SUR8V9fJON{rm$}o>V{}#MiVRWh!V^?<{xsaC6THSmD=8#Ym zq3s5)EXMXVCM)FdDHkwXTCpdc9AgvTE(-p^lm8}UFA|iK6VF7G(w?T}&DHgPgbDrz z0x0*pCv8LM~B-o{Et@fRgIBgApf|VI-@1wLeiLQzu1sAB|SL6!zDjm$26cALs z9$``DkUmh(3KlE#st;7(CL#Ptl=9y2^P~S(H zban?a0p~i(Vgc)Fz<>>z-{ntBgnrw;4WT1eV%rH!VLM|5&MjM(Jw5u-xG6FN>{z$rv_iitXbVX7ZjPt(!-) z)8&1E0vm>kCU3DeiYLx5c%$k{3v*pjjHp=aYu%)hPx7UB&h=HpdBL%u~rcCF&1 zEtZffSl?VY+H?guuYx3z?y~~MD(F(i;r@0im0PU<)L1ubwar}%$nU>4yYb|V)i#Eq zm_z@8mr6xtc0l0JH2|CH1)n|Quq!YGKrh2!OqKG{6-ya=7i^oewlA^o9BPlQ2$rO( z;OU zd(8Dor8;aonL@B;ebQHI#NsoL0|{YF)ONN@Q_LI6z*RfG6wuN?aexPmxXcFzSI0mZ zYk8%gc5`B5%qSdxaUh!SJG)bDqRXkR=n z;@=82mIl#@7OS1KP!J!8raSR$!!feonFUjkmp+`c?oYETXDPr0=kfIxY{&!-oED*u zW8s5!X=)NbxL^k!Fp|&Vj`5^yjge!lv?3)h1i?mnNb?PPRJTvEn8XknA&qIaK!&2V%Xfshp62#%OyUc$F^{K z)8hW&jVS`C14#T|F@CUasd@IXR#Nt2 zg2ME-@2Lrrp~MTZ*~V`vCZU*_aW_Bb?)lWE9?!F+vf8r`66EL0D4yeNU@eB;r@#4L zx><=S4`T#T@2OP8BwoZ6&!T)KqtXlS9}QbE3j!5_;Y2j3F!9Xt z@ZZa=O0vAOH-`Fxq79i|FhPNrgdky3NenETiSw-RG@az#kRU@Dm zlWP4i{pJw3_7kndl)%s%FkPf&r_aXZq|U*)t2FmUPFYeX)M@=>t)lWMI^FNJ?tP%F z{>zH}zgg$&zKyjmy7SJA-WvYJvBjRGjtJiRWeg^8#jiB@#!0w^4p;fP?bJq5Jxh9AiT zSN2#W0&`+qkr@DEcw~GH#neSymhD=2_)quTVMn}6JX1A+^|Q*00<@)1oywpN_)hZY zrYhM@?%*d%w#a z(Y~srGdvCMyyM%_1PY^DPsTJhIF|K+TXby_>zc_BxV1a!1AG zgKK2VF{52h*hgAbw#;wL0*^^FW2@~;=7YNzkSh0!KO92*i4l$P(GhK3P zRKFr=6FdCkIHwfIUb`S5VLk)8KT)(IVJ;FQ6`3^v0{BdU8#VTLKRXkM&7lNf7ASwE zvco|G*TBoe_m1(101E*548}!A4y>30paKsZWN@5U^27zS*e|Q8_a8VwE))yas;z82 z=82mqsukp-i5QKg9fS#vto%Y%7pL{?Y<={B+JC#nDlqv12TN@f0aZX3Kc%_1-eOn7 z1RL>WB8@xwDR|Kfz(dMk2EP6nw34CV+p<5V{XSg(ZC|%mLchX5bQMJpy$kY?L>)Y$ z%-9DPG-nY*m6-qRK=!B;Uquq><0G9g0c!`+Q-c@`BAXTv`z}A^9Hzvesk@is<(oy$ zr@hx<9C300cEFN2=)7AMc1&$v5N-j5j>P!Av)0M7ud5$zP2ZU1F; zaC*xPjBVY7VTpj>lp8ZAYLxV*OuzUom7JSs?Q9UY8N*wVc4_^yaTP z#wL;}of8=E%Fd*vHcoFarWm`1{adV2`nc7rSU=`P5f%5h6rGHMUYVi`Wyr?mg@_lIb}Yztd-HO01}ZVQF8^Y!3DlBLEL{B z4Ri*Ket^+rei&H@hF}IQqcJ6B5JK(ZqAl=QgxAOE9usZ-3`_$R;1=`7q4>5ptN-HJ z`ePRCRF9LQ6ag+1IN?{+Tm#1|s4Jhhlb#wy8e`c9VG_1BJq?MzD^ChLhm%bs^k2K{ zHZLA$CPzx2RQS5^p?>sfbjQJ;>%_8T} zV&CZjPlM2l(9wljQe+B|&VCZ$U+~%07Bq;));@>=gqJf(`!Q>>5 z5{6mud46|dHGPL?!DI=qmVQf4kWb}CIPJcudR|`SI9v~KInKOSvU?^0qlFhGMkig*rW*dl7f%+;ml)waT{}o=PAv;zJ zQ5H}k07(jsTnTbYyxiQMnB_2>$r+PcR%W#sIBSY zUl#TDslN1isds+IjjeCWwmr@~YW(I6jowUG-+yYoQTg9T%FEukJ`<{JTYM0$)uL71 zG+A3j55I7QaPnWmOI!c-AM<`GkzX5-gD^KSZ^oKOd~8*3W<8fH`b4g8`ts$~ zu@^p%Qcs>SNw2V)I`TS^HiKX8A>VkUQ!Egb^qmj<UBP*}J9h@a+V%c@s!{AI5@t8vv%dOySNIW=@qF>o-!CvOYV635e=pwp zYlUMMK@l=PeUa5?odTeZm6)&hN8DcTntRHIPq*djN0wzhWEn15c9_jSFi;3!>cjP> z3@HvbYn!aaxb6_#G>ZFSnbR|>y52LrgL(kFKeBr7 zKwZu6&hmd)N2b0!$ccO6pw_xDZ9pe1s@ysCWlp)SResO?dGn)>nvFJS)>!EN95H2T zIhzoK*6 zQ0DeGM<3-Kuqn8DS=2LO{qBD6y?-8f{#p#%DxR$^h}6yWxLS6x%(TJuPu|o7%Z1ME z;r(i{2CfE>TYpRf00000003zL00000001rn00000004La)RQ?+!$1&)9nNt5 z3q(VQs3=e>I!fxu#10^c9ob0%4L=!)`!?_M#%68VAxMb?BRy~S&Cbl5*-erp$(-Xj zi^8XV?=P5DOKw-%+{*VMY>4GNrfq7(H4K%0=k>Ms@A4D7I)CD+MgGS$+Qi!DU&X%( z>pDZNWnoFU7njwt&p(TQ5zt0$Vw%F8IO-B_pMMeu*2JSD>x(nsK|VBRdr_&C_r%$; z>Ca{h8(+?#DH+R)ceAS~0Gt>oDjx&m`PIyl@D z+GjyLa2hCq<$vaW3xySo+v2s^uhX7HevZ#f{?AZmOr?;{S9!j4#bW$I+WH8Oi2l zbqXQIQ&-1}yl^<`+me9i_2CxQ@TYpXh00000003zL00000001xp00000004La)RVbR!$1&*9nNsQ z1)`xtR8*4s4J5nWRuu3@0`JFl;Of0v!u)qfGbsFVDUX|##8{a=N@ zN!R5=tz{|v?uBKwZ2xEBFH*Qso0z8bP8fBGxBZ`lp=-k7k@bZS`XC#ev%8>F%6H=I zSaPA%Maus4WcNi+@R6Ms-P_ght1LD&|r%?78zoL8|Ug|&Mz73A4l8b`;`n=u*5 z=4EvXA;wZy$BVphIO^M?0R^v`0{Q&NjeR&2D)E@YxPJqV8bK#Bmqwpkh zdzH3m(6`&oQ<~w8#+sv~FqXP@Cmd^@(G0Jyj)qsWzJEGa2AGNdm&o^n9T}3X%%k#b zRigFaO#Smc*4HmvX39s!#^!Hs8ah>TH+2BG3>;M1& diff --git a/cpld/db/RAM2E.sgdiff.cdb b/cpld/db/RAM2E.sgdiff.cdb index 4e55e88b93f36ec8c52da4d838b7af44002df4d6..bbff58d90943d6326d7b67b73b4d15b5e791dc90 100755 GIT binary patch literal 17304 zcmeHthf`Bg(>FzmQUWT{n@AH70qKE&AYcO(kq#mt(tA$`y(m=_qy_{9LFq_O=v4_l zBE1F(5JF2J?elrw_n-J?-g{@x-gD2My*oQ+e|L9hIcaEUez4H~8~y*?cmL5B&VK%` z-d-XKO1Ey`QV_Wt=<4AlqNt=Oa$7}SK~Y}uwt|v~sk2{@tE02X69-3k5d{&`2O{Rq zuSD)Sxd!~(S@VnTpBNgN$2$KdG_M%{hknxg9~wft3t{@?sXTq!qGuX*CH=bDhwGn3 z9zUo`eDG9zTgoWY@7vp}T+ZpKp944u($@#SBua^ZpXrC8_1;PunTBEaR!P`AC+F${ z+fi-jQRf>ul9^7<&W$%@W@k5&|8u!FT2XP^;YQYL%sH|19M3Ld!&vPj5)yx8o$*i< z9)w<7=e2y@F6_%R!9vT>>A`&aSqOj85a&JS2U_X`)s4`;!NJ=eE)}1*aOzH*-@7W^ zKvE%^T8rIkA@#bX%%%Z;HXnI9uPeEGK6Ys#9r1p)SHl$fBiO*h)?Bj*pQ3y6#6JGi zfN*&NaX@LP?f3UG@upuR#zYsg&8}+4-iO~LU)mK3`Cxc(gUT?)@~Eua z+u45UjQ z)14n>K4he}%>wVw1ez8|dYM^n84auJQTyPbTcE0?d&0%VXZ5zW>Q!Qthn}m-%QC>g zn~HA*oZBxtYRxtFTnW2t=)_gn3YV?M^~!%(QI5)(=^9>~h(91}<&*0OAAZcJk?z0T zzqfoFLMwe)iGlLCpt!+GuSKH#Ub$O&@J-tB*CfQ$6H7hooaLKq0_&|3?xBGf%H0Sy zGZ(@iUR|YpbK_a_RbJm>F0OD$cENut=2A3c(*+F__4$_Tnf35g`}T-Armh$1aSiYw zcRuiGpvFOKk4hfD1)Bx;hMZo1(DcJ?@SR)=3IaO({K&Lom1nK-)odkSe~4cJ_oo-i z$8BFCQ62v)pt>(5G&6qA{l;dfc=sD%-VLKEjb9vg&P%9DIf~r(*vva! z>kgv{n5c1oYO1%S1rela*?S;KCOmJVUoQ0Gk9fPfJr?kNaZb*#VWefezkV&!i~pKR z)Z@TMYzm4M*9x5Sqj=CgVSY0{K*er%;E&azF{^7IwC8hgj^$lbZyk{Ebz459YnS~z zESn0|!vPD~bOcZJ91!!rqyI4XN_a$p9ItwX6Tv?O(RCXq{m_$ociF~~*gY$j<~BP0 zN1~P@mdi0NQ4A|C1_q04d2AZV657e^PuV$J1|j$Jyz&7-wgRgAck{1o?|6k?JzaVG z#zvJ7SeOhxd~>jzqV^>#@Xx}rp$FixQWZa&)@n)D_;Oc9mnAgY@L`%W=;KxJLi9Zg2vC89Cb`8cLfW zzOY^wpOP*yZA$?*p_m%3eu&rilz1WZi7OrG6Wh!ix+W_nmp~QN520oU^xY^u>ZTzO z87n^7TxG5GN+V{otF0y1r77&YOn`xvdRVDo@Y5#X=ap}Lx8^(f{|Ouu!wq_8Va|cG zJ25Vmg4)q#x2?K}O|PT5$M`#2!J`fYy0kA9?Acp--Gp#gQPe9HB4L|(25h~_6N_Pa zBXtNKiTCkTtqPn_0?!9L^GPXLDLmg8PGdRb2I6(HI!wFlu{c-j?H9S$RbN1FNt_O| z8LW}4fhl)giXU%fOb!11O|GoB3)$LyW0AIIakt6woK-X(!$N0Rw~hAwX9;guocN^t z=%Pov@2;n;SbQ8k3<-b*ahF(se#{IiRCekTjI5N?;{_7o=_UuV104>;A)Od zQ0kU%n^!1U%uv}rQEo~u?NjRJWmF1dT9Z$VvHU^4XIspxfXIB0KXS)!rr7U-ifM_7CFFxk-m1o=hvygGRRMu z*X%<&Uf}yW?_RCj(07HMrnHKtzdyzvh$##yajo+8gWF`1D&t1uM%unMzt`CW9sKyY zi(=7&lRjP;zdM$i+)1D_;vk9#rK%^jwPDzLuif{^?fv=sjjGZ6#*^~I3a*21|CCKD ze+c?{^pjOQ+ckLQlOE{)3@5;|_hU28e|>Lex%>7z+7$JH;AVcz!&Ln0wL8=bVrIJJI{W8d}{C-ni%$AOQC}{fjtoYDf(f=dzYIe z<>a$|mJx@^mahws;UR;7UwX(mOXThT-Yl;NuS&41wR8B|g70iBtR4?eU+-~!cFqSd z4$^F^OtXJFlTS>1RNXni6p(`|Te$r;XKXT|Q`sybLSg)lwY3RM=C8}m)^Yu&q{dh3 zvAA*fpuHLF%gfKsLYy+YJT(`)I9N19CXGE1imeip>ksz$kNmGhS(@+{K2{b_FIE0h zx$1REz!GPx-+}%%$&FU9r0IDSWTLO8IBnz4rmXtjeE?_L?f=wi(GGz$2^u~yl-3i`Nzl+zwp&@P5kygx*;PLPhf*apP9S&B zN3N7l@|JrMIJUpA-{J&%s*1dhO15Qti-_zHLI`47Jz-S}@qO&N)v`+THlMUzyj8Dy zjWzURWJJckW0I4_{kTu=*CWy8n=JO2<*dCED;c+9y@D5Dyj1L(-l+2qUzKRHz|?XT z$wp7Ju2?AhhQ&a}iAs&AS8Bj$#?;VL{~!GFEzTnIutr6->nSs7YoZl}27wxORnwmf zHKvrOLKyG_ZBHX|>x{x*p_x>H9dG&;+wrn*ZG~l)S+cPm89@&M1%0CS599pxs$$N3 z9>W^`HarppVDu~N8($m+R!(m~Cfvx{t|!(%rmtsOSF)X#PM=|AeyHqbzCfSctCS($ zp;O(?d~v1m1xuhNU0Jv4l?I(a$~KQ~=@H4jx7O?A2F0aR!4y95T~F0;^hQ|r&n~Tu zn~zn#J#>?Pky|;!)^*f;ID-jO#lP|MehW;K?{Xc=Ek8{E8MlZ^=cwL4x%S1ju>WH{ zWYa%tV(WHL;?d72cF0FyQxs!1{BoM-;%^R?+M>tBJ=Y(!cyd=%rwcpr z!l0!pVJ2JXdep!{m(kbDJA9k4jH%~ityL>Ix#uLo`D8mAr891JkvNV?ja0mA?;oWZ zW!AmKJ0?w^Dc&#@c54RTw>cs0L7Ebd8o8!6*&%N*>qk_%pBk6uF7G7}&TUlSfxGX~Tb z9+{p*_4j35+Ix|l&zIp|dGa+%ObNSEd6jQ0g>US7N$u_6$2X^VKM200SHc(ocAtHb z5X(^Ws5UGSze!CUTcx#-%xI`humu0KOS~e}@pxRaUN-ZJw0#IWH{D-ZAYE!g zz-$fNHV^%g-Dcde8>T`Ef!&$cc__vTK7Yh?v;tl@{hav5u)aWSGxip9teC?CKT9Te zz2>)~=-)mhL*j#SzUt@OJaS*Wdiw*}{F__uy1ijDr2jjX4CQek(wS~oJ<%*(eF^1=nKZFq(5mJhh~ik*%x942nRQSn`Pb6}x#Xufx?;z~m# zw}Bz}F?goq)pIwzW`5>2?bCsR@DkoQqDj>3_M%iULO}>*R+aE<6TTK=2)mX+p#Sih zck;(=&J)Gi-l`V)iOta{otkZp%DBNmR+*hgAKVGf$BOmL5 zQa$|iZafLjG4yqq9n#iL&ebcawQ@-nFv->X=fkwwIqt+e1`4dxaqq5unbzRi9CK_i zZuU_zUP&z1TgV4k-H+)g5!;tg(n~9!NeYXipVp{7N!;1rq}y=8W5zPzwX{3E8;2a- zOXqhk^fSHfdn7ym=DSI-epSsV4SK}q%gbsyQ|nUel^3^m1VzY-I=fZ$^iEYto0gfJ zP#8~i>?=`>EDulpQ**ZaqD@zeZ3YwuZkWHBOi%XMx<6H=Zk+r&upssNx5vo+$=+n) zs_{oz(+ulvg2WNSfPf7A ze4(-YHwwYFJ(ICw3BT_yzzIi*GXk+YYGK9mPRVJ(e9AZCLaZm7ML7fCOr`g4KX|Uv zTc6b;XDB$}c<;{jd%^SWKU(WwM}r+xyT{HAC|kZXB9lhXRT6CsJ$pJMr%I42Gb+1j zso3KoYfp}2sn;5z&=nicqjxVeBV=(c;ffhx%~dOdww6|7N-6U|6j+C8Uo`lAJ72#U zsNp=MH0f5*t3!W%na7bJ12)27DnS&Ju*A!2P~f1YENuCq1za@C^Taz{bx`p|Jb(|L z-=o}!^k4Mrg+a@my$YO&MKvy0=-tC_Y1|t*V4Ag*^y$icPEy@Qu!4b~URexia|;w) z$|q!Zs49}2^=Gg}8PDx(L~sb2GkkB_RK13FZ?x$#)I~2VB7WQ7-hj+9(yN z+bNii9_lY!n=kHL&AH?Waq%h zEr~4M>?ScX;35BBDv)&InX45a9I2P>+wd_15+^0@iA@#|2A(G5Gp1C_D+<# zf11R4nM<<~nE{7P;uwMaxvM6{n^-*y)8JFgO_LWY=LwQuFBb#SEuZXFhZx?Qj=Og0 zH5~`aNmIEjn=$tJoZKuS;{Wn0|* z^IuRsTQ18*6KU8DQW$Z5>-RnD{mIV4!?_Q=Ad8fQ*A+7)#sF* zDeXh8u)h(^obj)}v-E}X!dgHxtCSANt7mFaSW5H@WDyg?eF{qxjuqY*8O|s`3g+ z>?%d>54C+2>ECXrJw({T)dNSNUatO>Bi$Ypy65q(f4r(3G1#m($+QKx+!c3XRd_5) z`MLwNKi9%YXU|!jVEISQdE-pCmgFGf+fY_tb^U0+v_ByN67EDG4uSPy)AhTBuGIUy z0kvCUij@)z9=s3DWF&-j%%HtFXPfU% z6f17dC7Z@I(|s}hO%K~22Z4gZak)R8s6{@%@_7IWXD6xE4`W=4p~~7qIm%K>KM777 z{9=916qoM-T}JWJV}?G^pE~xuA_>)VJHUMu1M!X8(U^J0J9q2X=HIc1t$KKZi^rp^ zJs3RvxCnb;EBq1cgdF;Hy?l$8{( za_t>rhw`y=agrJNmd9%5-*cWF;#5(k;Vmp>YMIv(64bi8os+-nOd zoO|s3^=I|U>XG2XT!`!~I+ z_*eVVGzL7As`3235E}_f@*hAup=!>XwU7B}4nYm0M58oA*^ z>iv{`FV1oc^=1E8rY{5mI(|ei+ui5TgC-2Uq+}9I3_wXyWvR#X#{< z)M7Sf?9;JkE8Q4{6kc8h)g#Sy`kH3XDqEYno!fC zn>BtaKUpC{P%BgE{q|XYF9}I;!vo9u2V|}lL-YQiX&db?Yxq{cgsr>x`W_{lzW7Q4 zC>KGS>d=y!r6xklzyGLknB&dOVnpqA!CH$I2Mop};|*R2ra}`7%e(o}5Wrp6%mLY;l*3qHOV>ch(M{;{)A6|Mw z;cfxx@92IR3KMz&Zcj0=F}TQ(XIHcfdfv;FbEtFPE}UT1KV0*zT9lIg77btsT@Ox4 zT>1uVhcX2ve{_J@>z%tRryE9Ol=FvHxr?N=o7_LQ_Ywi@Gg;*YiXk7ft~gk#ePfB?(0+G@XO>Ez z4+xe%6ZVDAa?ra&qEuEM9tzWK%Ud+0Rmz^3kjnVB-UaV_h)@P}p}eTCejOo3L!gg` zXqxO{`Og{JV#CRvJy80HO!5JIxUkm{%FpLg-hEfil#SwGGL0}pv?_?uTI=1)|e z01c6#rC&K*=qF@E>X;^7)=((v`v_!`CJux=%d&qeN+w^0e5-!&&t=2L zp$|&6K<7cyCQG0M8%-e}IrUwfD^T78KQqJs9oLnNZ?bo)5$f{Mb+{y!>D3Iq9m*`F24;Hv%bLXQP~Yn5<$gC(#8 zk7#)it?oI$Bd8}l;)7vTiQ{b0!BHaNFU1V`?m_q}Y`jzZFU&-0G`m~i6nQd>i+7=8 zBPcwenFBXJ8~XQe9{J}+!PbLHF$3A5oT~Fn^ofY(zrw@q?{M6vhr7?GR1E;m6W*^( zTy;pE8l?z_1j3?3Jsj=5t!a}2@Cg;s7IWjBV|<8+f{%p! zQ=zroTQ}lt8UC@%NO&0>@@O2^Xaq`2o?xtiwx|yHt2aoa>GgCAj|AsOxwW))TJV53CBENsw3>AMD%k+?Z1*URHgnWB#xjU zk|676&;I&vuM%XFRUz8(?kg+&q!4CUWopCzuIIc?Xk`&NDD)e z^p6keZG;lj8mEi0Y7fr~0$F~-HU{Wkk0W$ZoVY~OoeFjam_2HWUrQy>WkJyhWn zLN}6q<2km%+oK^3WKCNr@&%b|MAUiElYRpx%*oI{ED|I_A*(HE4x|ZJo9jljREbhj z9@tlB*T^4;X;%-B`AqYr;Bms{KcUcQ9Eo1uB&vvMFi>V2AgaBIHs^wOCLtno?ahvC z*X$=cDP!Y|MW(194_`>8AC{`O*%F zH}Y%91PAN*?hp=yK1uU-MAiv`y@Np2-T(lep1&wSTx6JV(a)*%6xqMXcFq!_!T~iG zH_>G5O?b?Dz%v)`buh~q`|q%<3N7i_+tknLkRZj_*Hw>Moa66OhqEh^pjmY$)8HK2 z6M4L2go*sI7XLspwdv4u!sS?7L9cv}liN`q2vB(*6`cE?2}|d{%m4Wt*YDxVaqb6C z@$(dcpHj_EIj{FppTh( z4>Lh1dJxb$xgIniHI{86cLi_g1}6j}bnNXBSb8e-v%S63(#LE@5Eq)Z6p>&BJ)p!QFngd-t3dHn5*t-_6z1u%$SXgn>|A^i1B`vmzDMKMO^Z1cA1x;{>~GC*S)zQ zsr)ZxTD!pn+wW?(4_k!DNR^=gMW~#_`nz8vwod5YNEiDZCdb{*S0x0UJzQ`17EJzP5|%9nJ7+`kXM^rF+%7)mXKX+Ay;8#5)Yp zVul4T^6+H!Ts!NeTdV%rKfW~Qj`d$4p#+>K1WglE^5 zqujZ|9s>#%yVdDYlmDirmpZ=2YLnt|7Sk-&gA-@d(RKuO*b3ZH_7ruME3AWwdaolw z!{8*h;%;?tF*kxmXG!52kp+=srNO@Bi%IDa+Oeswxt+7EOTDs%XL1m38NKVdynUO9 zh`_b}8s7{pShw0V+v-Dy%m+SDU)sS;CbOTc1`2PpKC3Ri)M3ZF7Iuc_{~i?x?U;Az zumQx=M!_eG!9Xe2l0%KW7z{Zc4*Gl&b}eX9OZZa9o3&6;Jv8QUecXMWb^uj9wE5H1L$A~EmA3|01=U5mnxOw34fB!CNSU{vf=l3vRgJ5M_$wZ7e~qQs(FgIZxm2iu*q4H4{-~Z@uOPv?F z#B(&!?DKNwd;X*)OZ-#%7(Os| zK$dg+6 zT%@?Zg%={+Wo)!Y&)CNs5@B^ncU?N}WT#IrV3JO!D8fze=R&i7=a(eA0m|ylg@`L3 zQyT5^bR-LL*c2C*{$bK|*ic(YL*$IR<5r_^;bWdkynKZHxIN}>b>Nt}cWN>ERsfV- zdsjXJ^&X|kk}~ewoQda8J9oLRF0@^yQa%<8Jhl}?dMv6M5OKMeIzoQ*L}a(VX1w9jK zE)k)BL;E21z1)@lv3I~j8;)&e`{8;Sr`cBh!px_^zns!t=$vNZ`h}CCBk~e4z@>QE z5J2OYy^C&E&%dd)P8K$6(EpaxEZt?W)-KsyHZ~qp{9v*)cjdfP^UCh> zu(5Fl`r@D0x7w??j>(Uc1mPD6 ztuG2$sR^A_jzVmhA|DJDa&ANaHGFKy*O3e9E~!HzNEZ<#;^BIis>rX)iMuYx zn9g(e`-45+ZwJUHCWCcbPU#8gKX{RT;=zE(2rvD6mz{g_zzx#5s?$nn9XN9isi#Lh z4JFj_-^K%zlWePr4Cr7?$O4Rw$q*F7G@9kjn~z>}_91W(ZGnaetpcxu`yW+Ur#c{i zdz6SrM6cZ#m+P9p=m?iAOoV@)1`~?h_ihVrp74KitX)tHNtfzIE;m?95*kbp2QGch zVYN;+jS1*I1JC)hsOHS9;)OqwP5KzM4YcJiP;qb#-OE_VJhy|#hjieG&JA#PQ!UbW7A<(~)IDaa?`(95(dI_a<|nV{kUJXHs5?*kh0j5&jVvZJ2wXXVe)Imh`BHg zgJ^N)iO&uC`L})ScV4zoKL%|QkhcXP6YA^%Aumo6e+2u{At!Q#4+frVu8u(71|O2> zmu9bOZws)sV|7rK|DL{Q4O|&dj(`n%XIi=tNRz7vp^_Ge+*yCaDV&BeGhu3;;SW5q zZ1e07Mgo}jk(hFI_(o&*d(E$0W#PVJq7A!XDSHq%tYZUhPCCJF7J3Z__&x%$AfnM?M#|oz?%I%RVs> zA*Y3aS(vlAYe0YP^D66kHYFo{e{3rCR;85aS!vRos)_@4e!#yIpWo4j(tK(#|Hk{w z2ZD$}B}JEE%Z~Eay&Ywj7(2q$Rh#LxUxp4EYjiWYE^oga>tztjk=kQ6ZnL2~yYI;5 zY;v_nO*FNTyK3I;ceK{6e`SMR!)qoYqHF=T;>e9!jnMH^u+ZUH+7Bk{V>gqkw9+f3 z(u2%HwzuCW{p)VyoJ$T`4ut5(+-s|{&Ba=4QmnZM*`I$amWLY*JvvvV?>{nVf-@P< z*zaAirFw0vcO)WDKA=V@c<7fX^(W&WDdLp0NTf=oWt+Oj2Pks}{M55j@2fmy#hRxL zXwA9WEBV7DvcJxV!I)>8B0kD+Fq2(SMt{cf1;o{^G>7QNdl4=t{6Q)+sqbYYbn2 zbT8i8ht~9-81~D(9mJ&1>gt&-mbZ^)b${c=>+^oDmmJ>o#DZKJkNO-qsCryMYweph z>y72736fIhe}xO_&fn1nJC9+fy;S4XbBAEty*7y5&8do7H1tkUL5bxP6QE7uTWp@HDphKFiw!fSmc9{EpD&5s5 z@tRrQe|bx1K}I}C^WHcrNV98hug9V+tZ`2B;9F_QhIyUn9JI~0@^}J#Mv)E$d<*;Q zxp}FrAfXliU^gh&t$Hs=V9TW20xqP1cWW|pP?{J&O#8Xu_2i#+CSh%IoWCbqWOh|J zgYqVtICEUl$k0Eu>e?&exF35C?!16r5a01x`;2cEGBLf5SYDtz(#5cQYR)^KA~P|| z)NGOvzQ$W1I#s*))Q2!=ZNZ22FJylRYY<_g zO1#l}i4Lm_#CKOkp9?S&l@_M)2=7;KsE)cY1gJ2>SLNkWoxGzOG<=L|SNfdyFZDua zuxuAqd>Jfnn4a;sV<#yR%hBaZ&7=uNG;QtPZ^1L|Ui(sC#+8!UDH`pvraOcGqH9uf zA8Or;(7P59qhtAZB8NAS{gzxlWO!Ks9Se%)smUlS;J&cOW4u_<>54W!P#+yRa7FXn z3X%{&bY0MN3UXY?Ph?Mh&oZOU3+yQqR1AqX(R4asMVOv9=ov_sz{)bm%LIoHToYLL z5AMgT$;G^Dty)qF;aXw^c_%z7Y!|H9aw3FwD3aO*|E{6;(pS)X%UhW)N}5gqhkh?+ zMKk}gu_E3Ya{;{*ZffM@hid*~*HlvronU9V4(T0b(Xpvnql!++d5|p(V4jN2MQwrU zF8li=?Gn9ziU12(3&%yt|Ayj!x^We-!h)p!qh|Bk!$M)x2qhzWHOzC%x)OG1T$ zpmiZ)b~fAP$>B4D4)3d?8GPFK1HN;g=&}KgRi#2YzK64vdqWII?rBYmJ160)Ky&UJ zkOjz{zo1kV@M855#C0Z(se~LdhC>M7_)cfSG`M~>Rx0H{&y&6CWC9&;RV`MGno8eh z7@VrKo0s1{QZV|MX;?j?y9$=*27FX+)|Lz%$BQYP1YW?dg;>}hUzg)b9u}K}Q7-2S z)Pom8_>@l}1%k-@qv*<&UnV6U#u|Ad6n@Ara`hr0-?Y>L&4L8{1NfmCmoQv=#<8u> zTF$ZR=|P;|Ne)Ofwc!sRF4U7&F28EK&nQ?iH?|Dh`6J2 zJ959}&aYp@)TcQ9)$Hb5vKQ>(s;iI3^s4i0_(K_Wq0$7Sz1DiZWz`Rz=1ipA%Y-zWee%XMXs~7uquFWPnPHvAc*dvy0u&8CiyTXI}s{tkV09 z0}NopODO0>)22v{8DQU07|*R~HsPq1Q^4}8ZPTyy4+w|3$*7li6Uc|mt2eL3&+LHL z5;_a`fs~iXa7!2KoJrvR_|Dmd=W&qexrZ%*3CAr}bNy$~*X~okRBWel#Hzn{cYV_m zLym0xirP@7&*4EBnrHb)3uxxOq0Vp|bSp}ji6A6?0Hd$MgNj_XeU|)QUZkYaqWzyK zENRiE9sfi<>z!i{{7bRcMOr(od4AU_5R7~sf!#hN*&SS5n)>P8INv7D4hvfIrz`iy zf#bdbJ`(TDBIP+&Og6SNzjElh4RDPp!_*n}be5(JkMb=QVp=NE9k$~iU2kVliax9N)F}gS5#|DIN z)hfX-pT@fj^4CW~$v2l#`iJS-Fy5hk1?$Bu%7aJ@I=38qKT)?;)-;IGd#}g;J*(XM zdhil`r^_#HU24LzX7#Eb+&gCUOFC@n#>85X{f9EW1^#QG`Xi2EUSLh_QI!_3o__;* zpmhF0I>zOAyKHzFh?b6l3Lg-QQLmnYD3=aC>SDr5?-l@qb->pSPBfG zY-NJC821@D^BdH@hAw==T|_IRUtjwNVW;pO%g8niyj^ggAwN7=*T4;{X~RHhx~{g@ zsZ^jW_K&pGvV8t}B-5F84{SS|pf~}DV8vab2qk+vB24;#c;#Gn)bBIeJn?Qc0eUf_ z?h4`gC%tz3x9v>!m05_^%}y8bG6@pFN}TFhP;)>C zq#AvL^3TEoV;>F!qYKi09dj27!+0S+zFk#$>t{0V&;;Zm_|v+XwkeykAF#;SrD{G|#}y;`(S z-zbSk{Obw;ZIxOtTRJva3Lcjk-c&B_sTV$=8 z7wleMiU_sA=$>&cziA6%1+z;=EodyaJ!P;@P4Eur%yuUl3mz;qM`NEY6MtNSPi3I= zUNM!P!nUY*h7gmovVkn-MKf@QnQb_sbrQMbBHjNjB99mZy?Sgh`6MJ?IS;iGO}3G^?B5WKKo4_|k4A-8M=Y7Q-CNb<<~E zYynF!q0rOrGDPz$HD*iiE;YN6%&ea>A%l2h$LP9#G3G$H@t zE0+leFiqe)tB^OYD-S;}LAh`edrUm#}=K1x*?3v)ehP=e)cSV;jBk^af|I6#*Q{<+ZpKx(au#GO1;cE#yk zSilzlR^Ch!=`y58w&|iT(0JFP$oVP%w1(d-vdl9lL|$-Vk0>08XFDPJ9s%sebE#1&j>6?%JeT^ldZDHnJUB7GWw^XH(yQZD>)eAJiU;UW>X? zBdY5%f_3f_Gt_u_A=SuISsVW}GZNtu3u5vK^%PhGv5;IjK)fseYKXyE%OG|eaO3zv z_+KkZC{4+(0$DQB#1fr&aF%~&D^9Sf4*fc!B=#6;w@higK$Tuz1pLJq68vfLUiy5` z<`N*rJTZmA_?S_~E$+p4JAsQCr)EV1Q%qvK*`Hnhn{yfXXjQ4yuT4B14_jbThhHe* z-g;VXq%CdWcluD?uy74(xs(vw{uQ1O#D9EFcM`qWag2IU2-kL@k zK4h%j<`^5A2=VjR30mOxM&@}s0d~c zoY9ftHxH-x4w@?XJj!e2LbvJ_%6G%%+A+ziQ#J9V^_0#B8hu`0f|1|pbPwrB{Ri5b zj)(x8i!dI>&(nwAOoiD@9_zDBb0QGF)*&_hjt-C__vu4+A*&DF_o=?U_qrEvWI`{F zp{+*ARz<7ZP_}O|ZIsJ!USLVjnQ?PgCfHl&#zG&xcb3&_aU|9hUZ}IWR6ZPg_Huw8 z6Ka1qQr2Ji)Vz0Os#yoJ-)?qr5l#Nx_j081_RnK&65wr>ka;OlWOVba@c0j}c@^Rf z%+&u##-jVcV>vV#)_8bw8gaealwIGtOG1!Ls_M+h6^)bhS)D|XMgCmn;NFeM#h!yX z)xzxTd3}zE3XA4#^xA9Tj#CsDoqcWxwD(_J&a4CDR@;r2*%m|>vRR-l5*?1ZM0jN! z3`gRB(_i5I3w^yLe<;vU-9(8BT@B&Mv{G9#?|AV;%p?5DYJIv^EA$msRo|s5E4yvq zt(V+77k*4+}U6s3PMPMRz;eWTK3n z{2S2ir$fbL8rR(z-{y;?ehVCZSj09uyul8oC%?$g`w-!H9V0R@)N+QqvEbnZKC%{S zg<{LMmh@i6@akfoj|sdZ6ZHn=hB1^4N)owkfoJ03@k?PpVX*W%_a_ri>fv|K;6|8! zL7Z@^dTVxEOf(BA{`BAq4@;HWt1A;!$^M?=Yp7`g)nEyk$+xQX!18oRYRU`$wwqeQNj(_SiA+c{llZIYU#RL&nZ|tqI%AY^-b{PwX>Wnh z+!l=ciXPZN+8q))LlLOr`or`W=_4;q2opGr5Q3#N1H!-6sv(@n8nha3I^MwUZ}kXf zq;hpMP}h~>cjXs*X2^M1yoU{hmrMjzq|IhEGl`AHo}Gw1>jpmsP373m75K#0}#%NaTk)Hq5PsECO1_RdHJOaj;(zF9V7SFG}2);uOsH47_%SQ38{7slKSexp@HbKDi z^%HSLZ!FF|N*uJkoq_UGv@B80A3*MzL)GLO3M8TA!~9rJ=72iOaqdOp*=%k0-aL$% z7f)7*pw9~^6@L1zo!vM>Cpo?XZ0NItc$oU)D=Gve4AZN?JD#`5@0p)z_sIxpPyWN#LH2`yib^5A1B{%73v?nyeRZtgwmMq8%!`+OyG1X zJ)QUFaXc$KfP|4qdbpW2bU*`wP2*@0j1FSS-^1eD=|YWM($^%Z@Fz2Al_72I>o`_?2z}kMVa~xAV%!mU_4-Kf<&Xys z9I;LbP6>C|8C3zj+~RqF(aoU&apCMuYhSpy{qu(Vhg?JEH|!M%p&8)uWzT=@-G_lg zC@!?^;k|o#H|#UO_YS#YVBAC8Y>S&iC~^C2u&wXn|84v~8yrvp|QJH9H zsMHYJSThr&t512LC3(+M~6hqKA_8@7E zt44P(>RzIqmXCCcuh1>-F0Qmn|Sma|ME^g{?09Vjh+Vp(1j&B@z-7 zI21i0yD>2V^eH!YmWu?G%U#dHpRSyMPrzQz|G^t)(Y<`lR9f|$vx4o)qwErqht&qp7_&d}3jm_UM}nV8 zIq1K$0bHUVH{SzgnEufzw&9dxHFCP(J#FohVagWE=~VH%JjsSuRzlgQO$oO~>$e-G z&!bFdAoae^?3onTrF&z{cYjSMMXbN%I`Y$t>in7bZ8j8sdcobr(BVmy=|ktQ;*rfC zIfMh8;YzV;6D)V!z5#hqdYpWlVRs&pxB8ybU6blrd)kCryKiElUNn~oI5njY{Nl5| zT~Z0fl*B*06M7cp#6$mv#&6!)JxV8IMq81-2G{h^KEp9v2FCRBRrtu$clb>>3 zx*CxJBgN&{SS)0j9i5&qM3)-0Dlq>LQL3@eFUTDb9S(fO%lbC6ze-Qaxs){sUxAen zn3ecS|Dg0ymXS2DD$R9*_E3n%-GnUAR_K0*{zFB5DnG3$!@B16qcxBdzx-er+zMbb2?r9CELs{tD{|GcyD@^>_?WtDFH+nZEuTEcb)7W z_hF%R9{HU})4)Q*lOL2g?x)31jcK(LY54Y}fGLMg zxVyRES-B|DvXOYrDN9=43mb_%`x^9?Ad~&`+Smu%m>PkYr;2M8M{a_gwcZ}~)VR(| zBF7g~Cg?WRosy=-?L@HI+}XHVA?ByE-VB!q-KKHqoF`w!l4-@WJDxo7U(**mi{v$L~%mYs%%riz*N{O)spsh`7F z4&FY_9`A2Gl#zTO`S6yypR?QBThcPpw;sq#J(QM`e(+G{ma&7kzq7r=Edx7y*IN&7 z89%>e>hR{))3?sP=QRTtFPxL1q51b8we#|Z;r~J*&HoDnX?Mby7r+|zR21j>t0&h! zyDHxnM8u6hWiotj_!lk$mh87)J9r-Zq&m8Mp;(wHKRx-*?QvUe#k<~#pEw5P5Cke^ zboh(8415V*3mrNotM(6{&SHmcY8^)`TxTpoj(28HbH1FHs%e+@ z7r`_3#6zdJe=a!+s{l47TN(!eRrJ0o-)V-;Gez3rZ#2TuWwc*7V;?-Oc6v(HJ#7nh zak@R2MKL&d*uZve<%o~ zBW4T1Qcg7f=6lb`slB7~Tp83&eSuVlT(3K>8Kd8C!al15LHr%d&nP@&(RCth7qWh@dC(P1F?9gNbUd^0vg@JT5Ix?`pEvm=oO97` zwRPARa~!ejy_{?pLfRwQoyK=U({@5vc0!AGRMD~M!=OB?J#njuG%lr!ud$I+oouMr#H~Yeq+FhDRaaN3}Ib*MoO7mM>(eW?|Wv z{gp?b+PdWe)U`;NKzd1~?b!z2f@Nm@6;skQ{-r~b*u$@v^}7`%0fFX~a=d4^e;u*m zhRAkn1H(JS#z#+_>qXN=%b$LCEq79;9lt?_O&B<9xaKS?uk-E1h&HrI(@n{Rs>=Ua zxGr`=oRS;f50oqQ`(T22&|m8_HFi0=C8iMSJ66oU^&iT00Y_}pIxaM}PEjiOTp{aQ zWFPo9sQK01zkc0msM*{Hiw)I+9y78wRMbGOvTj9nKVQw~L!0xO8K*sC&ej?r%gce3 zp#cHk@7oXiUA^8QOIMP4;vJT>&&i`Mx6lc#-=C7(nWuTKQM3MiPy_k*q*lN!GdCIa zW{xJ<`JY1N*CIec5zixO>rDr~W@Sxs>KBVq35tBEE9NiPm*YTJm|C$lL>gR#jB(HC z{3e|l^Wd_0u4*Bhs>++T5zPLY|e%B1jioe)6D*vk%eW&V~HyJxJSwtQ2=5tdaa_W2U{dyE4Anx|&E zab#hF%w~F!4WfomDc_Lrr>wLE4qpFfg3+nJ7F?RoW(W&=yHPRwJ|tX$KkTD=@`RSM z`JU{?Q&TB5>o6uuHndwb1{us*99}@E z!qm>%kpj)Z$gGbanZsBZ3C2g$!i_q|(~3ZLLPGw|b&C?uF?G_g#Pz|K zWyX5y-cR2I3G0fE^NB0pmHKeUXr;)wET;1En;MqxmZvo=SPnY7Zu3!KQ#GX z+gQEQLxl)oLsc_;nRF-aD|;I43YUZoTd|Io^Mb zxs2mhu%*Dk(ZKJvBFBSxSaT8AP4+LjboRM=epPffZ**w4wA)N9K+&8lq87}P_$RK3 zQghFbqXKxnmqd|bi|i)@hik*=2ef{W`QMG0#g7=%xrmcjm`de^*%y%8;tm@V@iD&^ zc1O>i7u9krtO~7+3XIr1a;q80>z#?fHS8}WmFN^+uyPco6~ zN|NcbmD0T`JIc^F}E{IYrRg!!Zp=uBg$=f2n#-= z&rt=3{DO<`Gov+JcgY1^cWS2XzCkkEjSekFOeBN-IjW}V&)WZeyBRod${6$h#<5{q zlzdpI{Nv5Hh|o&2xdy%A_he%xbzT;Oi`)Q z)33Q0h7mq7h22ze1lK;x{$ea6#U5a*{-YB;skXf;C#Fr|9$cDL4OaAILE9osU-y#=U zc?&={{0*M|{zjOAQl%YtX8W_PnUdvtk|VxJz>K9mZQAC_t+f4}M}3^igV_NS#x>d? zrLPgeyA@u6acd`=o8U+{=%rJKlyqghUU%8Oxw^Y8E-o>Gkw5X2oPJsVJw!>rjT!!9 zgM~sdWW)vjJoq&ATLP3b;L4BQuD$GEo7NBV86xQds=mqDvcYZav+nQ%Zx7Dsm46ds zbV%VBhYKZ+<@bBNBAgKVaw2 zOS;OPUtHWAuL3fw)#@_5(_`-8@7TW^7VzC#0}j%M`l!ZkSeB)e+F91lxQf!yjD**3 zVN<@Z_DuP^)3^AW?`*YkRiwY0ZZvVSGx58Hef#Rlf#Bn^!DU~Un>^#BW|SC>0cHxv z%VTI;ehEAEpicOje3?jvardrVK-dtmRWN#1U$f(|bNox^K}ko8U%I%t6$R4C*C#%* znAY4dfe7)0i#%DszR#hixADlCRSc`a-ct#teCyMfLlqk7E}Ek8z}=_cW$OG%0y`YBx2MJG zZkji9Rg6v>?apA$SYC*8GRx!y*LM2Ym>9V)ioUpbB>f7>lVD=YpHBatBl_JvywG%x z#dm8`edoPB)1sSX--HgkzCV~#Ww-tDipeY4#Cy(bjTnwkr#bW)cRcFpDoUuudNl6d zYRh`vsjS2P5`Ne2=1fT?etn~6xP#{QJJ=BCYNfNhBg?+7SCtqF9N_ggf8w5Q+x0JMwC~8kWQtF)7H^%P1S-8bA`;Lu> ze&ARX`ub&7xlcZc>HhUQTt<^*4tA5R#uO3Gq&7vai{lCp^3~acD8H^Q59Z|DP)-`t zlvclu(+q90x%3b_bv1-bc#lKTbq;so@oju;$ZNm5izs!qHU;mpqXE^FkNIz}?8Y6v zhW!u#mBx1ucj|=*HX^|8(q$zff483lEL5CNs0r1hqc%2ct!}y-Y>S@d05zDIZ6%g8 ztfkWY?jU-DrI!13SVJl}-GvkwvC!5By@>QGKqFSRSJ~1Lp5_`M0A7^J9-g)CM;(dIH@q54btY zT68_Cxpo(0B9nJ?Zz%ZnS2eP1#ssf_a0!+MIld*i%x;Q%mppT<`Q*L2IU>E8F4kG$ zS%nVfYemKx_*`)=J~;j;7)E-mqn-Qx4AFIy$tv=mr#YWV;c=8t50>`4FH z9%b&SE+NjeSD768h4GKo?&OaP>2F0nGHVhkVhk~JWhU_K1YOvUzy8=LfB5?5y)Q2o z?tRJjB5G={%Oz7jPOsf(ckT1U z>1^kYpLd!n=P*HWI$FS+sWI-@cQ4gZ#2v&hRxD@>AT*FB{ynJ~4A{w-=DAvmdHLe` zr)vMFI&4>PE+sPYx8p5q{kBTyf8N#>SM{w~92WGIK1~&!kB`5&RgJqaGZ2z~3p|KH3Dzd?V)hI7w2CPw80=Le@Nm_91A;TV+UOK18~V-EkTNmf}NZq@ri$82a@a^m8 zyvrGZ*4mHx%dykDh7|xqhIZn;?DIS6^}T=HpFplUNJPDS(yg4_yo|A0?g61sDh7Gg zKq2pLzszjXBM)^_rHzxJC>P8Sc7X%p#rJR7^?GxI5Y9~q_}+;{Ui~K9_hDI}?*zx0 zbuB&yd5^+H*Kyy>5DJaiH7GNA+wkW$=GBHp59peGE3}_Wg(qku@j%weZ1!kkCz2%yY?YhSC%%TfNnjR6A>qGwMaMLkmurk`DYPo{{ML z2RSaI89FR8z?{;6{3`a*nI5av(?~4~&;*L@0_ z4rYH>LsII{3W>6wo0HjnnaZt8 zzqS8-WSsp7{=TLD8N*1!5#EuCjJC@*S#iRh4mSewgl!+ zfDUy#j2x7KwJhHQr@loZ%|QymBZgUw@?&M>8Oi+=gX61%r5X?8y~I8cIZ+PVzKPN{ z`Tdoqlm+zX7mNDKop)2_ZV!RwP}4KXVBO_JddQ@}Nrax8%U?(`mFI_7D$Sg!XcCp+ zWFN}WzMdot3{qE1nfrv2`bP(1O9=-&c<+*<^>mc_qYpMJv+V~ktKqE)2n-QM2DiOq zJ0uIrvPIj*7=7oOfE2%>WcY?k8(}^X5@4BVXxkx;yFmSM=(T%k-l2@{RQ=}QKUsdN z6OfDB_6o0c#~Mw|ceLi=GiGdSJa2xuOSMno@FY(TN*?y5CdD4mV(zEs#3ta@Z{+&< z(5Qfeif}m-MOAy5FR8Bp;`iy}VsEEb=#|2*_i@#$wur8xxbtX*QmW&L5YGnt79qL_ zV&(AwTvu(vwoHqJAUvb0sZGGXYms;r3L%ZA^7s>^+62_o-c>dJuMT)4^$0Q*4rRO! z0=RXie4}PC97Ss%lpyTs3(zWe!Ao)S|2W+*J(q_C@;UOJNN-}Xo-B`lOYT-`e4}uI zM&BCzDUlznb)BF#726^n!A)}q?qRQ|pS@wh*gKiWfdB^k{fCn`YGgsWJB+IHPr!l8 zAGF*jj^ZK7DbPEnq7fVct~hGD>{3I08em@d?4+~*+m}K4+w`e`>04)ie!_<+hbpQH z7Y$-sg1oV>4SF)elow_#Nbl)t2H|$Rn{|&o%j+XSQrReL` z&%?)m_zpgcP#P=y2`WnJFnSbOGrx7vajV;BORL*FrY*tBW?tH~3xeSO-DaD-m~F;a z&~|#|mQ+pIHkr^=#1d1y`=2Z* zv^0P3WjuLK3IhQpa_}-Pl){*m{z8sW-eZ0h(r&q=2cQ{}BpL}Y_XBYbkdOhG- zn8FH)UQw6mSXpnr8im$wwkb8+b?WI~m_8o2;T8Oc;=&*=lLB9crPrTQ1ZrrL zct*E96i&$;Z>UB~0BwNm9OmAac+gA|BIsAdd9$TM!q}-llmbX_>yh`PgX+TPtaKCXPV)A<_8lGEzYC8(} zj*|nm+0w9{GpM;V=ik#W_sc2h@z~V0mziOGj*%>1rJexs-xd z0v|dzZs~BwQ@{F$7~iZWva$)Daa{)qEcB%u*Vvq#q%e>%l#tl^!^u7v&5t&hcJ<{T zNh!Z;)J_I?;#al(pyfO*_c@y+j~YaO2@j5{jg$#es>`P~?02a++|UdKFekkcSe9Mf z)sKhUGq6Us!5YVH)yE-;2T~qz_ajroBWxdW)znXvsmsF4Vd5|e{h5LT-(Z;|rUB@M zvF&r{EKcg@VH%=_?PF4)rklKM$S)d5;Qt-xwoFB_7L-ddnu8?2p3{xKE~^*57Qp`=+jX!fujN1>y(9-z-WTGyAah<9Xj8VEkqciDcjWS zr82zVMPAid>el^bS;eUqeuQ=f)bOe0aZsBX4n}J*QZyuw0Qa|GUW_)aYxYc;HiVTE zN%Q{D&$&B~sA{k_E=RHL^zd$9(%;M=f=62DZ7X#Y?vSOp|+BWR1mtvUa}vm1_L?>^xxVS9vd=c2=FxF@;#~K}Q6i zi|g<(iGe(P^yyiM016n2d#sb(Qk2wUMVSd9+NEG=Ez_6ly&!HE)9cxwV^Ocyw8^eYJraB z24T~jq$U3opbOI`r?C#gr4t|#=;nn04yx3c7~#^jvQd~UC_7Q_!t^TQgvx(jkkU zD{!AK4*PN+$BLnv5U&JP%%`*`bs8f3Re&< zy_cim^5yH}a_j+&IM8{h2^-)Q*r4r!Y0uUYt&^o-oa$uPx%H9pZl(QPjE`=wK1bLV zevnD5k|{sN!I<9g2>$*xeESYLe;(yDPqZoNt2707%!We)#KHa^ohjts40z-{kgaQH ziVb$Lj22|dRtRVZRD?a@f2;NtO2>l}hB=O>fRo(|0b#J?UIua;G#wytHCD^L#b&cs zTa{hCG|YhRY#kvO2XUi2!&4k<$UBH|K>L}$5gm9uFG`~rgCwijIunMWC4O30I zydR2XINCo;)h&gvVo<>kw8NcBB?>TjWlHG9#cPd8)M!r74xOT^%VbnXOp{9EA#z3! z$p)q)OYK#s?S3R?2A>ro(Ff$lacaUU!1X)BrSnfe)Cf}V@a7$q>q^OmQaZHHgRvbs ztuULV&NF;4yPL;>g$JA8_R9SC{YA#GywzRU`_9f--5DS!IG^&43}FQB43bRw&$tsh zXwLwPd3_zwNO3{*FAIqsyISCLup(5oERkZb1{Yn08yt${h%t6`gl9W*>|^P6^+Uin zj&Z6PeyXdWuN~-{R3B^=nQ(gJ=)_m{TeLr(~^#e>^i&?Etie66q%M>N!^y)TXj;yEmg3 zz5%MJ2^6u{waRJKUY;IH!AInCm!Tu~l#x^IDkNxu*Lr2Qe`vZ7HhdVL;#B|GMk>n} zIU}+k1i9Rj2~~dLVd@_m5Tyn{oj40)XuSbiBo0L|ic-mHyWC^@^2C%QWWFxqOt3^( zNJ{TxlnK=q85K{RWZ35jlxK(bYueaEoNlFB7p}r3QcXA51d6J1Iw0mOb=dvw7h@8} zxoXd>grr_{g76OLWQ(9s0lFuX^Ei+mmw*e!4$dMYpOU#t^95 z@(qleW%v-}tJ`B;5z*EJYm6rn9xsV4$~}^_a!1`m-WNMl-8yyjJCm;Au^sKdYI{@o z18|^JyN2+a4ZvYsnjo{{&y(W~|oUKfahzppIlYh3&``z}I~`|bm%gkFT}W}(A7j`DKw zNwPI(-k}H!g0f1HMVBYYZ^Hv=?+dN~=I1A+5xi%@j3tR$rmZeM35Bgl1JqPr@V zKm1^=OP`?2ASpvJV`=@XNk)v1d+QdaxQBr(s`LA-B&&U14%VZxhkBb#L=aB@AqGtb z>$}epA&uhA4FI3!COr!ggujg>IN<$QAdzOnP>NVj3mE#{q(D|oakavr_C04Kex$nE zhC1z9E^CE}s4b_%L=jS}FK=gb#Rz9vRR`&UVd*dM4w4a8$iq`EJU-f;XoJaU@|Zy+ z)&wdit}0j8aJ6-4T#~^wL1}qDF1C_9!h>ucTa>L}w1%Rc7>-+7S^G?fdbdyemYv47 zTLIxEvQazTB1|H$V90q!wKDXHd zvbI}0z$aLo#fWqBw?f-CB%;)2-15%lhD>A1kn}HH0!U$x$6;X8FY;L7X&RgwLGtoxPzF(1qcq8Y%$ku#S zXNO{d^`3pu194;;gUB4H6at#zLV2GbvQSCAA;Lv9C^GaG7vW{o>8CC5Hda5nI3tk| zRx0znd5v2^Y22IQHM~Aoi53s|4ehEp7K$z+S+Apj?0^ZO(iEv+p$rfp%@6Cji5TgZO4&TLnZHTqN0&^>wU5e z`;ydL*XF_o&el5~$|$QWG-_5`pK}^9jtrdM$L?I9>fV{{!lC*QF4aRSk0rN=_~jzP z_Q8)d*DZg9RNa&IoUD*p+wSILHIll@5mqK-=t@qr!QefERS(vn zb*puHz~6V@0XrJC@x%qG)zOKd-oCLzfpT8N_NTU}N3*`6A&s?w)7C!JHDn!(j|SFx z`Xj@X_)k>9?@# zs&LztZb#v|6Q*|<^siDMi8&d_{gNoxnYC8qoF8fsHcI-dQP6QHZ*SxEk-25G|Ja0o z)oGaYHW&83ZuO)FOa*b&dV|zn84C`Q`t8U@**fK6ztL%W$X1m%PZvX81Snl%5?0Y8TKZyW( zphH~yoRI_&M)_?*4J~7 zW&@!u4Vu*fkE;9G`}^=gs~#?E7WCeWF=6InxBNer@Gn^jBP<2EYW%d+8@xWfsKx^P zU`{R?%&4gXxdTbMAden!gIb?2ANG5it2QVZ6^z{XIJau~4R5a{5rhgq)nnhA;`WiO zw^j(rkF#e8#mxEYxuGw$F?!>C&p1}p2S@H+tVYwp2!|h#j$jF&tApC{4oX>}$}54O zana-G;*)#6IDWaB$0Bbwr`B!^fJ|s%S8=uC%g_sC9cD&{+fs=qj2p25>WCYdGxMOV zm|fqPG7=ZLVxFIU0!dHdk0mD#;7PmYYwU~)R2MUi6{mb1z}WkInZ# z8qiu(Y_gA--!Nbw=)aGTIt+^_sW_2mZKJw8b5bUzg$0*NQPQFM5nKXFAmr1=N7ySTDs1N3%N%NYF!Q92iGv0qB>q4 zAeRq&uQ-J+x6mQE+pjyoRb-+(oHu?ft_^^H;dKjWYtLit+$~N&duv_G^6Jr(FH)WHR zJ;8;gUX05qjP+?MD6EdEEVS*23g-3R<+9RJe#1lggiX4XF(Kt(O%u8kU4552a|^NFvb~(Jj1EzJ^#s`^)*@|CWbXfjjA{Dg*I-(Ve7s7B550>qaI(^P zyO&|k=m$OYyHJN(bx@nx2yc43`+P}h{O}*sF>MG~K#6>R8OC166(jXN?qs)VAwYle zd_$PPt+*8xLoz?DI~##kUYPVEHEVl1_CglTKd0~)5kav*C3i;Og&w&wr2)1M{cxKL zwr4Rd`kG+zO`TZSeFm^ztnT{$njOyP=>Bpn^7K@dxDu8iP}ASZhMt+acckb`A+t~h zvqzdg@&+U$@)W@dElHtBf&MYuj`)8twLYUc!LlU$MRaYxvNH2yDw{ck%Zs0KiA{~y zhU5D(S0tD-FH}zD*~DY!~^$yv~1Gn+KI*M?9cps1k4@3r~55)#YyJM%1a zEccYZJq`{Q&g1*{7XOOAZ0Y;5pKlBklF{E!C)(OCwk){h1KXoyjHHA{yCESl5b5vY z8GOp?wkvi6snHHpcvJx5`qo~R(mkF+oSH8VKU9O!^eW+fc#^H}EsN21IMI^>I|-HH zK0yE1^yEvOFjusFk<&c(#@KogCr*MEirf;Mo|hP-gJh|mn+-_?(il>6MXx!T88@{g zv@p)7c6vVxXL+A`_sr4|yIkZn1mVmhiJfnoBZ*NwUSeXm<)s`IcfDWoJtmnw_>ovv z^mfJctzXZ22*hf>MUhu(iR0(_vSKnX*DCbF0!te8-^W;&%|x2qg=Mo*j0>etMeoHQNAy*0w9mj9yG4+fygIu(ZxVNz#SJM=Ia z$vdWASXX6m+;+hv^Twy^!%T&btveWEY0@l1%mkWoy~-0OG|4B|r8<&=IF>th9xTQy zu{JFL>Z=oYOKU?S0Vjif(?){8O{+vjjrresfh3hxE0DctHnC1)g9K)N=?}kv`k4s- zg>0v>x6B&57QdUqz`rE?5V%Ry2Qs1CVw!Y%%9eyXN}|MNB2bc3647~0K<Yi*u5N5Hh&E>Edj~VP#OoT@}SOK4i!o(_{X$we430pyF<2u4LPaM012HF zu%lqQP26%j>D%9e@xCteespgEgUq24Umid_JKC7>!XzQ(#hoTF_{b7>qBJ%uaKP$K zZIWJH;Vwu%N?9nEPDt$$5sto(WzuWMwF;GbeTJ*GzXr{l39L5#Wbry^3h?Bu=}GV> z>V2vQW|_$^O7iGra|nHN#sok}s*~y<(OrMSFZtiWEm+s$%TO zdVaejpb+1AdUUVzG?uaM-ky&zx{%WYP38Nxpbo6=C#L)vdB{nRYST>f-qy}AinqYV?=N zD$h#ay#pOOkPV=1d(7#7N31*Z`?sHUpV%n*eOnjGcXh>(nVZP&p1_o=r-DFqn#}UO zJ>H2?fw7d?pOKK&!yK{w!=U9a6hcqe_{gcin&j%p2wczt~ zF%pVk1KGQ(dH9QEWGjVBRow^T$L@jiD;C+utzn-*?_es|iJ?pVL`17V@tG1bwfJNOz@Bf@Iuz-QHK%;`@X2>ZhD$}CgEZkt0GsT>>JpdnjuHj@6eH^2WPgI`8^9N@Wkk&3Urjm_~WRnjlxtz(ae~y3R=+MR-M7GBbJ|b#gwJT*Qt5S?_0Vy7%&ggk1L}{!l^8_a$`9%&&y| zEIlJX#`m4NPK=X>%$h^Zj&GDZz)g$uk!$#k0^=v40f21pXqnSc^yuaGu%DV9wz%Nd zn!l_c`bpf*Cr@S82mS6MzL*;k-bM7WaY4CVM*TjsJ=Z+z!UhwPLj%E{p02-7n7$z!xtTg|6K_{oz7|EHgRdgQ{nt$0sC=KA0q z68Ov+L(q6dkQQg9UXcSg5i;L4&@AF9a}y&kR35C)a*~`nJ_8`gP6H}B;w+OpuZhnx z`Ri4!_kKRWWx&w#6g7YrDHN44N*@l9jWVVp>Bz#|c_eg5^j-+vyQC*PPBeY(DL1ZC z&B11X#|@wUl&IzHIb#$@j^~$&bb%*jRRNU9^sn7=cNy8*r@EZcJuqIaPR*QPn`?DG zil=+@dTNA7eKpe+W4KaYn*sa-C{CkaZKnN3Y*ZO39#`(PCD1a&Cgc|EN3OPWlSyH zF*wfG2)KQ8^+X?kb$=T(^~YFkYCJylTW}n)LVzzX%mVfZ+=x#c0Bs9ISGE1^`}GVI ztwpMf&3$AqQfJJ*Xu!r^FcFV?7JDSDdZ3~9B#=KpPG8Mr#h8s<08hh6gLEGxJ>|VF zJAn4>g4UtNT^3W1?bk>xrK?$OYw%+=j}26LE3duGN^&;V9 z3w_Com*aLAGVIcFp8nEh@)G*17oWc7DgH59aGH%IGf_4q3_W)oIR?*}nXHC-)ubc8 zuPa~jte*Z2HfUC~4fYd%(Uo${wIVVkLpI3m#4E?!z!3y?#^4}Y-UO=%4%25u?o6u* zM)zZJKJ35-wY>3I2g+pyWdwyaPk=UYOZ%ry=zsqv68y8PU#N)p*fQ1;dR2qy<{58E z=Gfk8k*>LQ7ONIoQ3~v1S-r%bDdmFBpN`pn-MF&sqnxA<17G%>tLTi^w}?fJd3Ke8 z2E%wE)E{>W%l9XF8Woa`m=MQ(Sj-s>t|khwtJQ{`XYq52>+(S^^r38>*zbk4f|$JAKh1hX)uUr|1`v(3M50q!ZEU^j ze5*Nkoql)AB%!J;Lbz2QEK}!vnJR-J^VoNM=dZm9cV-TW8vT_6Y)(npD5MGU~ zU}OK16Iy~U#r`PU^qS?_MMUZ>v`Q^-P`$@CPGICOUuu{SFDhhthhC%V;5NN{9UCBsjYo9Kgq&uagc~FB?l9%U1 z4%z2Brj@6*#I|&g>2}`j(_0t#eDJX>Wc5Eff;gdn6)nw)!Q(gz??|n}eVT?td=z(6 zcgyJXzW8XI0siO*jW~jUvlO^@Fmr?YOunVi{Ikf*&%R?qs zwVFje1y&;W$ki&U6Jk_$(1e&iyTGQ5-pfDDqsH`TpnhLY_YbQyR;+bk3W0g;f&+AZ zg=pKU&@bq@_KIx*<@e5?zeoVc*1D>4!UL@%CZCOS;wUtr(Jo`P9r~tL>_VW}rd1dh>UV9Lw=yx>%zwE#Y&t2>A3Yn=g88L%Sv`g8$zqht!wQR{~(-XD2u zzI_R}orLn{eT`)C=^j99(Wd;8S+&hf`ivF+m%Ekm^prpQ-a`gyfM9B99)mK>#9l)& zeX*>+ex*raXvMhNmJaN-q1E%1+On|++C@?k?!JG%VXi zn;+dhZDjlf*sJ7H{sMTZ`7rs#Wi}_#PZR14DX4O!y@~EltJTZXPCx|sdck!TbZc7~ zS2%pc^%laY90l~O(m#98GVqynK$6vh!T|oI4VCfpwj)OLv+$O8w)bEQ zUw>H4d9L5xtZek_J6P=luC1l_=nOr0&-x#yT&$kMPh8bQg&e#6{IJOEXxpfV3(A)0 zi<%hI`RS8{>W0e7BC5ngP>(=+1RkP7y2a0)8Tws1L2t=Fuv5C|(+%msqe=dBpb+Km zKrbQE)D%DU5Rrf5kJmGK_*?!Icj>Te$GR9aLnW-7I{TTbFn$Q{WW%m-bw4c6_c?o{ z{QPOll?P4!gUi@p-Fbf)N>Jf5lMqH{G}>~(wLDj8PTLe^xc9wfivDtpw&>9^1 zM_LlIJzYh0IPl0X|9b{kaH@YZAY-M5zAeP_Cm;zP z)N307bBTe8dSa_OVFJVyTBw)Jp*_T-`~nr-NfpO6V>M7Pj;1v=G@54_$`%Ti(n1}o zMV{Wn1yO<-h0+A&_zIn|Un^gi1VuK8ad*L$*&f5M;j=k)H61p?chj(AmfufyXIh^s(& zj4urL{3G+X}Zr&~Q<4f$7Dt4&yH!HP{aLV7((_07`_S z8Ccql_W$4toW)WU2Ntt5&bx+k4QD<;Xealg&L`fkpwfP{-UYN0;sqoYl{dU(W2h0k zJq_zu#l88L+0kM39plof%WM9*=ALfySOCPv)?5(dDAfS?}*Ghs#PbO+%e$_>+fHJoj0G3TmxGH zSA1+OTd~io5pKM9o6-ADkyLIRcLWETmT$qM*7$GDdTfXWeU{RG8JW02FnVg&w_MC(*C1emPU5ROeYSI*Y+{cJ*UMZKMJZuDY3|U|-6iPR%i|?~W<+ z%l3PPrhMl{QpiMa2)1cJBx+#4UBLeLmDQBa(D(gZgu{%(xuA+fXn8G8Yo+ASQt?jH z2Q?ZJA&Vcl=>z}N&1sU$TWx>)YTi|-)(0&TgqBiMGV(kV@eF#14f0$_ov0=Mi0DW$ z_M8yidmoM_UV~aAt8G_(fEw9}HHK_25w5E~`u$h%^S(AWYCBfDyOsYAwNp<~HWBuP zs4EbH!Q8fDtrX5C8kLp(A}NvIr8y65S!H}HDl<{p`trjKgEf>;Rx-4a=w-|4ZO$AJ zl{FhwSGoSML-p;)9Am~%kgup4Rp*7+l)BizN_vW0B#EZJrOU&>e(850{aI8wBxyDF z2uTN|s#zh0K|h48vrsT8>P1z_`0ReAYWfz4Bb^ksxgo!#yP?j$*b~~?=agTLy40faiGWqDKtaarVO31+G0a*6jq0p7dZN5XQ% zhKuihf>qWote+exu2~(zmAjD6=uc^o>ck}`o}t^jYXPvlGcOPMXr<}v8wBHjwrbJ! zYc~pHRBM{qHJYf2Pj#n8`-j zBv$$5)yGEhTJe9QVljLS>^B3Meso}WN0YbT%i`Jz8>e6-6+7aaLFv)Bl+yq_`lHzr zp~>mzX^_k_RYzXsb6P3US{U8GEz~>t%<5+fUT2HA#c(+~O7*(kLspe(3E^JniQ*Um zgJ!<5>@TbKA4L@>--v%t6)F_9d)gf6dQB<1>H!7vYAe1h`zqDlRKmjf;@=dJes^Z= z3*|(@DfZfq1b4Jq*YXYHy9yN!53N^pvPy2f0I`%_XB{$CdVldnCbra|EAB?~9tT4) zd>$-R_A^4gS;*pM>cFLf@A7(rWtT4r518Z{ax`Bs)A_#Q>$7@(-o`(C+yj@39}RB* zp8yd8?)_z}q);jpil7mMm@557d^xmZy)d z{;iK1F45$r8tPHV9Pc! z9Z(`=vP4SZaeYja_L6r1SbgN@*!5ha8K6{=b=(PCVelKx(h=RNV$kAs}shZ3IYp{B281t&xA%?VMsZ2no=J=(vAkOs=mSt&=koR0) z?|%Vud#uvwLQR8GC7B1o^tVsJd>`OIriRoevV67{xev%4HgLeCT5=u)uNFS8zd*({?dhuE*nlP}d8DHWjM#AXDH&7}vP0 z1{5)?cHe@`GKI+P9q>8d)D97U#p1>SnzCyUmSZqVW?XfyR;P&Q%jT6|2rLIepU@~R zV4g;nc)G*|@_v4L0blEY5S1N$9t@aNsuSTKZtCjAwl!oxcAy$m&G}-35PlO_{-x&G SA$+r2y&aEy@%#k<0RR6@9T;{1 diff --git a/cpld/db/RAM2E.sgdiff.hdb b/cpld/db/RAM2E.sgdiff.hdb index 1a402daba1ba22756d85d0818e27fae1aad61632..8e991689c2ec74628e86587aee943c3cabd9cf00 100755 GIT binary patch literal 15938 zcmYj&19T<9wsthJlZmZ~IWbObV`A$BC$?=(jEQaAnb?`ww(aEQzWcBBztvs)`+Bdc z-L+TO?&>a}fq{WlB7lEM$FD>93xAn9I9k}+kg%{ZGBdJ}2s>L?n~<=wv63)zFtM;Q zu`;u;k*JtDxL6pQk|-J)TamDks7RBjn;MabnOHb|l?;9Uk2x@~znuRIV4*1gMPY&e zq8s>`H_RU@u{58{0O}G+);OoIKGLy*%q^OSX12|X7+%A)v~^0J$qV|LI^Hp=-hLxK zZVVg+?oZdE+fTMbKX629oESE9D9ZdFv_fbum+ocdZRO?dZDrlIW*EBN$JOPV9vh0b zooc$egl4Y~=c~|J}>+JVrS$ zC#~vuNUvSFNk(8%T}>@;)1ceHwk!!wy6K-VOHjOc0-WDBK9gtCnOuu+k>AtZsSvv? z>>YDw^$BLA5$S%YNb*#g^V39oP6zCRVh1nlwkiLT(}lqKSPMeTcGh&DUm5 z2p4txJ(ydsZSF2PA>JPR3fT-%V+HMVwApCal^Uz2KZW0OVG-Bqd4qrnZ~97aP;%CFL51GT89w>eHqn1Tn6?{TXztO2;#nUNSdCWup`$2jh>4N@ z;)7KY#W#Zc{-cmdFtjMDL?wKR?j)!FC=;z-@->e>)TvtTqv>+xllq-D$`wd<{QO&$ ze(iRYZM?S2Jnb~@_s)){XzmWQD^>Uk%UBiE|KCW?|F!D2=e8x&>sf=`GjvcR%*@|v zbN}a#Jlt#JBJUobX*!EVm4cphDT{rM)QgqHM^)+jPsSLOLzeaMV1$SR7Hqbn8kX?j zXlgniXGEft4QT?F8d3THNiXW2gDHaK4RARK%3LZ*zPRDlXHpp(n3oh3u~@^a)bPeE zjJ2XYSgpIGVy{VM@`68J)$Ez~M3z3W16*^c8 zvy%}8$_zhAjumPj$=(}TnqF~u_cuB&KRoy>!a@NY+O2TgpP6~iU>*V-zwheQiMSr| ze%=0}4o^2lCPq+u2%%qfnfg1Wbe)?5#|NuKAbK80gN;W%GJavd?}WONrd?!{ZuBS(-oMPBpo|k=KIw zyjAWWO{(Jl>@ytx<=Su@3}3I2O(l2_<6c-eU#)J+Od#LnV|_Cs9(s&&*-SbyAKW77 z3Z**?Hq&$>7|79U0w6PfhdO8(km_fTVPv3Vi*OwsIiaInb+NQNj_eJVi96RGChCxU z8_kY;4Fd4|TipeV``D*l8C&~EK1#OUAB~kM=Ws9Hnj-|t5%vPU`Zyc zx{OH*o%vWAzn}V^t#F{vVxEZhR;D2SdiGYoV>{_vz3?`5N?h-qUnDs=#ozkp^rxr8 z`}oJeFYl53KpBvgVeg-Sx`6v0t1H!DIWvtO>}84ac(=d)_CzBz7>lM@0^rSwaKY{3 zn!l&;9>(bBnCOAsx~0fsE*xi5xM6ILUae>T1=XphZJA=GX!36nT@EwG@UIMh&n)_L zK88Z=5-+!=7jULY`VVVO3=vb}tDQ<+%Qc0P2eOH2yZ>aP%!|g!cq1%7q>Qc zRSTF?F+H6rkV#n6GDR0>&+zTx(^L{mh)yI8l=lJQQ$O;e0Hrr!vy;B@2K6bQ)Dm4S z?9>ZhsWP`z662H-T(AlGCF9old9T@hvZPF&woVc$=M0z!i$cwmqmi3-V|iQ8ZxkG} zYJJ5gl5xTxR39S*g`?y;@R_tfnn@YvXufw}ARWwli!1)CM2j!t+o!O=E1w!XzTKDCUhT%9Na^!EsAD9YHJk`Ux+>^#fZ(lqHby}JRNgetAe zWf)_{7Y|T(p3}RUCP~*d43i!(EZu*bc04kartEA7^1T-$G1vrI)L>#%p2m9Q*I*H) zbpB=nn|W_y2pHRp^X#l$sw8Q)Zl~sm4H=nT5VS4$jdUko$3Bfe@^wL>4cYnIn$j~r ztm9sr<5Y+OatC&~Kl&R~=#vjDBR(37{zzQdv-F_oxH>DfQCGYY+HDeIWNNjEPQMot z)Zo7XNRWp?j+6?GEaA4_*38`!k!}(}EQB_}{)|e12+{F+cK&n8BDbKgN4zmo%L-m9 zbKC?u(dA;1z&~-6sz2#TQHG`ECQvd}@z->%(&E*7w7Bi@W8@BwUQ4@K$$NJ9ZLN2| zu)sH~AJMqoT!!NcBRY`wD1yh$qK=t(o#0*Xv*#s;|0`1X6QmLF;aWfH4wXvFf5)BL z?$NjLebT?sFE_Xg<#2L~knpbVAIbHaijW@A;Ua#yX7}Yh!7sJ!rLWZ45b6=*9n@y^VZFn;w`p{1#8f3Z zE0z#5cU$TE*4evhD$=^Z$}7-mD)9%ufZxs;#|%?Z{e&ZPaM9>qCCwCSVPqF#q077s zt_u|Yn<>wTB>u}(K5Pb8iIdwLT!hI?;3wSAs=G#6V-Z*5z}>TG0rx69MvHZ_Q2NHh z_PwW=iT?Csxs1TAku=whMD$?avVQ!}1v{hp7ia7peFAxrVFJf%ZavOl!pK2!&I#Dn znrywk(Jc<=FKXBgxJ>fOw!_3!14E1Vj9T^f_dz3kERFW?qTWk;Ig{c6+y` z6>D{HkginnZ0N}K(i+_sNwHur(?)&n*liVH)shOm)yE++gIIU5^!QA5LJlxL4r*iR zamr&Es~K=A7GGW^PK}N8@5Qj(8I8JC^Ivb&Uhj|tDGqI)m=fJwnB;RuX<7;P zu;$Qfuj6l;m6%h6jj>R7Iwm(blZ}e|NuF4nhRIT3CsAG(b&p76{P@lfU_Y264zR2Tpl6j3X1jJ@Cxq;Xo4mBWk5KWI zBV=<&sR2soz_x+>;G)aCoDz;>Rves2XyZQ$rN>kDE35u`u?!6Igu7T8hE`!oCEpRK zikXJdyxIG)xEX8Fdr&hZM|ULeruT~YX1ZY->}M*h+6r+ig|2LuCi}6Z#0!GC6lqj( zO-abn4&xhCESyo-q8T>%6h+8P;MK&jN0*6O^D#FUTF{3Y_!YAE0fkz}hc6vJ@P6bD%xo z%*m_&6EO*`>XfK5Gvf#E$+zDm<_SrA2A*1;R|)?8!6&giuTkTh1ZAgw*s3N0e7s?Z z(Qo0eCh^U@JMK#PUHIzh5dn^=y02Rw_B-Wk@YeMuHo9IH*2lYW3H34)RIKk;wwuPQ zOKf4W5g$^wx7Xkx?1g~dDhOS`-zSUh<)Z4N#G#GCewmteF>V9TgzIHw$~0GKi2;I& zt+R5OMVz(aL)8;}UAjs> zu&RxSz6(s)k-1}zz;?~^uEgF_Ubx+;U*ry5K(N!iemMG>33(mE)_v@0w`9yM(P11l ziDn!7MsOXotRCa$2^c}Ur&=hF#KNr1B5cR}x%zn)W}w zL}Fu)>`0+z+l&Q&d!QS#R%+I#xjJo;_BUQ@WpaGjG4&~}Pcf*$FH|Sx@q~kZefp~R zqZ>kCCyF-CS?9kmZ_KfvJ*Q@H-5AE^qw3H2NwIBWtqBk@qb*Ebz*;q@W&cyZ70#N$PEN~$I5V$JJTtF@S_Lhv>IR(4 zPlCVRlh7E!XK!fqHfT~r)|(D|4MXUiH)|QlkhXDB!o^h z53=^Bg)5v=8N#r$?4QesMHXB&TB&a?Oz1%Bp699ti1uAaOoJ*dE&t9+2TX&e{}A^t zP1hIUC;QS2ei84V!%BYKR<#iHy+ekhIWZf@wQ}QksVYFv>HXYuw8YS+P!Xb)jV5=X zr^uH=Z%Skp#!%0zJ~Q=5sOzTzF}Cco=<90+(AO8^{L(h>{avo1yF2{hk#oky_cRvL zfh-`D$AlNdQa%HVCDoso(A3YaN>lRM03jp;j z^R6Y-ZA)zbtTnVjjrH86PrSRi4TT0L_^$vrx6t+Nx|vc*rvW@JAL)*juIm0_uZj+6 zyiF^Sq%mnkzO%0|MczGUu6bE9%@y~z9cQI7oK*nZ-8~*+!kd7xcF^%;dN;4}<=qR8 z$hHwR@D>jN-OcbaMDaIlEHq^GMW!SZ+#?=x|E;IDv4GxojR1dJpQpy5gIwIPF2|M^)PbpRM z5gvS|h;Hy#HN$tkbG_^MRAok}m9bz!&sJ0*6E+0lzHm;y4y;omf`5zy>Gbw@2zxf? zM63B2hsu@h`7#1rmT-pSWCyfqB}NFIPBOoGMA@=r8$MfI!fK}$^Vr%|-cDihNyvW9 z&WZqsjk7y=R(Ud(?1+mjCY>V{1UANWzv`|M3od7GstY%dO~IK3|RzQiKwDs^!yv_1xQyDS!IH z3#+{Qo-Jc8p#8$MQ4))Zq2oK+`q;I)@m1o#KyCDmc_j}D1seVqHYKgtJ(@xoPHO4X z-+YC4TLNMh{PD$!L~B*ll@d1^_oDh!k!=A{C#;5XX0?_h$GoNif|fKGknx4Gumzf< zbA_^Ck_@IR`gMsGA3tT%+P0FDT;rq|;tQj&_&{pEB28*7x3!gM38SJO=9D*wry<6) zJt9QTQJA^k^|1Ju#}1q?Mz$mAN(2T6RrZ0P62il7YW{D$U3h_*RRghaOiW+nAe@i1 z08vhEI>2}w@l)_WeSrq=(`(OfhIb3uN1kcKMlo_F+e&JiQN~vqPca`-YZ&{?F~LKW zqQ9#LS-R~JZ4hGp_2OHRPodIs=UADK1?pK4XtWvzt*fI zQj`KHg896v6U~ihtMq%T0tM9%tA3E_FH~g)1HgUE*2j6?ah#3#P2f36>g%m5?q2RQ zMipWa0kz#XR#0Zy@3=4Y)u<+xK(DtSV{TU>!v}{7iPkaBW*y6CFK}+|@1!cj&I}37 zGrQ&*XYa&Ed(T2eS8&5W%knh^GW30*@SpF3Wl0x{GUiQhrs|&#yK^%He$&v;W&yx# z@ATupxegB)sn{+Xd$}?`^4;+t?WF&aCGE~p`SoxSa~WiN#UWDEy$QBy_d%xOhRfRS zsY~}tjC)v9mg#!#Vl$K)IDdZSnranQf))ZpV4@2DNeD%S-lsnt#igifPr?+HicPM0 zSHeT0T|MjGaI1uB`2)u=+yD#0X5Rg7eui03svyg%vIaA@D~R|c#n}PV4!s(-7kmt(8vr=$qly6Ej{MOX8kGb!H+J-aY*j1-t_;t2Ch$Qie0T&Jq+a8M**R`0Dt*37{geJKgJ)IyQ%BD z%}Z?W=dSFM9QRxw z_sNt7z<5R(eZw98^i2a0x_`&ej`Qs-uoeG6V(o@|<{W(!-&0!!34S<8b)!DhnPjot znWc3jxH}D>!x%Wen`3oenBHv0w_z%vII(=PoNY$%Pc@cRjDM)bUvdm{K|Q;N5UDIY z`Wf4;PVsgU{}_6OC?pl?F?FcfrRV9fFeJOB#k)fla$M^uwu;G`hk6j!vF& z6Ky#Q_t;}e?YZ-h^Hp!VPc)!#Af}OBrw4^UUG8!tj-zoWhb$?Fvnt3cxe3x19{fl? zAy$;h*BE60)Qaj)NabskF-@Dv+@oll%CtfasO3^$dqjob;?~MT&1gTXAvy+-D?TY9 z>IC&zqAv&v6LY1^gJ&~;+~Sl3pV6xq%X-l7IoC^Xn<@ovgC!R}m8;dvqv#i5aFLta>j?E$a!R79HG5U0SaPMdBcsN4`>xPVkun17 zI!L#icU4=xQO-rKQNA(T^I}x3yhv+x>Kt@=l69gP?P`}-usSI12(tZ~j$kqSa6aVM z!@zXRQJXf9M{>SQw_lF0bcu!O>N=TyqB%dmurNE{-sW0X@98idE(>U*X@x}h@@^XxrXNRekTO9- z{i-dr+;xDHdrTM4U2ocrb1i-xXt<)Ys(SQ_Seq#J4c#n)&?Y8}avz3O(Wv{k)B}y=Q7wAx)^>z!n;kmXRnDm3$%@bRih zWd>B4W7K9fwOH;DQKaxp2>f(L_R)$@R*>Ess{l!9qUrl+&bd@i&SUfQJ^z!{r5+iS z0MydcPTdrZ-GXQ%(w3TqgPV!0^$IyxVu6=uh;|PN}8%D z_WXpj!Atl&^&FJmbembgvt7bvC*827=*X(N124v^GZ8$B1P;!LYLS9i?6qYc%uMWj zR{QTb(z?RXjiRi!U;pC~)->vazw!k#Hg)#r4q`IBGR*R$$-5&C6NOWY;(n;Qvyo&O@dQX(J#xm-r|2UKMMLSekNOy(q zkRBe(s#RH(27;~x*~K}y#Kj#G-+bnPnobu?Ol;{GNrzT*lM&T7jodz112#&UO7!2a z*|o4H#%@=uODdGSd5A;se6kJbynYO>mz-5`rzQl|gl#&oj&f<2jyiRVUdLailvxiw zQ}P^!X`iMo%`ZZYw`}N+=5;+A3#7)C>Z>MSo|aYIMB?76$)4%4Mov&`;N*cU81R}Z@ueduB&kE=*iCM=ACKSq8_c71t zy2E^G?C|r*#vc(j?HZGkVhi(}?=n>$=GR`tn(jqu=%6lEGi;4j>r*&BGDaF1Sybth>HBglGNElEz(3s>Z>;+u zAl#W|Ul%@-r+Jk!;me4DFy~1vy;S>$uZ!Iu^?$RU zY+d#)&t6*Z+E4V8%Acityq;bUbz?p}^=v+GQZ_5RpWmvU?33Cj0kL-uDW4B_H)gw@ z3XclPfUM}iuV4&5zP+71?hf~6e4kjE`i#<4{Ty=~n(FU5d#BLai|Ae^%0_?1yNwJQm&|!e zjO}Zre>aZz8GEq4+RuyQ|8m7_dk{=OD2DyF3reUW9jh+vUW4#AX&9Rfsc^;PNmx|j z&RIEmfB8KR>E9cZMAm`EiMaug26XS`UO8qN;$tnAX($Ot80u!;ba2_H5QdeKyp4F| zs~;IcC6j|3m|R$d=Q*gTXN?2gEOBI6wqa?gsZ2D?f^$yL%XN1^ zF#frsk-GFEn$nik5qnee!X|TVa6h>ce?{ts1kWjAj$|X|;r8jMl)Q9Uz~!1-ECg!_ z!0~*BjFuF3m<4l?le0mU$F`W!N5kzziPS5B4BN`OGNz*nKeUW^&Aul16x+*dmpXkY zBIAr=O=SV35EQWX-J)pwdS_>>tmb=xn1H$9@p8QpuVLb(Ghtr}QWmn=rL?xNA%-5T z`YXT&^8nN}dZfH!V>Frs9CY-)XhNLQDwxnS`LA*lMNMk<2wgbQ1M8EAROO5rqaP-G zgT8Y8Cg!yZ3zH726!n57%-$JA51c4An;&@+B`9K#UpgLZ|d`{O zOh2##QT#Z7(*lqyjz}wqf~Ty&O>ht(&;tzjtl5)!@17Y*LC&A zvac{ZcE$SK`=v#k!Dy+CX5_miOQtM)<%B9C6#Q3@uaa~iC`-~z_zJUZtVAOS!#H~~ z2*5m>>YmGHn5r$FZy)iJY-eaT1N=3&VaV~f;x0wj*gTnVNRD@HLXkB6La-iP*;BdQ z1Y%K_`?2yqDysRctCxuk_Im_OlZffLk#JE{G`bUm?_eWBX7WNFxj@fY5@KGQ9oV&G zt>U9D|6@M3QMe%I*+eROaYM`dZ(Ig8dZabR;kB1x*oi;>tYd^}8URHuMaS)8YSHSC zYP&H~wfE*xA?gQ@!5BixX@>VY?j$c(-6C>55E<)s9InCVywh|p6{%xA_pIWMe%_Ib zm&^n7wmOZ%8LPnhJsNGmSu(Bf5?W~3s01K4S;3Gl5Dk~d$| ztHy~<%6c)QXMCYrd)PJ!5#XVqsq!S=M*H!(=>*9lmcNb+=&SU6^keR3kz7DyDbRn!C}ZcWb2Cn5wE_P3h<%bXB=InGnO+a(UoFrMb{1Xw}#T_doK& zF|nPqh5sN%xVbQLnS?c%_OEw%>)b7Sm*QB;?^*EBhJ4{L5^6Ld&-kH`2BK-8 zt=;P`Vlmb|7?pqY$~IBqTDhGKuU>*S_BJFUg4>;JMVI>PC<HPO`77#Q>pp56`R$(cE;I1YCK@M8lZs_+cx*l7bC_gG z8lxFdW@Q_nZpaa#<_|6*U!oBhog6_Ecbb)lSCYjInUKN}|FUwOOsF$)N8b<&=|qlW zXmp_T6$81YTPY|On6X|~ctTs|^){a*aq684A7wN0wpiqL; zzf(~v2^Gi1S25EZ!zcV7kP3cQwf=lz$Y`V;D(KHkO-#j1K+iBzHd2+9u85Ed*JPoo zjr7rJbIXx?PC92E4RP0S#D@h*smy>1D9mJv5J^jeMJb%)f(oGHP30k2E#h3uqTFNb7Sd6PLhZrq{dU$bG1Rww zIA5oZye#w@KC~V^{!TImTd~e!wQbE9dztX!Gz7~w`y*4g9StYD6C-Su0jSu8D{@(E ziFX3nIXfYB6=r8^J=a_hZ9ha>-7?lC{qX4AZYKjbBcBx7oEmk(Fdq5bolxBHe+e-s zXNr`;B{ocnDTBxW)#}*6=imi4furig_C$>fXNd;)wE3bgc*>QT#6nE02qTFcHBcql zBz6Aqedh^(gRp8ScG;|qvAH3k8mdcE=?b>y=COH-y0NQUqw$azaeG@QMBA#2v8$mY zov-ujvKr2GEqyiC$#q@%&{!2RlW(JYqlHfSP)2U~NVyE86$^8jIr%%?E<+%-$f|I*@?XTX9u^;|43>BoSmr+=mTc(X)Lwr;^reAxyw!3Ze&i&wieoXVQaElR!EP>{ zrY_pw+$8tzdy9}8Q;8Gqt~$SwsoObx<;{D@W{fjSR}5k|XA)bo#fzcqOa9HPy<|>n zKJqTR{5p&7!)1|dO}$(1DZQjEZWA1h*Nh9$q4aziu7SsNbi*4I+``dfgbK>n0WCj; zp&MUtwhVN@qrQC$XDEtOZx1Fw(k470+6^H%4IwxTAwZF|57zU;gGj_}o}s1H^^+wM zO)~DDL|Wbpv4$>KT8Ug2);qzxfp3l*`y>4H()O3;(@q3gbc&|xT1as37>-n@2m{>k zib;oq8Y|~Je@_azTGs?a(JI7DLB|?|l2(mxF#e6SUry`hG`&UZmo6Y6Ttu)RqBisDyZ^Wgvq$xrhu5wAC);APEM%z_lX^ z*UgHKRd}}+Nq8Jsc7Susv#sE?(!5}7iLoJ$m8(wJSl0BK+v4ykdP*_! z`}A-ujmvq?0BA}H{T~NYmcJE*=mU^*4@d9{Tf=l~cAN3=zJ;{Y57RZ-ye;^RjRdB5 z!PNLZc|~Ik=si={y8;~ZyVrT9XXfKJ)ZpQ%12%B{{K?!=@=YeCPmCKd1&#fAIJ)Ep zYU$=!$%aHLP~o?q0-r?l(TP6H-;%L1HijHKWiY;<@z?UeoU70P`>DTIejnZ5>s=`N zn1ZIuMCoBjbC<^&LeEI)k%RftS~x8uoeSgdA_{H0ek0c8zwLh=?!SHgyH$vVZ`D4a^|I95?Tp}4s`*n`B`Dot{6NQq_kD6Wn zk6tqPW<68!_IMrQQ-lvUl6l~E-0&{sU#F+1qn;6*zQNO9=74HG1c5~T$%?}i(^Puf zxzReFU;epo?%Q5=+#aV0Yp8FLkP=T-&SVR%^Rm3b)(!~Ux&{)_&0O~#sIZG-=VIuy z>iCt#gFnx=j0~Q@IrRuo40kLDVf3L$Dj8F8I&tE5SoSCd-DF+aU-f9`vFHiADjKF` z)`XkeNK+vC?pJoW59!tdKE9)}+$w?;@d@}uZiQ%H+gP_2A)^4V6d&@5JVw_xoO^nL z5%@ms+OU*dvhMUGh%XDt7F9TVMME;OGnP{yM5#YoCb)Z$xTlf0myoy#P+k7bc!2B- zDsmEtwv(L;@NZN(_bhBABk>&)@dIL0+w*pCIH8DfT&1`tk+?UI+}rRS0`MKkNS^34 zEOm~s>V}atk%zCR1D^}H+buL%n7dj`#X6e`Olgv1=2&uNpz@tOf|iomV7!tT(^ME+E!!JM)%b_tiJ%Tn3MbuY+C@Z9)14_ zl-wmW50tOx04ZGYm$6|Javd4b3OP(NZIl|K;<|U@x_{yua#^6w?Lx^v?6Z9u3)`)$ zlvYDjgaC{JR^wn5k+WT_8(=wVW6cW=iO%h=H$U^My8+Rmzk3IanJ>yX1|Ktwn*lP^ z;ExdGn|{KxaNd55N71b-bxbJ*VeY>RL{e{I}`wc7!@aal&tk1u<&FJT&1O#+OM99|e zpSBoRg#WY)bdm!x6B0m6`QCM) z_=n>8UGlzk%~EzcK`>W0&&8uRzx#<{7iPF;%K5!0tb?ILkZ&#lA5thJIfIPVZsd@b z-EG~sW*(T3WTeI@rt{bmIHJ~SDq4)=yPo2=Bl4GN381;6xS zMsS$fVU>mFa;mGUrC{wRH&vSzuriSa8L(|RMgi~|pq&Xgktl9?Z0v5=jz*Ovs(vg| z*8sk)u`~XG2=$iHrv^xpPm{U98dWC6{BC)Qix`@xnDpm2VtX=y6H%}6@Fy-*#?;pY1!*Vvm6E?${e$$B@r{6|(<@?u_x`r@Y6mH72))^Wa3~UJUC| zgINh-=tJB0BVS>Dtay0xs`@Wk31=f2*if>E9D*gxnixXB-J-#flz?xCMSc61_+0sL zG5uqpVU@}D*~l)YF`ePwE9f9e>|dhntd%nKO<@q62^^}s^8zLkPcWip5QSxcA%f^E zn~^_IIk}CrxRo^DuVu#qF?9zE&y`N=BBa9ZgnK=l>u)&MENEC0owa40N0T2P)y0O7 zaH+cjWolwhBD7&p%Jg{~Wl+t(<*=0$!)Ew)Jx)r{tuaPQ5!5pEkvJu-utuw}miWV_ z@y7+#f*^ai=4NYF(8NK^+3su=p02vagyJZG^SB~v^Z&jf_? zn-NW4*V-%kkDWsK{;?ah+&VH?#*zPgGTh7e{^|r5FC$-MJ1i6ZIaaG8MWmEMVggRQm|}mX~&eiy&G)ZN45i)k>P35*JYV z%n3##(5i1flRy8=ixu|k@KTFJEAw**Vg~aa7Im@%=5*{daDjB!T(DODR(__S_~4+s zspQ{PH+cWNln)(C8jW2lY}-hP6iM&IKZ5$qpP%bwqzB*PijG|bBQkD~BT?n1GW}Z0 z-X$V&CXzqzQEz`3IGoK#wJuVL&E7qF(WJXRhm#GFG@!@}aTfuFi6BL@P?759khmw1 zp1(RP8U)eX(L=D2(zt`wDeWNO_m=?t8!^s38NaK8!3h74>j8{~{S>BlO7Cx|>efE< zkdBQo2YAig`nRaJ&IS%ELXJ0_f5L2e&=w)KVJB@{NjF_YsvJeCTt&LR!Uj9esGI-W zz(ANtX4v09VRC|8e6R4uaq4Zs9ScbAk@z=yoO}6x8VgkoId7@hC%bwj3U0Xq$H6a& z*eA1kB~wdEhC2~cD@rQ{9uP+TXjCaV1ajjO6{LdR(NdTo{7HL#(w^l0;I!1R=nRczI={9XbwgIQ z;QCyOuI-4f!9&qAdNgfue7&-Yvv~i6@n(j#{|Rf)3>5p0e;AXi2!w3)C!gwTH98g(RUOVXzn$=8EgQq|WS@ECYAPu#n{tV-Xis_6<=> zGJL%RvvzQBX%LYy58j81&-I|B!{iF-ceHj-r8J>VeoIG_d~j2e!s7kzrJhy!HJELl z!+4jSRi`EE+kp^52!?g9sXBG{t09J#186xPx>nD+wz>y6fwegqi%;uJ+G{;_Scoh7ey)$6#JgFc7RnAOp?+ zAa36|K3s!PM+Oc>C6Z%M5Rv}CW&NIkyIzA>K3W_9`(m=)kFDQ?!*85tYY#F=)u>uU znh!}a-9#mUtnB-YJu1In^wtF4EiOr7OUvYPRYLWfIiMqx*d6_TDDwpO@$Yu}+os6J?B4YRr4v69-y?YS!>FEPcXyFY zl3^R!iIWwacf_LWr)w0b-^hW?iADhNZ3l-qB;r`2XK>X{7BEc=U;Ys4ACt1!hheIF zwWT&}&)4%5Mbc}2?ojCYOBBmeak={ePeog$^nc93X18}HwkM}4$HPZxfM<(O>`(ID2? z2a>xEVo2&xxXWOkip~So9>}X)u?d}zcEOI0>cErfh9fQEo0k=XY1A!(;sT6!Lk6}V zQwoop7s5?}H?C*LGc9v>as$)Bv_R#I51oDX9I#s?%R9t7k_T6P)VyHU9Dzdj`BZRv z6TB^oy-B@eyUXCu;<3AX6Wj$_Er@lO?eKsVx^sX3nArlKWU)YP=mXSL{uEJbT>s56 zpe5=N1menMtiBb_{6#etsjS~&L(Jr$>!px{a}~_%vCDoGv!HprdVRiDG4=Viv+ncL z4ZJ|z(;8C@C;J;M!#8Pnz?gpoW(20HG%@DE!@)z-n!wV!NDM$D3I1CGgpiSxA_WxO zpr~RPUZB6I48~6uTIoC}3{1%|aAIHJQ=ll4fMR&KZ))Dm<*SQ%wP$cskeu0r%K_)6 z*VN|t@|h1u5KGg3%DH||nmENZz_vf+A zR2&U}<*2cvG~$E?*g+4OzTb%&rR90K;9`%I)f`mS*U2|JbcZE<3a889u)3%iZ54pr zGSj7G8rf=JXY4@=&NXFLS6yAUXz&p)5~})(BG%UQht~Xk#k|XX&`gQ~F@c|8)NeXf zh34^(O8J@rcw>AfZeAg74#v4FL;eiq)`S}*8wWWqt|4e4{0W{d=iQ88Kp!o~cf5;1 z3%1P%uk|24Hq!JqLvuq8HzK3*t-{ULpuCJ;!2aXh$eb9bTy2 zgCZjIR4^1-4C9H+YPv04QVN740#@`R+lSP^uti;Vazvs8z92&XWp&0+0SG+_J2&vbCHbT zj$)^*`bCYuPuuyM8qd8Y2@fc_py55aGaDar$fI3F%K3)~Rvc~Ug-Q*YffLJ`{McTc z>14;YQ^NhJl{f=3#d9}&P|(jFD!s9zEwL!fqU?FubzDWlVM?8$>yU=52ao$;dL)CG zaajXn)p)$|`K7?KHuB1`h+q$*m`k7M-RS#H{`b4(f^y1hncCH!q6^#s$NBObO-G*F z!xk(7Rf4o8_M1ni32~5!aja1gnYN%VMr-50C34iv^hx}4)hR4&w8l=k8LM+a1PKZ-#QY#fiw>w(2V^g6!#e;<_| z;ekgjeR#sTS803O5@VZIy}2xCUvm;!!QcbrjjFSa2d|*}gc*BfTLWZyYuq@hai}#{ z;@lKk1tvj4lL1d20uFHHG2W`=OI$_V!CvB_ zMdpJiER}yPh9f@6XDq>B5woLHQsqIIJo~7JqS7$+T8M_)-ZSCZkcs7YCG4i)#bzCS zB+(BFP3V85LH9PwjwqJgyX=`pEr+dZZoH{Q?jL@d-M)aoD8cn@rH)hQmYQ4qm48XD za+HUqOf8K&xe@H6tG0NNdzH+x7xVYlsZ<0pQ_Es!dKQ%Nkwkuki&+e$@HTliGa)2d z?Xf`SWG6!;fU4`gx~#0yj?$+A((w&L%)8bLX3J62k&WQtcj@GQ7OUxuq?5fh^KZbu}~5));Nch_t+hC$d#p=p(p<>73;z_?>Z;wgFj!TJ671Li;7 G&;J1SZIv5k#wZ2ZTzZQI7iwr$%^Uhcj1s@|(p-Th71_{{Y5nK|T-kcow!k)DZA*u}!yn2?!;nUIm4fr*)cnURTwP}#)M z)xyYxP{F{+ijav=S&C5I#E?+T*uwcIr4lj7Pc}e6uU!8XKp}|#lkS55lWxEl-q2q7 z67J7y&`2O)j`m>43UZPnxLw?|VI-@|b5`1-A#uh$L`A~Q#u5X`cm$-ZM8yhPN|X|Q zcfdt-4{tC$mj3hW@fHtW`A8xx7F+EJdaDf|30OC zJ58`mPfShWr|0D42>8+odLT8|z1d6b?~d>S8Ky;n$PK!}HNW?If)znR|E07LlyCJ=!`Qk<{)!>^x9Z>RcHQ7vW(XCS)yG~5X zOgUdFm8QZ6?DCH`!^xT$7|n_V><1W?sgYBzO27^xNny*91EJr<9+rrP6r<6qnIEMw zz7!AAg~$OML}{2RGi8WQ8?zq^S+9g(*%$Erw;xGTA|q{aiCzqVp=Ek}4~w1!AW;q- zJqb{mKj9j44EMh%qGg8}?QBh6Y&6K`)ocsSo14NF*>T6ZEOFKdvC9wSvo8gLX>G~G zpxv^0^|99jJSJTg8%3HpE-fBk{wx=$dSZn4ta`NMZ*x3R>$qmJd-pvx*pN4$tdQ^j znU{z03B|VIX6%U(N#UH`ZF~crxhiX(JcMCBaV)43F+d(q^Y4xqF`(@P1GcLnp=8JD~fF zHTetjS@&Kr%;+{Tpv3^&U2*`WWt`?kuB@~`pNPe8HY&^4{+ou-c-yEp=3o|-dQi@^ zX@TXwT;WROsv`zkI6cinN@bLsFg}VsoFJot7a&y|CyWokMaT{IKb9#&42E&r!;v+k z^uh<522~*Kx+tQc-=4Pg!H^=}VCEMtzkt_t-Jw9#soWD`KygD-3788>bIeoJvL{WR z7cw`qO>4L6b~l%HnU0ffV(*$vc3%n#&?&C2lZ)fJ8{_ky*WzJt**+X7E7@*s^jR2O z$h%_6wz&^2sI%eqR(^aou8z$-q&x2C+;SQUBhw(4iS`-5wc7spplfz=Jjf{MXLxe> z7%_kDFY_^lqu=N0aMUYJ3EP!HN|lbbCp3HpqA$ODkptQx6DD`s*du+hf7s*YccKk# zE9^euJVWd@fux7g^TPYr%A3}s#i(0R2SJ30+%+4wr4|En8Y3X-nKr(3cseY`o0xyE zc!c+S_#R@yhfP2>Quq&n+mzbj@;}YxTC&{s5(i%&&GMT`4 zIOGhXF9#q(ep@h+uQ71_O~21N`RG-(DK`I372^ZgpK41lzE*GpASKp5#A56eIcz@u zPBO6RnZ~rdW{RIMKle7sPm%hBtX}-QXy3zaxpzNwFC5MA?btSzs5yzUJG+(@p9K?hSQb=~ zZvqgYrnfG>`a;E0i8C1zq+i>2ysYL#-zk0rVR%`}Ia&MMK81FgwK8xodyjbSBhApR zk0{IqJc%5pM9+6m$K(s760FK21X-0UmXmcZtiwyIxZ3JY)|-=cRo2l}!;{4V1HFP8 zs`9qygt++{d#}=VRLwfgpKb!qs$JF<()2On%ST9iulZkE%eA(ZwNmfUO`RjmTki}O ziF>=m+y5Fumf8DRRl>uT;6=L>HDF`Lw?;5ZPJGtUdk^hKy0$bf)MB-pbx|`U`VTCu z@;T;4$UR6_(@&y|e**DUVOx^T@m-3dThU#f4}|f+4(Zl=MG+uDb1E>(5}br?w0zAH>SV|H|Ij7e z9};(BB|M&w&H0a95N2}l4>drmwNOwX>fHzSyVe#Y)=qkplpEriXkC&b|BR|K`;e)R zo+Rmz8L2zEi`qsbdT!3g<5u%?q->25??68A6GKkRVb!a|wfJQg#;!&(ftrNK?N6z~MgtohfHnqfq~X5$gDD-IIPX1gg0)Tlmx0U2g2??R>u|m9rt| zoMD&*6+SY#L*ti=W#mJ13bTAEHjQRUsD%;NJJ@`1d=l~&O_?O8p;7;CsGWHN2)k{f zxQh5?KYLPwr-yovTr_>Wi$W;@Bfw4Hqh8ldOo7@ON^Y7`Q;{#|4fuY+2w{kvoE4hb z96>9Ehw@Xfm7a}*i6-khsD58){!_LZMqJHgDrk08frHEJQkcP%pB0+5`oToTsle4~ ze{T<-*S*@l+SFSjTFr8L`TF)WXLPk~)6;*aZVyHt1-HDj@7_dcFX|hctId7*DeDC> z-U+FGL+9@lEtZ6^^7El242cI4r3r<9t@l~_>gw;WkTKbHSL^P2)6TzA$*}@5;n&Uw zHQAeNEEdf2a@XlYP)XU5uuBRbdlI8=Aa}3K?3bIL!UWJUEmA9Wo((ZTZ)=8qOIh?Z z|7hm+Z>-vP-)>bw3(hIRtz$QO-Q6z=Du!^3aLy`%u^;{X>V{rkG>oFe^fFKjH^#1f z9f+{tr&P}qh?$^LLv6x|~OW+Q8)k5FKXvdDl@n zy2*~_UJ!C(u*TnWRHpLiPT%`P?*M`oJjR>RaAYK^Yib2B=qtRF$37k3zqo z2I8&Pk!gTo>cR?XqeT(rFQ-sGNd#>SgUAd>Wj==7$>kcy@EhPhG^2Sbtxi(Rgkb-VU?ov1l4_`C5jBkaB&g|k~ zrYMG5QGbE)8Wbs&Mkk_u1jYjC3q+x;o1RleenV^B&fx89Xogx=lZJbb=%-iJB8uoC zFl$iPI|#bb>K>PBa%uc766qLerUK#)ZWuGPDLiglHZrzrxjhjdq*l|S8&j>Theq2x zRB`6W%df}`uO?S4TSOJJ!}?}K%u?>POZpa`Uwk+sm|(E`WM7+F$`3(B7l!F ziquKwy$r_qH|R^cmH804eY^(+rl{|3GX#dme+u8cJi|gW=)->d5UC_yVE7OB{6u@z z`qAd)8}}gbetin}!CLkHQTMCPG5Bb_v7Xm=9y7XD+9O-J%Eztk8hz0Ti=XI-H!?&} zvwvDFvV!wDGKX_bpvAt3voWZBW`_;%2CHRUks$j4g$opdneaFHGYx2lt@=0v_C4sK zyFOnryFOb#)cr%*KjdRJjXZ7tnmM&H4&G|7GqW!k-qM0hI@F~T&cIZ!*y;B6Z`lKw z-h?lQ{X38dx{tm*_NF${^X=l5ia0Iild& zyY!}(=-eM8k?8C~JPQ4*!*dvVO^1@&HQ2C$d+Tj7@cbHq5rMLIN|0ka4vzOkGfb=7 zqEB^m-YVs1w6UA;d8!GTqRu<5K1(XdxDqv2k;}9)3<(?1HLQ(!;l0xL=Q;@;!c(Au zam6!w>R$q$dK`VrbD&NuU%g9f=dS;-+lBI)SEoJ>#ocE*XYYHHy?+bG&2&>~GDo=xuFan=KftZkM~sjE^oRj_f5e4; zI_E&XShnJPsaO1Xixhru$`gKP%m0x-#8x1@zJ6O0YS}7G%8ex5=bD04)b6VzF!WmO z_L-_!6tD8c4hbZOF>Tb=3$&%+qpq@fpB!( zU4~A5@@kcptz|JJU~c$kAeo_=pU&dQMT$eo~B7@$4L4_ zI@aBggv&A;b@?v)zeGA*jObg6WA?mN`uXX)MKha4Gc?k9o?bJZ3!(~9lRAqlY)ziU zVBf>8()T0B1T4bpTP_Cb6p$4J^n@BbrUln-lPE&&9KGISyiL% zUYePDr#Q@1V6waNe0oyY@qg^h~I@D^KuTbS; zHcZ!?qdO$dZO{R8b{7LO!%9`DT5odk1iij%HH)cR@8}d3p8_4w?5gy4+`71fVU{Ci z$_dZb(&$<%8LGGG8lc#s!sypMG;FQVf&1$|$VdV5vJ+AxeROjd!+^dGhDGhCdmeB| z1%wf45>T#_bAET`bRqh2@q2gD>W`9>=i5MrUxHC%6h}6?L$O*k@O+aa92Bq}gc|U* zi^o2fW29`Qc2JALkZJRqXdteYlznZ-T@^Ji&wha~3Gg=W-Jnt8&`M5 zDT%u8Yj6ceYR$`=?`c>Y@;IB5Tg)PPieDabh}p*Xzso0|PIG1Rzx)x4+iz*Imc6>q z^;)D6Dd=0_p;w156-;hYr@eI0*JqS`@g<4)8)=kP5_gGn0XV2dkCNF+9`-r;jrgO= zQi!(diAyBzl^*#FN{Prb`!QG(lUMQ0NJS~_uS71H-l&@1Uvg>j+Oiye)sx`_#t@uzlC91b|u7(H7*Ay zyT%Y_Wv9jvVGkgElAes7r>{NNn1o7WO!6Tu1qL#+a6`;gyjh}q^0GDZg- zlZwXG3^DaMz}doj?x?%uE7%t1xKq(6k5shQ^NVGM{Ix`szERoc+n8Pa$_&%;^b}Cb zh$npvDU1!dzQ41!UVC&{aX~&g+julT7+-TKy_xpS(d1~5dsn1b5-Gl+HbzK_O494` zQGPu=xj}iS=>ai#T3w$pa}Od^R^HziOTOJP9XWcoPPC48G3{KvXh-Yp{EDSIXipwp zzi=?Gdls1)y$_JtC5f|8lc?}w@7Vysd3^|yAzCihUNpI@sed^Rn32sHK~1)pMhtic z8w|Ur!ibRVGKsmxYS_4+dC!Zma%2wrAXU;_mBx#y847XBAe!H{^S9#!#8Gturxocf zk9`&FJLb%0KMq%0rCrLypd)mK|oc-9f2h|8k9um zD@PdVmOtTrTdUYVGug`TES0cPfPFhM}Z#BiN~sa8MdZ0bLyqh0S+y200+4i73a1XC>N{ z1(ckzeP*hmu1T}1AWfZbUbxZe3DXf2A}YzrG;PSXHzsDQcq?5UE+eB7bqdd?yMBC4M#+@ zRzC@(e1es;=@9^p@<~*zvb z_Z2M|UwI1v(yzSPf%{es6#V_Cf&k}zkX-gk?_d=&C*Tu$Mc&e+xZ5o6!pnMLod4DR z;=11zpS?2<$v%`^e$}Vo27sU!eUa%rGxWLg*9ZXlWVvCm{sn|%rcl_BS%Ce{??Mu^ zhw;8RB7=*B2op$2;yrrBgideqj+p!{5P?>$wuaRyllLO~8_(a7i9G4jZDbq}?uSJ(k#kF}=IY7NX7zGd{U^lsubSnVe?#h!ak=O9)!^2uZdeju$< znA8&RIDPb`WK$C#%>|N%KnX z1m#ic_?nW+QMG>eL@7ef$f8*T%chz(dJ*CbeFmVcr*vbHUChT?&8&ib^`ZStX~4{? zN6mO`j}{N5(+MT;BkuRZcj5O|P8il2YSZ|ZjHVX*H9Fep*5wW$C?F@f@Gt@SmDx&L zNo^oSAH8XF-0@LN-cv8743=#5<%A+x>`-&d*}YpC*K&6mHpIVGCc7MDzTSF5Z8dqk zH^yHm#BAX-|G_Q#=GL24B{J*IM2({Em$yJ>tRPK#Zv}3o8b^0Hwqm(pcArs^xwcW4 zU^j2r=y0IE1wGv?QFxuL)3bIaKi&iNeK6UpUFI3rZThK6dJmhAfPr_?AA#%~EkoR0 zz})Pts`g5z6;W!Ke}-7k5bEG(q>F~4Dx<Xq7k9{}^d!*iMbaAm^D5KN9(q7(r8Htu$pijFEP{?3Cs(erid7s`CQ=3 zHJ==dajTLuJ)y2@4zysJL>NfNO_W!9UC@hnFa%GO+6=OE<-xdJG~LtXVCuErIx(Fg zjSg7%S(>@xCuS1dtv8QM<$vYs-_H}K1qwurg+H)qs})|`Q(HCrOy1$ixd>+lBs|qKCNl!`BXZcYp*eH+PwDzvGG=ZVhuiq8QRQPKj){$ ztUX!5YW3uM0w#yzyTz@RYF6t<8006aJXMkfaze)jJbltqL&sjab=mdu>+`!E9j}_S z8u;IJ63)TJycAc}oz5{H3!EI!cdm?brjxKwwJ{&YgNYbQ#De~Q%07r zCm*E;oK38)@cy@z~K zoQdR&HPU=$B$~aGk8>qU?7P#kL>G?he!Lz^mwaB2Jn9<)?5Y9rcOP#QU%Hu&DPM(v zFBjX-_`9;=H@m(Z-3H0$>(90~l=pMlSB_l))-RV+`7wc1?e77Al~yI-=_BFkTOs1^ z{xf6YdM1(Li-+|M@%gReLpiD9Rodr+^vk`W;M;ccQXjfU`fD$-1v@9g`%CNWPW$m% z>DZ2~JH_Mk^YVC=^JnfUr}7INKLY@-uOHQ4hYUTc@2@qy5oh1&8@DWV44wjE=xuw` zs^4nkDDUa_G2>sNK0fdCxZg6i@1%F%eY5h}8&a|V~T z%RfYHdZYmrhgEOGgE=c0d<{c@5BF){TLkf3e#wapcOnUi*}+-{A*71pAX-B{H3?&= zy$PjIr1LIrVxuz7_cN$l%PzT*T3+-b83!8{7YCeVp_}(t>LBWV?@F;%qEK1lffh>V zyqE-kL%OliDsu?h21IPAVs{h)=0UX^ zsO9{2TEZuX=`MN6^8QmjdCZnnHL7`4BO`FVXC&2F(nkKA`!m)m+Nss$*W`_cWj)C& z(Lv^){R<0!u$rKVC_P9GaQ9(1b|D8mo+GuXQf+cDP za_GeB!X;A?d%>XGh+E`$cIfDoVO3SuVWgy~pMt@g!#MJQI)Ft$UmHL0`}5xiKWea& z@C?G*H)bQO(0ikhHt?Dm+!}bU_fjq&TH`cUhD z=21+(-Z2jA2S<;6kkC7;IR<9qv~MsVIW0aU20TQc)m$F>n%jY1rUlE}1;~G|{Odw$ZNY5Bn%T0PVGnQ(5bD5gRL5-W%Ka=8T8Ce&fL~M1 z?OMvc1iEyAu;DScV>DOye-aO1hh58nTT`2{Wi!VJaLo|%BsXutX)FnNatK(0T*HH? zf^7U|G~dkqOc6Qm5&i#ZpPr+&I0lj1nctXszVKx7TTJs2alA9TVU+WO` zY!>q5FfV|(6rVX0huieW)H0np66*gJl6hP-+0ixGv4ud`{v)~j6c7wJOUw60Z~T`F z9=mKe!wT3S;AH)E5EKG{b?kSqEXD3P~pa?=wFta$}?qibxq( z(O|Ni8yUJk)jb6AyI+zh)GDq0yQuR*X}SGtO4emaZ$1gJ7L*@6p{&xydD&%UT`5i4 zsPjaeHWK7=n=Ye)WM3g>+$YRQm z8UFweZo0IwrotWA^5f$5p1j_%=&gn;?cfyp;>7!3?-X6vXuTA5u`!*5v6z(u7|Ljd z9~ov_nvt!?In7;Nn78HEtkrblxPMoV?Wn{%_s4TuNg_@bX+ICvroB^^hKnQC)B;xs zPHc$>!Q>&CP*pf_`{%KhWPT2$$id|E+9SioIGLVKgJlV#-mY+@vclukxpOC`v@YCm1I{OR_cvGmTk)3mpsIW&Xa9# zBA|qW_WUkYG&@pb%WN9JbjRf#E`>{qnodG{_79~X6eV{6yGG$b?bl~*1YJndVC@Eg zU@8Zxh;@RrS?2z^flL31s+h=`2`tKnjkvH!lps(xjP4NOhcf_H3$D^4pbIz9u*U3y zGZbud4lzx6ba>8$d(VWN7zH5>_(@&{GRqQ~nInS*p6rV(+;(`_*bd1j>2832f4-j} zk9fqk>@Eb)A-vS<0mbsQ(5xcX(12ffupMtVIgeX`hFJxyiMZdU45nSJkv6dC%xgUy z?`n-6Z@`CcMTA?7bcNvg!pEK4K!{T6P(ISWMLK0Dg~905&H7a1%r>P+IfZ0&u3UG( z9w~-ELTO#i<#$WfyO;K3aN}@vH59jy3jZ5)lNa;12KN`I4zW8?wcLg}`q}^!XCht6 z5E~K+&G=+db^1V)GshH;uFccmD(lH^hlEKK?(_T1}pf zf<#ZWDVt$}!zHm7pned1K9q{<#qK*$(4z2wpcv4%#x-gJ6()s2K{QZRxc`8EO5wmR zz(N_?qYP?UNwPn2e|}kU#4p9W_dD#Z(>c%Fa^79Is&X2CvDA$3qwK(7hynL|k)eOe z=@nG4IrKt$t$TZX{0HT$Q)psETqK4k}?aPOUiRLqoiR;%uemY zfvh-Vl%Prp9Ke3c`8k7Sv_qWHqwjv!pWD%e#jkhUS?%9j@^$3}bJR%#Ry5!pVXL8* zk;?a%BO=o^P?|#{|9?b0%>C~@KRnN8bJghIVPZ^FOjP7#?1O~^rKyP;XbH#-hDwGQ zpRKl+e`H^iE*Xb{-36U+pqdKHPS}-@8_1M{V;6*pUi?(amY{u zstXk0-dd4_UWtYJQkZx|9`7I$4jvAfu>LKC)3$ZC z_Qv&|Mbx?ZDS`pqa(R0pB4Kk0(Pw8WWI?6)42da2asnzjF+*3v=U6-s>SMZ6w9oA% zmU^a(3+m0HI2rYceZqbfE^oFs_;X2zTTjw;QE*^R9ovf~CON!tI>ObRVA&M_(vg%`T-%Q;=aJarxt8{t1T92WFyX!;s-ttl_PhFMZ zPHANqZ6-^v6hR;=Xs80>>;~opp@v@NK3?^{zWZo##aplbt+R9O*u=XAqkMW?-&-%M zV0s!wuWEDuFf2(=Pxtgc!sX)jZds~EZv8UkuH5Kv-lHWDB`!4D$TW3L^A%-c5h{AP zQf}W{8B_i`jb(e+TJ8K9txsH}@@$w{jb4ddB!>ly_?#SY@VtVJcz&+sx49tac~nIW z%mO}v1}d|-dW{;XQ;gjXxEE->lh`ph&RQ`017b{c2Bu5o^}{W!SueQ5qiWdNx0N63 zd?0ZpmnT)9&w{CtMIf0Jn$GNMpgb?(#V033fI&6X6&Zz2)t zFlXkSHphYJL*^cK4wz_mHd3DnHzE?m_t~R}p@Y^es8^Wsp_MHYA9^VHAtEhO5%_yb zD-pv_A#)t%9m6`%71XehW*)%!8!NcTm=R@Mlk&7WA zkP=Ic2B%7`l*f<+BM2`7)88x(8wX60N%U2U2_lRr=}#1Oyv1<~Vkd z@JsUrxu%2Exvz%CU4TCLD+V%;+{hDgs@V$ZyyZkDV;id3fTEdc>Y(mIR1YZg;eP|` z?Osgw_Qv*xj`qfe&Yk)Zfhw*8bT$j{SWY5Co3YS>awehCEm74u8ZAEgHh-+`L3y^) z>t-KGmiU{t=sZ9P2Pp!h1QW3-=;_AoH8q2e6HQt>Y5TAJcN0hU14x`-23B^!G5g4| z)y%&2+>=M^P5EkQ`&HZv*pn9Pu;31-Vby)dXSH*#gLk&MIQ#x)p!fk$cI%C&sEk{* zp{|j5EF-^tcT1;WeeGq#Z+h6kihKB%B%>_WdfEQn1h{o_;tvhXb0c&k32NywGQD{V zh7ZSD`Q4;mSw87fETZdd_mnoyoNo$0w-GTw4DcO$g=x>^01=zwm&2clh@@EXOPc5_ zLVQU1-kRkMYzHYx&~EJqe}Kg91?T*Q%>xUeLIe#I+TLOxMhn<@fC{71y5#Bwy_am^ zig6T?a~6?v70CvI;yKkQ;AiF3oB1v8G|s&V@1BoyN6Jw+3D4CJ&ovLvH4e|U4$n0V z&$SHiUXOE!%5lIec%xps(7Hxv9EDR49P5+^dH>tVb-vlb-q2=!Nc=Ot*+ql9{g1a- zz{rIQ4h4Okx&cjp+@!J^!N)rO_sdGJRX&K(5D0mEi&OB)g3I`{*W zkT(vV0|H|C{Q9UQ{Vl~-=o!Sn$6uj!n(jc|*FFy@#U!fk*ZbpJjbFiH(^K;un)us9ncF+?W+$ekMK2cNi3+~%|a74bjJoOBAk^RoHBi=sK$PP_EDHN z0&5DcwGb2!;Ee+|xkTUYIAV{R>Ta;c#xIfmIBt&)O={frITxneE8_ zW-J9zpWjG3sTVd-W%Q@aJ1Jo{h^Xsp3IANPkFl3-0l@z8k5R6Tm%VK7ztto5Cpe3U z%_ezQSgvXKS3Hh`=OU_66s8+NYF&z7qX}MRhPa(0VXeJ3BeJ$5A)B!oo3Yv(v7fdh zRlcat(J?<}=qT800lsY${uPsOaLH$b?f^m1Ee*A1Hq9Y7THrMKk&5FW!uQ7ga&x_y zqGqMzH#EnyK1d|V<-!&pPq@8+gB35DStF!~N|lk=GgmRb%LkZmxUM@k604VSqs`-v zf(TRk`#f-fteD6Rmz?f#dl2s`JQo3y7O%rJ6G)+bXd`j@cK*z5}Rl zLrynj1W1YXrA3OR=$73h6C7I?1cVv_+YAj3Bt;ZnM-B>VSHF(dqv3+o7{2n3{F7J| zs-OAT@8?p(;X}ZUH~R|8aS&oV@?+eyY$f4k0oc@Gb>=n&{C;KQBV@B{pi6DR!E0v$ zM(MCht2UgD3lF!k^wqzZ{xoML>@6X%6XndyR3ML-8sDo}{NlFp3k*x7i9LL^I7-jr zalK5-EZ!ous5cdwR8H{?;5wi>51&kMi?Ke|H(94T4l0 z!a+f4t>Mh3`T5i~46wUp-*D>>c87#%T@lbDK$k~{t0Cx{J2d%xVwvLco3enC?G@nq zb?r#}wHoHefrOBA`B#MhEZFN;>>dnYlc7$UywgV`SitQYHY*TK4Pf1qd?g^W+ z%$Xq?x4P?Rz%}9A;cy%P3x;=1OB~=X-A#6Q5m{0hVj-a_-GF^M3NoshG9V;H8tM2N z6~$-18%qs|02$;=ZeT$RC7$M?Gp+4BK*5r#FyBOi5aPP#Fx4B`it`8s6hd>uQf9xi z@6=`H&9Wtgra$zX62X{oLuw%W#aTjtlU;gy<5u-su@cUK{$oqV798O>YEjSV7x)?q zhP2>kFFHhRA@#STi|0X_3GZoKyPGxBsLE8Dgdoq|B#M=6{vkcF*Ne&kqzx>zliMmh zI8!*7P8gZ3HxxYo6st*)mFj#W)~tib$hURR0ylLJJ>8yWZ70~;`K)artaT#HEqXn6 zoXps~%ccIU!?)IH|MZ{VpGjf3oc*+H{d;(|nk<11?>OpE#Q?l0pyg6lDEIy8nf>VnfNnR|e~m zh>_aKWk~5!iaDo&pS?U6LA^U(H^~jS$PGl?{mQE+hN_;HAoblzk{ihRF|&@udhmf( zGk_@gg27#@do~L;A3UUJ<+JlF;jKTv@?S5f>5wK@{&GHc&eI)iqKB#-0_p@=t-dEg zW-GmG!1I5*=iuC3BP%ho^}xsOCA?G6dNgta=0Ikt9|(S8=Y2N|vWn#Z2eMq}4FwOy zx{SPlC{)ge!?K;0wY{p-ma~4S13S3H*GS8l!r+qocN_GR#_nXy;WlKketSy@Prwq& z&S&|eavVO>3A6J31>P%vLZmZf)%H0cIvW0Wuu_3_51WB1J705OWWIHH9Dy4!6W{PSd%sRr&ajyn;xjDtc;tOeM#G?}cP>ZILClvBisKqM@{JKy>^Nk5|Gc=Y z&=k;K&%piwH;cIIRk`Ts6@q3!RH9jr?uTi~Pz&cT4yi!z(@#ER1Hix`sNf&TT77aK zR0;@s>qyocN3;H~>XjB>8rxtyo?qJz#NDu9wDca$JDxv=OO9{*FY%Ql@s%s_&%*1o zBl+EW%yY!2$HPEUHLleKqa~u#0Iq~%>nU`g<3+W)~qO#H+hX; z>91Q1A^*85lZ}goXHhuTPpH80{o>0z$i%FKiAy=LO`Oy02~SDHo>U*Y9#unO@~c#W znk~T1LrNHll_Ws1xTG>Nhh9+kM1aqvDfP>Z1+b4KRV9D8CoI0{0 z&IB+8D5J#59ZR%iwd8^9DPpSUmF4rqLH6n;OW>U!=awc)7!u1bMjnn12_1hbgxk*d@XH2m8P*uh;Y*fv zD=>zDb1PNua=us$k_*(xxF>4K=(Ckj8hupKMM>n2(~~Jw@ZS<_@wl74d6qxQEY(;_ z4!q6xe8SUm|AP|*1l9k*YJbtT(~~U>@X@iK^jA9yP1CT*Z8A!e+DQq~ciuvgD)UPP zyzDBEu!e={P1F>4hwuKFW#m;7?$)Je7z2`QW~y@BW6$7BbIQ8QxQD$&75YddU8<2P zOu~q$1Csh^B&v}shJn*8*DGD_j?WiQqnj+APZLu%CapKGnOY14>Z7o<-ORO4%h6RG z#m&Pptv6j7{3gZ*yDXpUt{`81Ki(xKzFkiH5qN@Ui?u}asWV1)+pgKRH_C0d#va1o z&KU3Et(=8b$m@Lq-KkG}IPNV_pOtq$AMt9H;4H9fKxM0?Yf189zrOlq)>@O<_0{TX zGUAM9!28khGat6+(XF+{iyNJM09w|ydO0r-+x?0#f8Fp0@W^hz8}+n-&>@#&DK7zx z%4EIc9K+tv z8!*|g7NYOpcP|-a&U_%eJz#p5NnJ-@V6rLH{klYoCD+L_C2ro&Jwq$vwB!`RDSQ3@ z7@}`$Rt(=PYHvL`iwRB;gH+##1EQzJLXJneHHJ?o`?R}cKEv2Ph;z5_@V?30`dvm5P7k0=-C z@8aU`xj^J4)Vo!t7+oOfL32Mx{=Q=3LLWAHPTYq1o+cGAhT<`&IIO$2uQ{2#x@J2t zieF6BdFw{=WCU1BF`Q4b`@lT$t*B^kL3m_0{z-E+=PxlPj0d$QfJcTZBT zgW;oDxxI27dGg$`rzwMbt<#!B-qR>9A$m7v)aZQpE%feR6UwiJ)DE{CfgjPtKl$OV z^;kC6NN+@8UuusuOxM~eQtV5;MmoP)Cl%5FvY_5@gi`8;p29gg!w8ODJoK_{>LJ`N zSoT?tkC-944i8TmE!2goe^*3*u3y;Sq8SaF!XAM+V5uuwb7s-k+zV%^kxxe`>37-^ zFgWUZ$tPmo1VMW4vz_!VX`b@DTyB(4e+&Fv!~Fhs11?mzvPM-!?FL2Ff=RsdS@I93 zL!~NBf+%sIqsdHt^fF=K#eK`6FLx|D+z@h8J3I0CLW+Djl#-AEtL<3f+`sb zOd!DeL8usjsA9N>sKn|qmDbjfMb}6s<9L0salh#_{l3w(+GP!8WIbN9-V=yX5fmp! z?j@Vr&Dao}GiG1)o6UOru{FiTqRv$NeF^@2oxq}MW9G-)+ZLGVMa~OroKHfl#~TJ! zhX+F#Z({VUVfGAV+&NWM7QhG;nL+$>_K7^5`${cOHqb6+?D`tiMcUu6SDxB%ahYU= z7`X4ooNmXtJFpx(j!qVf?oMeC(`WAj0Kv^lR4edpGQTgk$I~fcuDkWFLig3(<^>|2 zr4^24724S+SoH~Ev`YFMi_f0Qp;r5R?3P!0Niwk-RpRz@74vhVt75+s*lP(ptfhGVmb!2YaEJ14&DWpUvu zy{3Je4JPW1JX2biv>GjUX#^t--)4*Hqv;*A*9M8GeO2@9AQ0iK(8hvr zH2$-q{`6a{PXsz~yK$(g{XR?Q4?;DuKO`apjT3nN9S|FXTIg z5whbLj2R2n0k^s*$Y_Qi}o4$JNHTl}(381ZARwy&)~@D$WyrvX(XWsritrF=X0_w} znUIwu0C7#ls31RCSMN8Xvg;315J_S;?Rh3+gGNc=B4Tuahb){D-?I8eM>^aZYeOm# zN=;;@GVyjbn?2MX7w0E*17}hIti7fAMY{!V{EJ~De?j3R@#1nweBj{q)dvD3WQrf0 zeus3p$OearoR|bP_p@=55AJz~iWq)b|Lp`cu?r3Yo4zMRVZ@7E^CfTYS=-*i8Z59d zv5S%NRzs`&RO`OK`Hjk)lZrzHL2i+mGF=eba+@zuFQ1o-z$6yy`gFz`q>!0H_|IQ&9H!t&&N|=m(misj{Io!&dDQK(J}_?0<6|-TM@g6Ui?(_4DWztKc}~N#*~h zt zVPvj-s{caLaL+swkBsFETJyY(GppW9shoNZz2ghT*d>ZP^N!abST8Ze2)n?e#5P0| zdTUM=*zm(V1z28H??k6RrG$CiQjcLTuZ= z!PPxO649j*V|y@OF9saKXhEz}VLK40w!6c|2lorc_sd4XK+p@<2|Zs|Rci<8|Hd5W z3k6yH%c8)aVK1UWYGwW67=*q-3%)VZN|A2QWFLG)(Mpp}a}NM^u6KQ`j&>QryH9VW z(E8`lJ+>?7(m~NUa4>vvO$I3i3@HaC4xK4$wAx0oA=B`F+d8Dis$X8+MyMM6tnb=5fg8-dxZqUaLCLF2FV`v_ zc43h*AGP@g#JedZeHXMGZ?reFq)sb5IVOX^vi1H`@ieY{{eGator}} diff --git a/cpld/db/RAM2E.sta.qmsg b/cpld/db/RAM2E.sta.qmsg index 6adb96b..4c7af12 100755 --- a/cpld/db/RAM2E.sta.qmsg +++ b/cpld/db/RAM2E.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600299290603 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 19:34:50 2020 " "Processing started: Wed Sep 16 19:34:50 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1600299290654 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600299290732 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600299290762 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600299290762 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1600299290792 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1600299291033 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1600299291181 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600299291191 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600299291191 "|RAM2E|ARCLK"} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1600299291191 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 22.294 " "Worst-case setup slack is 22.294" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 22.294 0.000 C14M " " 22.294 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 3.117 " "Worst-case hold slack is 3.117" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.117 0.000 C14M " " 3.117 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600299291241 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600299291241 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 34.581 " "Worst-case minimum pulse width slack is 34.581" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.581 0.000 C14M " " 34.581 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1600299291301 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600299291321 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600299291321 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299291391 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:51 2020 " "Processing ended: Wed Sep 16 19:34:51 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600301682828 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600301682828 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 20:14:42 2020 " "Processing started: Wed Sep 16 20:14:42 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600301682828 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600301682828 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600301682828 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1600301682880 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600301682962 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600301682991 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600301682991 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1600301683013 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1600301683244 ""} +{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1600301683302 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600301683312 "|RAM2E|DRCLK"} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600301683312 "|RAM2E|ARCLK"} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1600301683312 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 21.694 " "Worst-case setup slack is 21.694" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683332 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683332 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 21.694 0.000 C14M " " 21.694 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683332 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600301683332 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 3.144 " "Worst-case hold slack is 3.144" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683342 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683342 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.144 0.000 C14M " " 3.144 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683342 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600301683342 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600301683342 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600301683352 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 34.581 " "Worst-case minimum pulse width slack is 34.581" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683362 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683362 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.581 0.000 C14M " " 34.581 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683362 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600301683362 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1600301683402 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600301683432 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600301683432 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301683502 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:43 2020 " "Processing ended: Wed Sep 16 20:14:43 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301683502 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301683502 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301683502 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600301683502 ""} diff --git a/cpld/db/RAM2E.sta.rdb b/cpld/db/RAM2E.sta.rdb index 67b78e97d3bdbfebc2117ec5ba45fe547a35aa25..4760e3f7967d4a77bafde86733176e14569eb140 100755 GIT binary patch delta 10593 zcmX|FWmFwquZBXQP^3^?i#rr6PKzF#;ts{#U8lHvad$bmJH_4IT@LOpm%iUxcYaLv zPBKrj@+5m^O}ApPQj`LKECmhq?^37vPe4(;`=2bP{hxG!KGHI^AzzT2{oNQaw7%0e z`0?{fl#^tMJqUcX4=MBjMl{1?6tzR!19v?m|pAIw6!?Th*`=oVeka`c5*h2jJ zg8lkJwX+6n5j^LZ!&1L4U%vWZzFs_Co(fa&qtKW4!5`=BivrWf-QrT&Of9Ef@j(3S z166_VNyi4Y+pl9gMblc^p&7e8EG<5~9$%-LS>n_y_+z>HwdT9L=kqUks9S~Y8Fu$d zT_#LKPN;4Zg=^pOw1Xoa;8$d7zMnYmpXfSNt}KN<l30#@@ z+SJroi$Bo4)*z z=S`Ru?LCtgP@nsG^|-JD%RVvEVqdY^L!soYi$^Z(^f04FSm^Oa#Tujzbgo@9cN!MC zwJMg-?YTnqGlE?_yL&7RX>;RjpA9d<+EZj1R|Fg6sf15`;ZjJu3c0;$0@U#}6_hXI z%M=_4F^>egu$_DB1JVR;{SZMasBpGY>ng&hDJJS{(gc4ouoL{w^< zAT^_b6SmD9tJcnz--)PGmO??tCleJ8a*ds2XVEH~CdE0TJX>2^H|vUV zyRZC&0FN)uO$CpSZ+kd_hqK9$Cq|jhZ&`+CDuU>j9m(H~#UEy#0e%9@+PALAx%(jF z<}o?*P3nEyZ0l_0T=tUXor$xUQ-w2y4}XzTPS;JfEi5sPqii7;{4>fhPIAQJn4qp= z1BTWi&6c-@nQ#J~I#f7!fU&D_Rwnw4s26dB?+?#?4YA?KzKzib^%`i7z+hBRXZTCZ zH&X5|aXMdKvYqoDfo+681wOCdPFVmaxnE@WT5XQkUa1g4qK;pVKv+M=;&EZ<#D#b> zHu3SzVxO(S5Z$({pf0xS>efeBW_33&h+$!@7%gBMLY`lyyVdZlIoZkaWq3rWp*{w~ zQeqP|Cwv4fO9u+c2!}6-mWTJrK!oC6*AZCoz4?V2?Lv(P;H-52a&ZhF*msc1VS zCvtdn-|h^d$$a4JmSjm`?okb)qdK10_}Ub%O}dN2O7ype`X`j-^f4spqp+$F5?!9V zq6p20Q{<+xUOvRHwES}VG$tWukA{1N^j_)SR`K9f@mstl4`77(_i^ z>;U3^wq9JPz&vjH;p_Aj&%_j1iLuy|h5#4rdQ%Nf^xbaOZV8fWAVu-)W@0DJ-e&U#txq;G7GFeX|#``9PeIBU%9Mh}63}=ISV1q}0{$nE%ecCwL+v^#b+C3|W(C5e#F4E&mRCQk`Rld&~4SEo1`Q|$)OKz690 zKO!O>H9=O;d$(ZmcjjoUN(pif_#$I!NJJs$3TP;yHicV1Cde@OIH2GsD8|HM z0NddFl`0!LR=w13?baP~db124Yi~Ir`7@dV)Vs^eftV*64W|yb49dPCGnsnqg{sK3 z|CV1??Um?*Fw^uVXt4g>0Ix!)ki@U>!;J+;jnN2INx;@R{t*U2WUI5RN#N*-Wvvj^ zk*)I}96?8i@G_7a-nUY3Dl07<*{nbmsL(S_X1y5tL;CCSnt#bVwqpjw{a~G}Kta?^ zVSk)zlxfl0V7ZJ z8&g1uGTc84BdhX5IAC-m*U&}peCGScl%R5b?2WgKY7G%_ZL5KvJ)?u?w>vCLW-tRH zGA7<+N6Pa1*VJ6jKN$)EJpO@`HU($#f(XPdSrJ=VPcA_*{O4OF;(LCq2e&JCuj;4^ zKceYS8)@_<{y2Y^-zRCt+{tXzF~+cP=6+^Ir8cIgZ<_;sL z(}wD!NF)unVu$+U14+^Bm`OM&(Vit{g?b28!g>9|@Gg8q2$So~!0%Bu%+b zmvy60Ry`RerPI>8qq*f8ufCe3aVcgL6|>ey*J7P`5i9k;|I zJ>(I#5Hdmwf+b57B-N!(Vg2 zvSC$ZaoTr(;>BJz8%k}F?OQ}g5}PSyq+0gLAJ{9BQV%ilms&!yP;%pUqMnfFGjHy^ z5ubEfn`pu-IYNp1dQn2O`)G}yVec(YN6o@L7Hb0WhU>zLED`C1y_DKb9U~Oipp4nR z0zHD|h8LEy1tbMZ^L13MCV$&)0}0X!ROZZ5 z@lu{=hr2D5mF_8DPa{z;;Xy=L!LwPRhMs3IT259-wBraiI-b6llRZ?8LoJAxM&QEd8rp5E~ zzATy=eIm9>oUDL#QdePg*ZN)1SW2vb=+J>ZLerYC4rqXIVP&EN0$y%}u&`_O@~9Np z%XqYBIQ|Z-VDoYPk`+3{`|~`XG^`_!p%5 zQ=;F#!FFyn@-stN>%P%<`KR)Aqo6vl5r6Zb4-3O@(Yz_zl3x3+A!re5K33Z#WkW+> z#kHJo{JP#WaS|7ybu)XSc4qqO#{ks|j?+UJ%kF@rNszJj0Q+LDETPS&gIucB2iWvX zLF;z~AovRVT*>cpn3Up#Z5BoK4`UmLxX*>HffgqZpFiTM{8Cstq-}_Glus!l0XGHW zqfkL}Er}LQNhl7g5^|8{BGX;R^Y-lQ=BxTMDT~pet`RU^863)YRVT=3=K}fdJbYNP z?Nw3K&*4!^k#K zRmfWlc-kFC=lhvV?oWFS#JaM47>gKB_?y-jH9F61xKF5gHuRU7Yfwf8&P6GN+mz5) z(fS0b!PfU--`6cqlmDCl#5bTS^E>hjL28V}PWbur`2?Et@&IHIuUzCKweGqY9eFvr3a zF1xz{cgDg@XuK($W?oWWF$I5OmXmg-SFW(acY4I5iHNYVJ)f_?Jk(&QhBXJLlo^g! z7iyVj{?66OgT2Q{?`MD?9ph&Gt<_+G(1_e{cJ{u`0@h7v&rfC!h^6CGHWMM}uGmw| zjW2j@a)+IbQDu2d!<+2Q$)-clw{0>FBXR3d%opGN1g2zw2u9J9jGm?sQfu_4ai=gU z5!mUUV;<1OX0c6KAY~d0JZU?{RL>8K%_GHEEsl)YqDKtQQmBBL$h27S6;n~+Io#Su z3bTFxBUi$+C!b#Y=YuAJEej<$ohNN?z#2zi+Lbqq2;g;-2PS@Msk>nScfyKAaf5jh zSNjd2s8dSjGlk0@74n`xJ%6}R5fzRdTTR@)qnj8#%r4l}K;skY?L%XybF*m)kGz=N zL(jo?K`fD-0t3MZFk*GnO)n0oqK^&k4rY=Q1vE5Xn;_ZshCe&Ptswnsb*6R*Wej`i zL_*#fnW}cdYWKS%w01zM0{^a!oUgb;mNgg6n>JT=@{%56fAedl4N{O#bSnxss=$@f9^_nLDi zs-5ZYJU6<|_k!mR^$*@>bdrsx-H{iw<(S=PNjzt{A;Zs9F$#oCb)hbC6MNfpoM-AJ zWF}h_p#pwp$wbhWNc&{XttcE^D?gHF-v^P#nTJ5lLShrmuf(N1BUDSkX;62hh5RDY z*;r<>XB%={;tcH~vdfOR#RH!YT$}TQN7yaUZTNpknjB1{9HWl+@WTN_1?9k|ZaKeD z*tZ!YXvxz;zIVx#hHHxU10<&QroqD5)@Gi6e*%$iwPtk^U`MN;#Y%bFK2w(bH%?00 z6iRdngNCzQ9dieH%C%1{W}c6w!>q)uubxwP(dZ|_${+r(k#NAbGCh#CQedm+W92t9n3J!l}m`0=-S^#vVgj=tT1B%A>w};4t6IxodB)X z@4L4*vE578vb##j7J6{HgC7Sv60$V&w1FukULU=RG4C~2>C8Ems}aS|ToF|#jvJ7C zN@vLv=Grj*Om3`A{9BH3oegv^TBobD&(m{S*7^}ng&IO}@~!iZ*Qq_s0BuwFK-mNO zvu=XMoZI@S#@5n<6Q+P#9kb9hvJF%x@H{VF?UXbAMbGPhdH?;Q9Dgr4XEQ- zIr`&6GOkZ}{xp3DHN=@I*h_XkH+qL+LEmD-MWiDhW|Z@1AS&!CLS6>{PVDB`2R`D% zfXX~iYyjhR6GnS08?Z%@xliTQN4R-wh73fDX2e_gK^AbHT`Yu&*`_^(OfzTqAq}Qa z04uY-^#iB6#{OD)Dw$?pCyATZc)S-ssNta) zKO3A^{8tTh*GRBoHnmQ~G5I|2+F9%Jsm5~Mi%PbE1yzHySn>S{3CyiV=x_H@@5gYF zzkC+!tJNPGKuF8wjPFk5U~Y%@KB$;i87{t@P}%u`XFZ14Y-DjDUM6TZfHj-Vcbf>C zYfSAf*Tuij77;daw6fRpBgo5#NXwDwWSZ53mZrY*k$Z3|G_}g}=xW?B^@h2R9U_0v z(aC?bzY=VyI}-V{uKo5Xj-Db`d%!RSmBT&PlKHwNjE>$SA0mu>!C`8xT0M!If=*YFe|8av5;$g5H>K%YQ^&~vX`G_&pxhuMXf*Gn5oQHHzW zMTx3qR%6#gh{FY#lyjJTuZ60S_Prt73#?oGMOHv`^uWv>TPqk1xZ(E| zjm)_k+dEaT&n*2@%#v8Ezo(hsuO7>8O@ElVfNN((R3Q%}hZymFNw{|jvAC8fB1x_> zc{Nubx2DO+cCx=E`sBX1z@b&vNxhOqv96pe^on7Ld-tlf+Yf(M^tB-l@0M9HbzOa# z&Z9LN(#X4Ez#!D2Bq{Cs#Z_SoGq#PLQWjR6)FG+*IIW z?!*T9Y%i|jl>gqwZw8a-NR8w5kRXrs`5T1DhCwaj1&M5mXE>TEQr5p#OMeD;aJKS5 z+0e9ovA_GV5b6NtP7z15bf;HJeOv!w1%NwA1c**j1caNA<%7y>c&{WddI`y}e2h>nCY=>hd65rD{_}A0W$|ig=q!|q= zzERys@|hdPxZeJ*bVq^2622j1X>I0+;1p9dznlfc|6A6-gFP9cfwDYtu`s5<0YG-H z#UHdlNL_K#=K$Yb+$m-{Os!i4d6k=ryp6%=2N2WW{fw2lZJ4`h+znCzjfWu-v0GQT zQLCJbw{~WgFy`=kK5mrgv%iw%m|S57{mY#;ts3@cdBOpE1g(y_!JtCZMe*S-Y+p@U zC?Fj%8P~enqOBf1uw#r5X#>bO8r)24H+f(ESVkTOIdD0f-!J|<#Y}lBbk3Ko@vAI-U*`U zG7DGI*br#dTE1tUvTG}Sz*sq;iefyJ z#NYF#kln|X6m8eBVlx)-6!)MNV@$$gjt>(#t^c&!zjvZQq8eURE`&A(Mc+Cnwr_u1 z^p*Y_9K)Q1FJSr7OrOYg@do)}Hl)PRtVGEb0$~uvgK;$C(JZwcBU3$E#DdOThIxp& zQw-8HT8XSQP&YuMFCJSd@TtH{w=5Z4-xr5LtE0v8qYwz*iCMjl4BtiCPg(*~NQ#D0 zobssoJ?Sy6mQ7i($1lX(5W!&8?YPyUoDVm2rjcO+WeLSqfpaIv_f|R5Yj_^CuwYs` zAv|*>y=f-A#v|{yD1sI0R$-kL$~VHR#w5eh|HbXjs?lUv5Po40wO`YZ@HpYe^rL`| zs?3!&#Wy{w!^miseXrZ-DFKtRwNQI`n$WRK*o=-9_-xIQ%=#{UA^~A2SndmjD0A+6 zpfkFhJtMhP=&Q3nMnCth4&Xp!C;l@#Bsww7kgN=IB%!;&XWQ;kqH|Mefehw6PgL*? z++X+HFMTJ*zwDG%Rdy#pDON_OVxIaaxeA)E+H!gsMK0+gap#%$g(vv9p-#Ilc>`-p^PQ z_eQ^fDj0!YxoBm?q~l+$0(Qa{#PY%n6Uyv>?wB7P#}rH3 zCD%K_J4?E;U2R(Qqvp$@gwE*Hs%4a@2uGqjPuphw?t5Vr*3gUaH(Yv3Y3x7dMMFm> ztvvfb4;?BTTzpBS=f1xzT`mx`SUqwyB&=)D;Ya1M=x6*AJ`+`T$=fT3tafHZ?|R%} zHBgy+RlBPoz>TqINS|Z`q=%yH*pldr^M?h7aXM*4Fa^uLAE-U(2@kM9s70-`G`MyGGzIMi``y&7Y~jt37;WbsE%X!IweB}{w4JG{-NdS!iex|J8n0J|hR zM7Ty%>71!KVcKk}-Yz41=`-q2bn*(1*W$qdHE<)rPBE7)5w}Gp$}ybdlF;LS6kpgC z%w{Eh1{O+%>H_~w^oMv3Dzo^5%|#~}1HO^}NMngMXf#;qC7mbxuc3pPKVa1-)VA?f zwz#Nbr_>+fW6iv^r{K2P-8UT_!?`*&!;4rjiAJ|tAVY6eTPj&Ry&oc0pAfk5-xKpu zwLA9FLs}YEo3&iu5b+s~qOFnn0Zaq@DsP3u3ORk|_7UoH6?=RTyK?F|$u{~@MPrHu z#tp@J(Wl1bHcPgl7TEJ!(c~wK92(PiH7F@nMlwlTS4^PBWp;ZvNbnqi#nH%HJG3XW zq`$)FbhXSbdRBqRkF$ICP z{l;uS6r)tg*YFXeDHCWT=f@kdAM#LzLA+)vW|2 zm{K!bH|irhGX=jJ4S>Y#UdM_|POsVc1VFm4>ilatzahHhL%An&TV6r9Ut8Scv_%Sh zyaQ>8P*Mue-r>dqqNgI_c2}brJDM3a34`sBb-WcNsNzR6u8*E;=J|pCYmaxpWXfBi zHtkRCH!g;}m0tEIlblkSj8ZWXvax7!YpFS^OQIPA);X$;3qXIxx65S6%T1Z}qE`CP z2si(v$l3Sm?>d~#XHB0PtiOG${KR>v{?Yq!qNi@s0Vh<1bG9NNmy-ckCsn zA6w~`B$Lk&J;IKg*^kjy9r5>cp_V)c5m(kEgPIkFqsO~Ufih)VUG>jn5|ibHhsx z_E`&%4Zb=}?|h)nWWkA!O&slqEX=TrQ>8M?+Wx}sXd4JS5p^{GO7p|A4^R3#nOk3k z?}=lISS3;^T;PgfyMgWsY~dw_c}2tq?6Q=04Hd#yrtT4rJ;JfkJ?FQd{!Q=8u@($w zKbkhbmsI(?gQJ9K*k%)VpB9R&7s>cd7~40HfT8;5?nf0Mi7M35J|)MU#5b^$MW-QN ztwt-t(zsrPq5Jejzq0jyR&V2IY5t?!Zs-zPZ|L!FtS7|_NRPyvP6=5{1H)wxL)yyr zy&jUDlLkaE*L_59ew8xl;c!0Lwr`I()@8Q{j$Cf-SF0Q{=#XFaYn-OD71ht=(Ib>4 zRYnPfffaB$&LD8cLTy5D%w^JsN7U?D7hig>i^2dJ$LLGi&^NV}!Pl~fFl5~BhNO-L zQtQwd+Dv^CUz~C&!!W*s3vM=Mr+)h0ZQ?_PXQ7@e^&*;6NlFO)=%7%LV^bix8U^Ib zEQDXMq_{um3odM|!>2g1%W{&uS+Iz<4PqnmjiVpz>_Y<$p6rMUoEVDjuq}5c%FH?rFcK8dp6>G&_xRG zyR|E7(B%4xhMceu(nbo=W)K=b9kn$fMgONZ$ay#pmk-syRfn`n#b*Aq8VxRspS7C- zS=+VzkTmN?6p6=FS8`c-!XoiO{6XNEbfjsr^@8;G(E0iJ`PP$XH!py;KY#D^%slrM94m+rg(PYK)u5lU_txbai6|zx>SZRn@*Hr`^2vIWe9~Y)^_#_;jz+f zXN-_B^C(WPf^(y0$8CyXGOsOZXr;W!>{r6#Nynvjr)3r%|RKqv~Y+l|T@Oqv@J8v4K__K{8Hn*Bq zYHoI|;vUB~Z7^X9$i``tfYmH1zKfBzFkTR@^Q)i(O=?qVHsCE=S=3oY(0~Jl zBNn&cKd)2@gfg4|txGxWC0M(XAfHC3eA#W&d4S%IbQJ~SwM1_2DMJdFDH2C3>AmGn zUoqo!CEI=aQ8T{xj%8BLg7q=tBtELf?i;+xl=G{LGlWkak%a@}yr??tG1@~0JhJ0I zXS9IL+gfYr)E+Z4G&<|-G)9UVEKDUB2qAB_PAXX4=9V3GBD|*$ zE7aIeno(}4*6MR7g0Dv74s#ATOzn|U1X`=LKn`CsWU914!<*?N!ZSQwjs(w$r|wg4 z9zXAF0uC|s{87w@aLcxaGih3a8UxQq*BnE**z^L4D5UYlqJk%mc)P?WqAnu}L%3=d zb&|LOod`t;RvlA^;+?YHYN%I;7rT> zy?c<+K}0B5O>+3Hwt>Bg@i>L{$qhyIp6J47=p@#U)>+0VXVXs}UXtlrr$@m*m;=)_ zDLywx)nRa#Fc?e0E{nr0kWcBhR6k-B?X^zx7@&A!&C%?R@5`427g2EK#X`E6*^koZ zq(Zo^Fg*88xv#iqw_0P*`(5*Z!!4VW;Pd|P;t0+4_2#aqjv+xP?bG6TwoB0j@3$A#ycFOz7P9p+bB#pF})b{ABxANfHQ4srS&R=TJWp z#n1=Ib7UhLaxLYLtWUy2ELETZmQMNjxWv3Dha@wa$TfO0_doPx^oHy}uo~h(&xrZ| zBRT(v1k0gQT@!XBR~1%)(QF(qXm_6MkvsAq&NS%0dB{z65QczO5_N3nF(p3qEr%@H z>!V$!vaGObMbnmS=AmJ*Op-%HSsb{L@f5y>y&8lVmri5_Ixr>kFlNgL1ov1zc z01gtr+LA?=Ch;N0E>@pu<~kuSTY@xL-7)e(b8Tb&(Zj9A=|5@jM2kr99W8s_G8*ot z?1trODW+noQl-2RtF#}a9;(zp4R%;<&zk&z4!$T0*wK%SF`VRbd+~ATWC2xp97PxB zC{U*595UTcPh4Wdugwk<`Oi#TCTMa3O)oK#(`D`3T@%*{?mMbTzo)-ShowBri5WWf zK$(~haA))B?Ia4IPq1xc!We5IiYMr%^+0`a`^%ICDxg!|aMlysdGBCsqklh7W}2i2 z#m2?v2=jmok4*!Yjtb()%!t-!hVm&F2<(9p6G{8ipwE`GIodrw{T7W-GuK{8%HabS zl9LwDILAE+Wuia+Jt9@sd=hbO#Oq@C=Izsjh!CZgv}m}uJLxz)m}M2$4%8g8ufXx6 m?dWsRqb#Y=(&HKk6uF+ke@kQ#Ik~4T#{L38Ri9BSLjNDbl_G=y delta 10507 zcmX9?WmFu^5(R?0ySuwP1lJ%71a}R#xGwGl0xV8&x8Q8B;7)J|E{nSa3HI{k&5y3? z>eJPAZ&mfonJU15Zn6%DPz@I5zvq_upMYV2`@fW9{lD~uJvDJkrvC^y4;>t?KpwAp z(9j!N4?SA(*Z(cMHQ}u(*01sN*8Ary>n%k7*dhya9!hE{&n=61T==d;r@e%5svswGT}*zV}#6UMi$aIh8oP1B~R z$Et02NhU={Mr2`zxMV#r`dx6ey}a*#6IU2?H%0swhlrs z=0}mdgQ5`0s0Ui>EO_pT3k#^}8m=Q#-ZtlgxLWLzxU;yN9OeeyyVeg3*wqf5cMT)q3rXh^n`qU*M-n)JV z10Qx~Dz$d>Wi4rQ{ajG2n9!uHZGng$-9`l+aoUImDd{j-xJ>;o z7xaF;>0<%K01F>x)txSHBHcTBrJ9JP`=@R5X%S?^;%ZmSoc^tcZ%mHwWX?eta)UWt zF4Y{Rg^pDNot!85#?lBK$(L7?GYc|Jn;YeQy;*s|#JE>F?Dqov9NCQMdSKtl96gJ9 z?XixAo=pcKGJP?NkT-GVQD6kT$Z#Sridnpaf7%x0mm7U(A1ty}*YymTClXykjZXBoOBUl)+vfiSC1@vg zs_5Ofcdeh1!Y*Bq;u?ON3`P!GChhyNDT~-Gz`fT^`7^1vjPR8xN(lryf|KTCmG$a* zr+<Ilbq zdIb+X1wUoC#=x(99TkRsu`X-I4-NpILP&pYB$o>d^8FKT%Hh#~A!%D?>{Jv#GD`e%{ zI+&6OzYv3_Ic)=nfPrc4xQz;{18N=tDjLEq8FfM)aOp z?zzhs<0mqksKCPth;YGZ>C#cSlQ2y8{ngOAeg_&4##W1_1ET8H= zLH4-1{zXiGURoi0LGuEc;<8fO=~7}tWA z59#D^Gw?&aR({X&DxyuCJK~v49Xdu+t(_Cu@zCelh7z(?+KmCH*>vTAVm(jx^Rnw0 z=DIF*{a(m^p`Erq@t2^~Z3&+b)S>lu~_puSC9~E}IyP&oIK}ARW zk+G=|B)H=iRHY+9_G_Xg0LAee>?F1~rB46Cr~v&SZoODb0xf}n#2s%z$FdG0mncr- zZtmf3g+LEL*RK9vIBYp{rRHH?p_`&IA$+!;a}&eT>5Nl$u**DXUgp-lbUn;sX#S)R z>VI}-=8?k#`@6P<73JsgTKBsx0?)nvL7TYDc>_6LkgT}KEuP^gEXh}OOhzRhJ<|Rm zb0PHT-l#+3(~Jw)g43?jcoEs8ZjMropnCPG?$;W6b(L>w5^ipg%|pzs_PpRf$>pK_ zDW%4+iXW7&tqatysTd%xeH^1^Zhn4#c*(CHOQI(CVK!v_65A41lH>a8B4twy-;o~r zvwfmL2bI^k+?)=L{Zb#rr*-zdDEM_QqkjB|EEf1=e zbl6t5ZDR7G4O;m8!#pg=3BL>Vv9^?Nefh<}Z_q>UAgL_F?a$AgH>+GjgF{&@JHOV< zmq)s6T)*AWuE{KId%E8v`fw19Ir&@}-M;U@LBwm84=Hb0-WVkO(Xqjkekh0Tr3}<1a?O zl7X&7UgXiRa|y=ek{x7hk+Zmxb<|D``0`o4C)BKt_)oF>j_j0AP}rV-_!C;AIN~31 zB>`uf>_8f~q*U~_i-XbOx09|o&|V9==mS{KPvW(-2_KgIGA>I_gaD}0vs`VvcPE8% zFve`d8-Epi5@i0T&VQ}`B+Gt+-=qQJ`ER_+T4AyLamuq#*>n(vG~)l97@yDk-iHYS5Dy@h7A5 zJ>8XN&Yqe=j@c>BLzW%}Uj%N-VwuwQ#XGONWW=BD{@lppEsKr7?5x6!x&FD_K4i#3rRUJUa@-2;Rub6dyP^BZC6(eJ z&cESRwuzQZ^nzEZQXy)C`{zsI^#T;TseanCuMgD0OpP zZf{+9SH$tXN1h}pTuhVQ?E5#clzaKaueO+y<`I^%!{o>5#9EnZlFsz8o^#H%6=Zdu zP&wQ=1{MZ-Ty1S$#~{tQ!ud8kExN2Hd=fhmA#2VII*B4t?L?4GdWGx3?CgGpCF&EZ z+8RgvS#w(1%@D@m>|{>8{P)W67jA4JR3=j-16xe6Pu$LwfOT!8-vz>T*EP!HH{cE< z*tLbqwXKf>3QM5mxI+Nmy_4Roi(gIi^{5X2RD=pBq;`*a3SYl#4gQdZbw`@!r@Pmm z0%k{3dk0lgr~_#as=#{TfWNf0`O~u9hE(bT)V~aQsFq#fS5(2xy>jlI;0dJ1d|A()O{)Bq@y@?7a-i;7nVO<-#99nRwI3~h zhZzKCRN7J8Ra@~D%Q1*`D*}i3)t^^AsjU)D)yQnJIGC!n*FK6Co*g9CkGRsV% zw##`W#V^n+aiFpRyng&8bF>OIpy)TKlu{}E536)*?Qka^aX+ZZ=%{N1iAE?_Q!tI_ z`y=xs=gr6H;!PeXI@|mLi(ZX&T-rSF84p-Jt^|5wzZMqL`>{@6+CpT4YvWJ2VE9Qp ziMmKrLqh$hw_LXqeO$zS$*0+oqXf_8w5G>$nSsID6dA<$op$(Nu0{35(9dIQGrgnb zRUWA}Dvb#q^=kL*Z^7j$u!I&YVGuFe_*B*p?p=Yo2OzvEj+N|okCV-m?jY}(W*It# z+EP%t`IY@6GpsT(Zd{DgxKf%G&1gsRtorfTv^-L^<(5nw&e|l$@)=Fe$>=CUI{xP< zfj|^DVFcXX*#>Olh!JZjeG|ZsP=T#|4GsQP$CsqWIrhuX^yvaQjDiYJ<4AdNA>kvf zh*>_obaw!usM7B3@q4@OB zTzXPPi;3eU;c&7xgp4cZJ=FlyULa^0zsT8I21NV-_J}Lm;~HvH%=>)YzE>zJWJ#=T zg))Iu$xN<~hoppu_92=yvAB4FANIHIgb|G={>^rSE_bY#1M}8PnO6yH6i3UMgLG~_0-E0~)yU3_1@@q+1e&dF z`=a!-nSr}{UHL%@pHAlYr+P`4@TAjlgWGK)r^&d*$}A$H{H6&zrAp??aB@M1-*ASA zV~sScGA7OD{z zm6AJvcQ*2j$xJYETM3LX$m2m!y>|#f)x8%Qb%^SsDg5b!#Au3k5kaEZj=nIJ(~iQb z^4~fmw~3`dE&f_#eg4>7rAzeN*~XLYMJI5bM3x4K_x{R$Tk^Tw z1wK-nGoKCqK5<1jv(A7O@$pz9az|nZW_$7**XLD}@*6m`-u;tsw(W(G#bkHkA~|`q zVKU*gjL)j*oR$Oz8)cdxyg^u{viUgi?>;RJOXuX7+MfIjkDAZ+2pVAC%!>Pp8iMgh zMNYbpbG&)%kyKv*H}g)JA!ijANwKG_QyC?tq|fxSamaEseyOrQ)KBlfU3|$|x5w%W z*xC?l%+V)C84NcGN~n?vb+$WB2KXhl;u@2mne5#ZI`Am>#UMt3fREU;D^C1A?w^YT z4-g*eIk_r#jh&2%-Vw~hu4);UsmYk)&UMO2=Rz1<@%GfoZ^g6$Wzbl%=BxsqGz8=} z$vP+D5ev=Gz)RU@pQB(u^{y=fc6XPkCz--HostU<@I@_tm}Pfn27{6t?=yGp-*3u} zgvj8JVUCTQn8;udHwSF(-BI$oi;jRumvl-x0y6Cv)fnWO=`We%p7dp%ip+Wg8Zf!Y ztgc$AF|jK_^l10%QPxH#&Z(0u<(k&(!7x z$fgk~FI+AbKh~ZJR+v${Noj)oZCBAu96)HuIt%gVMD~d}zNYM;<~3 zm&@2HCvOVKt|60+6TGL>XAvugfErPh#)j{xC@)m3ru80<`2uqZk)_D~5Ju#iC1T&I>F%(4|KG5{W0>JOqy{!5IS zTmZnZwVBSi0jf%Nw+dST(TvqLsnoWF|02fm87Dut$||^)MkO}*G|a%EVLm_3#k`$u zs%X=>dQm{I{n5Zzy_@ry74vr*MNTJ?K!nfu>chQ8&|dauG?HQZc*W*qN}@Q3wrMPb z$~i~}_(q|Ea2@n~g6mdDGWrtEsEF2beeiD*q>x(tBCV)#p3g7R*h0s2|1+B$gX?pg zaV*EN8NbN!!yK(XdnKi`Lm6YacT^Z=W%Dy+Y+E+CiZ`8{!jvsGc{4>SBLq!dvCdfE zVxMr%-~ms4nPE#d7>bIp7XaoKfbfB`*O>NYTt22gEsKxjEkIx0D51dysZWQ0XG>5? zU<8zvVBuo3e)PpZ4ti}vFu*)H53REY_K0HvlN^B;60$(AbC>*Cx%24=lYVa+Dn2f# zBe?*Z#o`UC@&szn)r>x3IyoY~Fg^lpiWML}$WJFAe(z}h zcoLc)kcg(OxdeS1L(OVCJo}sKkI3f{z!{DWj)$b*UL%(SkV@r?v5m5qaM77`T|v9i z95k3o9q6?>!2!hj8>K~IWGb;g{^qV-GAU^;nVqzYgMkX<=iNn#=%xG6p|BZ>3)R7yv$ zf54JKW#fp}lR*jztkZJ~vhAu{IWJi};e^-NGj#r8%Cp|#4*4-oqMOd5 ziYM#{XBKp7dP6`^!bW6LS=GwFeuC6&U~&aXF6UOQTXz7Gt(l|s_(wn;83YUj`$so5 z!pT`!^|-6=3?R94^MV2%Jt9bsSdNZ8K;3y(2rHI}Iy5=%Ycl-_guxE#sKw`aZRBv3 zE`8RRZq2GfGHfKEU&B_*^GYwjp@I-lJ6dGx3sSW?r1K+F<=Fe8iQWQ~)IWF(v|2a2 zUc?PRf-7uW?1^-$IjCY7=gUBYl*Ta_RS~zy8Tm+V0u!rKlwu&;Pgsd~`1)f$+t9c?QPQpZ;5)A#DRa2VISf z5O{cfg)I+4xc158vK5SQtTSvL8rjpXo5<_`aAUoQ_8%ol+|?p>?(l!PAfU;T-14Y& z*YCX!B?!TRd`IO1$$I!CGeS}X=#e0z0a-!n=hc742=dV$k?|G`O2JX#5ke@%TI`A|1I0UGUa$m-HpZ{lUZ#eR~ef)-!e9$D);`$?7%smym^n6d&T?j!?)aB}-4;A|DO1qCnMZQcUT+GmX1y zWbNl*UxFOH^``(%-bnD*#~gITZX`cz*!=|*gZAi4pvIj*XV zas(Jk5hJbVP0zhzb#UA_0L~L$XPDNcOna9pK8$^Futhjoauhtkv~}5b{xh~9Pjo#$ z(V6`RHwp&mB>>Y==l5q6e-x~`$ZrctVVej4>M=>xq2tB&dUU6eI#;l@H)RgpPs4L*EDF?P)e({rCr)?NS4EcEIk~+pH?5g>9X^B4_WBJm4GUl zhHEB0702X2@Q7BIufFd30ltJBVNM%%G~dC{7P#DEN>sE&N1+GbT*M(?U16VfM8nZX z;`Oe%DxDGzD)6DHpPFMG2Dsr_`LI*^0OIRnX%bM~+V-3nm*SfO^W=m`{Xog?o z2!RYPzXks#_wj1)VFR}wVmup2qWT}VL>ymB^?9u~pI|2wA#Q&SR2;+i>Ao?y8j~4U zdYs-w*M)%n^|TZ&iN04c_3?xjlYhxYN{J&JhDjosIbtK~K=YfiL?SMaKd{Zi;AEPP8YzL(!~f2GoUVfA z`F2luu8@hCA?E}2{7*$f!cZHgj zq=Mn^0Pa>fs8|b=id`(%0B=he_3;VEKtqp1M+lnVQD9m+?hzY?*q^b%bJ^hL`7Mp( zz{qmyiP@2IZRq$6MwjOU<$r{UxYJN|J9f5dUIXktVDw8Ly6Ws!pAU7Zo*qmR1r65v$&|+Y?PYQ49lc`Dm2%$ z6H)uvnN5>kq5Zw71|kC=ST9x5Q)obQx3=r1Wqbd1m*RN#MeRPSc!s4Ri@f2TF{=HQ z;$wF0{C-1dE|Y92IvQZXi?u=zpNrNI6!qFvjAhKeNpaU5$@A5!q(57|G7ZsrI5zhHz! zcsU4gJ^4ABT892nTC-f1&2}UH<6X8MokaI(gNXf=?<0{w?cC8!YyaZs6$`@fnEaK;bh;pm^7nX%k-vJAPk7je?wikEWa>BvKm{(l#N zi{jh>0SjOd8IRF-ZD_T9;$$z8Q2wa%t;J;KziVdj36;T&VB__c(;4tz2|O!A?wS_g zWY>}$kXJ!a*&sXd_aCz^l(kC$9y=ST!(9qMP;u!|Yckqnv;IP2fR{H>6B1)rX02nr z&2A2|=@?IIE-goZOICN!hke_XaiuwMHku!3H2IxLB^iLxd9g>b2;Bb{ylJ!Vwb{%L z!=PfbLMOj~VM`I-y`G3IUnSN{Yu=wrfQMBpDM(cFV$3YA*%vP|%_4D*I_KBPt>q~x zRy#fiq61RV^W$FaZAzioYt@w`?VRb+V3$__l@@^+3=4oKXpfOkxap$y1}-jz<_XlF zjwF*BW{zl`X9ad?{drVC01{rMZ86Ix7$$>GGsR*RYEfT^g28(6wddamNw zJk%C?x^X6n3u|;ATc?bw;aS_V=5#eAt2O;ju9nA`2^VmLb`F`>h4RX-Bp0#Yv+4p=KxQaPHKh+I!yU%(=#9{>I zq4{_SMrcm7oiU@Q^+1q4rm{s^vgU~3h}_sy6tSH@pF%{O=hWpV*{L=y$3Zsp1D7k! zMvThWFkfIix(#{PD}KWsK`t*ivVV9&Cv4{-$vGawsb5l8@EgL9bZw^k_;qfxY;E~4 zd76f8%Uq|jv%aX#R6j2VK6SJ>?EX3mEPL#;;?(#w)g-@fkab4C5(|d?HU%-WS+wqC2b@!W44WuwU~z3dpUtjZn*w#Q&dJP5KX)_gjz?Z+2dc1Xv>1=RMhwDX>GyRi=Sw0)2ul(2NXB^ev`iNe?a0FI zG`ORYVIs)m-J#}I)4bet@zuI1jScXf*P7SI_Xu7xgn=kn z{PhNaIyzLQZHD4A&I2c`VVbgNFZz+T&L#v6xqv&`a!5&084=#^@q?<|P8L)qnljD! z@A^|i3wi}C1$Tzhv z$$Imh6{V>|7uF6Z;esxQ0{Cs$8ZB=b$bpYri9U9X-F_MkIIyXgl1+W?*Ld;5 zL*N@mIg6cv({h>-gPn*F{ z%s<^~)SLAqlL7i(ihe8=yd&COl~>pxwbD)+0$dA1K%vGrVo^+)-*#1tq<6A;_B?+& z*%T(NLh0vk*;%Bcwe>~bh5T^pRrakkq97|^YgnZCI1eQK8Y9diXEvag5cH`NgNa51 zd1e&!K!k?;Qq8I$z~+yV^sPY$1&Ft~YmU?}OrSBgcP^K&f=KO)upLOcq~#wloMqn^`=&cDUZKL8#?k z@qGg$?d^GEh(E}s!aiHZ^+{$9lkGQqG4^~9eEawuPX*{jf8QRKi^_+}1jzhaEB?*< z^S7aGj#;(D>_60?RQ`y|=XS)SIe=iS`x$rzqTWQ&Wc^1m2RAVzmE=YAOg;AP_ zDT<~d<>=VebsQ2!dr`~NK>Y6WCX&UoemMBcO;efRR03{HF7SjQH}VnKX0wgO+vth;f;RHS`i;G$%FAdAZ);-x`8>zucHIKbKC;jY4D86r1

      7$FauosL?pNFnU}64$n+;Qul@{gLdq~RDns}!aSQv^C&+wv&_#dUU?o9YhB^D zw+e_;{Cx*}4I`)fBY~!%eP3re4jULweF9QkPA;|lEd)qeaw_NJ_EbudR6=rCiXrq zoTi95wpccdHWu61Y-We=!|Q$det!G>1D}h_#eLi3_P9N6xBLBZz1|M@$79!q4I8Ru zHUj^{fWOng=<4?{EFdKKz$rt$lX|BPoQ4J5_dQ@>XmH@9iT)`AeS?#y3=cT_J&Xvr z?RVg^&+Whirw%yVA8_-#b>OUTKsa!whR#;A?|TDSjk z8D8TduPX0;`{Lk*6ZQtaKX>N-xcoBu`OgerjbP_Pz8bw&W#4xmdfxNmpzn#7+cYm6 z$;g+ig*DYsYY_}rD2mD8#)=88-U}-?nA|Zmth$~!7K@HsF^~LoUFVn1o*n89fw;l+ z`XBd)5GB7<)qV$b$eG-2{9%!q)n9exnDFuC)jv%ta4^@t68f{JM>1U7co@o4c6!uf zx>j+04~pHn1R0#2{<*4SZ~1j$22CAgQudp!R#elQKSqRcCBLFN&4$FY<7tA#Q3>v! zQuhT+!dczsn%er6H3+-vK7#i5%Ab&|F1FJuBu#>DXnap94b{EhoH*wKf`U%a@W$MV zi;W+nS`a=Y3FQVFU!Yx%Niic2bqmbAU+O;(8EHmgh8GSKf^zWnA8XfEErRqX!1K4( zWJr443%!GpSGvtdyB_)>`r``lpFTePqet}i<1VEMJk8T-xY<`L-EqS(6{j1_*=sr3 zUNlC}yf!toIjSYaDt#Ej4aFr^YcDGix^e`fkO?x?^EyP_h{t>p2Wz|w)&KC1`m!dB z)D__zcjHo{6Jz+HpCGd;KjrYKNK0&v3t#)3kAK;K&W>A445Bsl{Hh3Df|3hO5Z?Np6R zjZRdjUUG2H@WaaGm}dg9~kku&5McOQ~DFOu5uS7B8MCjng_W}tqT!ml; z8LmZjx8tp=q1VR%XPdlk;NJVKb|Okix*x!!vC&r=*C3<1^D7!|ehi5-8hLx|Pv}Lg z`J(7Nsrn*%DZH7U6R6)9cZmkZULswH^Q1AZF@?DL8lA$PD3$KF^Fs?_kJ)hHK4WZn zbI-KLHC#`Fq#S;Qx>ha*79j{%8~Q#K__$p4Q){9>PLi(Q!wB~QdrO>%SzV3q5fc20 z18!D8sDfb#1r6XKV{CiFujxLJl+5-!3S*GN7?$O_dk_|QsBO>w2Cv4&(}btmCA zSRqav++thIvJ86}Zc}{uQ}xo2+iVrS_@4Z=zQ>j3myM+>|Nb|s_WsRgm$0J$FZ{?t zcB>6gGWANIpSFRRRJ}zctdw-gNQtcHPA9(Sm0tdx1FF;w9ZfV+?b{^U^qoM=7N6q~ zapwB_syYzH`q52@-H^#@O5-RqDv%vPJp2NB5%by;2_4Y(CW2D#rq2a5e83sg_vSF1 z&PeL!_U5di6*=XvB1K&KsFTi)9N^Qa4es+Q(I?LMO*Fkij~^v|&=wCC-39m2^jH<- zhRfGCPVn&cX+oNU{=S^s*1h~3y!+m4|J(q$+^^E8n`!P~W5lLt8tez*B%*Gvs$ME- zW?^5lQVMsHl8XpJD}2nljx`onbm^zax#LwRrgTO}xeCZvfD4S=%b~m$yDV2Yw^3}9 z1BHQ~et{g^a7Km0H=Qry0`?QiMx0JAirzq4uHWAv8RFY6`?%cwZZH<5_zN7IHu);G znLz2n?Gq3#Qoah%RGbO?Eqmj|s8vaUlj1z&lxVrFTp8ZNF4)&*hlGf44-*yEO3j65 zsKf0DPX#eHlo?X|_HvZ;A{Cmfepv;Su8>?3N8muRq0Gc~W4Z|DrA=qrtfkK(;WsO%}ySQ&7WMNyZ#4 z7U@I!Bx~w@m=mf01tsaYfK1L6e`CdrNr~$4)`hDbDP8JQ1Xa)(GL@1myiCpe6oJ(i zDpMDiBSqtKqTw>hNlu^w-x=@0w|czrW65?JBZ@uwXD!xlh|+x#8BS+n>7Wbnzrb=@ zegheUpSSR;6jvYP8}BT@A^Z*0lp#t#9b_WPDaJ{E0~uk@0h7R5Q$%ukW?y(b!8=?` z+7&M|O%CsTPvjBsGO*IgZA=sKp=c&?*9_B$oL;}I#}ea}N^y3@On-sfX=HPIh#~nX zhj*Q?`wS^w=_56u)u z*ind_kVNsyBdA{sj2_|?h35kg4kWN%ZkVXXjxk;ZrrXk>f}JabvFkw zaT+#dkX?5b^t)Q8dw|56)cN(tsc~A_U9WuP{&;torPpB z^($kgHgo4eG93Ss<7ui4ntOy%Yr1KFcP+}q7kSxh$O8GewqNl$ZhIU1uO!e6ENl0r zU@kC`+Tp+oO6z8Wf`#xh#43axfjHy)4toJYT*CE6otD<4FwqAT}suUh$?x z!9`dFsD6oL8#eeHM1!nTBH2Pd(g8^{?PuvKlic>(RZ421N3R6?#-!zi#e4>wL;VcVGrYfj--F^CuO-XJh(;Qu0tHOrl)?M#snNS`$nVeRaX(4(tW{-iMX>(V zbENVY6p64R;D)Y^a(a{afyL-o{9{Sutg-Dm$ks{8nQ2Hb2bLgNqWd2OFJWZRFCVO8 z_6f_i#FRH!1Pg;g+?zfuahZZ@d5Qz2Bp5}Bz9Z!T-_#aj&VGqJ%zyW!7dbHrd_mt8 z)W;*8If}bMtC-Cav#BLO%;};Kax$)NlCoR$rPEGaJ;^LnlSH&3TUHtE5B7zv1Pz-i zh^q&2x$Njvr36Apui43i+Fm!k(<4&n2sR%d4HJuSW^|P8+M7B32iC5KbO9WxOpr>kQ%VLnrKtuiMjh6Et(9BF?fd zswjB;lLy_hTB))Y{>XHD5VVcQPfq3=Be&)uq$&FR$p0jF=Ql%kArjazna8uQY8h-! zLxKeLAozcHnhO6oo;JLZH-`Rs1|RtFYAE;-draRDEPW{I8)v8qaUk~+p14CS-N7^z zN3$S%5Gb};VR8r4KKg9&1C>AB%Y(jFYk3ID$wJUhWXeUM9W|_Z?ngEilGI)u&^&@m zB;fx#?-Ib&1bL271jRPrt`kz$|6;JzDqUtEwL1f+Yn7e0Ct^#?}kdLMA-<(C1CJ}mR2e6_R{_%KBSuGdEMq>j6nMg%k|<<_MUeHU7G6~4L;A5V%N zRc*^HL+Z8EK<#k3b2&S}Zbgs@PS}rT$$n1W9gf=}vp!(vu==@+__6Sfm}7gqiyk@7 znZb{(W)!Xp4^qeaIJ|=o(2O7XzAaWrR`V{z=^i#zi;qT?%_QJ%nfG(X2{LAwi6Ur! zw_GduizS`|SqcrArZo>LE+V*h^-}*s>}ptEgMtCP>_ z3g$raq4IV(uW`LX{kBF&;6D1el_t-V)J@!C+f0vHq)DR(pN{*&$qCH_@WD&!y{4uj z#e@B)=%$WwEFeBuOpT6T-Ic-QO|x#Xbvdeeg=Ia<4|L!iop$@6--ss>+x(uFa`bXt z@ytl{4)X9fk!Y^J7z!zJCvZAWj9<*lPQ{l!{u3jT`Q!k{h?V+QY@cYGozRK~AXJ zoo|ez;CnGg;j6M}DX8A;-al23VuiXH{J=ah^&^s2gvsIyy@a?TV)V67U3{3l*CF=H zI~ty}klDCGZ3xKQdUkK;?sP(01|L>4)Bt_LS2`n+#sr1(B+dM)!*4M{9gcekX*)p(OHi&SC-$>d!U^1=VM!lAlPtaM^Z{Va_KgY|3yq zsJ{LXJf=tc3vMHipL1aveNSM1Q0y{@EC8&^uPT=Ga8C7aW$7z|y2f^b%{x@vv`X{& z#N=4v=qtX~8|+T9ozYpyLH24hKd%@B7$a$7p>~71D4WDKNsUiX4(99-Kr=0gXJdiF z-4@(9C{7!|6$l0v#jNC1r``|cEuF`pL=JE3A7cnm$S)35&+9Vm?A z6^o6Q+*ELh^$hL@>R$Yh?704S1C||xBp?G>o>Wpru{}57PL?1u#0`XhIWmLLn=xJ2 zpV!3`uC?wJHKOYZ&}qG1i#Nz9pA-p`@E6Mqgqlp_ zxxnx$Xj<5k5y5@K$ej=1oq)&qj<;?_JO>D#*yhwv4|#-s{cu`Yd3x~Ec()_%E!7bM z{z)pn8_^)vqsC0PNJ(xxO2P&x@{sYU)MbZ;pqpSA962=%ic=bv(AQ+dDuO;osO9Ha zEy3Z75UtOa8swi}3Kz7wDclKGM`~E~)k4}Z+jCHuCoPE`1l|kP zv$2QGn~(kThhLxEJXMg&+&!%* z!|1~~WAnwFrqL&3u}g#na9R6`ljLuK?BYaCA%5B(tXh$cSy+#4P} zoLO4w+>9qY`+H|e>?V*e9;qRClN-g!b>ohdzrB226@)53^+syB__}aUknyn7RqxH0 zZlv-hH#g7riVi`~hz!ylqjCE365WoRCz3Z)^^;)+Ehg}Y9_=XfHe%RKxw~P`Avw`& zQWt4jm*>{@Y2f<(Ap6lz@T)sm%(QOm{BzbE-t8gsmv%?FpU^E48DyoQmE6&2sDXM_ z)lt45dWetPLiuH;-~2E?@Eh)A&2MWlp5>IrF#gv9X-Ns~J4JJxMDIt1lD9+Jqf+bE z%h}(-4tz5zJBqwRT*Hn6k8cpCvzM$YCds;Lo)+o43+y`3-epo5iaMYo%ENODzpvFF zho`cCFaBi_Q*%hA1-et1{V36d3V0|=9hJ;&VC5KqNo~mh3_k-@G|1eBB=aA(A^rIS zse~Gqe`+^kK^~OB4pBf3Mg1d^S#5Y~*e3N!)E&4*o3sjQEfVqtt8j*%_?se{Qcy;P zYHULupdwFtqR~IJ@X>0*JJe7xdIRnhHEg#hI&OgX)r8ZsxDAM6_7Wp8P5%k>#UNA`LWuf< z%4sK@(%@13Saqr0q9}7=YAO;GfKpH*)l4CB917E8g? zWYL?T)*ZMc2G}7cf6zKtpe)vfm&!`yz4g~?pchL!YWlvuf72!$IK{t4f#y>niKC}l zu^49y`4WnzXU=oo$*$@D79~1?0)ujd$-=Koq(ptEX2aY^zA`bW%S#6#(s8=OjJTxb zuPn{fSGo+^mG`-9V&oC7`Ey|ozBBG`2_{$oZI8oMo4-%{%-dy|81liTfg^u*_6}5#yl_{DGP2a19u{=gh#2T3V~*cFGs5b)5`+7B)LS_CUJ`_Rc@oUfh!YVxPWIYvHS>PVGM!~@)MYWBfp_8lKeg_#)AqUPDk%qWM@K^I!g#_ zg;S!(2MgB*L79R{$pPUbS>%2)5I`O?^QICe)eYe%;UQG^7xor7Ays^wuc0h9f;XJw)dWhTM*^ zR{9G!YDo(l4-=n&r=&_4tUd#wI)5vkD#{0X9s5zdFmilIK6|iETJ;XUndPbsmM0r@ zKpr)IXQ3t;clc3X3Q0G&i66rG|4+x`0_)s5(&eb38uOM}F=WjkULe0x8T`L4MaecaPy1=cEE%1kBEBPaFYZssY`HmFHZ zPLO{GKqYEX)tQR^aRsK&F3{Ahn;D_=mU9lTy$MpW`OB<|T*1c$cobKbhzfVc-RKy*p@j5vo-?3(O!fxB1Da1x88M%xk`80ObjI~m&6br#2|l>OoW zAR%^~QZx|2CSxwSi9?ab$;j7Jx>HmGFwncW!%=PHs?`ap3@-{d%CLkYD~>sblM;@m zV&&lBNg;Be+$mB!)IM5@_pt+(h*hLvFuPjHlJdllS%UeeC@k?Ef_sZi^s?m3;0b;d zbIL9;d|Z#DE>GlX&J0`f>A9=Lc!E5*xeaZi(H*wlIHe-~DAq6)zTjMH^cYDYWIx3lxxwL~!N<nb4+9HGa5-JK#UXGniz5`!O00>gyo5D|4^5mL7e*+((4BkmT-oY)Hl~)Gu z$3`YWHc|{blD|coYIH{*gZooG?`3t9`y4|a*8^ngI z(UoTTlt;EW>|8*l6_T8c$zc;iM01g#nZMv3q%2gcL+e}KoiB*GTMM!hS*&XkQXXp9 zfqRU()dGj+L}4E>c>Fyir(!73pY(@glQ3_loTs>k@U5P>kVBFzcOi_Y3)iF$pJ@hA z><7mJ5qk>KkpobEDdk4RZL1ZA9l!Q}S*$Wxx`q;RRTv7HZ!FCI$)ZfA`16@86=ktB z{F5xE-wGW<9o)k==jl^A3I6r;{3u@T14}K|0UjZEe z@7h_8Pz-1YjVqj6i*x6rl+hL}(EmcNGWHO>iOu)4v*t(QVa#5V*LHCbzI>z5ftq$& zD9|0Nz;plPuYK4dv7pvj!0nST8zqN2^1eY%iBI2+bmY_Uu#3wP#CT*jdoV=F=_jj< zwOyIK8{8iS2m7e=yMbKsaNiW@4*XXF4n4)_p!BljCYiqcbF4#^(a=6{yIIwecw9q#3-2$@|0qk@F7`}fZbF)L ztk=X-vER@=EbA#JUp|nV9>+|+kzXW!3XDOT6A))WAmi3Tb#5sA3F0Q6_I|SN9Ba^D ze)B~Pr7;368iZsDK289;8)<8+DxPLr5FzJ~`-O|LkWC2xq{zoS0t={tQ@bNjiIj{% zkOJ;_htm_viz%RmcSqRVv37@3-i9&47NWEm8my{R(HLutBlws6w2cE)KD5-nnUwrI|x5n9+TZFDB|9@N$WJm7y|9)))ouo z=kqem!YN`q(0oc`DW-NaXn9lJew@a(W)QMr zv9fq4Tp|nFK+*4zZnyN~m$3f-IND4ZjS}WhZ|4`{skA*#ZM^S%D>;g82Rf0NF-2&L z>|yz^)D47Z`76rcO=Ob}Xi~_lsgg+#KfX3g*HCE8|Emn%iVaA@Z4W7!T2EkEk&~*v z{8$#(P#DLLQ5GL;iB9@|fWo)sk1LCn;nlJdHSC=vhS_w*F0O^V&adpiQ=Gup8rLjP zl2iE-=n%MWDGx?W?x+qy~fGv)gM-$Q_HSipQ} zf>3GQB-M5;Rlxkf49Oqh)VRa^BkOajyM$I=mz9-_tjp#JZP#sBuVVpO#~)570UIc? zVDkFGWMTKZB4Q%a7qG!pOH#Z(r~6n6>o#z|<3a!%u$u7vb$h|9ymj9i<8gtWDxsxv z-*LA+N#>ND;9p;i`b`f>8h}&bxA`9U(ko-H3PUbWKg4FjRfCuH%&@xe^%{3YxBTkH ze#l8)dtj#9N_)`h_agVh899J9Rl7p{U zco-83D&bE+0=VS!7YK4X_RZLkY3t)b<*~Zk>PiwjvN_yo~t&7xGy!y54HG?CW7 zAzZXKCL*S~h=Y+@!y>uPZ@^q(5i8_+dw2 ztt}{IIc&Z_Qh;LSEVZf%XP9f6HXMkmEHUPP}pz9N<@Zqs^Z-`aX-9aJa0JL+4t3h|7r;#)^4to- zMC!X%8O)4h=0uVHY8CiloD-@3CBt1ih~)WV20U(eZ7)nxE>sVBy^^y}Q?h`VErr$8 zu05@|bn(aPmSI!RN4+h|e(KWU=oZI;UOS#u<~e5?*jGD{7!} z#8)HzdXgT$MS3`LfEzs^Gc#cqc9pu)6hsxq^{6y1T+hs3`y%JWX)az3Nhei(F8}n~ zz3qinq3(~sKS{OWvR3J&1M{9v5yjMoNY-G8Q{bPKo62hNhN2IlvCk9ln`^Y5GYXE~ zkYSh{tr)4DR3mwM(y8d2W6Xh?xq|*3UCs|sfdqMC2lA8aH2Glmowc zrP3(v{vGJf*|<|9UL5#A;)XUu20_pN;>?4ch6?f1+oaAcMSX@E2S?WC?}<`tWK@J% zk0qv)*ll*p7pT9oX3Hjr3v2NUCi`ktBg9z&s z2276hcxhHgOG;D=TrMey8q=zGjrPO5)*h;am>{FmJTdjq#z-I1cTsD**E2Ham4Xuo zQ2=)u2)rL&0GH`4w!!cwDlN0u*HoS7()jYg532c#mU;3e4&}0I0fOl8gIkq>eAJ}q zuCc?D*k+MT4`D4hk-1I3ewoi4%id^%l%7pEj_Iz24n!7zu<(+8soPj}%5_2%e}qTe z&nsU%kZre_X}5Z;C*iuqi%8L6rVH_<--Cf(D6H|*KXuNMTY*IVG;i?Fl?inn`+nod z&q$PS^a<8jrdtu*v{z%s3-k3uQ^)o1*>TsH%T}}ZJpQ@w;|<;*Ts^*UI&Mawb4h5P z{XGt`m`RUA$jij^+4K?ouSaYX#ALcOYRin(BBlIBxqFX9H(Pkebq`q&GJf+_|9Ss% zl5QP`Z$WJ9W$G-J@N~;BM=JIfKE_;dhjrJz&}}5;)Xr-t9r=pt*GD@yD)nkVX28du zF2Qs>G9u$ldtMzw|6IB;0CkGi?NO+`e~HZ1au%m9ZPd9PFTU54b{yJO8!*5tf@{ud z==k=A7CO4qe&%esu!v!gn1^{}e>YA~k$JJT_KR30T&2on6^@BNktzNdX~?#4bM^l6RUEb4mG%SdmVXroz}JN5|Elk9N?fhx5b zV8q% z_0=^%bE&_vL@4dYW?s*C@bG$ZO`i^IV_c)f1XzPs+Zw9TRIid~Z4Ai$PGXP?GgQx? z)P(My^Q(r{VA^6TcL29j)I8q>t+_-yKC2Vw%W|UPUiyo zYm19pUgCXshv3H=jDm$?i5Fl>%Q%_bu(EkzipDR_BzhWUfrXxnKupP znQ!48K#IGb`KLVI%B8FOdJl4VTUp@7t_obSxpiknphuUvVL+t*>*)`H_(f)@fIw=;QwKtFHOnjn1d@tG?}_jU4Hp@OkcxEOGu3XLU)W zTmHTK%J;75z2NbcEzd42hN(nXJ~yp3+G>%5o4&t|Z`3)qq0CH6Yhh8=IX~^^0l{6w zC3}vA!t8W@sw>IF5&rAR6_*i;L!+~?8_sAR{Ytnr<5JFk>iK`Phc*mawR~}s?R8P;O3&ffl~JC= z_t@WHy7q)RX4AReUM_i>0_%&Cn75Kqj_OgtjWQJF$F=6Li8&<`|((sYz!Oy6AC+_9VF|K4%6P;Uk~DfXT7Z6g@Fs;>Kh&U>sMMTtuV$W znke;Swl%9IMmo=&mp$R-MU<^&%eRexhuJ`On>fjRi`Xt$_%Fq|QSRSZ5oqJ^>M60c z%jIHV^Fm*gc1_b`uaUe3vJ012DL`L;NYf9fUr2w3`dk@X2oRV^vv>9Bns6*Rer#_8 z{>*Z@#Q}u$!#x+5{lVf{=Ee5U6GJ-o3GHT28J^l$eJLQNT3KB_|JF@7^e>Ap5@#UX zv;}-o>E?K>vBq;dB$eA%L|og^RYK9TJVy6uGm=CmPJlQ~g};Qzt>+rlkegpmhoHQF zxR#3EuS$I165&gMg!jYB3ZkE(Op`yyLBbyD$W)oI)r#Q zV44(=&y5o@;>Cuq@ig%326jZw;{dq4>Es`jL!@Sm7e+Wtz3S8elVI+;t%XAVL+>oF zS#vQx5a32yjono12MX;v5wUOGbMKN&YTP}RlBAtO+tT{C{mn?hhGrxBsN3ko>u2S) zp##Rp^7iU&6E~4PHb$uZYoEk?Eo#bBl+2wR^?I`IGfm-IIdc-KCUn7Fz3?L>ND9dg z8LXNgvV~GOv?DQI%N6srwz$eUf;|VC=RJDQ=>l`tXI#ww2Q_h}vnS?D!>}gGUP{Nk z&Xd3Xs>(V>&HcQFt&jB{2wePt5+C{9Wy4&a7rdL$pu6zm>!%jxMLF}~qS6zz8&&T_4z73gTX~yUA2=n zgFcou>58jB=C>?^jxo5u)j#G0X*;M(j_+GrqP((!q|~O<5^gReVCDV1{3D*oZI7v) zXm){JOIw+I8RPq3k~mp_o~^Qw9vKHpYP_l)ExJk%9*+^6+&W;;S+TZhPfFerMcM|`U4C|; zH`a%`e1&xdZ3Grf^urQRf_F`FKA)gWXV-}boR^L7KJa#tu0T%lQKcVvHq4G+M?>=V znj9)&jB;=|TURCQ4fjvQ%}9H+rqB61gGJ{l*GMWnV{`7ux}N#vkijYwPK z`V1>$fkULnw5I!>GG~vyFfi##8bO``TqhpILR~)v&1zLI)Aj#$e+p=$?QIxk#O=O6 zJ6RID>y0GsCPk{XMy?(Sc|0l;WOe(0wZ$-qfB5{?mt)UR{gy@^AJR}qU%N>B;FVWs zo`6y(<1IBrYqd0j=cC|vmc+Q7X((}jSXvQ!+c5uUiI`UuvT2wM7lR&Zpz|5?t)`Le*$|6cx)>aeUhBMnkl3$ zqI$Y95?m^tErKN0{&l)NU!m6x2Y^ia8+GiUHlQ7^D{c*T`IGWrH^`}mIKEuD-16&p z=J=meoza>L_tY8^tU=TNer(;7(yZ$XCfq6} zEl*tbH6sjJAfT8>*6@BvIItMor_Q|n#t>fOg!1&iG)UK5iC1_C?=I&JI@xb}BN+i3%!GP z*OJUgZ7W4JazVUpFgZZ1Cxwpe-JFF`aj>Q|QEq#oiekFOR(D|ytP zXN#fJ7MLF;hX&VZBYU5bAqq{$==B&5q9J}(ScJ^jI)25?MwPa;b$m4ca?=rdVuPJN zS$hz5QZ)7qTRj&mEh_n#Gsp-TLo0LCeMpySND5iUA{hW(`#!zc#<8jZdPE6?UZE*@#ZxL2ESd#tHtE;Uq-YfB94>oFs zraNm^1nw?h^mxW|;DBC>-`i5!Igv+VCeT+c3sn6QY-#izbj$PQarb>DAM&ViC9Q}jTQA0JT4(}+dT|(pRO4V&7L;jjsr2X_rm~rJWtSY0?@=445{Lw#* z$PU`rK+TuJt}El3pS7{7;OR4pqPqBZ1&SiQG9-8q()geE?^A<}*iEi3={R$$nJoX9 zhP_7P3Q=B1o%Pg{$Jx4h4|TZMi_{WcGZZ=zcStF$CQ#Zsc1Ru>r?=o4829V#XS>}v zaPKaV`gm5j)Ntbk4kgc<^!i=VS}ONg>c?;SRXEBOqRAX)ad5S+Gy&KTDjKDI&%26? zyc_A#Q>ogqn+#=7L`E z0vW`yK#MLl6Tivti1DHkixxBAO}N}_qVaacXx5g#GpllL41SAwwy2;a)q45cY|DPv z(waDp>CT4m0u26_Z0P(AZ`d?+i)gO%ZPT-l#_`^~m*MwssG0zz(pO3)Z23KJ!$hNu z5-a3T?u;HXjvwagoPgsrCAn0Bgk2()6%s(2eD0qSw~txz;u|IdVa`=;Seckro!+-V zaoxqWa;k`zTywplBC+@Y={WP_>q<$XgS!msyW4*>rrjY-B5J%Ki+!cJR};(~m@TY3 zeFJ>%EOM;!(tUX;lyhKU?Pcvb{exK?+*Z-4|N4@Zf#@wZNi_n^w9E@x&UjO>uOJb&hZOP13I-W-{E70Uh(tuKiQ5HEZ>~7c7I+Qe)TOwUPga7V zqN_f6*)<*hZ!y`a{)TujjAi}Tt3aV+dm+#(xk({oD0E5t)?iple|=3buw7&ZD>wu5 zsh-pia2!Tv(_wMitr-_~PN2|R3b`f|8 z=O5;Em@)B<-ytz5$&9<2oKEO7VAJ_l;Aj52Dg(>!->lg$GFR*EIZieHS4yKz*=oI=~=r_tIMp$pB(uJ#!;x?*OMk+bZ$%{!>d3FyCTY1X&8Jhh9Z-+fPJ%Z9^y}N*v3Fk9xA~R=&|rfcxT$OaPTpp6h)=IymJu}i`1Z0Htwm%l za`ny4&^NX7;kXCMlNkTSYeW?j9e$)Q2|bA2PC8D9IXQG6Ev3a{!{u%Du?}x})SDGJ_|h4=6z5@r)Q1L-iZqG9!IThXOSz;%h5Owv-=n>3sxAanU6@4+X0rI#_;UU_^fTU?i%8?jg;HP zdxya-V%Yu95SlX6Q?`=ox%#y33If5kY(N9`-MD{v0P@Uk#?qijf5S-mcd8jkWxDNc zIDDYxi&p3Dyd3;0tB9HGP5cpCht;N!W{;o8<-FqsHc6rnr5KSh`w3UtDAcd=LAXg~ z_iJa?oC;@QFaTTKZ8ouwBe*X*uTtfs&W>OVv()KGyV(1z)lG3qwkd7F+re^!JUfZ> zKYkH0^xrW~N=L9g=N`j!f7L^zR*4d1duzs$ec-fH&U0c3#GJ3Kk#;5MH|!Y>f7j$+ zW)abUwif(YQnNiKuI8c(``qse8+~NjT(2c|>!cZ=v4rnNI5>8#cuS81H0QPkAzg{oc9S3W|gmUWA9=S3T_&3oqO*irLX14U2P+kQ3c=a)(X0zr__6T%LH* z2@M55i{Kprh|^15G+>Krndv>+rF6#XyaQ2poIpyB^27*s+30(CnFc2}1PxH6E%%4U zNTznap4anN!o($KN+_bc_o})CURz_1&%DVWP;X zzM`{i*4AZp>@Y1O?iumUGeSXBq+NLAbV*i7;1y@NA{nVZ2RCh!0>*YZn)NN^y0kzT&NJ1AFa@uvE0fghTe(efTSelgAB7} z`TG+9*}bo^!EL+`U#~|b;1BNWBTnPrD*!{TX_DlRM}ZBR>ZVI~7{kCGvq1@^wgWU9n_&Bg|w!WFrL6}zDf|E;xt_{+x+V!-SRq8Ke@stgm=hl z1d3}2Lu^#$@?5hq@wi1uB@X({RYu$d{;IN|@-Zad8`GgdC-}Q-(g`K-y|8;oV0XiQ z)o4EakC!=}Ye5XHRdq-Oc@ESkY7y>L-!A2+PUDE+Ilb(QD@P%)b=8wqp)o+jLxDXbc_hH)8dopywg z_rZysb_;9ECWFvF1WTNzXsC5>Y1b>n>aqz=D@GzdpJt0$d%d)Jm>zOdEgbbxx%ZY0 za<^!dc9dE#r*!1G(}kej5?ONnPg#F^OUi7Hfe`_zRykUjF zju>`X9~YgFk!~$Gx8a+mr1p00lgmY3qxR7cx=$5+w^SGHdSASbYG9e$ zI*Jmwk@Z*&v6`Ce`Y@5C171xrVI_J&kZt>>a}d6=QpFU#Uu%aw_X@q4SVPew<~Wz9$Ax1a~oLs4A}RzqO& zw#>@Oqp4E#1mNPCl@^MT)Gx~jEdm~n zLDbq?SDRPR58obEO@rv3fqFJ+acIE2`5lsFWs>M!S^%7Dwi(?HQjOP;o!M4{m&Avw zUYy~@Lh5~In2+5@<@=zk57hnVvB*S2=8ua}YiGr0uF{O(XrIY|BN70$u{3Yhc zbq`~J{gR6 zhFc)$@gE?Jg@0;d3RXdlpQBt)xMy?;nY(<(O4*|Cbx=bIdotkLO5w`brTi*%d(Ea3 zymNi&sb1@1UvvOHm2VTV0lcYI>x7bZj^GbHm+}#J!nkPPlu(mE(X{XT4@R9MQ-bK3-|>yMj%pcI!8=)oE+A3AK(cQr#3P~0U27)~;2BUaDCqDPLS{Ihx9 zjTC@AO{^ISH0;~YWyVOR1fVEax0slMi%UiVCpYZXBx;U6oFu71KD_nMhA_X;9`%Vd z>^r4>Hv4!^bdyty3&Zpnv1YfLPYHt-qG9Yq3p860La;sdVXNy(5k@v3JM?_ss(NVecgCLhYmS zieqmMesOJ@#>A~GgrkNNN%ODZ)=U533{=wm!CmXb{PaY)_gf3lkSfrg66u3gcx*_w znWyhzdAguSYiu)|YQS}}CYnjR>poL3ETJgqwUWNqHftY zYjctxL=~Eh-_|3QgqVX0#i{j>gI{&LV((YC_H1_Ica+0@CJO0;h(KG+uyePaQ7u@;G%`#3vxJY=+VrIq>3|CP4!~X7jDGxQ$x! zi9{Dq%kQdK!cOki{ZF9(5iIeo?Fd{3ipluXfLvZ8hUCpitw8(n>GsjUeF+9<)A_(> z9GaXX`n>NVj85)^o8neG2fE^FTQ~h^X2#TjD@WR>kYg2nueaIT`4Y%Hb)KNj?=jSD zO@u4RmH7?pP^%2x2i5UqGYjQwc_HfA{+0Nk_o0jozOQeHK_wPA@JoeO3kvL(On*;0BCJ~D`R7YYuV9HJCp;JDkGq}FJUT=yXaw77 zK``Nrg#;UgT*F@*lh+0wl0ZCg!~>ZaCV{WB@0l_MY(SN>I!XuOIg{&CtMmkdp{4wR4wY_}g2*$Q@ zvW#=9(jwibv>+>5BS_kH-$H$(utj#4FMeOr>k5^RKkt9`2+t9Cb$Cvzv- zsf+wg?+}poi&Xjh->G0-kb3Yg9NkNVGwtY?!0izNLS1fu`58z7Jb)&ii zMZoJim;ivGhn|7QGaoDj!sMdiSM`bZft>*IAA%@MigV}QMSw%{!Jmd0Y%MVbBiREW z4G_XM4bmaD&1jB>5(%P|Y1Gq@qkMF$9yP{3dPr}RA+fSwbh%T$t~@n(lg zmD>TDn5j$LeLki=@?^V%G6<@cA5*YZFILJxT+wiNu5xbbsJFp$yA^4!(h*796={X; zzo~Bs3LCVwpY9INMVpboYmL{0nQ4)&cSV!zKZA;V!)>Pz@d2PeYth7ucsBimGEqbi zKftcxQAIxCRE8N5HRv_UOMKSPQW}bBZS^QbTUnHrVs`_$s>G6b-rPaQV^F)$K|{8F@6-^g)~hA6ygVh_k0o z$Z;Rp;oI)#fO*2!JoHTglHkc&f=`$|3AVC|E)nHdmBm(V4eR5NIB9eELW+QR#YEQ! z`k4NS=dos(P@b<_HBZhg5Q-oiO_Ic~z%K&g(Pex)muDP10gDyRk5t1`>Ds-Ci^wqG zKzsKO=*T6#$ELg3anO;9o|AQBs7#jzVWduTt7|HM>jBWE&E@45K<}$jg|?3KK`tB0 zamQ%k8Wv7Rjj5vx2q}b0frkZG7`HAJ0kYtgI=TexQ;wpK)l$%yEYYp@W&vtq6Hz0L z_&CJ~p2+vl36dlI?F7QU)>MWR0J10Bvwsnl>GV`mTo0mh`ywD5XSI36p&WLZ%5Vb; zL)FM}i(aIdb|bbAct+toc#>fZ$(B}SYMr|EH-r$#7%ad;i@ZO%^fWrmY<4Q7?Ek91 z?FE|9d)QsL21fMLgkF6|8-ea}5`zKWAj<4z6bH}qyX`vdyDwV`g0%DY4_X=Wq9?U$FdP91&@7Tc*Y}~~ zD!((~KV6c~=Pe&0Lhxv?#EdewtZ+Y)`WBC%L3X6q^TFDLgJ50>eGkrOB>&(J0Q_k2 zl|@S;Ck=FiCCM#_niF?5m%Z|5m`Dnt5UhP&bnCB$I<_hze=2Wp$ zdxKJUkvH%wbD%mhw+zn0arU&ya)XR1c(Y^BwZwj+<2;@$oolxg^N{v@i(%*@->~6H zT(>Kl`CiY;9sFEp?iF8BP$-D;&i+Q;@1_Kdsh-4cXIgLm{wGmGR#*uU2u9t9DPsc+ zM~N~mTJ1)o+u5(qoe4CaiRQTm{0NC@OU;02d>G-00|SLpNw^{nPtPi%^}U+J9-@`< z!2#3g`fZLy?hclsI)3z^Vvua28rGrLnzzqLPi+J8kI>$=v>yOWH{u9A@qg<sk#{^! zi46-N5tP?HcVk3JC96M?C%3s)Kz*8UA33p5Dz zhc#VOZCUoyjq;PyUu~w{$z;Z4K8T+)t2G172+?D{k*S6;7}lq@`ZxgBn9p;0^!rqV zJtv-Ai2gqGE{7W*qkXijIm4!g64XChzI5a7;feAmR4*v+y1w%=!)SqEnANXh_!zqG zbV3C;M$D6Cgy9qt6^ZDltNH%EQD%0lcf!<0nFI_89^4lKpO5aVD{omDY|KTd0h0QZ zt^eBZV9^ofP^-@SjHD~IER#7jN)p(tP8s<9a4>HRFynq`p5DgmE=nNxnq69D#?7a4M%F~iE)5%n`m0o(zF|?d`uLF0irSbHsGZQrCe1@vL+|el;21$^U9Y#JYyGS8Dh(G%Kpne_KdJAAl2`REV^d0@~mOY}6 z$BftHl@*3b_U_s|%Insa@57V%RdB5e-KXrHLZr%PeGw0ByWW)6(C$pI!h}k4Rz)4zn|?b=WkFbYD@ni)q^-FYHGlZ z;Nl+3Gvc$+Ky?K9R-2s49V~4DI>-`T8c2)G9UPa{q}i#>NBh}SRK80RPYkbFw+Kx9 zz#9aY4aEQWTY#kn;S!V!+g9)|#Y?~-r%X-=iJwZk|8-bJUXYsE0&U3tj3uainFkMC z!ADOi(Qza6p~w26!`-gDXEmBoCZ?h2u4~AS@MeJk4OS4)if|!nF8v3mEX0IGcQ9~E zbSA39l>$_A6&X>63sSX3<$g7*2QRSj4-9mqmoX9`_t1t#N`~47}I3m=H62O(mg8DR}$JIH?Psme-H22Il@?qfnrh*z#PybO= zBp@2YG%bj=T}FZQ9DK)3{8nG3n6B+bI zG-}1_sU$XF7_X48II^vpe3&PI!>Y1c_B0)Q*k;-SHX2zw0Z9V}fg zU7fl;W7-K9^VHjN@7%aVvHQw{NV^=IGjaA-Rs!o<1dmrN$pr1iGmBs1)npy zXl?LU+x`k{=pS5`)Qc_42P+j3DBq065D8(?pf@woGzPp2^B!%{M$ByS`;XnBHS!h_inTgwa9Lvgk7WaVY6HSM?#EU9 z(ydMWKn?l20VzYi;2d>sKeF)>NQVm%8Kl5es$Zg`HSkPHpU#nEWuj&t|jjonb znEZ){YLzpIA)M+r<3EweKzdxuW`F`wnxpc4eEaQ5UZ7Vg*UBf?pD-?9r z#VMmwhU4VUqJdM`)i%k#wdiob1*^A|SQKu15oU!CHgxiusZ@h21*>RFvVdE?2~Nm< z%rJw^CoYwqaIvq>-$FWi$Edzm$?G=0elLGX?gXNjl?fb`!-Y@wW5B>;>%#`#uyA)5$qhx&$9eYON(aAE5;=1ipnZHT#Wj z`~6({W=k(8gY_66UBLqHc5mWiJ2wiTOvn18qMz5lh46r=#Zr0%<;f|#(&eBtNT+$A zSYOL=CzMcO7A$oVD-rGxipWPFxk0)}%fQ=F`DbNf@l>HR;+5djKS@_M>+(4eE>tei zA}hF^?f--uk0!d@b3aS$hC0kTl*dE|2( zE}@LQn6qvSQ|N5}&*a3cj-@c=o$u{s>=uCm^$x9T*-^;62d|XCswhl7jD1Z@LauN`DF4 zq+~ic!B~+Svt42;V^EfrF{0blROeY>a8%i))uL6972&5bN{sm3CIRH!z!xn)iEZNu zH5+A8x4kfZtGeb25;gY)VYqD9m}xs4S(G>8`;Qgha*1alw)%OYlX`pGeubYx<*!{e zfG#*^Fg1aZ^fU!{fZY0FPoZ%46z!{MFJ{-TD8!MJ!U5Y9wh@iEIhIb8Y;aEl1lFd6 z4WJ<2J}!WWffq~B)-CEhb1)uw{DS>k%|%uq0n604O8ti*2PE>IMN!@uAD}61j99db ztLhCrX?Vg38(9FkgC*pYoxa_Dr`lXeM9ChsNknV!!wF)WVA%}TGuKYMn41}?-RA&t zVM2QJ8g@TFA1$df@`&eChtufx4UH!e?O$*~S#P_^+mPhytGBK(S@zt=@8iI?*3EF# zXn(glfY7Rpujrf+okzEe^(~eSs2)7{DIt(bP8j~2pVxUz@ACYdCov!GBSigmiQM_^ ze&4Bh75jfGpemun|4^6=cm$C-L7lVBY@@xAmlF;!*5;KfeZ~c;V%dzmwjMK2CV(u? z7ezjURM=f?K$zVnq-i?{vDdE%gLHz#hMK=@BZ}QcYj)_k7>v_Oknjm2!2#F!2<3GE zTt%*&o}=`GVN&%<5My(|=r-y2-qxEB@r=RtN?4zBa(yU+(d}8a{U%lYjS;=KSmgAD z|0_!`+$eJ60`9HK38++vnW$&V@^sXOvF(OR*&8GB9~@A6-$rV3KY6j@2Y(Ldd&|$* zs=*)ZT;00#OOBC5e%@v^bEVYdW}+F!c|lZ}fl`AXYITsfWfmwv+71TiB{0j@3Yk4=|@VLs5j!0dBHZMRW1t&90T z{tE_8)8)e$0vCQY9B|r!_9*h8UUZ@^+-iOd%{hi#Ar*lsrCpXd8!$11Q(yISmEs9= z4v8OW(ot3%aSv@1z_su*63}1z02Z)Dm~%Ty)Dym z|Ey(l(a@m`C`aY)4>X0S3*hhwkm5|)Js2e7kDgP1OUZWy5TBZxIIH{?$fId)U;OAKJw z5GnxHlfc~cT0Kn#hjFTb)fOZVNfD$FyF?g$bfC4#pUFm~;$B4a+L(bQ^P zysbqcyo8m~oQl=9EJXF-XY+is6XlV)=-4xE06-)s?-=K%(EHBRgSq%fMShGAcDy(Uo%agE)u=UhF95HpVd6IPBOb2y8cm zB?jnCuUfY}qx`o`@Eoc*BQUN93~ILo?wP$WwoS;Y=k5ybVqNy44RQ&H9W;J^C!@x) zBmT^IaA}wnc5U;`njpXvg89!?D+#$P`TW=S7shIj=)c{VzHQ9%#|GV4YVjvVVOOLu z>c7>@i3*Ql1>Q^7Cs7K#AA~ObQK%|ZS~@Ze3tZ6f5GP6a}?c7*?2-sap|u zmot@$N~@V)Y?O-AJ^oW#&6tl<7+&!=nY=5BE~#&{;KYi!)fl-os+149`*W1}!?@b? z%-Sw+DS8cUKSUQyys1vOAc9T1Mz2zY>(1)v~M-~ zPHf+WH5!PIl@PPnw=eZ%($&5(# zjpK~p^2jORq!~!q%ifac%*`#P{o=qFdbea*s=#7h2u#A!wu!&WWC0cTz0YOFVp6YQ%c>lOSd{*!<2*XE3a% zx>vz|n;9{!`1JUrf7F6RYUkZdtlaj*gv^+28M^6em9CnuOLf?Gk!=k?y z)bXv+(yzxLUwz}bzIkEjG4p)Zz~!L#_pp{!_UslbtSNOP+gZLzCyK8pcMrRFu7$?u zJaB&T@_7?|PaTrnVR-0odgTIrr|jOb-Og>bu_w7(7iD^=`1~y;{vo=flwPm+rTTj_ z0@+D2qx_=(6xZC&XfhK%^B7Yc&=rZ7og*3J*U`m6x;|Z;vvt^`f7@9F3_l7b4)>ps zo({Mg|EHZ~xcNYC>uF+_|2J>NXR%vF!Joo-4*U*Tw>IiE!hYr}J?ob!v?#6Pzik;* z$5==w^WOy@mg@TYAC5L(S7U-T987>ltq3~~mv7(tp*E%X!|ah&XmvYPg5YO8Qf_x- z9Mv~$m-yj@+5siSQZ9b^sRxQ5CbMYAMEg+?jGYR%%Qwu^%#S50hijPi3a zZ`Kql$IGtU3Z|*6m+Li46;uzz(i~ngG6em4Byk-sM0a{uoE}y@!|{R3!-V?q>_07( z9HwZECUZ*1L%irK!!}cLd~nITDzW=bREx0My9Y15ZXaqQ@@(C5O1ASg&^>%JH%ZwR zr9TD&9RHcwSQ%FDH#$tro7`S~BDWwPnloE~-^tDlUldtm+hsSnH$3Ln>WQf@=hkX_ z#pQWLi2CYcrn}pEh)mDnN|V5ZXv^D*b&G++@d9cg9o5t!%5U9j;Ie<`r#pPzuYZ0< z1Oz;kN&EQFB6mgZc8rca2f+Xv@Y!OmGNs(Vw)zQltz671=U18Ug@x<-KQO1u;gi4L z8xl1X)4I#KesX7T&4DHI`amCWgRga3uAKyi!MU)6ZJ!TiPmn^VojDAhAormP#mUnksB-Zy!j$tOx^QiOh z@H~U9q8QQaib&PS<7?lJ_a7~0m2+1c9b+cn_m>)7zs#dIL6TPP!7HW+$A1?jJ>sZ^ z>q2ch-VDe$en7Zp0&g=>-kD)C2DA!l-lNwZ%oIUvMcY5Ipnv^Dy~*UkLy`ujTz6s! zigmyC@`-O(wEfkLK2JytF}=z8TB;FtVEg&jR#`|#;g36z$$QT}-x}X83A;iH&zodU zmTxRn?R4lV8$j!Bsv|+L(|BDC`fmag5cB5K;lK2E1(fM0n;J-s5ao1-WVtwLXt#_tcht%5bgRYn&5yqrRKmGfuoC zfxUt^vS_58a#OVY^`OmvBKJ(Sf57!OvdBD7s7X6zwn4b$<`!?pX{sqOjeL6l$jCrA z)s2!qpEqcxcs)8=Jh?EyQ7UuYGzEdP4+%Wg4VV^dk|l{TtV~dx9c@O_pIj-|)O{i_ zn>zkI-MTb|U%+x(w@=*w=xbtdsS~eDaVLEF{#9aHUQ743N+&uEYF>K!(8nN1-h1=m z)#S_3E+Qpd0t(kMba(%7>P5@0%_0(t?JhtUt)5KY(IPe4X3UkVAm`b2e(#!# z)ai#ZPd_O~Hrj<3uid=y##Fcf(cKiU!lI`$`=l8wtmjaw05Q^mdN-N+#=o|0?8_QV znYY$;C|wwtbsngn-8u+OG2qL!ota)(MEia79AnlH)ZxLJ@_{9e5NG-7B+N0 z=8OOC&z_W^h4fNoB3-mP7k+ZWo$YTS-$vHxqKTkDqlR}GKJ~Ao8@j)mk5l%;A5w9@ z`>tGHTFUXh?N`sIl#gEu%@rEsCA(-v?5&^B;QQJ$cQ|?4% z0i|oy4bv6to9ChPj8`IMUPk8Yzwq*_d&BQuWJf!oT;Hf(-#946)%1IkB$lX#TxUT~ z#~l7^m}lRNJ$*gc94!+y?mqV{;1`F?npY+9zL@R#rSAU8hurA9`%KAMXf?||Lc@u3 z)9_cR^4PV_oJ4n*U?%=)D_e3MY{B|g$(z}0OrMlG+?${2-c;#q|E(xh%qpD8km?kq zeyVsc{?m*^E5yV&Jf~j6DAY-~&Ls#RHCuK)H9^_IdFtcg?{F6!FN4n9C78=Dvqzq> zM0A+r_Wh38qWqgBKa$8_G!^Icg8d|VnuhwW=tK`X8m*K2SCfF7tAo@@pA6hzoZP&r59%x@_&fpB1kZ)M8&t)6p;`=Bw10WTbo@sW3Un z5YPfCppF@7*L6lYZMlxtz3847?5$KH7}eU>jZ!zCcwSk|nGERftIEGy<5i_{oa~}E zFVYNFjZYE4aUPZLZNCyEoiCXZDG*Eas1B@Fya?a0B-Rw(?T;&2^D34nR@lV%|FX_E z_iWnlWWFW|m9?D=YckRG-YaoWi)h0XeL4wcSh?n62g#F|eB*d}XzAuq^qtX#JKK1- zpt%m>#NfNMpEqZs81%`J?RQ=?NxAJ=`d4%y?_g-<_jy}@q&rI6C_T`bLQ3Q^^y z!Sz~cDs0*;LP}UXBFT0mD!D(E9_s6KT1ssC9#^;L93FC0=@~Fxdgj{ z@|J(MPQUzKR+Imq^u$mP%OuV-PP7n$eC=gah=s$a{upeuN|FbM%l(q7y}~%#ool4?1KkX@5W6|jPMnL#RH}4Bu5z;Lp8-vqxg5vQ&mo%}vvTR>Ezzs&gM-GV zFCoaHv=9d+-5^~fi2KpUo!?$bpS}Y&;_OeQo2Ah;{ko0Yy4qp_)1N)k#is^}rPGoR zgrTl>b6>}kg}FB?b6%^i!Ohhn63%;WT2Qqo5F z5v_lu24iqx{EpV zi#f@eE71q4)yla4Tv=T^C3Gq{mPxlTohcGMzmfEHG9M*h+@g7HX2j-wtAsk6LXrTO z@;wDvWN=(`OZN?2NPN2^pDUZh`FJI+6AHu%uIEK1Pc~v#p#`3<1FWj4_Nl4A53}o8 zSgc%A>VwncOvednj$oO!I8!N(GBKWXVO_%SdKMeql;q?YURbzP#&`=)O4{`)d!>mW zF7Bb?C?nK4qXBb16^LH(6Efr48g)`B<4TD;p0&JKKNP2%6K9^+8?K<>mq9A=yl;kL z7;f@OHPH=E_)$eGTp3nxw!iY`TF`{D;GZH4vfog|?q%d@f&K~qy1r?2hn!|VBWH>7 zTZMAX_Rv!382`;lk-Wz#=`JZEbMg~+R4Ix=ra7A#mKi@bQT0$O7vp}NXBVsxtcBy&XQn@GX3!4A74^+ z$h;YsD9!HrmVzB+d>t0`Qf^D(QiH?Bk=^y5b=^fXV!P7G!J6PEpwlMxzHq5GtE}n1 zR)0jP(hntSh2C;;srN&~8I>C}q!fE*o9OCt47?tEtmU%H%fJKP^L+ng<9n69Z>ih> zl7Bb)-XtSw%{w{SCB!t1m@qSJAg%lNFU!FEa9~k=5Ff+Vf32TTCTVl8b12B=T0x(^|ed$|o|XF2sK7*1Im7ow8XZnUzqiPfA$U->OL&<}Z1}fv_kI)zK}+ zCJ6bk>5PipoifzROZr>*_Z9H1$|;R?3CCGNKPGR(Dog@w2Uu-q@0gJ-buxC$Men&t z8^3vCDG0+jyG)pr`n(p9R5O`KdSW7isCLfPGvqZ3<#3g9DO)@<8qjPFc`SV8^oN2< zDD8`s!{o-bP~eYgg$bvNcdQVTNKz2D)R&vT*>l{kc2J~L*DEoYW^C479bFX*)XKnM z!a(u29p?eojKe*gO$MoPMQqtDBTOT$*!=iyZ&~v$2hJ@br9UN*p!Cj;oXT0!2>oI* zaAd3PlJ4wcc6Y;g;PtMOig2jomO<$Bzk(+nM-k&3L?r`_HdH1R33;N-q29f%w z&V;)*8Sax34f>&84N}zzrV-PaPBSUtLh}VyTmA;Ww5wy8llCddda1vMHqx;2bRi9$ zt)M9(2)|aDqoB;xzB34yI5FhvyJZX~`WWIs&GXJ|lmM^|d>czk2k$-VYL% z_>!W$WsV|93m*<%FveI8=JJ=2%4w}vlfOMP5H2ZTDhG9*TY{dcNV|Md+^J@eAsfTW z9N!mVrlI7L$*^0_H_d5>YNMw_%n}=_EcJN4>LlH3tk>O1`LvkLo_BU?{8)IfCZQNB zYSDyS$iV#`rmHykoo1b9nV>^)4v@|3c{A-$^eE%y=kzy!b|!BG!7Kxtc%mm=3+*DZ zi}wouXfp(r^SA_wseHi+zadnjx{s2jKv}*{Kz;OazrK~>bboy&&d45EtJ0^2N{O1q z?~Rz&2N!{Md|hgN#7Rmw=+%W`n6E+f?)x&!*Gf8QQr+K~LML^F=05Wz-o4J&%bkibm04XkbsT)H`!@sq{ATj$ zj;KNdHg&`r_6%AFLqk5&()OLmHOs#*xK29 zv!k32>bIu!V%ta%q_oUPEz1lvJ1UFZ8eL;#`{@47Q&;VaZRvMs-3N^;)PjQ_A5E;j zaVtkYjpf@$ihNBq^Gm<%U(Lrm%JBVaz}8c3&3qGCW_~_Tn)jdjAAP1z2W-z9G(BZ3 zZ|gDR%W0+w_fqGw*_AQ$xIb=|`LFwhDr=HdlMG$WgXoR$M9$ywIcx!Toolx0e2P3vRV7_Q6-hU$!AH@_g{I>xoI(?%n@>zH7@sCr#;h z2ai0t_+IEc==SkCD%8%zpZAsS)2(kyEM_-g(P;3tkZ~GI(HY})oQQK7|CO&gQxnRS zG}IGz<8sfpP%evT7xiZkqPrg6b>p>E@vjE&Iqxc6RDb?36~V`w1`&6h7`dipCkz|c zVHD>Ou@DS1pA*<5eGeW* ze!#!btT4Yfd`Ig=zk&J!`(~8qlxp+g1&v4R+x=0gFOy%iYLj6r^ktU=wuB=S^ka6u zs8j@S4Cmkf`p8mWnS)a;bDEhozj0H~E0bo0*Qw!({`*6TsBmN_y~=u_ki6PQ%&SY~ z{{aFb3002CwbCW;|4HhZ8efb0Nc)6dTTn+f^gnMl_T32rdv@Tft^OO=Z_KJPhpQWH zjy!BKw8%|}vmT!k5fRFDH0`jPiqol>a(w@Mc3?oK!a_mELT~7jt8}@eh%Uo;Pw~$% zezvP?$CYZTWsO))$wddZ4@H?AbB4MD!I%*C-fXg@2bL$1>osFyfhZby=##OuzCL*bQTQ)J?Od?_X&JDJoChrjs)6`Fxxy zQLY>j(S7CoaYd|c?}KjQM>z@Iv6^&nIz>wJ^rD|Mv#T7^of;*V@j1OT)q8KOPixiO zeic7%VoWv~SCqOUMN*4P-O4xY-Z$4ZrI8bQV)~S6htSg6^NlY#n1_{L_z9c4kN(X7 z=kDa|A0GY@307W+dl`9^;J!Vo#p_s}Y+eo$p-N=_z7lM@KP&i4FzdXC#>bu#|F0hf z?6obguyn-U)Vc2TX!l}r+!dn_>Y6=flHikt%C&gyJvzpdSVRgw(1=+4?q8KG7Fsp} z2QqJJg15LcO((!oz^mEa>B>vrWM`OiJHwpC!$ta@YmzZ~Xv5$zGb&Sjq}cnOb+?6b ziKTX_;T4u|6WEuQ##3%X{xSB273@MQ{xTCL7D3V`hr}Tv;puYD7P}KV*8|@q>x8kS zzjsz17+Xj&V}@)^yHh`ok5>8e+ccNgCKu#LDM(2>TRkXxiP)HqGB(wCz;-3TYf+J{ zgnj7cW4ob`d7{WWA;mR+*97M7t81GW)JmS-@ha%8_plg#bo;>rp=!R@CN~;2uiw}( z{=mmapJ0W*=vHtylP300E&H?gCN7Fnn^!vvjkHc)yNLcyAGy)xEDeo05WgJW_Ab#< zH)VF=+v_{b-U#5K)EvLT$4=S*SlG3bETUi3B+2AxrM5ZrntHIPKDQ`LHkRA!7b&3s z%P}c;>-}WM^I1As`k7QIt_+#-kl3wQogdnu$PT5(e{*V z*_^^3IV|X=$+$82Z5uJ6f*)nLcQp;?b)GWih|rJ!YIv-Rw(kPsc0R`Cy5c6c^Ud%7R$PC}H8jbHFc%f^#kO0t4L>v|AWcI)%jMfrvQ*FvDo)FWCWb+iUv`J2=6x{UJ8?U3$c^)D#Gp(O2VlrRB z{M-cA@XB(zb>6I~__z4BRpz5Gv(9rSubgwOR_!0X_(v~iVDVSe^ltn4_b&{%GWc~K z-l}p$gp1b4ShJ8* zbIte0LHth9QefX7n$6zK(DW@ocwzs_nPx!w)6L6SG?h}}-USJQ!;#-d2byM2<<@g_ z2YQGKGNk|dJhFp1_X3O~9W>JFuc%CsT@E06C$z@LjII*cioZ2y%PFD{oi*f#q5a^e z@R&hnXqoZ3dMD``!A9;v2qd+L<1XQ!8X-B1z*jK7?aQ6%ujPSEsOLH(hMG>&*PqrETSZ-1u(bQ=G3BK;pn6Wj zL3`XuXXHXy+6HmsX(LH34O_FCB7yhZ3N}owHh4NWWaQ_53GLNXW6>;C=4lbkRwJIW zQ0cqZ8$?@n^1O3^d3IQA6E>nyq!_A$Ymwcl41 z9el;zcRcmf;GzIvP?C^7;5L)KeZ*1|5P$K_Kl9e%ol! zG2rL=;`ZtLCzb#Iw?*~h-KC8R72-K@d%Xnoj7>-i558t7@=*MCd0pY!SH;txVHPo6 zCm9o5OSqO>oVH?iZqXH01~f(gC@U&*rzaV85xKA`5s-1huJUv zv55ne-dVsMMsfU32n$(J`ck5LLd2jnI>qLor1vPe9+tYMXE%$LE!Cr|tabV8KxQafnx_Uit+I=;i2b=}(fW3l z6MEdcm#*sOxifs^Nmm&)RKG8p7j|=)pL)p&ZnV?#;F|e*;UaGNaW6yVQY7x@Hn60) zyC3gExeX{I`B!^I<9Oz~Y_L5o@?n!okh7l?Dd@-be|CQDzJH$!dtTYDd(^fXg51T# zFWqXvBr#RaSa39tRpw#fK4-@pnBh1$T8g^cf8q*`3%RfaG>|dg`59+_1TQ`UQEtF`q?lz4cC8EO!32-ZEY5*(?g-FO@ z4?d=h&FxE1qwH;fjvmEf^%02pLRf=jm}>XQ-&ov)`iLrmvhiYW-8GlfU_^2L*R*O_ z?!Z}~$k9^LA2@q3)ot_GHuCt^6Ec?p*#`R;)3k(`UmE&N*-Wo$5ck~OZ3&^>BWwEt zMv%tQEC^xcXi0$(QyGC$ATkkO1GU0Lra)id+49qQo>Z=opKg9fdAVS+s6@lj z$;A=IY3Cg8=XxzrB0j^kN%75kPMJx!kLwD^?}ItG%ms+|ruT6|#qfJP66@5^HF9WC zVwiV9ApArgk4k{2cca*YiSX4{U@ne~QfdVnMq?4=XheK8A|y(J4oN=J#*roe7~PYG z*kI#%0u^x(S#nmS;}YkL>+`1Xmrrv6S69GY@(gkwu)VQnu7Q9(9#xGTRdpR#1qaX7 z-3wIDw6Gn6t4a*%M%Q-}W4l`-975;SLOmk@pB{iccy**R4wmHvaLxD>xWbXE7{|u_ zxgDQ3&+~q%k5DQ9=F*PaqmLU#Jy3mE9_@t zt^VRTHkPI!|H^ddwQaFIBP?-=8a74Mokz5E$kR=qZXo*regze=c=Daz7s7`lUcFSFcM#$s2tYdgI z4xzOAHNt_cGFMtqz(d`Pgp1)xz{8WrUw$?g>!voi_;0)qg6puy_2S#+L2!}JS!8VfC zF;BV+FsAMUYyBo27P5PEX%)>k53L$h_AVfvt?d5^!5q?`KHBi5vu%SRF%k|GM8Q&6 z54Gp^4%8Yu1$UZ;8`VT$K211dMhXg|4GEy?TvavV{aX+fiR!T(ZQv7hzDoJ0t!s)R5RP z=jR>>;K_8gs5Dh|2>dFl6)>$z4cbM~>;eyWQS7@u1y#9-yN*^j>W~L`Mt)H4920xP=#YeM<7xPXy8V|s4WkVsb9sL`$u&J4Ty-Pr z`&3VUBG2k$+{Ch>T<~^RPb*?Rov=$&qEI?aI{yIQ-0~Kt9Z_AGVxDiAn+3)pkT;+_j-gGXu@Vwt ziFd>Lu0bcp4B3MZktZrqC$LMWqIZ|n5mb&NZ4RG783582KLWqjFdi5S(Nzk=s5QnO`ryGL@nPRl$__# z3bs4iUq3-eQ*T88V)%`vW$B|+sSZTLd7?1*2(mVmr}_ywt`Md;0XC&zE^g#e|3LaA zLC@k8odvJSrznk4i`?LJ0G8rvFpH6$ExWbrRDf1p2nxfAY`2#j6h#o4N1FP*jL4yn z$kr#?K6yqN@&k(y;w;90+Df|)5_FaP1ylvAY0B~{Q5aFoL1@pf;Qf)N{l9r+VD-CE z?dX@KS@aTU74N@*wR3ErqeGH{c9jw^=Xc%mm|pUL>t0PHG9X?9r#C1lTFT_F=|$B= zvT!cI@dDVsaEzL509*<%z#v$ya(*en@qcZ7c|26@8+XssqDU%bNldADBq>Wo%+n%C znaWd$OcIkNlgE?YoFZFD))p~8TiWeLVUAr2W68dZrEG&SF^icw=e)P~{rCOH$IO|t z-1l`|-|zRju5<3AOwOdC3V&j(N^0SLHpy0S7Q`!$M=mJt3?P821{opPtvgL;OjoXUNwBuMp3y@B@}HWCoK< zEv@=iDcF71A$AHWo$-fSYkO31Q`>M&+i*y<3~;=|4Pwy-5#F)@+)Fkci`pCXHiRK99zD0Wq#btpzUC19zJeu5eJkguJ3IzBujn2h>A4j`>rGB0HZ z1%excIRSe(0f#wmnex=$BlJV(L{$VJ{JI%2%wI2heO)N@MDMS)&B}1tTYi}UQ=24% zK~paTi^Bwl34((5B?w1>KJR1uIea%_)CMAW`~?4KeN%INWO&jbmcI?>p<`-VBe(;s z=Cuf0h!0yCU!PvV0>r4vl861I4S-|EM*+WXjXR#0R#`x_U$3UdnztqI0WgIeZ{x2Q%O}3O8szI7dAt6X<{P?lVcTJ zRU@u2M%a=K}`O}? z|EkyM@#ydo^rBy5q@n^5s}r~bB_zvX-ph#p7>WaE#*AT<+G2c?*yxENtw4IkC6Y#` zx7cCO(W|HH66*PHftb!fveUUQ_#%Ba`qO;!k;fv#N>FnuA9I}f07GonMDZ&jf>E&c zBXlw97FX0}rf6@R%O_SGr6((M+h9@3ro0vprj4TL+Zlf(NUAZ9z6=-7Kyp3Crbq`n zzLGa6n)=NMoNV zsO~83-uYmT<0hY*f}k5IhMy-qN{Z>_kGT)IH)a>BW;N#RN9eu(5*>UGU1Vx#;?BYc zjZ^OlnvBFl!qi!kon2r_38HzNM{c732pVD@YC+<{N08>k6VSlZsYpIY+zuwSUKCR3 z2k9q^-tQ}ijp9U_QYNDOsn07wI2~#NJ7gfDf1%|+QTr{J-E=E1KZ}1(KnPzoyCrAn zqZR|BH*Eoc$!JA>eHtPF@PnCtCk4z%fS2Okbz&#v@G-GtPZunzWMh6Mv6oWr}i^1{d1TR>v6l%`wA6n-KIMhKQ{CBxe#Wv@gVQg<&Bjx2_`YN%o?6gZBPVx{BM zXi$|XZ8#n2?e)jpWkv{9vEH>nKdD?4(=T8S5vW@x&2%KW9E;_0hd$(MEjQ*J25g0Ngd8~1cI^K63j5A@H864HJ?MDsO2}2=LvUQY3uP$9M4%af z-pMq8DK)}3QbOigINZe<{#H4~+SiA-O+*nRZ!e$-2bt+I73AG@d~>5duwFgiw8vnO zvvqRAKuv9G2Cfn&f6?cJ7$eS7!bqTZOv9mLW5`s68%P&j5g}YAp86*pX1z12o#dy?E~qq?Nu^rNGF(x+7lDAE0Q+%Y;No9H(m9YFpsSOU zxd|(T{XqkrNMJ(x=DWC$`D{v_vTRROi3bC?3MPxuj*k%FV z74tOZF;+8te<5>M33h1!zz&9cGE#)#+30V_Wh_NRg?un)kk5&#{WdN6;Tn$u^b~JynZAq67E#Jz0pTV9 zi;+cX66UdU{A+Cw9d#!lP(7qO21p-adPEH_&EQ2HG60U^eH0<)6zY1iaT_?pX$twa z`1xZ_9e^XjQz5$UVt1Yi-0F7H!9V@7H1#h77+lBonH2~yXO z%!P3}Q|NVBkdYwI9N~vl3Mk1)2xKXlnuWL_k2LkR^z@$U$`L+eDG?)DYfGl@>ZqqGd|zA z3M@m4vZ9f&Lgp!w&}(s=93W&W2+8Kcd;mZ1l||OLV!S?%wVJKpZ4$DKW@&4=#qDWS zI#?j(U|WUP=gLIJG`yqiO1D-^ao(Gjh&Gcj?Kw!x8sp2Rl{dolVzQHEJZ@x36ER(I=rNEeqJv3e9FJm{ zL@~Zc0r9p{=Yg3-!!mBLub`v0^|eNC!J!Fr#fE_oQoXlO=+z3dwt3i;RzNSH8}Fo> z|0*Rwjl*nPTZY=?2lHGfO!OREO6fa>s02vsHO`OOf{<|i|HNbj7(-0s} z(QJ!M-3#V5r&qLs5A63XN*Kc_nAIlg*Z#kOiybXtfLC)mgD|5(H0(#E%>y*O1B|+f z$`=Qy%G-D7tpa=K=ONls@nb!yLcqTTZIMG z8p_$g2~ZG+fq+rM!@l(R-+L)It{MX`U)&MHSid;fQHdRWD%uV3K7doPdx`zmEb|4h zOex#1g;-S%1w`G?0i6m*5zlPlKQYDm#L`n>o|XN4`A8?`o}a)un<^IBF_?BWCTF#P zeuQD&4Aex~+cmQn#@`+;+{$7W#x8-evr}Us32)4Qi}y`X)!;Tx{p4Yu0%+IxlEHY_ z84@xW$KhUV>}^n7Eug@=LFop&xokfjr)|*bMeRhF+EF=lWRSFFfOJsY6$Js{H78}j zu}(-&!hKM64Gx;;8~KK`3GW~7$p=wxkhp2_WFu`Pmb|(f*>`~KKEQ?r&8PAaxooKN zBG$=1rv-`$%;os-QQT@>RTMN&Q{dkfbzp6In4JI+?D{(}b_CyAExw)EOS$U?Qx~yV z0YG_VvN5054$)p?fcI!ptZV}Cq3^=4wUi+!S=qWvJH8G@`v8&(tGNA03mf5J-e5m6 z(k(&n_lL)oM)C{V?V*onMOdSV)pg{__34lB*#cAiI*b|(SX>ObON>YGs0ln8NXmkQ zT)%CE2FQFI59->;zed(XyWk#CNINPUZS5gDF=>i7G3eivdvJpg!?L>GTxhcX~s z%Mt(^kwFe>*@@&$$%iRGS6yDfk&K5lSHxKiU*}~rik*IgvFIGeFV^Y zP|+n}U9q?j;*@CGvTwQ{(%|?R3u%9^`j2!SIkFRC{113VKfDQH>yATS;0hS1tRg<5 z4we*y%VyT{E!%F24U+*M=OZew5tUk~3akv_h{!iWOLh1ytCvYU2UnyChSwbjlgSuG zmtB|1VHAMK#vKqn2V+DlgGpjH@(;L<@y(cfn@%yX^j**WKI1FnM5n>->{=0le3bH^ zi@2cuJ;rK*BD0CU*8y>D8T=|HT4X!}rF8(Hp2a_% zYV_-Xj+s3HhlM?V_V3~N>49#F4Hk%*CKzA=TzCh3Z$PlSZy%;$!L&kpHn(S?O!`ujJz%)!}i5#33DO5H?4js~ea*B-Q8v9n&q06ds zx}YDzXP9P&$mOBrD-fVufdYD96j}?+C+G`B1LPU}W#y|<_7zYSTfQv@GF3;XR8dgh z6df85IzBF1zpCLDaBRNr;5fBVK-w!_4JEDSFE^dW*(T$RQ}Ni}Vxz~L&$<)E;Ul8U zSR-1LJu>AH$R65dQ0G5e3RMYlXEEy%hC3+AYJDW~P4fQ-xh>oGbxuspe87Jnpp8r! zYiThDA$$a~WFCMP91|_Bwz4w*7F~xeWndff43C?^{@8~2^mDdMG=*2fg)%HpKxQPB zh4^dL7-I~Ki!=2XNqjsFyzYj>(TMk#+A$A@VZ_%ezy+ueMdrYaZGgpRBce= zkp*!IPh{A0YbrjELh&_SWr`n#_Z7yC`rvS%iSBtJ% zE{4zzjQYXKGFU94+W}El8{EUtlLKheCF}{Pb%BSyKf?r?Hbv9;%Wsm)snQS;6cz-Li(a)Y%M2W7SOtpHJ0LBg-x)Yu1+xZUATX%<#lzCV$Sg=2 zASs8j8QUu8eYpCiBa*l1=`|m(q=a*!f<7DO*@tcA@xdz=Oi+*#sm}AIkYZ`1>l6X1 zLi1^8{7uMyoE-#wnSlll!j9-wMI0kAj-QlT(oqbpAD;>yC*jANYJ1vI!|OOA?QuT?pfuwlJArm6 zL|z>li%YDUhj;UcQHba!419>Hi^jQ%7wUlzH4*dCKo-Wb5r^zvV5d%@pwUmsN2<6S z{{}^4#wf4uq#%-eBgEep{7w>9z0g)ZCOU_sI{1-Fv=lNQ~xou8YZ76Op*>L-P=3 zM|^S9%vwQj=2EmIbdQB;Be8XRwF&gSR zI~y|wb~YrtywyqiCKxZ$(U5gWjoOm(DScS)t*?_>P?pKMp!r(W_m=NFx9d2+_aJn8 zTDryE3V{q?>{Uo~PYoQ8x8diU<)z;mfBJYv*Y%vm_(l!O?zx)stL_#TcXjCh05`03 zw_VDr(mV3q!7HU(^-$RXs{=Zx`|97m)KDLavb~)A&dj#{lH8S#7PjeSIdf{spZ6So z-xXzR`${s)V`JK*{YQQby)2|#B)vOw>z0=0+yBBNa>``y21jS8wUYDr7 zY8sXe!QKZarCekO4G;VwcSe1`>%p?z16N)T82WU+iF4_B@lsE%^igurR?fhFKkL16KJa#BuF3!6Cs3FV#$km=(`folanRIN; zB86J(R}A&Ek2liZrCL=EN?t5dYLbbtuum~^);LCM*ynL8q-T4uuW_=V%k|ueJC)po zD!~<3aZZ9=ShCK(gO0V)?*Dv~zua@{Othb~Sy_P&uc!z-uXy=YQFr5MiEru%ivyPOxY4sr&6ztRbB*uV4bOQohAcU`aDxl{U4 zr@=~eu|tF6mO{~By>)T9jHTr$msvTahgvcj*TJ)x-G^51dwW~bZTjhL zU&838M><-pSGS*7DWqPwVtHWEH?d#KBJTW^8%aBertRL&s-FG(eK_mRswLyqV22l4 zE6#y$r}65s&(!w^sc-H@J8v)OSj@gV<61lXv{og$Dt~`4L%qNzF(9C}fS4F~{Nc`| zmhamIKB_O-g){ydP6Wj#7ryxtZbm=a+j_z{b9cty@2YHmue9b1{#;kvb3u@C{#>WF z532EY@@dYks-z+N?TK-+(%Ncor4x%h5`Ubvuyf%57}8E0l9o;MjgE+y-KS!4a9C3# zNXKG6)i(99qqc^!2hA#Rmil-6qx~Q6y4UZrP^3DvAJyAtvd4*_IjmLaLVtwB zKFTB|Ue~mczVJW4#1#ism-+oEf#ZGqmjsXg?b+{n?ZOrF|6R9H?Ku8Gd-u13%)Y`u z1-t+1B-LE~`|8QN6rKNA-eqG#Il-|5uvD+)U2aOqR^LM}RmQ)_2dF>jN}!!cJ+y|j0bWb`gDqjO z=3&H((W*^DhTVmi4zf1h9=1f!wwE4YpS_W%CzYocnI~L$?I}C$dH~z-$>74w53WnE zlg4m*^4$%?b3cg;?got;P00)G$qT;U${u)G{m!2VM3TJ{k{6yPFI@Xp7P@fil1r8K z7b}h78jWG69En_)8--I^;vfaXjo|fq_q%lJZ#Ow4LskLui+Ar`zPJCcKiAKF$PuYR zYd^6gVO$^MY0{<-<^GC7m;W)Pk7K76Y&)ecu7NHOjeqsmE&cc$Qr9ui{CXmxWX^HT zz}>~PI}%B~vb##z#LmjB*n1y&1N2K2tr=yh87K+$*c-BQ=7!`9lrZw~DgD(lQ*tJ| z(D|l2OV0soLk`%f0HxW*qcbb#V41@kDN=1O zJ5}nq%?{X_wpWvJkz^wQWkVhzWD)m?^q0VS_wv$dOv6ydf8*Nm7j7q5Qt`TScc6VH z(lf*ww;Ei{i|V6`z8CJhN0wjiy}8!KIt)92>?q$QFzGv%8=f`eAA#9~9=mv~CP@q% z1z-~B=S#dDGu@rbOAgw^ztW`oo3{B$+=A&_MmCsepDOlz=(>(=vwic6i303m2aQVzJzx8riZfg%o`bRH*4uzX_bhMOxs+X!PX7SM;vZWPb$*Z7?ecq z$9libef+0QoE(?!dG&Y1&f77B$ZK)e&$O-`&U-87IY8Ci%2D;v&{5IosnNb0=9wleao9;95+j}Did2mMbxJahi!zO{hF2Lh; z0M5JWZ?ofe9Y>%15FgN^UNI$DtbF^iG*goC-W&NRvn{^5;&7!>5=3|jEB5;RYXSoC z%4eknKk{|DX|K$^;kChsTEj|a${%5(>S7I-nK+U)nk|NXqvI}~X@udWY6pSVQh^YEZe^Z;GotY+UG<=>_=1*AcOrG@k=1LfJJ}?)cR!TCy81Jm_79f*`*ymRG%LND{Mf{;+xe^NYtS3je1m`)-%FS8&u}9a zhivvX-6~IRF|lS!PktfQ#LHEs&<=d@dVlhnKP{Bkv&&8EMnk3Y)BD5w6R#%QS5oAZ ziP_omlz<1J+!|4}bWKooTunvw#Tw)4)|$a;t&{TvPw6fDRpMV`ZiJimonNTTEk8m8 zTSoOqtwy^?+eTAHKaK7jT^My4RTSxspqne|gqaHU67NzfPy0@-e!En37j@|2hH!%N@|AV>S_x(3nNRCX*P{48)~s2BVg7%_CSSZGdvZq;Q8+x%v)tuwZLJv^ zSp2N#dj0&ue!GhP=rjwD%GhbMMYSF4Wh7MI?E=Vk{D@QXklZnlT`*vc`CcqvwCW;X#4FTS+~974ISV1rm`%TdiCKi z;rTo*=K05KcIX%0R~So(v5q{V^KFAu<5J1IMUZ0!rPWL@c!u`t;)c1t6 z)X&*#Js*60mDsIL_D@tR7IB`G_Mgcqx4LF`-PM68>fAPYHg z6aFiX@*gN&`&N0(E+9Rjvuoz|^U-TY4M(rT_t*+0zs`BB<)?-B*!*VyAyed(c~IeG zeX`r|tVf{(*<0Y|Yo`<*+n;bOW%q^^m(N1pSw3jWOi0#rN>A6=n40v5UwqyfsGCTVq zd}h7znc)-6bYoO%_TM;F-3%7PHrE0I~JP((+LkK;3*E3IN-J#_9{DjQSV}WVi?!UJSqu4*p iZ+tq1+h)YTp5F1DIcgPFTW5M+KX&huA1blx%KrypT>;eq literal 45239 zcmdqI`9GB38$VtlNq9x2Y||zwk$s;@o3d7tgqaFSLK9;hGucwsc9mslQIeJ$sl>$;xTb&jp;)~)-ZxE{Dh z0Ds4U`=!9U5y9bMyNyi^j~W{9K8^^!9kAQP)MWQj3nOC_Ba@@Xrn@}???wgt2kt(1 z%|B$f@oo>N-Clv$cb^Ifjs(8^gOmZttXsGE<$t$zBXa-uj;r{;_n7tn@CxIugFtDdNa*_xKy2dzqjsv@9u}E74~e^cXfL6sK4lm*}1dtPwvt%o3mpO zzm^XS3chHPQDi7lDzyv3-;V#n75pRC4~^3Q;fY{jzU|YW0Z!YtZ8Iy6U%1wpyFcRl zr!;NUk62d~`>@gp5O-W1sSG5k4;Z>j>X3ct}o# zs4gl{4{GWt91498YAeZm)_z8V_(*iLI|JjdH!PE$EZfehu;}*M0nzd??GVAj4ov8BF@A1QcawX)Dr1Iy(R&qvAXVeK5%Su z=+yl6Fkn16Qrrt^FnW4%`tEt^o(Xg%A!Ef@2bXt9YB_ee)Q>8Kd57QDqKbFsW@w}h z;|}`$wj7jV!np3#^f4g>-}s4I`>DQ`pgC6lQSc__AM7F@G65AR6+kj>b;dM`J9r%n z{)F1wW9rq}oI_I0PW+qEoLH@#LG^ZE3i3)##RPnIXM_he11BVR)O}jKVhhYyHy2Y* zjY(f{Ki~K<%5qjy*e)2xeH07_dEhb-(r>;OeKlFalCkzCO*;Cs6xSvF1{)1K!F?qe zsI^4+T6`G0EdJ0@ZH&np^s$we&<%QG@SotFW8(0xTO>?0bwxUa_8*h_h$T2ARU*QT z^27Pxm&F9X8dUHXYVLGj!~y*{R8h0iPh;`nKZuDU zt^Z$Mqz$y@@7*NnLZrhrheTB7l~dx=0v!<;VeGj*{R&8NbI(-TK$S1TP@H}PkFpP7 z{UbLwFf6IBT;Ri_6kYcL_gR&7b6hMZdfm6n4X&GJa*MoNK7i=#bP{{cf&3I}vW^>6{g<<=fDDy&cYtt5i`$Wv8Hxg>|7a0A6F>cCv18gVq^7eo2l~&C3 z&tG7|W{BcA(qfymX%38_{$kqcqt$UKT zBC=hk-bc#r7b+WI>K(3)o4bUGK4=88RwWEOFspl^(e?D8M7x+@5oPSQVUr63NV&TN;~2fzBg{JBIHtas;5Vtw#$5RaNj+#fE!<%B{~sxNDXZv?6HKCrpB+5X9rGbD1vl>Y{F8wY2Nj0EUF zx8Niwbq;r`h&;l<9TBAM#UKVuUR`2tO(dM06b#-MRW#G9EGCt~W=z-l@OZY$MzLWz zLA@EYNu)_4XHaZDRQ&Q&`AG27_d$mi4omR1bKD5=VhZZ&Ock)YB6cC~P>deoP=0Qb z@@DsdP|;>yL1MTQ+V(BzKXyaC1ggLQ@h*_aWmC9Ql7;Z_T23_T8(JtQZYd{_-V*dz zye*GBty7+~WS0E&A=E!5STjAmH5uy}+G|Q}WLs_&+t`M+ZLXGWs?)~353Jj>lFRd{ z<#-7VHB~mgu9`@O3cIKOWz5zO%YX1+X-V`l0}bISeAQ~pbAHoy@qQ-KUtSeitk`&c zJ@k^o7VE=y)n?_G$y~P4tA%=SHegRqisYX#w(*V+b&yl(qL$KQq>CN$j~P384Q^87 z#tRh7I)0R;sJ}V<4r>w0YflvNK=)w(S>0C|^{O`x{Rs<=nS) zXBsmj)Q|?Ef3oN;s7p!i_bkscA2Ln-p_k7wmB(kNbY`lkL_6Q4Rd$gyy*lQrjfnOn zE9l0R1Evb7E4`4})eLBIiR|R>#oVB&tPPeRykXe1dEoND?2c*cCn0%HlO!QS-fsBp zV7>3)ims7Q(brDaP)=za6=yutlocz`B`dEsVl`_qDn@M~dZM7_5M7=@OqMK0338xi ztbh2#o}NC#9#S7@JNt-lzHJ!byR}K77lVWW;<)6Mtqw*vL`PNz)fT}n4M$Ei$k&U_ z)y2gQ(#K;k7R6JBJkBTT!K|kU>rhvd(6VGm3nbCYg?Wl8Ru!4@zzQfu7EL3Ya8VkH z+~F~KcuveSNMt-_@->=5C|f+k>!?H-Z$)D2J8na`~1I?m=A*j-V z8qTSd7}bCXX^j7PK1-rXRp@~`tUf+ly%wK+<$g@0xcTC*+v@DM;}Y@Kgr7g;FIMbY zFXGb#%YWk6XV8LH#$?4>L({jS6-^))hJ-^b-v&ra%@)De@(FU^^G)N^^4mZA>lac+ zzqYG3?p$0@nF#h_cxW{lwm3JVb84rJ0R2zoOQ#-7MMW68sO$|!o&STN zA)0teML%Of%y{(Hb1d3o5t_({wYK~%yrXqvV4P0BvpIYtp61s_e%%Xtc+>5(USZsw zJff$sUCN_Z+H6H%J4*`Mzm>2NTy-5i{iQWyF~P>M=BiF?d+EiD-y0id>kV3rL@e7%agLecO`r8`JmDIx}GUD0WMMc}+?sy*+a32^#?Sos+ zev4NdA3d_v;YzCK$|WRb5cX47{jZN3w$KVsHvH{1a-TCg0kJA3Xra9J_Oyo~Cv|fS zgdNel{qF|#f}9*H^Ggi_mNto0HQjlh%rO~sa~940X3cwpG3SD&!vde=!fQYH?z;B{ z*F>PZVA30gI(xBzXvRjU3Bu-tvi2k6sDBQ{(UjY-sVx1A%3%K=A)43`eU+x_yBd!sYQ-WN1Xz>nGxl*?sr$M|9Ecz2 zooO7G&E_&BJa|yw{`BnUX89{!h9Le}FAgVp zLx_}FyyWz);Xmo-^9`CBX{YA6iUOZ;E96G99y26VYmR)K2Yn2wy{drBd8sD71%$+N zf5?8xlFf7@Fu90qy_#s0oSVbDFW_hkw38*LN!abo%0%ur)YhQfy&c}K7uT2zqqnE_ zpSK$=lcFKTjd0lhGWKxhgcI|B%0iR7&2XbpzWnC+K$vmh<)*oMlmmZYBgjy^nE?GU~k zesjF0Lz-K}I1U{<80su3X_l|BfNpL&aHo>a0gzyWM}>Gye}VC<2=q_TAR}P-gG2zD zbVQz5W;~EI6fw?BPLDqn;f4(926{bBr!vQ`nmH#!udqgxFxU-xd31(k+h@X}FB;*; zJ=Loc{XbyTjN2P7iGM1!yR#US!7AT7-Kf}I;(*C`ic&gq97{v)=!g@Xe;5T(&c9s_ zbG%T2DxeA83lkNSz}$S9G0)Qw$ZDcjD$$vFiy8gD=zDYPGWq@`#@~wH9XF|$v@y8r zAT!5i@&juLXKD!R;YVQeg&_{o&ttHs4{7hd3$gyovF2OdU3tH2{idyzB~D4CM0a;) z95eU2n<#5)2ijhpu-f&k2tCq{-YcN_gQkNwp$%Ky??Zs4+KNfHUjA)x9nEnR2K3Tq z^%e^s62GUoYt}A-mUq-s9(;sRlO>^a^~Hsqfz)%^p+f|y$u2xYN1aW6ii^FgEO4Yo zjV#&m_9>vi$cst16i7Y=aIt`KdbJ@`oQaCs6v6v=rxVE%HM;t1<+-%)V5Z^}SC7}u zjQ4kIh&Op;0j^u7p?=IaHzZ4pdV*a4b#DLu%|6t;WuU`@v1g+kel;&ENY6|L;8UXk zX51%rMXr2cWI|~w`{g(vEm7M=tIZi6K_ZRXZ14W~@{o#<4d}wgdCryqa|X zNS~Nyq!G%Eq#cU8{Arj0D%SrP=`yhcM~(0V2ueI}a>XYbqIWMmVfMu*9=;Bm?hAr` z;ty#o{#5|sY5fUm$N3@BY17mebBqOmrj8N7f#ZhCf~}iwBbPd9t<67Y1)k|KLn$b8 z+;RzO(;H<6NJj@ID*Em+&eVQ!^+Z`v)X2B1FXw-%bUG%eaaqL{U>iv~*8;Sn@-}=I z+rEH!eh#K0i11MJCb2J;h<^;X+i7i`};>|M6;wJ*Y8GVfHY#&?9 ziC%&lJH4Cj+{<|UfTqdoNsKne90xy5qnj5olpAk?GsjeBy5#XIvjnY#x@OL6bBR4N ztr-+8X?viSKlnF0oJSw|XyU-2!m@}_iL}BK`+B1D-SsrOYckw*kxm=&V7;#~&=cs$ zofsM4dPrSEs*H2b7d10OQcHT9YExoXPiKv@5Mz5 zAid!_>{|n)Vznl3iiG8zKsje{r}CQCoSI}f2$C~w(McjKnH2j$qv}xG9SMea@T7_; z08^@r;~I2Dq~?gl{+=NwYy+3}=?N1gLmD@cE>g7yxc?~d|0u`iuq)R z3O#{>X$OCY$tm}AV7WU-;EKyeo8zQ$pB_k_HYbSI((3U}^lqdB1uXf+h3&8Z!6hY&MhCpQl~sNaH+_>B7O?`1TR8C`gDpYyF`U3f%We|+)D;G7M3m1HihkPVjyy{2eW zPN<5G^TY}$W6`86VH+wsDLO^PiRs2nRG8ca-ra&t;kq+{^ir2+&h$|g*~E}#8Oj9f zmiXp)y%_fxtSm#0@rr_ciAI~tYQ^!UjqwzL3lj9EfDi8sZR z0p5naPygE{yXf)5Gu3kPK{+(PA3qagCFDE31l3XrH31Hp+^)vSd|BNK&|xRJR3}Dt$(IPYF?NG(&nOg{w&Sv~;z4 z?dN4P8LCJ<9!{Z25gD9BZf_s>>vAm1$9-cDG`gW!dT0(oh!WFtzakjqh`8*h@yyqL zPkN;(Irf&*9M4`Ow>ijZ;!?McWu#GDLc=SU6T?9cSmHZ_9&xYH=5Yo$o$0QMMDrXK zpbz0ZtIXuaZs{?$V$vk**PRK+p;~?vf*1=;0&PI+-T82*M|zYurXb$Rw7j0MhjcDX z6tR3%q7q?{U_Td;AC=t_6a}PI$V*8ed9-erI>v@MuaIERb2irNDS0rk8gHmGt#vZr zXk$V)6}C8Mg~Ra?@n6Z02&9*KkwUlY_u(ZdupkoYJ_ zKQNaSCbxhMTZoB}*A!X{=q!^p^;WfUJMtvp07jb;Xx{`j>m0W~?Ep`XDX$7vZ(%sk z86}dRQnaU%Rlx-m88tx(&za#^~c@waLRR;VJa! z^?%AiLE`((9EAi>3{I9HhjMMDS?~tGHa#Mp+lmcI2%7#?#Kq>W=S5~GJc!-h=#ivy zAGRZ=9QI9kdh24-sfOJBqj(iehN?uR+2{;Yeriz-xwFxgQWx_8E!*h%S+7UM^1q;r zA}-Zb12g+oMdDAPb!bUTC{dGrL0?CxGM$J0^2`hcJTEnBY9hPtU(Oo9Tlu?raMj!*}hAS~o&ValEriPJ~NA9p;V7 zk~c~sl5$g|FDYX!UV1URc)w&}J-l2+A$?sma(KO%3pkU1w?$noxq8S;)G9xwcd+oW z*i%PbSwwDG?DV5J%W$bxnxe84sSZSacqM* z&*h{NUQ>WVtD4A)cUS=`54N98&5xg2jUz={Rtj}}^%}RaJL_Znw~2YpVlieTQ(cA> zz4^AZa2uJZ&YtZc>kp&Npe!1Ha~~SOgIY6h;d6^ zv)+E5H?vb!bi20DN9>cojqL*!_*J~5TYhH?VaBBJ)GQZfTa37=z$y%P9I|)Lyu+d= zl+vaOj(ZVvGb#F>%2{RxMWB76{Z$?&bvz_(j7M*HGZOVmPXMLaI{@sZfpZ*W4N?TZ zOuVa-DejBBuX1J%n?x?`jZOuMH84d|1QXs6cSACp;;s_INNLh*^h)9;ab1{`OdW+u z`N<>9@fcNK2(MZ}{DoPrfU*^p$`WKIb!SuU2Bvzbv<9(xLBcym;!UIuTo|$qOp~9? zvB~p_ZZT46%!~J1Gt*i!L2WAN0CFi2_JmmnLS3VvkoTKy_lwe6$8>o|WAa{_D*Ko! z3&gMe<_3zxv~=!OZ;r$3RZA9d$8z@84d84NyO!^DsZ<{k~?#rX_F|7 zfhN+0X}1M9YQIZNw=8-)>UI)gBgCeK)|}(Q#8CzTJN=ys(xC6cbdU4qEM>nD*|w?- zlju@8aeyV5#r&ipta#WoaK*Ysy;;SDnKSi#0~clj6#ZFiDj55v?8Q3p7o;T$;K^S&0Pn0S9q5au{Ef#lJ!jq z6v2cxopdN>K82_e^GQ~!&=i^^-9WZ!L8pelq7d4g_w#IGmSu^}8M-mXt8c@U``QV3 zLkp7Kmhl|cC1!OZ>ykmVoq>YXxCNJtbptRR)`eNg+@2_micUeR(-rG58*wxRj^;@V z6;2+Myip8V;=Cc>_`^``5KkycJd+sfVNWRWZJhYME6eaDMX6c8dfC1~*w3E4SHZ6` zQDp(=d)4lSK|NE@s{9|<(6uOxb1dsTl<@aGIpSe#AWtNV-Hgel3{n^eYEc8H2{~#) zuQbAQN@pufX&l{zmh~kF^+A3oM(J}0d2|Jkct`I)S?qceL#ciFPa-`!`+M|tW9b#< zu0%9#^otVOddfo`e58dl=K55ID=t;RXw))D%Xh@(9$K`AOqyEb9#NevWLG)4Eh~ZT zBnp`S2T;cGToW0y9ee;- zHs{vEmjG-zH6Sx-+Y;XzuNz|&LzHDGF&{uZ)#dGu>69gCq5znqNU&;Qq`_WNPEfQ}kp~<7l2np$XPH}> zmyC^Opj*c$OJ)iiW(AkH5m#jKs0Mx8o;l3n<5&j7B8T(4!FZ0CHI|x_OpiYEQ?iJ( z*O@dcMr%!4wP5aH9#j6`^)j>$>N-VIe|@uvmm<-P!Ka{X8r_aVWT1zc$-5Nuh}h;F zMNxt#dLgJKWWOk=E$1wcpbRW~ zg4`nouCYjRNSQ=V+&x3^gM`b$06ZRl;-(XjaOLCN>!{KfOc;<{HKUcs8AjqGK($Y> zmTom}K?Wu<)=9xFYjG|nmRBiD-X(EOVr-V$v_z+&Us2*)31^u2DU2Sk>5f?ZBt~?& zS^YqaF0WGowNBI~3)v)bNb*V~U?}o!9B%M*jB+C3DP?dfTyBZW005(^;4KBK$1`S* zD3n_n%nM)JfWt4P#RK&OrMPpewPM;gmk$7Rr1ZaRI>3LG7-9>b4`~UKHO!jN*(Y_P zjP|grr;Ox*CjAjcr?$p40oYw^es7#$zhWd;zUTr2fz9s(yO8gRGJtOfKq?s3TC)$3 zM3LfJ6SD~o27U$1{O4NJG6kXz{2G2zGSXaKFb~wF)YdwuiU$7Ic{BRZT4xRZ+5a__ z(I#unjeNZgq^J)NAWp6^dMTp*k5r=jCSQ#KOI--Cvm7L-qU6W{GUh!7sRJ^KN0?s| zo&5z7KOh=w%{r#>T9fqyqPnI*1aA(|K+K^73nJw}KC@b++a=taWC{f_AQvN)i>Uk&3>rvL;3J9<<}y z6nM1?*QNlw7ajk%mQM&RwFBJy+%*}`p1#)&4MwXO+MBw)mAFF;mE8_O3n$w1b6CpeSr1njGg_V7ZPWiq*^1D)gCjz8?` zxLp}qt6hMfybKe1X%-4YF8zy$i+!n*+cd(hT$$=C@^zVXM11i2@6h33A8OMPbk^bW z_9rCi*!bv$u@_#VQ`L0gjkqr!ad6FjQa^YwXVUqn^al6-XmtXWtoRd#VIAVfw@lZk zJ^Mm|Jb(VDe979|1bVSS;Oo=$70UHsYa2Pw?n8H#^{kxYJ7aBvpSxf!JWGV>P91|E z7T66+h0b~D^4^by=c$G{c<X(J>QXKIWm3kHE4upIm|5nBRo~~Oud$2oNQc4O^pZ4@_gklf}yEEAJ*aOh4 zb~?v5r(68_0WUysQq#SaplXnQ2QX(URv_PJI~5c1OW<- z51fZJss`fA)vL6q?EVG(>;Bsdzte6%UcBqPXRKUsU66WoLeuI&yJS^iBq`nCb-19g z^4ACHv&z}Asigf>4NGnL$xJq)ch0uxg^QG|VYwI*tF5VVRC?lI$T&36IhH^=a(i{tO~y4i$&K(+FR>S@c37$x6Az|(0^(Nx9|6Eum~I2o3PJJI$ImubJM`> z;?eyXj?|Cv_`>%Osi^S-=YrxYHMMc3`8iGG_i)?PzY4Usbzk7|uTyUu>U5vY8VgR^ zA42K~z3?tPeU_{R2$GdLdcHD7;}NMgD=2soaNtvaUKOs%k;;lyz;`VAzIfc@7;%AW zaUUR2IRDH%Od!_PU852CF8m=t16Oj>-M{a2%OeAwMX#)}qc5_vg>cQ21@k|{BF7Ek z;MeXNIK|6LaB#_mp<4Uq;}+_~Du9Z*!jsQ_nmFNJd?cVu)_eP`_P?9&2Q25GfKsE> zoh>`XwVdJ}c7UZ#7|99)pED2hsvMt2_I&sZA0W%^&dYFQL8%`I`-%bb?Kk1I3jF|0QF}V$@(}gC(pYa z5vOhGNhmO0DR4Cam&ZV%w;HT>4d!H4l3Cxn9%zS!M`EPBlZm{J$e}+crxQjvhJr_mDd-mK6OFs@IjwKI!%7T z@ovuNo3j2QS3Z#FkxGBdymjDl{BTG9)fcRMsH8MLXKg|G*_MT+?EWd@fTy-DT=|+A zfwT@RhzPqDe0q{yzv?w%ZV(UwF2!->jJ?LL=TyBU-k6D2-ESTx3COT(I-&%2ugKyX zBriI}?(f<)lhq{*`E}%S;oZ1Fy7)=|CpRO>e}T)(2D5KTr{aJ4d%w0xkpER`oA=kc zgcMckachn}9TysKm`4;LZWA7@$P~BMLZi7=zW>!2qm1gGCa<0!>e$|;^DOOa{)x%j z>)t2pl)Bp7jHH{N$ya`hjEntPuBJGWFC{+n6ILq zJrH6$sINWGo_;MI*(@=Yh8@MfVB>tBXNDM~O6fn2Tae0oIHj6L&X{0AexhCcB3^K{2?Z;XXPp%n_k_p!$s=a3St8{guW z>*XCb_!|1JHy2yx+r!@*Nc1!K++_VB_sgpY0sUZE`Ssxrw%55!6TUlisiTAhZ{;j@ zy0G^16KeVZ`9b-Czd4sjpIBlFp9Ni*gsk+{HS9n*Uw-C-jS8yxw{;$I`&$$q+UYYk z6k%bs64PK9V-zt4l^e^i%+~(b;+)m3e@JS8*c?0k1_2g6_s}JeXz>+)LA^${LQ2)ySZBgS=-?)89OQ1b#o^CC%eApf`ohSnlI_n#XzTu+X`mo{7-Oj`d_aD z_u$>`-DxE6AG2&5w0eo}-c7DpD|0D2Xdf2i>cHxJGT-plv&rG((aAIu&sXZ+H8L8c zuP}I++~vjTKg%$9dDiIQvK#!xmW{LiaLLYj^0B=1Ds}Mas>=>tmi~Ts&l`KYvX4=_ z^bfm?5l}C1-3Cf_uM;^ zi2C!2(1S>4U3hWI(5-;JjWz)ow?Iw*a8JTsbOx*Hpc}r>18&&ixMTZX4F1~1z#Y>O z{~?O&DTV-H>lGRGAiZDd9<(!+)(Hu}(pmR@kf;Ad&ox466BqMG$FDQvj9>qVn`tuL z_3f5daCwGDoGtoOk6p-AF||~3sqzWD{S&pcDSDoNeX~vZ6%+i>3$KE|)g1@ua5FjL zksea|1J>}Fa9V-aKK%5>JoA0{Y@Czn+Laz6NiVT+;l^ffS$`#8Lw-VvQOjzJzKJtd z8~Q*RT`8?;92yQ7`{LeGd%e%<&juF+d|?<{zw3O%s38LS9|>VnmZYZt@$!^Pip%*iR~1lnJqC)MladU^naa`Gm#;r-V)w`q7p)=)86KP{yT;*pLi>r%R|8kMHsYS6gRbe* z&vF(FJ^@40)AB3&-Bn+bbv@)Q;qe!;u333PnT@bvq@z0AGx`*uZMbI%fi}MDrfVzXkSKTci>$`)^nY?5sDJ zo}L!^8-}&aI)Pi4Sq9Tc1OM?T$Z#Z`uvJJ25SZM2PE7aKgz0{;d6Adc!6`gX+9Swg zO!(!K+Ya7f=LIJeKqarGi&sh3c#*j@tu+iDS6N4Y6p|11WprH*dWl6^3VBdJT5CDX2bLT8Xw4x)s6kuzst#@BrA@moS(LheAg z;(!%9*>8y*2l)W6-C;32|8uru%A`cyJ*(}InMRuJ{@tmbtCPhY#fqFluTzgSHZj+!v(2Z9>O-|0wxPHjPs&O^2hNPnJD zezpXdRL7Cy2M-fuvwDoU^2PJ!;iL*4;e=s=l5AAL+sgU(o|2Yzna*YPtKX=c*LtP& z?eksdh7iZy7xbP0M+$QW5lNCA{8uwsxqIKzWyJ|AdOtOD3FSfeW!$3U_#u-u_g(J@ zejY7guyK8PRtYCwt^%h?1*UH97C5wfR2;lJ;*uQE8o!G5!&*efy*t$-Z!3W?kY+DN z3I5k4mhS-cPCn(e#W~m+;ePPwh0B3t@~wOh_c!dWq)R^}pK*UM0=|GJbnq_>N0vxm zRCaiyW$@VpbkTcOt;A4p*bU1i+T_r~--2lDhVw57sD_*c-+Tt}B)Q)Jkjv3qfgJ9~ zBps6gFni~fzZj+F7}K4euTJwCmCeez?V~|CGTCo>c#}udM+hp?`vcBp)SUjUZbspQ z-XbJ3g?oCFMd#H|+9P;X0wMegEFh zfexA)jinP;0ApKDAGX2VI*P~sA!kYd%tI1`?Px7PG@-Pin z_(sp5pUCgGQ7Ag{VmK>8kG3z2N*JFfx5KD)J1_Ojs{kCiNsqfZ^x$6_MBO#5!tA&Y z*txsUWYJt2s~1U+bHJv>hu#uQ3HJd`;?K<;#oG`a@6DH}TdU|8Ony#HmC$s|1_ak6 zR=YuzvSw)p!*2z{LKY2{OG(5mftYXH0EbFnEaIBH7w7~(7CKY)F-HoB7hiTnXe)^qkQ&Wqh3#*bce!~}gS9JB^KoZ8iPs-X zHm>nGeg$da;do{?;y`Sv30l=TSe;gV^sFU~;Az<7bJgq>U#12>;2c8bCl7S_AYOd- zGtR>V7UV1}a++HC560;D#sNqwp(Da)*rWua^kG?~aN2@Ij&F^5abaEdSWNjtb{zSM zhI{+l_9uUoO66Q1 z4zSO@3!ijucpaYB3XO&@h)x-s=1Q~Sf`;CZ@zT~Cw)iEv;PKg(TY$yDgHe~#y6*}M z=gcAGq`|v@-^f4QcEbwr$UQ88mmtPIE{nBw?)js@ryZ_T(dl>SorxVIPv&#qc%q0u z9Jw1jxu<3#^cG8(hR=|9so8gO4hhsgno7rd8TXqG{F7VAjMjY{^7mE6(|k!3yZ%zS zOx{1X*ISa6dz$&3IQ|Hna;iifM{U-3Lr3hyQLnlK()9(8LleIS8%hrMg^Vv0dp*HE z=_cmx#ZRh>2l%gqd0ZYHTrf*}_|3MZeMB3b{))zD7?7|~KnKlMoe05kwg!%NHOMyM z$jgo|>pA`fr~@ttqiIJA5{zHA6kzvk*dT8PrY1IxF^iVG##EilSJqq&wJC9H~hM>N+8iX`RPXPSj4bq6mEOi`FeJMgRT~BH*rKpyGoFCOg?#Ol7 zyJ3kZ*%Gnai>`bqI8It_Hay?Xk=XmRpbs6M2WKyh;W|$ItX<7m8dJ#;l(EXPoq^;i zK2VVVKBCnTQ?=y*@Ni^#qxMG{R_V9?fgh}S+=cJbMD8YfF z6SM_II)lCPHKhD-0o0e|yW(}h`)q^*V{80$ae%MzJn%3z#TRah)apqufR4@UWa@U^ z`VxP18aT`PpDDPCDupvSs_z*h#*KaNATOQi!*N{suy~4 zklWt)Ne>=){`^}F9kYC%@Q*icwvgM?HM+VCfaj5p$CF@2%-;Sn{!%7D*=_|0k@bat z>>tKo>js?f(|SF)vCG(HLL|YROVByRsGH4OLKmpbdlmcL(6j~ZNsEXz{#+RfW=wy# zh@bSz)2p{abicP*)_22xJwNfZf?5FWJTq9JJYcaSc_>PhS*Hytu}04?#y+p_fsGe> z#g5D)Cd!D~g^7bvaemx|h_t8IOo266Uw*FiFMGPa{Kq9G=9g1E6)O}Gr`D$66j8?P zdt1Z=`D?80V09VY#ZUS>WiWbi#~TvIz57QHu@_5HT`Yw;I>r^ae1U;=+Cz*xweoKN zi}1^@ZyH2UG%HT5J-vTvog|$^#Kney4|+z#!EKFxN5mC8T}1i~&T~eqS=EgOvn$`< z*5jDxOv;x@^m-ee!tm6d9!BB5ZoDJQx29B0INbgz>iAQ+=f3&VDbEItGT9c&+xOzL z+DaZzc3dN$!2r3(;Y$SY76(4O7tyu;A-s3lHQ|B@{!1$1?y{_R4Y5C_pvDVNdrdvW zaBXO)<(a>zIWmZ5oi7;1mHTO%&fp(Nqwa#E>_&_havLq9?7XK$(yQZySZRVU$#c8|$SyFl2^m%u%@Ju*y zP^3D~@y+TV0t!-D9CI|si~9{oP!x2W_I&%7unr$SnjA-rK2}04UM;4*z}0j+emzX^ zo=N7MracVHf1Lwm+`3yQ0RnP#>&{sh>){(fw3gNGd?uNZy9ti#-qXDr%sLZ}B}4Y& z@u}aL#II3sHBMFJu0k)-S=4 zsNpwBR`}`1#L9ziS(=`&R=#_W^5^mmf{lj{(cY3CA=$dyFV(>xrE%ILVn4cl{>+kY z`cOYzvAsvS?xmnnx#sNvefYF-UQC7>d zC^f4Q%1x;T4eAjG^>nN8B^jNJP9tBtYpj3O|0dnSg>d~*VnJcArA?2}29%&v`tnyB z7y%a8T{J$D?0E+`3+Fw@mT)fU_m5ejwdVCDIdF+xCTl6?U^`W$s~L+jYas#$LRZFf zB0SY|1n+F{(Al~eKx=sUEPHzJGWevhe1I;rPG5Z5`=~wE48Sm_1n`fnKI04hcPr|8 z96Qgnf77}&F^_2S7!xj&ecZ4SuV-0%hqt<6gZ6~xlkddYEAlrXK3D#n)=~;le)V5F z8tj5_y*aa!Cf(tJaH=YhPCawf6+r@oJN|fH(mOL$4mH~6JxiUcTQZ{Cn%x?R=n6r5 zLqodJe3u*~J3*80k|`M6{Gs0TVOb$Px3JyA&vn?j92U1DToz$^O)0*6#V#GZ2G0&mbzxaJl+DQcK6F!#qsoYhzLxtsXL|Tm+8QsmIv&!_eIK0W{?O^9A=PQS+4bSE2DhJOumYPbnmh_J#3>HM;)j+S7~4aLvi z_yd^}arp1SU0RM}IX5izmPoo zSl52LKd7nR5ortz)^>tqbrv7vJ1gP{`m1heUrjC`^pGsyfHtteul4Nv&=`cYOL~wU zhi#Hs_MH-OtONH56t6|w0B6_~`^YMEAjjzZ6yyeLmMWK!s*v`dY}ZT6@==Bo%L~!U zD4ebM+8&AHC+;$xb*7!XONGes{xS`^*IC^IqrT-~Q#cV44NyB71jJ$TX)MpSRbZ8X zV5g)1`xjS2qE)6#HHKZ?(EH=MF+NwpZu}5Qq$8tFWR4Cy4Fgmk`8MmWce$A5g>I3P z*(c501zX6W3+`S|95iF9ebwb->nIDAz$ z^LE(eLIgiU;p6k9yS|vNvM|}MFFn$&ze;`1p7Sd}N4zXNb+9dFe2HB-ShdN?%NqZv z3AP92_>QrY?YCE4^uI7F{^yAq!K9_pY|52SO3(ZuJ3ow%W-mM?g=9XDl+=y2C!bmp zEM8F0iFB$5<4N;~BX5bHuT=Q{W6xqaVz+S8uKKh$U~fX>BXQoj(B zg%Gz|3_PjttEloLMA|ct3T7)h0g!F?&ph(Q0NvIzPU+K#f}3=+V2FoHHirvF=X&0T z9R#9sKCQLmT$AmnQBLc{XniXC9g7s=j1XTdv6XfmwFCb9wxR?8JDGv!Z3gGtiKmSu zF7MDTk0S5BgB%8oXBlz~sdg}@1ps!lL254|mYJeBfwyM)|4cTaplNQpxS@^|ETf)- zE@+xzPuujEo%A8jBd~s7vvNiU@dryyT>IRj!9(TOKU0z4>K48~|4fLxaSUN}QlcSW zYNAJ(;s}0op}fP7b)F4=${GR6i9;Iuw7s(7%P*lEtS!D=<#8aIG<~oH2OknBm2iEb zLrZ}(&uiYRrP*Q{^rMrA>C;3VOfYWamCzut_~tP(LJdHF)X&*0)4iYx`CfR_4ltwM zO1_A&L3~S8S{GAaL<+h(wv}X80@;NJ%jC(HEwIfg=?INDko%^6wkqmYpn#Fsk3mr7 zNU%-VK_y4#;{kfz=d=g39WTTMP?AQya$Wu@{m+{tzZBcp6ILIx`l@Rd3h#SHg6oHd z5VQJ68K(EF;x1UK<7o?Jhgb^0#~ z3J9xSn(S!+jZ^Kk(2IF1ZdiDjYr$&w-4cd#tSmxe5u@A-dl!}&mx;!Oyd5HYpsb8Wb{Q}v^y$yefiII zFE@zSkK6+UhwesE^iknO0)O$VV(k@1%b3|;*!}NN zpT3=T>TP@+fN~b(h#$a;QPxVC5`uqJO$)?v(eL}H{=M|K66)5 z=Wh8S`rJ3Fzn-*zeoRd>gT2^LoTQqu1vUrUyA$Hb=49Q->^oR4&a~Iql4ZF`_)u*a z`O#!9J#kTxWUNkct3ZdATDHQba$~SvQ-z!RoJLa2GoIZZT-(-Rd0Q`&`Z3CRTa9UW zz6bra+bme|=U)ZGc~JMhzMdWn;HZq1=Rcc$@#z|MC^ZnLWuUB#^>ACvzLtE zQDvl7z8y^%n9h7r0Dym>3#;%>R5_s@_u^I#qMT6fZik1M@Sb;u*stPf4gI6G=0`!A zvEAaw^ZorD4a4lUEB`v?XJWD6M2%Fr0{uPOzj<3jlt7{qfxGnBV{ypWsqM2Gba}T3 zvFiV%RfTzlNvzBR1n=qFQGep7bGRymqp!vtfe%+!6HA4g8c6>&Zx4r*15A=hL(^8^C_=Z{t17yebY@B+%NSQ?%nO{ z!d5_G!L!S#9WL7%Enh<{Mb!UOILMn)i*Z5?WtF8j7dpX1N9_>=u5ElcBD56VSGL+O zwn@wKr{=Y&ZNW$8!U?t5cue?-Uh%H2bd+&R=SigUf2*A$wce*%1T!>gL3lLMLj zw@5}@vn-sjqK>KaLKo965f)f~siS~V%Fpp9$TtcG;@>~qFCu#!g9eNIWgE{OiPtiU z7`lG-)u3FCoiGBk&YZB5?4|8LoUQi73UDo`o`6wnlyL<*(BkA^xF5Y zOU7GExd_d_nx zsPe&F9hO5Q-gLIMOpW%{EKhfQ=xqE9k~AV!jgdC}02Zt{ixa3iWrgj_dvr|O3qry>6plOc_8y(QIS%sQ3jC738KJw&J8~j1acbCG1F%@( zs+`$M%#x2Iu7L)$L9{)*m3ucLi5&_(G~qdpSUXPG_)YvuyHb?eijR>S^J{AuI*?L6 zaay(94lGQkvM-sOfpFu0g4B=cxOsCWZfJ@EJlAyGe6F56Hfvj9PG4ht&s+QLra)cbpj<{%E{tp#M}0r2B)nbnkj30Q1!H z?1C%XB#roY!H55hph2_X^Pl$0r0w2vQYEIAH-xD8AO%PLImZafZnzqG$+Dp(?bxzU%nja zygOoRM7e&qb182~x(Dm-T!#DW0Yu|!saHf_e8ln1bokHGLLL3yn+gi`l~(wVANO~p zlbPpd$c}KL0hAr|(Rx&X&k^S7l=2hf33y|mH`i_DSS6t5jC-*?i_&aFVIc3aU>zn0 zvF$z3`vAYzl0xukSk3+)pt72M#xO<+%iF*y%+iffgz|_Bj3;GNkM8h}V&cwZfvpcU zoN&QSiWtIz-(bHUUal_!^3|sNR~hr3mE}EEUWKpD2mvpv6)a0JPR0v=CD(oZKfX)3 zUp@q+(R`TxIw#ruo6b1;l|TI`J#o$mjw{(9%FaGCf@8>XoZA3iNkmL|OQy!~tmBg> zkng%_c9>wrm+MlYN zB0ueSxRb$!D)9JUyl55}LOv%q%NYhIyX-3?A}wGReb~NuTIh^z*TOiwEo=FIp&0R) zWrW`pIXo%V`6*>;JKe3A^CKr=^JYnBZF<`O&n)5L>*ef#ctWv}rnlPooT|{|NpA>f zM+U&U9o_duT50*VEPyF`JPm=JVv?LKDso$(=gwII;&Xytgm1#c!7dl}O7u#@)8z+X{(o0HB#5 z*d7-^3rI1miZ{#bJc1$Vs@&Z|qJ972J9#Y^tv{<3I3Xo!JKa8y7D71l8ZAeD4sP_j z%dLh`uk%fa6a2e?rVdZQ`Th%R-A<(3ZcanYTyVNEzeb^Z@~U|O!+*Hk_OWJUIe38K z_iJOk5-R60_y_hz7w}sdWf6Ph{P|}4`;~$#-f`p?E0)rfaMylQbRonKfM|JDK6Q3) zLjB1~%v&lD9-?m{Sut;HwY`Kggyo{4c#ofl^w1o&15?Agl+!$68-C%t+1%$~~=cf0{ly}WdUVWFTo#X7W^UlGe3sIuyfF>$<%x}0~Sqs#; z!DGC5dbYE(GJU?>TzyW1^m8Z(r__Qr6%k`8fP{ZLQD(d%L2FXB2hmtOkxex>6QCAyHJ z&R;}koQxO)pO&+uvM08~FOjTO)(;QJN@LrQ327A-bn{BhIafFzEXqXjXyRO9(K7EiqbxW?P&0y1Am(`N^_VMthw_AHZp-EHd z?|j?8v?o;Zqn*(4Gp(#cD`)^$`elEC2{Vs0JI$?_X*(9+^RzrQTPxpptloQy*wp*| z^2P;J8>--6v_WjWj%YN%loZG0V%v4$=%w^c)GH#xY0{P!wo}+ z@*f+zGjH()6I-)HO3)YrMiJ3pE|xqgXawNt|6mFv+^M-_X8Ci8T6hipPwch`6?fnlf4n9hL;QRdCpFZ4CSA#x&Ge`kC2#GI##S$;B6C>#A z)fQ$IHi-_H^a$t0f^HE*4C|ux_A36-k09Qf0cVGOeDOaxZqV)XrveE0;<&J*=Yd*L zC#sEQ|JFMog|e8zqNI@nQe^DRjR!Iy&LSH9XPKjrgW%=G@dy!v4YeD5QB@1Fz}ERO z9Cf9?S<^3s^f478PYfIn4JFkklW*eF%J#SMM^GkG*d{zMobX8Cx9+(3-DF*qKS-A_ zSPkw(&I66SR9*&>=U+B4KCxDY9%lZ?J5IwBuO&@pr#mgHv-17L^IfMTe=bizHUrqx z1=Bdv=z_Y?Y1b&5t|oIT8O=g1+A!Yu8$s0U9l=fNK9;4zvT^bpcLS ztU=&d)vgtud0@%|M+|43Z31EF4(;IFo|cq0Yhu2?)glR4Ec`|kw!a1P6Tggsk535u zb7NqKsLX-qzydaLod1$-*49WZmnmp3|G!Uv@kbK`jhe)%WWF00mawLb?z+lTmD9ff zS%AY`%`rGQi2RQ<|ESJ^QjCRucjxwSSZk&xzBrTpiNT7F4ZK5%nHIRmDij0xGR%gL zp_26gBoJOgM|}8s2#5-HRyX-#3)k>nKW>|cUNaoEzaXa0Y7J+Oi{C;k6buCbc|Dt2=UHR5w4B!IW@KQ&50je!ya5THIbp7F3aaDdQ5aNV@bO z#s2B6vJuq&X8V*&yh0TE!As*GOM%3+!||_(E6TIj(|FH6sd4F}DQSDj03TaooiwmJ z_=NI`b+rl|+rQf|s0(LEu@8;}1^5&F7nb|51G{W6C^K`)LKhHHfSIcN>#o?Z;ZI}y zy=fN!gs8BJAkTi1KZS}0uJ-f9pBU|OyL}jNn!|}ulI%-{aq+&@5a$X$gRUA}7FqJU zO&dk_sOFmTr_QKD!h9dW`6fojrK?jxImW-}>UlpFvsy|}WNd4mvVL3B$n@Sv5^}5w zuky-79|xan5ovq| zE1zu0;OiV!Zj5i=v|?*3Om=4tkCT*PJ1L*C){xpxy0D(as;Q%dtvA?aQAS`I#FDPc zuj4U!BQ-wKJ-h^+SLM_;j>eJgS8TR_xT9d*p2^L*KMI>4wS()%#a%u?Sw!w@nzjxcG)H}^1M?M_6KbYAFe8KDfg!kUNE$x#P>)AsVYS>HmK6KQk^>fr zKb^+kP26(0C_k7`T#c`GB)v>O896cS+I=%%(jN8$Do<1splDo(^dOTj()u*vUw96| zI)#0TY*5Es2&1@h;i28xW@pQFANzTE5L4Cmq|`V?YoUL;Cl&S*B})E%wjB%A>NcpQm>mtWyc6X?M5+%;*Tfvl??)`9i zUon#19zJCpJJ;g4X&naR(^Ii8_e+K3Pqhp2s&YNNO&T*&y9HI{`ogor1@lXwpf;_4 zvS%KjI$X4CgMp?48&LG*DgE!b` zaB{oIzRw#a)p(cq{1%0}0P2o!9*;^TYhs`xK*GU3&*%aw@e3PqRFD$o;c*9O!C>uA zMjQgjq2uOqC>dyNB|;pT;M>82|1RGmS#2%{5)lV{Zy*vx%>uFv-f`UTEtvH;f{SK0$CMk{~jT10hv*lw*;1R-R!vKn_l^r$oSr-83ygWfF)XK}) z<3HsaKWjdlraSqAXmI|#oGb9ROMy}Qc84g9Wgx_ktRO_P`+UVgWqT<~^$k<|@8egX z*(JOIL_e1#ijCwwU{?Z~GP%%a24aii8%W_1Yx+aJw}2syH7@-A`lsXk*jM1pT_}PY z=SnesS1_qx}I&2u#ZBA{fyCY5l3G z7bfiJ_q_O4(*HOa;yK3pHQzQ73rln!j;SCmUQ`FOF`^ci-# zKvg{DGhiBA-Grn_QH~LX_S|5gDxgruXf4mUBVAv-~Qd(YEOk|+%-y!WOoO8FdxFR#tC+5erCu9@F>28IRhiUI_5e#>e zB?O?Zb9goia_8c_BDLQvRkP? zR-a;^*LK9V+TQZ)T@3s%s@!w`pJBZ7n2pVgt`od@w*r5z3X;@+(MIjP7;=&#?k1Ri z4`l;kR?Q`jCISySi(d>y;v?7GzMryzq7Q4X6D;~*<@ulGi%$_ z9zXHB&YhCssiY<~-60laL`LKMjBF2EsvrPC z4Xb|*aVg634=0hcp3N$K6ydzbd!{gNZRUi%)TcQ~%Hu0U?ooU5zC@`=01m~M_exsr zffOVpyb$fW82!4<9}udC@pp?_jilJ>8H$|C8w$E_5_4z&GS0)4I5E+miPH@|%Yme# zL5nT@f0TF}`j6-1!pV&>FlLK$BAJ54*Ck?JF z_~p3>_`ElqN2Dmw-t;cc_#K(^o!p>po-_fxZIsMNI?qb`J{FiRqo`?YO0v4n4!fh< z&BuFQ8myDrDA*Q9Hi*P&{!eVl{g~D^mR^bu%>=~Dq|jU8QIFhdT}P=A7PJWB%hYGD zUb?!D9Jk}xqrrmDi{4+bW-Zc_m_@(y{v>vdjm| zi0EdMr6-P^K^@|pmb166kv`p6eWq|y?W_A7Y4+LO+vxWjGWX8@hji#8JW8XT=V-un z&5s6g0Tn+|cH3-C9ia>eP<9zf-_CT&YHWl;8N3~QoswJ%mC=MB+mZSHBhlX?MtQ<7 zptL97ib~P@mR8Y_Pe~_aT7uML3Pd}iwJ2oWrl|}Wq5ZQ?!UC{_hP53_Drv1}Zv2*h{ zC+U+|GF_^>4E{VF177T$cHjNRrgr&L^E#27VA;4&W3x`=O|c~FUqV38?h|Q}CE&(4 zWD*rIga%*CG2Sjwf(HF=GWYk+HkK^$vwXZ99fr!lUB(qzcDr063DV#{u>*a)GKImY z{raPwcK2rg3{snKTjcAv7a*FW_#5M=5+!_gkKwI@ODJEgt&qb50>0ANnm@+=1p&5#}*AklCg;pbMt-Yd21OZce4ue8vn>5ulPE zC>ocd+Np?-=r^tJmq6R!K<223bTs&6&T**eVznoF5xpe`$Mq>1=maZsNq_Cac|LUbl2RHU_G*{hANZ!J;RV%MX z`i+GqqD0;%3wvvu?kfc~CC@hl=`kRk&3Gk#jY0!hKy_&5)8F5~Fjo>R4ZC zF~oYlr?d+sP`7|C<+?t#yEd<;{`SPjh*9BHP@KNmAc)=|(j(PJzkE{6Bu zAm(bEb8i0}R8dra2PgqihaBjMa$5cN)tN&KZUL##!yO)3uB#h=ufAe9;C(R2DEro^ zde)pV$x08ug1S3IFRjsWmet#JLxI}@H10b}aP-gL%BD&gqb?lF)`ANaIsN@iA69GL zk+BsX?J{OzTlo!?#gu}z;Pa4Bnn`X8a54!K-5%+H+=CbQ#rxlKZRO<0E+&O%(|p`j zKxT($yO~!r`dUzb^LD9Ua0_Zn_5%XNpnya^&A)Z{o z{y`{v|JTF(BaS=ckGY-^bdDlSAWT=McZVJ-RM8z-^1AL16PWx-O9kLj0&mILDHVn0 zg{P1)sS=bUwZ>J_bER*9lbc<*n+exBO!SWd(XVQ)yKsZ;e=P8Iimwu_o+V9Dl>~V~ z=s+yBcHQ)zD~jiP2x1EL__6zQ>FGVD4!rXKu`6M;n>o#unN5-*u+k+pj>ZPEC~74<{t+mN3={FtN#pY-_)C+&Rv@!IQY z(S24KwVWDrJ~6jdiB0B*TqZ%P3cY+D7F9OnqNX|ZlAitf0o75~m8a5K8i+CN@-OT` zaP>KvHA`653~RO)g_d~Yx;#2wCqGStVAaJ#tIZp!2UNH-|DuW08G@f$V3v-eY`bY| z1Fpjw%aTtQzZ!PDez(j1Xz*%Fwy0fYy|1ZWn8S=d8=fZoU(5ZvEX#cOw6$%qZ~NAo zRJNGBiqk~W-NCD0xF2Mp_{$VL$scx1V9)w|pCql;r)Xo|g6!c$(0 zvEap^D1wV|ojJ;*pzlX98x zBjULt16RNmGdSu&(tbRB_ou&Xlw~bV1f(BFT}^(eJIAt>MwQ)yey!3EPA`u%zV^@a zO#8L|`?S8G@I}*gk$-CcYRqjY9`{8CRTXpC)+Ya4>3I9H+y*>I+ojVV)I+|5!Os>k zJ?kEL*6HkCxhUJE4*vf3i)v3d?-%g9Z_e%?xbU&xem^A$&$8_>MXEzR+(p5UewV8? z7aZ@0`-hbj&iI8K&% zMxpJZCW(Cki<53{YJ`n*UEI95u@*yIentv+5L13fc6RqD22JHRFrUPQyrhC}MMKBl z?=lCKs%$cmZV}s?D8&gRUZU6_oj?0en+C{(a^>NqH9S#Vlg*>FxkY~I*P-?XC$ zTya|~)9hs&$RPU63X^BP7OV~y5?QuByA5aC-o2zfZ<(8$(Z+wAQ6WAf zDeQaBD0bw~owkOOo%n%X%+ovny7xh_hiQ69t6+8w#=e!n*v44AX9=^alk|%4@O64IfAXjn zuN}OS>DEfH^Zj)`|4~rbJS)szKtEeN=O{QVpKwwMGUqa@6Bf(Xt9q$NLYLU)!3-;_ zB~fU6R#=o<$Zs7nW?cz-5-;KYT0KW>r%L4w)wh$mWuVy)f?K2Cb93|2xppKLL_X}- zfR^t(T54Q!BrB;!EyII3tGhe$ycbq?zizj7sy;7mJ3;Nq1oy|r6WWb{bcu|NF|)T) z$A8&84x%{bIl~0jj&jx)oWleTp|hQ|IVGNs)P)paCoz8ik+h3Dr6 zBT|j~VS1Kxuq{{FO~$NrkmvZd2ctE;8Ml0f+WA{)+J23xWtTMKltVD10(UcYvxhxH)f~j&nT%BU#q`q)Yt8k1Re!_ZNH3R_lvZn_ zpBY$iio&LIO5jhD1mwV+{+B^eSotmU-|MTwE7l!LcZW{FcCdDXBVVaWSSauzpdhHi zQF4fbTbs$^E7GSi_lYHm{lz=Nx0y>NcTYWGJx7t_oUQU}_m$UmXE2p(=RIE0uWJdD zPNhnzgz@$;rZD~Gu{*+kb6NUd8pcNI5v{Gb8>UtfpA*c;hVJt<>zT;=O!smP>x|a* z-R2u03BzZi=G38s>zM6GNw}(-)e#~CGoowdq+cYp9H+9L)uxW00gWtVw96Eam~+gD zNrVl5D@_08Y>=Mi$s^U;tF_dnor6_;+8xz;Xn9^K-(7PYb2hm-@yWw8GNa}zie>Kl zv4sbCuoBJjamoz&{yB}l9QzPs5$gPYN`0k^VdcSrNVD~Hmr{DSvw@SoSAGjj8s}>) zF|u&ol|#?e8yCfHdZpW@kzs*4?R>PgPJX-F zyvgsE?z{6ir=&9twdvpUvUjP#PA_)Njv~#yl3PY{`t{N;^Mo;PxK)w4d!!K&X z9#AMh=gQEueW|vUxv!4;XJNQht9E2qe%NrCXmt@PNz_e!OBg$HF1)|}O(IO+AUg6c zQ&?RT=ukFJ$}3$+biEa`;A|_=y%o09uGAvGkNOt(jeSH~Fa4y2iOZ^$UrHFxza9~v z@XPyvP!aV_#_C8KM}EI0q%8*A$T0YKX4MvC-&BKeGh{=KJxl{gU+KUgwD&D9(K{29{HB~9E!rcTqa`F<_*$Z5F!_m(|&>U^{2*TL^_ zS!#1fg#h+To`#!DC$f+3CiaY%O&bjvidlj=3<&aT3)VvB>DjRN71a(kgKSNe^g z=G_m)ZU-AO6|Ze9I8~@;nb)eTnE!Cz2*m$ zDjJDRO5UriX5zP&ec5M!SLRqt&dp9FO8a(R&TU9$=GaTDq0n|xzfHKd(CF~GTm=qR z!9IgU3up&hxV_LOY>y9eCMZ{&89@}Znd)%%B(enCrHo0It7Zu zq$NOyfM$_qzP7gKNiDfy?bWS4ThdWs#g$o;xp46&Lp!r>FZ(02BSd~$qHGiU5HJwf zM>rbFh=EQMU2Q!$nE)9T)+J<)$lU;~3AT&t>*3<>^~3{x(67i`lD=yxyBQ@>1B{6?pl(KcS_bzhu*tGcye%@xPcV7;xQ(U2u>H77LUIha_{td z$8<5}-Q*;U=Cn+!_zd3y@@lxKfnB7B%#{hw!0O5p%yy?(*Inl<6^Em*>ucv&VX}-v zsybShE2F3@Do6(pvOJM>l76;A+PANmxVN5I-?s;SG5pdJQ07R)M~Y3}5o0!W*HeG8 z=ty%Lk8w0(I)dr=oBC}HeV7R$*orl2H!dbPY$YxZY3B@WZGXtnN!VHetr#L_aB|h! zn%DEgc5Ao6ne1VqRMY7pVW;|M0?Ieo7K9XM7Sm0J-HCGQS~Y4h@MNhLo+f zSc{Eqy5h*fG5+C2O-I*c*$Tfe2E!wYVs%1hu5$*8BUVqh*x5u(a!;aVD?+?pq}^v* zKQz%nl!25~Yck`~6`8g-w(K+t?No2+r5m@aQIOLr)Q;5de| zB-+=uLJYTLi*C@>oRkk~`{temvgzAaEr;kdST2@0ES64JT6wo6x^Bp=1vc&M#Tb*? zEq}n28sgH~=c?HWhKEP`8a0p)C)Gxz4_>)h|E9Hb^nL=J_5@tfF~-vILcw9mBLR~o zehrm~FmGT$6l1pLg@PxGQlEJuK{eu2)>gncQk9TWEuIyS3O2yk?5S)CNY%iOgTGCq ziK4v>9gUP?wlO4>tmdK7(zWdMoWpRvI(dK2h3P)VYi<&20K8k|rWo_Ou zx>+)r2+{O8s^K8tX}&*Sg=#Xhf{+#BR~QrQ#qA~Ua$+;HG_Ny-4R00fB>MjIsnkju z4%U(muDYRG=^$(^VsAD~lUdL|`(jN1)T+MRrY|PPRw>;Yq802l!9lm|bHIc;H2sjC zvC)fu^v~RQIlX*Zl7FV8Jymdb@&MkO^A}ZZWM;N|`N?7^U1h*LomSS$f^xbT2$9T% zWveX8u4q_{$*A75K5L;(4rp@o0BqSRT5G=S1UNcvWpfPg17vR(}b`#^wqtY zl00Kfk6@;fUdNlx1T(X%y9y#=@giBZQ;ypSW|0x;$t1nSDsEGwND>>U)o&rjEVx}L ztD%~!U|KJ_TO^O@(`-TSZqfJrHa`>Kr=(X`3DZpm0cKh}GkWJedPX_EMJB_s)ES*Q z(W0SuhWilavx3PQ0!$ zB|Vpsn^7#sd;hPw_j>b_==sm!40ElQ={9$F5ej9$lXS(rz)nd%dT{Hk?VqfkCg<0l z1RPDe(c}!}P?rMV(ad>z-QFU1Pi`8T%kd-~)bKn#1UsdaGvDM_#*dGvFvi*5mioB; z(zv49$otDoh-nos`}&PUkhY_el|{R!8SI9OR+)MTS-d8b*3USgDNs4pMtN>YvD=Yt z*tVLhXj-p01Z%o5^XX$xnZt)$>#if9#4BN-)3lGd-t_&XK9+;9a9nszD*)PO!)dDr#(r@ z0h1r}P5r!bIcL@fT11ai9fx5VvM}#iQ4ulh$9wiI?g{fHN{dnnqBHX?Mn`QM38L9k zb~o17WTG%aE1AE_Gn7oL{3V8s=qkgTpJ!x-sOlNEN`0IjDrRw?KR^n>>RvXaEC+h@ zUF58CrNR}RWu}C-g7UI;_^W1i8}B4dS+7`@UXy!RaqEVR!}VvS|G4^P$|`Q9GN;Uv zpsAqYa#j`aAGe{+8$Exx?P#=00xP-I&qfvn#yiYXA2*lZ3)YfxlMx)Js;zu7I?DSI zOI8ni;8dN}B3U02J}IQbYC(9Sb*~^z}pqC31jW@ZhG`3V2F_a{rllxKNmVM0`!E0gRvc)|>+N#cQP`ijbzA2J3kW5K>Q z+T0aMqTJHjoR1Wrwc0V!rAQ~<J^D79D^seIQtw_RnCfOn2w8Hr+Wsg}H?7K&)uH(~L;c0XOsyuU^QzQ) z@o2Aq&etln%BKC)Q|>jJ1urFQnXJmhLyUo?rA|tDxC>HSi1INvnx}>Bm7X5|<>hvRG zv`VL5l{8@Os?Dptm-;ID_j%Bl!m5U|#UJQ5o0X~4CT3mUD4${yUVplxt z*Vk)$+N+)+M2l7HlULKvHxqDd7`?{4ZhuGp{kgxWaBoJV{jPcw@72ul}{77Ojx_iB0bz$uG0Sx?M#vPUfE@6bh0uqjYOgszzhsK+Q# zsXv~p&SHr>$fdTtbv4!!y((bka%WiDf<`QeDaMk^;&^#J;i_4T-BjO)S>dwg=Vc{T zUY_O*wEe4h#2ad}uS-zvUdaydDthaX?Nw;o|lUtS+yE(3F1`pe>2C;9+pI(7m zy|SiJxz5#V(iyvhmRkOpO}FYY@S=yHpRTnU-`E7IlIQSnqE^cCqBBO9Vq_S+xL;Tn3{kE5s2qE~yH{P?%BuG_ngNnm+FzVr)(ER5pybEj6wFr7c;33yGG_efIB>p^ab0g19>4XV z@R!Bhf2PSeFM}EXFZWoVysMzmqqV5b2#gSP>YdY6t;m|Kc$dyCkn!RE7biY*{e*1R zo@Kf#h1Qmvx5cgb`5ZGIs)##b<{_e%dRwM78X1j)ue;19&9yVyJ_|Y83y58~5bu3z zYZF>5@N~R+v!{*rp0Al8{;1^YJw!uOt+uHaDPPNE@_UQ7o2$u^$wLSBie3-x?}M{# z-z9DkpIWAx+;g>l(3$3?r>K|nMOiB-Oat+XTZm?Qq|NerTB#7r<;YI6GDho|D^xC) zSL-U3nzWRx^UWIhIZDYbx~b!4_HGO8H4Q#mE}B&mr86v3(7CI05QnBo39m11%dh}m zG5?kdOC{pQ@K?6Cl_z%+1%xNH6qMUyZZcMX5>(XCK6FhT|Bv+wPz;R}lALvAVMYb=-`!75@^PHew}oi<$kb)ycRF+!F#!errPGhO zeUxLUSmT3B5u#6+w0a~<6R6A;tO@3`;eyp!vQJVbwp#?oSL&=A-|5|(5)ZPE)~Czd zQTrswLT_1>#WHl6$5x;aRZDpy`HwBzys4t$jq3FS`FIogt+e&`)Y;c-nlL=qSYyk* z7Q_;9{nx3}9}uz5Iv=khhn~>)A|$v@HOqcHH4LY7k~jK`3@I^tRt}I-f=}#K0wwRR zh>~N2Y0UlZX_c(T(ceW>RRvUPWqv!9)*TnB@1zzC)XEbcE}Hs%QDQ-D4KvX)yOqE- zonbtk{EA~%J8R~EVT4aR?8h~e%X*j4EY`yRB-k&%d-KWCNPER>9rDHa`X`ImTyb;} z{-W#mG?Sn8S0A}lPfKVI&`wKPnMrl~l}SgLy4+D6%S4MX3Io|&pNuaL_+ps;4u|G>u=`)=nbPp zfjZ@P0VB-txjWxA)q7K@Hdoz}xs=RTl3X{s*uI;zu@jhwq^{P&ExTDUWi#b-pA>?Y zikjrUKe!w50nJQTv@y$Gr8irO8&yCS4X-?I_~3^7y-${K!g+Jh9k(B3?Z zINru`IO-|olp?U_o0y`c*m61dtcv`fh&-x~UER)ee~JH8_WrZ`+J8jz-v7{Q=W5zO z_tg0FQg^WZXtHn(1Da|7a5GS>vFH9D)AQjBylf!h^UQr}L2WH#NKG-8NmogIBlV;Q%_DO0^dh9-a z$9v~2e~E-?K%tr>N#7;gj?Lu5$%jPLCnVxHOmzd?uFnjm- zSMfZ|W^?XTcOTh$3+g9LR+1s#$6riMliEejF{HUur!n#$4HO7Yv2ukTg3!(e0bRX6 zun2M(4m0TXwdZLhvtYNx|DEtCoo^=1g|z*h2SFy+tK&Mdz#mOlvOfYHXzez4k@6bkL;RuVH@Mrs|5QWo z>lFu5*6-r?V;~HOlkIh0gb?I5`XNX7?*8bvM;C=eV#7^c;0T$sy&ZA}RUx;Jds9SO zC|2`Gp56A(HLIuGn0|ODrN0Yg-`7DIk3Q!TR9ic|TFlWpj)N-qqgwG`H6ltw3SuV% zv73UbjYB!YPnln?lPAOKO?6o?9N`zyHy^c6P!=XBz(1UJ{!ySehoKJx?&J6PTJDfL ze^96{IKs)F7$~E06i7dC`s%*#M)A5Qg{x&*0|kv=J%x3s%@uAxW-zEKio1tGQh$~D zokW^Q`^Cl)zMae4k~McgbK2himu4v9E6S%d6uJQ+QZ)8Z+{2_>3?o5xV_aa|1LU%H zq|ehxo6S+bhO?&x#rON=x0X5Nk1tNtAbe&U(e{bOJuQC5^X{dOAV&O>Iu9s5V;9d$ zIl;87;AS@PvfzLQoFei70({K~E^iH!N%KAyb1a1%;-2bDULz_0APtsup>2N>%4IKmIx zubpR39Z(L>mNlfvIuD>}ZV>x8!sw5xRHS!6+HsxW?yD2s-GPIP+;dqD`PQg3i%(hN zw?>Jtgi*yr8D_iL+2dfojdk?Wid?dhJOJBXU0rqPN1MKvVhBumDD8AXN(qLtHKy%j zh_gT76ZSbAF68sn?PbNAv>fum6riE^x5H-cUA2UB<=g-$U?UP~4!Zn<96`Im3OpMw zXam)SU6wd(j$OK_DK2lMp=1Jf0s5ePfs)^2LVY)N84zcY$!9G^Be9s^sV)lF0+hNC zlUbgRez`_QkMZ~xEbX42fc^ZJ-6c+-#!ZywaonARq!FA=ie8w6!84L~Q(Am56|Vl#7(0w4flNCNv2W;1WW5?wxPg=X)Oh z$bIf*GIQpf^S_Ms@-GgBGt^aFJc2vk|?F^iKV4X zZks8xx*@l@EzfI7M0b@n1(azWNL{l#z;WIuMbz)0pUJvlNu7dF@>aWH4dBX4k|2+fMds(rG=BSo0qjw(J zz1Ujp?XLW%B=0q2TP|q$YDK;$b{QZX-5=IPGrqQo@ceKDKROKj(@D*L>v@bM15zNc zl*^YWKklXB(Kl5goG|dOu8d_IbOv0I@yqZcUWVeK#6P4RPKQJgHjGO8k-5;GqA)`t z=VlU%yP5)%34mDYLzKrUxK-~uj(de;Op=?G&ZY{7jTOezO6oG%H$feFxhOTx8=T+l z&>Rm!;h>ZPFR7JmDam6gX%)d!`jZX>lUhZBrBqCz840L)OZ7g}6Xqi|fjLtGrklTY#x$pBXfzE6VxZ;409 z=dhD4CaURuwVbLN&es}_^*|j=+U-?DbOMugW2Qs$*f{yfM?zvIWx+Y+C*oM|ByGV= zH==xdJgdYxSKSX9PY<+apqx|0d)})>n8(Gzh745e6j70)h>03#sT$@1tvqs9mXMEx zX9i{qNTv?+x0Aiu>I>`fpllryxsOXtNF{5NR#{p)d{~OA-XR~Z#NL3@5F@69V<65A zMSNo)+>?CV%R;nXAYczzw;P&Pf<;YZ;nOrLCAW$##q#V>%3XQZZaU1cGE(^rwA}dt zR?V0U@SBQ%)GDo#BpjHpmA0}}%R!>YY?|*5q0}2htDf4HFyud}(kdC6U| z83$oc2(GRMY378Cs-AH~?`ceX8jGH0#U+pP?>(R>%~8+KYQJn9W^ymElqnErB|1;Y z|C^l$o5dV~)(aqe2A~%KBRS<1DBq!?X_gZrDU$XMsFH=R(n~7dwIs60N;%f3mXYDx z6c9C8fHtJ`wUVM-b4Xm&!rHnoV=hHQ%7KtvQ{(BO7%|vAz?hXwM*EblRl^Pp5C>AL z$btcqX1S1mXEh>g1sN@1)kQ7}UthbKvDC#|t@m72YDczxB%ngK71nb8{e)gpeBVB- z1M{qPiv09pI(;wQtz&HPs>~>5K=HMtM^MlrWSY8@R@ETPL9(Vj;8&Hk+{eEejIm&! zkd1_CDcL$Jy4?89?{r2Ip6G+laFQ*d$eI0P$YPk9CQ^S?@E2pD$SwW`h_6~?(?j{Gst zp8)+b3^Mhg0x@R%xS{^?31(4jO-GR>C;otl{s}@Y`dJmLWrhTQQbXqlg6)8QNNuuD z4ap#OVsgAu9kEHA7T09&ugw`I=e&wnhzDaDQz7*%ms9l6#nFi}+OEzKFABX@BD!71R6EGh zO@c<{wsi{pf@SvuGPN}gzB0+)(^Dg$IQeY!Q}SyP)em_(ASfEa-@m-n~`Iy)qiITK-?Mt*r0n5f!|=7 z1X2%zz>%WRi>e~B>Q#3jg)&2_kOqL9LL{ViL)gEmVa$;_Sg~WuU=x3@ONA9Gd(q2$ zTk$?1wy@<6)`ZpNVHa;n{ExvLZ?EvCBS(NqGv2CI;+zNh=&L*o^ZtZCzvIqy@r!-m zau(4T81!NwysWS@*;W-;0Agn=lGww<7!~`agHI}WjHB-u%~f`^Y$~{2hS2SCR2QdZ zTaob$;UMS-aUwL%NuSJZA>QqLq=>N-Qf=E^G&8y?*ld~o7#8V|MB1y&VYi8Q`ZA|L zAb_mY)M1O+Ht&rN$2T|_8;i?F%j6~3aEiUi_KwtWzB5LM#!!;#pnufCv7)#0z6S*E zC<~Av)BGa!9SLe2Th-%voWopf=)|{?X-(_gYnXds(BEF}lC9MDxfVhhHMln!ZBer`&vm9I489bzIj>&h0UIGrvmNJW$crD!3*Y7q3Q`@Ai+B+#R@LokR?%W? z)xDXD7=0<1Y#z^HJ5=q(lmde>p(B0KW;nz|`)|>rB27_|=@e^sMV$EnOO0`$H`zA2 z^ULvWqnb~Hp6Hu8Nk*Kx5-kJq*22L(wN}G+<}nI5vgCYK3h^4=S}C=OFkQP@K>o!g2pf`<03Lp ztCPCr@ZhWG%b8B&OtO-w7BXL~1CDDb0WWohs`Uflw*h_?n+-_ih=DEFR5w3_QH{SW zDJBPGTcNxrd>rzQx9enIOs0pqoh9V=%aoKoGg+!DOJLL>!aIuo@rSRW4eaQ(`mX2* zu_NU}|1y$mOfu?g%a||}2w^cAXm6#(X@&c&H%U}>N1ogn(SSrM=3Q>YAki0IP`#H! zr1z_qb6m2%D-zepZD8#j)YEZH=aou<%og!4f?D zG0auC67PFd06e;A@awV*!0!-(W@>4V<%!DO}&ByL;5nt zOptrb0rpoRA)V$o6JvqWsNTHly)NMAc9v@&SsQi$WL6ayPS1}i!~65cw3r(hg{kjD z*~*SG7E*?DAf*XHc784apT7Q8k5bY@N7t$tyiFUD?JCr6T*q++y9hv@Dv zYB@>~*dwYGiEqe|(EhMyhEn;t8;z}3e@T?YtQJ3pRxKil`8_>k#lzT{E7>;8X}l2w zAwyBCAT||k7IJ7~Bc+1Ie$>5jC&=291x5gF{xvtO-#3qS+y>#M)&)Lna));!U zj3hfZ0GxTKZK6cBx*cX{c}s*W0p^c|!vDqbB!f1uyQ4z!g2h}HScH-fQ}LHQOoz+X z1ueRy)G++wBB9LvH6Iq%AVKs^19$u~lYYkJ*!nHmhf9DTyjVJcdN!&`=3F2{QGw9v z7WIS~b4cCYBT^EF@&?O|$MRyvk)HthlLR~92}w{PI4Gsq-(c23wpK2cW%H3M=w?_x zjPv98q_RZN1;M*q$~go)P)$u#N8H6vlVF?L<7?eW#?xJ7jj;T6s?@qp#BHRI!Y{md zi_d*ij|t%|WHwH0;u{1O-c9ya>8vMgw=>w=88bHwaayd71>N-9S>Qa@U1tso{RtQn zXoYIZOpI-;xg6)MvS2v>O?<_6!{;#^{|Uo=F`4T+pkISIG%`<^Aty(?_>4~#rwmtJ zmcdYIkZ$=`EENQ&^F#I!OCY-6!N&QrA;p0cxfII!B{QrCW$JMxl0!9Iqnb3B1%la1 z>1I`(Vlp3Ml%*6uhpD!DgG@GYN>Lr)Iqh?S^#-M5tm$QzU_nnJ3*vHRPLqGAJs^z6>=<8P4#tEaa6IR zyQMk-{3ywAol{x>MY2Wp>U$8RXXPY#bWykrXg8~_HkefqpNWfP>F;m`goo+&ztMyD z(cL@RMBj0T{V3BT0dF-LV;Tnqsmk!yX@U@KOkzDB;mby#FX62Z$1()|K($##fm8q~ zS2&{GcB!~TdyEAsq-<6@9vn^Xw`5 z3P6b)x!DJY5f>lV)C+i6ez_b^A_5Ns`d;|FgtKg#vv``5JDmzyHQm(#>^* zvRuqIqHrQ3P8G;g+iHk0_i2t9>=-H|()R&lCy?|M5>+MDXo8vAM<|Aq%%Lr&so;X9 zGHN%Z6tVbtEh`G)!yV&wg`D-?e%7}ID^^|PaM)%vFSa^*y*LLZ#Zm*+lvO&|1?43g zQJyYjLVzi<6~BdkALK&safbUeI~YT;Y4^ZW1FlZ$*CmjnQoO-<72XsTh{Vi*1Y(q5 z8FYjW_aXHdk?<|$wAnMS*1M0qfzK^z#VG@4$pZ~lKkSLMwq!{a5;cgL{3kWxnR21C z+TIB==Qku0@h8FX@EXiX?WT^0lJiY%ba>$E)|s1+Gwv zD{h%Lq9)Sgq?r{SwI>0aPXmT`zVK{J;cKuHc$eVwbWjwp-c zDbFtvwlYui^9!JuNHBc}M!F!!NUUyyq8@?~IRaoY30AB5vjF7xQ73rJpwDuMGO)nn zH=M({@hXy|y9O-OW0nn`Th4PSWeR?tG1na-tG$s=-i|;50qa!kv-s)9o*bCXLB;rm ziRo1wCaiG^k^MZEhsy~X#P_q%y->YzBvA_MVKlmgj4vK%{&zvfl-;_>Nt9;5P%b0S z*hid8dGAkve-r^>GnJ;IHIbP$hJM%pY=)pyLcAzltL9YGd`+p~-BvNxUH87f=fo$~ zQLE(!P=h0W90o@otK365@^B+6DdqYLH99dyw}0Z2#uOmS%hyP}Ul-EjHwI`YXb*T6kaftf|H=*V@ zs~XvI-^^9e%b!tlm=Pmslp8Gf1XGw|Ol!nn7?TuDG|pK(TBgAMo^atcC`;D}XG*xy zl2$=S(R!)7b$Ol4)05Q1#!r;z_`t%*wC{ubw=A00fZ{zQrR9o<^$f}-9O#TeUl<6t zA5PUNA>8gkl{2RZXaz9Jv*aDg^0!dI{3ZABuz(oeH$gi&(!?_DCke5XIP~DKgtI@1 zpI+?15-5A1T2rR*zbNCRPjhUhIbUF!^oHjNP)-dKA8DR&jU-u%1$f!O!7tV`Nzn@n z@~o#IYd{(4H1Mg49|Q1vMColp=mN8rgs+$+)eu*Oj70gsFccl+gRv$_tA(7ZrS|uA4|>_0!PG4UHYu^;-9;BF_@CLs zJcNf=$2}mY`63SYV#wvm!^f29a)^I9pmmA5S3}Oa%!faC*T05@_{VnMm(;=uvm8*yu9PCC zc~DV53(|X#wQRAV(d{WIzS`JYl2FE(d=AxKigbnN4bzq6*0yjBdie@ZF zS6!5XIMqc~7+$s!PNWc}xpOV62#vg%dh2SQD%nb*64>$ILPOYj@*~-V)qi)aS_xqv zHyox1DXB`1n+`@ruwj&`bh7c%DrC+K_a>)^z;-TGH#GJX?6j#n8o7apUpg~3RIA)T z%=q@Z9BP@kvUH(=Ibha6DThnEpGItzG`pFsK_rZkjnQ~wvI|);zHUEw;{-#X5bReY zo1|FM%n*Sl4(8(MHrxk3&2FZ6xkXt$2NN>^F-EFZ=64&Z@$cI#KS=XK^f*dnEkrX3 zzrBZ|Op?cvNZvZpqOaW^ZKwwoe#QVELA%uV3 zN}0YY&E|$9yhElEo%+BlekN#Hn??SyC(P)yFK5Z!sUL_MaBpUDOQqvW6jmHwQ*q)) zA=srx%*FhaMr74RtZtDHp<6}JbfoY~R@A)%t_ey!baSDbPcZdrJGyU*Y#)ivZkRA} z;)xSdi_s{JsiHjPEVll;4)qxF*!9h2n9OTQ=ZD);47Nef@-uvAHYj@;wlHc z^cVbWwgAB}tqUTB)flR$lGVZ57$5ur`lrEhs3JF&Bes+DTw^CpE@m*#trv-Bi=OiL z%&Z+oG``AcMK+C)muJdOL>YuQP-ETyf$@alFNArhv4vF8r6`~+Bhocy_%GF8g48I( zHVY3TP|V6W2@FP1JzVvaU-cr3%<%;$+R^0Liq^KNaup`X--c(XTD$XI3R=4PLC$Jc zr7DIcegvOQNj2}JfEro;$*Fa@P^=>H#2@hv^lmNZtQ{)X6mvTpDXT7uIcCjj^P2+F z!7pUNS27%LcRY^0D`QHRKuwayAa1g;Mt)z(t%!Ok3aCcV)hZ3BAJzXHeh^h&7Oc@+8%X^6}kL1EuXN=!wiUQ72QDpF3o88_u8URj_M$XqORfnwhK?20r$nu|AnM!<8z)eI@P}MgIrGtB64Vgon_)QS z^Hq344x&8}a%?zWC!J&*G^P%<8Js%Fjv^1V?d8A|?3vOUS!oT_ZdF-78e>0mi^(Nd zlD+9aN*Z6O@w?l`gCVl7Q$|qO+?6$O4NP_7ZzA&!p|}t6_bl+4=GChU^u>4L)&W;f zN>;u4!ff$Vh=P$+#2@#%GAUbV2byXK<*!}HwsH952y`B%75tlu^aKW=Qd0V3d^NM^ zD=aN=xUA5BWSW#Xqve!Kno1ev@sePx_B7!dxX#VxD+zf{8T0K@%)o7=mm;c26?l;W z4`u!sPbF>1O)+qo);|yFuU-QoILEFdlHeMayevr}+11YKbw_8`R$_>^?5Tj#)(;;# z4~m1gGxoLJu`X;Gq^u)8PGWE^W*yjMr+Ro*^1~J`aoQ6BU*Hb)rBF3WMOG-O`+@05 zQOh9QVe8fiH|kI0X}RDM(3W%K;e9ku$rtcI-Eu{&ZrEvGS0U{+o-CpGD|F9->0#Po z_2Ny$MQsF*5m}>3{Ko5(Nyfymh0<*B=QIhfSZ3G)K_dyO_a@a2wNS9I2n6#A!1gYh zZ@2)9$2I&w0Mz(r49e}02ahSgbr2pafmaHU*1=W@_?wKt*SJ?6Aax)=K|7QRMtHw3P)re@Y8E`Tq3Jraw6N!p+N{M3wueOKvrmU8nmx=;(g=!`jtr4kgxW z-tVt1mw6qfu590Z`&iw>k$6N3z!j}`iMtsy%W_-$|PN9gf?UGr;`E~PtV zH7L8*{NfaHIL=_IdV5Z9!3Vz;g4J912}Xl_ZEo+72D-xL9|akneGr)AJ|eBZn>4xO zPR|F!<2$eJ2@m@`aH^DkbIp@%DYE|ck)!Tj<0f0v^LsA;a|HbeH$`u9IUaCe$S`LI zRcm_fHK*297Mi}Blb3k^n{E5zz2WArhAI=c<7|i82-nW*J`F2kUe3S%zr}kT7n>;2 z5XXeyKaM`ku=$>UAYtTj@6J%=MMGMIgOy2>iSF)?+*=j@WE?{0O3U8TL%MEc`BA@B z{!^4M?P+`eEA3AQoj_K9*XKpF{I~a;^VSAy8krZp&VB8>nf~#{vfDSM_x_mg8}Po( zld9QpmSs=qE<`Q@<^cP2{vMS8U4~@bnID%rbo)+tEGtW%VoH!9TMjw<>~kj(k@KYBn^j-ST^d zq3f8A>u|xX+eJYKa`Ogh3+*28*WLa8V#oB^qUYD$7XA`#d-lEY+cdK_;}5HRZT7o1 z{!uENy6gJ-^nAx117{`}-QQh5hiEqJcNsVGUb?E&Pd5Hn7i_#co#XWUNz3)$I_|z| z(mi_n?6Z*r?*th($>%Ie%N8Wl_I_~{K0kH(Px0wPO`<;&OK!d87qYI3EL5AfA881D zF+aWVd|g30`K)wb`tPp1Cl9~P|6*Z&MB#UG_*2)n;f=qzWf~caea0i+kGw1}XGwwQ z`Q^n1{3kCyrF-Ah%qh-o-0j-Yc0J1bmdP%zzQsR70nMK_pIB>iuz9j!5!!aF?1`85 zaz&>t(XWs9#?2o@5)~L(EF%&aCm)sFwJ?sGsWXn zaSr{sak}CKtqylCb`J9hc?Y0f#6x$(B_NtJa}Oihc)zrtmgiTB2V z$^V-uypetOuc+X^icjoYCV0X>@vZhP<*%rSeF~qyb{XHEIzRX9!K(QOf642TCmvHy zE&B2Hk#yEk-y=JA-2Qr;|8}&ylbqs*1ysHo|5A8a)dKGc6Hbzom({#-TTkj?qAE^ z|K4szptZph`i?6p+Mmf!dIGg4KN>zy-E>`Rqg3$pgZ{?4MTxJy?caUpXw%o4C8e%z zPgv-`Kg`wp#Y~HG;_r3k*=rmX7_ui+TuSahFcAop-7r)cmd91Z7 z*zkGZBmtD@^bp|s23?*IpTL4czoID!pIJx1i#)XaNh2f2Lir~rM z-HLx7p+9e}Pj&2=IN$JVGxx=qXQ*%esy*Y^Crx$}$K~xgOa5oer0b4G#R;y+={n68 zHO|lIg8wB7bFV~_4OR9(Tk2z^M1`B^jhDnXbskF&D=)}73b>0v*Y-8D(^g< zh|4hDatFDrKGP@e``TB0BzDfx#UMB_x4XsS=b-qcioC^wf5^Mu_v<&OC3B4Sr@YAB z6ru4kCh#@zuU}>OSWErN5%3qrkgm`i<;`;f8Z7fnqACj(GnQknZEqGN4}^CYANhXo z_po=dFTC+G|1B&=m(3mYvN|_i9WfNe8Decf4{jk<9Cw%>v&)C$7P@BAcye{9Uhz)r zbwL`VM%E^kvdZU`<{$k(QYzi|)Nt{d=)=v(PaaqKm%}gdujXGxujF5PVt-YPhIwl> zuShHGqo1SmZi|`LKs#t}K#uB0jQbJ1yxy>g*;wfNpabl$X0fIt%$$(I;t8+j z?r>suhsCzDq}GtkTlQJX$TiUoH9I}_h&M*OVn<-%6@Sxnz2duyH}5n(T5;$)aGXk{ zPMO}Jq|@UcP!ru`bL(}QS|XB!RyoAV(c?FT4*g{&0`U{fh%Pr)kZ+L|D&$BhNpQ~4|t@d`RVH$>gtPw z_bIN1DS{pWJ*MfLCb!cC?$H~!d0c+SH54wYSg=j2V%9dziaGP~@M^Jzo%qt7-EaE4 zE;VT~RyK4!5NlXd7Vu8&C^NW2PAGeS4J0+F!WjYAPc6TI#Ye5Ir`&7+&Ycwh&hRk8 ziSBS&yx#_y=eeNlp{U$SG_^>vPA-do;QYSm$yl8=SyO}wkF5kS~+cZoXJJ~w-uL6&vsk2G|lT(e6rSC zV}1v`neXsfzN*XS?6aoPM_uCagfB=d(`CJn*T8sKsQskbA0mxk0v%72ZVuWQCb?A1 z68sBy`r)73LEYcG>(g5I^+uCUXVvR2=bz}9@xo(w=anl5`peQk93A4Oi0@c44P13D z%w{xw?m2+73p{i_Wn2-}hWZ3n>Kmdq(uQr1v_8vE!@8+P5o`m`MSWA*zvkikAZk7B znflB^spZ(u=hsbKestDP5p!-GrEg1+aw}Nvi0u7i@Uw3XKCcERK9!d3SR6t zYI#{kEc7;ev=~rce0=aQ>Gdl4)b?r5r9zwA;yu@!nU8}PjAyq|e#1BP?eF8<<=p>~ zD#;ta)$xAQV;j>=!V{;dpIdI5IFbM8{&0ynIC^qr+q~>KU&z~^lJB}060@sc&-<|L zS@}u6)vE^{MiC>7FE*8t9a`shw-hR&LtJDqitxBR1PWyRRB5bBW-P2PNhJnN^Q zegfdatfae6rq+@iGqzcG_-<=*B^Uayvr^uo5l@D867KjO-4pi8NkXMEAI@u z**aId@ZPGJ;-_Jj-y6?xGFM*Sdd5Q;z0xh0b-sP-&E21OAHDmg^=Bx(~adK$EJ z*v_RptH8WVA{g3oR3~}+1z}g}+|vFIgvxu&dTd6x69j{uCJCqx)9iP5k;z!5Lqjr8io^qhRY14o^cgeHJYt)qy&WBv6uD(~g zM}Db0J+AKE)N*`N$-Exgs*@huD(2XIx*j*`GgsU7MQ!8J+g!x^Beif*amR4UXaUvG z)?}*dj-lhzuK9~3qpiu+t4IB=BK^rHy&ZuL7VM61oz{8ZDdZ{mB0 z&Qujm1#G!UR20Bnj^5n2Th!v7A`3b5-AdqmZqBA}qvi{;vU2-O*z^&OW`)JsC6E>T033m{q2v7 z>~_b@P73tzzPG_AJBW65QNYh!kJs)0q_xz^BV&W=kBw~FE0B}EW>DzYS|3loO+B>O N|C2fsKvxFf{{S6R(zyTt diff --git a/cpld/db/RAM2E.tmw_info b/cpld/db/RAM2E.tmw_info index e73b48d..590dceb 100644 --- a/cpld/db/RAM2E.tmw_info +++ b/cpld/db/RAM2E.tmw_info @@ -1,6 +1,6 @@ start_full_compilation:s:00:00:07 start_analysis_synthesis:s:00:00:02-start_full_compilation start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:03-start_full_compilation +start_fitter:s:00:00:02-start_full_compilation start_assembler:s:00:00:01-start_full_compilation -start_timing_analyzer:s:00:00:01-start_full_compilation +start_timing_analyzer:s:00:00:02-start_full_compilation diff --git a/cpld/db/RAM2E.vpr.ammdb b/cpld/db/RAM2E.vpr.ammdb index 61df1043fa61c2696dbfdbc9ef36939f29de9275..20ebc98044a9b9df3c2802be750e4828029ceeb1 100755 GIT binary patch delta 522 zcmV+l0`>ja1n>lqTYo|V00000001li00000003|T00000004La#8$CN13?hoL{StJ zzrk89>;%P1Ed-I6%3rXR*x6X7&_+K(k!US8V&Mm9?HAZdjG$R}-)?5$kz-gQ41{oaBd=0UdG*e?gQf!%|B(;wIzKdL>4 z_4~3vKe1k5&3}3!#oMVgnQC)>~9Yf3&F@DKT9JWj%N4Ud0A{IGeR{9k~p{xy)P zo=4!jvi>FTd#Ps&xaQ08P5A5k8&48kxjs67lAZ=Y^=F*x!~Q3vrwu;M?+$pZT3V0a zC+=65_~wa^^=$*!`6YUDzB-@N`a9$={mlMsUqFx6=YJUS!7KY80&hsaR)OD2zv4;k z+?SlsSMZ;b_#@!omG}zqJ>lp5$b6i?#lHl8{oY95C*4Xt?9cn1@lrnaAN702nr{}) z^<;Yy_1l;JyraJz>AzKfK7aUo!^)reEq^QDn9d&n00960cmZN$U}OkjU|>-2?9l>J M3<5yx=#xJJ8Ks^TC;$Ke delta 473 zcmV;~0Ve+N1lR;Rw9!47j}L?Y()#L{0K#&SlS7K_FDS|b{ZmR*1dO|H}J@ECT9W$F5KLk znfGRP_HMoR{=zv|3zu^<;ab4mb9nCkmv`0NUdGdjB)$*)(|^0dApd=2rL ziv0b#1>5%P9e?y^4|AM)qx}GUkM*u2KGd7=XTK7-&a=&1&i@ELtG5k%oBXrjzh%ES zU|W5v|4H&4!{74X0MAtC{B_{={<;=i>r;PwfA-JE_{4na{ONptKu@SL#-G79y@${r z{vxja>`#KfNM8edb*{%M_^!Af%6|m^HovFjPt3fb_= z|1P99G}tg;1Hpy`8xCv`*zjP3!A1ZZ5o{!|k-o*EU>Y`#sM1_ zY&@{>!6pEk5Nsl_iNPiTn-pv^u*t!u0GkqQDzK@+rU9E4Y&x*%!DaxP5o{)~nZafO zn-y#}u-U=p0GktRF0i@5<^h`*Y(B90!4?2p5Nsi^g~1j9TNG?Du*Jca09z7lDX^u% zmH}H9Y&o#y!BzlU5o{%}mBCg4TNP|Iu+_oV09zAmEwHu0)&W}=Y(22`!8QQf5Nso` zjlnhn+Z1dwu+71?0NWC5E3mD>wgKA~Y&)>+!FB-K5o{;0oxyei+ZAj#u-(D-0NWF6 zFR;DA_5s@$Y(KF5!43dB5bPkZgTW2~I~43Nu*1QQ06P-wD6pf!jsZIs>^QLF!A<}> z5$q(elfg~_I~D9Su+zcL06P=xEU>e|&H+0Y>^!jZ!7c#15bPqbi@`1dyA^iXP!EOM%5$q^`vj z!5#p65bPnahru2Jdlc+3u*bok0DBVbDX^!(o&kFn>^ZRK!CnA+5$q+fm%&~Edll?8 zu-C!f0DBYcEwH!2-T`|T>^-pe!9D={5bPtckHJ0x`xNXmu+PE10Q(Z`E3mJ@z5)9d z>^rdU!F~Yy5$q?hpTT|s`xWdru;0P{0Q(c{FR;JC{sH^{MEb8GN+__Q!G-}F2sSL( SaA1SLh6fuAHUij)VE+PPTzKvP literal 11836 zcmb8l({305006*t?AUd-ZQHhO+qP}nw(TWTX4|%v>|y(b-aTJHKtkagm4qV zO$;{)+@x@m!A%Y~1>BTyQ^8FQHx1mhaMQs}4>tqcjBqo-%?vjS+^lf3!Oadg2i%-+ zbHU9GHxJyraPz^<54Ql^f^ZALEey8^+@f%c!7UEA1l*EvOTjG-w+!5}aLd6h54Qr` zif}8ztqivc+^TS^!L1Ir2Hcu(Yr(Azw+`I8aO=UX54Qo_hHx9fZ49>w+@^4w!EFw= z1>BZ!TfuD&w+-C3aNEIc54Qu{j&M7{?F_dI+^%rD!R-#W2i%@;d%^7uw-4ODaQngS z4|f3Efp7=G9SnB}+@WxX!5t2F1l*BuN5LHpcMROIaL2(N4|f9GiEt;uoeXyh+^KM< z!JQ6w2Hcr&XThBfcMjaSaOc6D4|f6Fg>VBWzSHWEkcMaUN zaM!_I4|fCHjc_-?-3)gN+^ul8!QBpb2i%=-cfs8acMsgXaQDI85BC7vgK!VQJq-5< z+@o-h!95Q51l*HwPr*G6_YB;#aL>U#5BCDxi*PT&y$tsX+^cY}!MzUm2Hcx)Z^69{ z_YT~+*1>Bc#U%`D1_YK^)aNogw5BCGyk8nT1 z{S5aD+^=xI!Tk>R2i%`uG0001Zob8=kZyUE3h2Q%t26}Hadvph!0JdeLK8agL>QNqy14E7` zsbE`nbqQ*q=x;xxks?oQm5Xaj8InLAZP^sH$i=<6`Ehh`^Dw^}T}PAQUHZDxJ3LQL zUfm5JuCA{u(ekA)>2x-}pS-TfE2%_(E{4u1E5=$-Wr_(Rvk7;x<{CE>d)c;TPA-#@HM&o(*pOrU1MbW`c z`grwlKc38ISF>~;%^x4K?oHEA=`@{uOe@hJ<8+$6^6T3t9$xTX$(RlxM za`G$HA~Mfu|FXmN5jm&ZJAU_;Y7seSZSWS?Pvo7IAISki2Fm6;XCOfiI;7=97RrWP zI8%zHeaMe);U8|fOpt%F7CDP2$Uld@{vYE{bE-|`pi_3yOpt^6mo=_IWS--@(eL+* zIXgbcKDj5`T$>gli0q|B49ZpxQc+}~N$yKgzlzk6i*iZ10AGhb z;sbmg`Dk){*rysqE*kK$xQ=|(?RC#4REx+*r)+ZEfce~NdFR%ohLwxR6uE&TQ67#t z!#Cj8=#Xs@Mxx&@jux}WUiz787TGADpB@Y$VzOs*g!tYW<5DX$VXk? z?M>vQte4zYxQSep_mXpF(_&{tR?wQrK?6PxHKB(-^wV3aMP#2Nb}QUO=E>5T)sl32 zO0{bhipU}&4n@cB-d-$jIjc93kB;9`JFb?6hqys%S(dgrIa`*Yh+BA#0viR~&f)^f3DkK2*0x_s3_V&tkWtWp+!%%Pe5ci;YM{TB1-a57uLHU*I8 z?~0<8PxsTibo8>BEuRp(TtVbWY+-Ynpb^Ma(1?mas6q*;2xKc%n2JEaVp)&=CwndC znuT-{Ppep%oWxZiV8Qb$kg(7JE0D5K5i5|g;E@%GS@6sX3gj$w)Cz{M`B9^hPj#u{@sQ zWrY9+&GZN)Ff>q)*ijgwkpS=b6nKw79%G&HDmsizrXz<0(iuv0NFbo0Vuu7W8tVfm zUSUXTUaDuM6@4M7Q{H*%Vt|M->+ z2T50n9mGNI6)?n+-wIKP00Wu|vJyyhEX$XQ?plR4%^C)o4h3!{km*=nlTq|_?}U^< zw1Y;Z1d<&pMoJ*wp@F0X0v<~Wnja|$d8ptkft<%co83X)W1!tbLhd6!1Xi?baaN#- zj*$JxuWTsVh2c!axe0_oG*FsA5M*65=5>HD2)&bnAP5b-2_!*iI!z!3!lPsYArPAT z5)6UpFfD;Fh>oceh=kA(lt3J0jc)Lh-wq1VY!@IJq9V7%0uWK4TLK9Y6=@|96H%d7 z0!a~`wGxPm(0LamMRaVGKw{)bx66mz2whf%)QE~^5(tjaIFmqZM8Pu&L`Qh~NFY73 zgHWUdBBqfj`nH=*Q@B|_xIqIQk*D`Ez`C9@p5*415 zK#C+sjuk3kMoU2{C6Ff3VM+-EN>rFq0x1q1rj$U8V+DHh!v;wX9juhtJR^g=lMX=+ z9#%^5V=@jk6@vs~90R-bdn<0VA&Z7fMrp+yZ2^qF{j<&6-#l!6WYE{w*MBh|&eLc- z8Kr-{uEd2G^Oskjabx(0>HS}il{Z;m9}2Bhzc#aZ=j!UMxA&xoOVNEPoW!a+b5PwT z*7sKMY18js!9rXJNx{S)1q=JkfE0Wxo_nBRZMP-G(H!(hKnZafZ12|TJ)1ZVP(j&o z@!oGF333uX?dCmDFb*-2GNBvQ5QhYfE4U_ln)k)KLIIN z_h}9`Ld>WWpAy0y%N%YLeBy>ZP_VvRXB=fSKDoFaVn$u_D5Ea$!T~9mc(ki4+@T3b z!KP0&>h^A_m80T}M*>QS3AIlZ>PCnH?s&Ab=T$IB5BoF+J0ZrAmQQnV$KiBTneizS z*F&r;BtE%#$5N%ENaB%zafpE=@u|e$46&?Gcs!PS2@4QhKoahlKcJ6p)<48fD62ex9$0Qw(7Q(_cf`Alk`&6Rtgs5Q4rwVH` z#9*BGbkI}_F_OrR8-YECk`PZjTRth+4sl<%yKLV3V53{%J+ePq+)WA{m8Z8~z4r9xFaH0a z@cB(`{%mOUMH2_r!slB4YTHcNI#19nF^ffMy$;rrA( z6{(UkAJgHPKS~+l;c}ERUXk@jDRIA~OBlh=c*V1%;1|Y<-gK{2D-8D;AK_7sQpV>= z_@h+I{fgcWW1VWdpHm&vv7SFlb=+s3#w%C;C{_6COIK1Q#`{eENY!y4{M6g@AGM^N zYf0|6XvU{{_~R4ft&);99%`k2_+-~gqVvHwV%`4OM7=rQpHjxFRQ@Psyx~&Pt}~1s zLZV&w_Bf{kDdW3WN&>0*xZj@}$yR@qGBU3v#Xj{0q1+_FgZ+6J)iL+xQ_3@hOYtjK1;NvHti(%>wSxCt(yaj5o1Lio9WDb8Y84 zgv9tRS-|Q_A=yS^g+x{Q9ht7W6PuL^ZO!zhz1d*_K?$aITc7 zF~5?N1ove`<5!P(q?Gd&J<($x<+{&3IA(l4kv~eQNx(hkQ(>GnO6>dyt?(t!o=fFg zDG4M^Fg`D*q~I5ZQVsWW%J@Bl9x3I#>LSMPm-a^~<98~Qqm+7Dm%XAp&l3`L{4H53 z_ea?3$GVhU%5$Dji5lN5c}aI)^;8!$N_L-fp#sU#jbArjlKH|=N`3!%e@dy3hh8f6 X{5P}JpDzDtel@y&^V9zUY10vMc*dI> literal 3096 zcmV+z4CnK#4*>uG0001Zob8=oZyHG!#oy;sw0NJGTR;Pn6UjEV#+zitNrW)4KW>?sZ-~|)E_C226L%CRj2CKtzS30*Z0$ltIKROxGi4SPWRrM z!&kS1`-{uVS~h>{YcZY-??$g{@=9vi9}k1^^kK4k^~2(Y?D#m#n?LUlr&)jTRwj*C z^;eSZKMZfKGSe_wJ>L*Dd^{%$y$PA(?JG@Cx&&zd(bJ{RL+^r@(2zYmM??4A49wVm<<)sJMut66(%Papa+ zoJ@=H^516vIKS36ryt)BCfDc9|L*RTe=lO}JOx=B)S#ey=OR?Z28j6E(fb}7vk7AM zKD_^hiPyqcU8fqDgMbHT=Y|T{#wwl`e;kenlY$A`fn#WYFuFc(QElyFHMv14b=2Sg zIXwI~6%m=|=k%!7oC$&Tl zDr0iJZjgbF*agEN1D%m$-5~!Q++O{9_b_G05wcJ@A9c8x$V0Q~h)iOTe-^VQr)UFN zXmtAVkO~MLG#{DV)ZTD%P|n3f4qDD}WFNsrXgNSRBQ=nbW?g?g8cut6BP#W3AU`da zce1q(D-tG?ABj^PcA>`X;i|e0ArGbT&mg%&I>+Vnxzj?#IkSySG*6Vc3vVL__4)O(4L$Vnthk{fI;3;tbtCi4 z(yqmnbaX_;MgHmYIZYccMF;QRo;=)eR&T>X!&_=s+;%C_aTktjbmjWS$=PvSin!Uh zI-C=ojKAD+b^Z>r)4cDwM6rWcBC_9+jb=T>?O{3&`=XQa-Iwv; zmI{lERL&vXa@28Jj<|)mi;Tq9QoD{vt>iJ8LwSModKY=<** z4#jRZB=XScfZAbp9ri?IZzJ>cc=L3TdHQ@VCv~?{H+h^_hjoPy@859|VO8Ojt13v{ z@xYavjiipN-DOxV2a&o1w7_;fT!|JdJ9~QXupI3VrO;MpojTxj4b2wf=X zD#%=@@G61i#j>i(yA<*l%YB0)GUS-}qdtKUh61<}h+rs)D}nsQ!P`THs1C(OwxLeD zJk1Dz7zWFV2*faSkeEOeLqUlNgfYrfI)$vrSTuenkjc>SX9Agw<%yL-0w>s(TnZtY zp+M0Df*C3nO(2`0gV6-@8H+6s-(`@}P;zbpX$=+VCT_rn(J0|iS<#pRq8rOII7MWK zawR!8V1{E)*VKOiClp9=^tG;lBnQs`3T8Q2WKST+QQmW-xO}(TCCLH`q&XDeoWeni918OUsm4){`mfyhRQBq`!LE?ZpwW*nZ4 zp&;pu%fm4g+lj*)~bXgTpdw{SFlnbPe{QEnH_6kL&&DJdAyVqAeU6H;)+s79b*C&hWN6_bnGDb9l%8fUu- zc2i6UI}y!;l_Qme6x?t-zvYZNMHhEt+SYYaT#G9=@rIYMQv6Iv!gfr9u$!WSF=aEY z6b0OHGrwI08=G}!s2b~L{l4XSFvU$t_413#?TqTNC^$ zWo&gOKT288-{VoLX-j$hD3zz7R7=~;?J3oHx`rj)TB|HPwIlN=fR$R_*vP_bLJD=Ct@wG$K#XAZ?c3-r_)FhCH$aDMX3lT-!$rz)_V&) z)soU!(A62*_LNeW-F;8#!IiS!LmiJ&-SBIly0bhh6~2;NPh;(~-t*}x_0%RRp11ws zmCCi12hTHl_$l3}vtQ3rg@*_lX`G|v5h#^LnxJMKwx?7ljftuqypk*G<3YblsSh!> z=)N=(Dl1y+DfLWao>H?8+Z$7foQ#{``IJ~6aS@MF>PcdYN~JMR<>AZ2>Vs6zN`-fy z^`7>4lnOtgC$jD9grBCmX_!yKAI=!-BgW#9%KGRmPnl;LwFFVOb9+)*Z;ZA|^#ixq zblV?(Gpjzz@w}i1_lc-G>xWM^?)~8Bjg=pHj@l=Ac%P_mUhzDpf+s1WKFITY-WYz5 zpgwc)TpkO)MG*D9E1t(xaG~1jY3j#vzUd+ryz|vJ?RwrHgkR0oH-dYfPr}c<>eS0K zkrsSR8SBs1#G{mYh1jA}Y3$C!mod}_lb#dA;6kZS+dPk`;7S?mQ%mDfsu}+HRDEx= z=anz`@u^Wit-`ZX!83HDe*D*u?b+ssQo##8CXw6F^57|=sG$(gN(G-%M9n&Qz5_pe zHm$~yJug$ig;M+9^I1pmY+BT5s^=jU-hAqVDbLeX@H&#T(^&efzc}HkJd%d{tUo2} zNvSlJKI_B#J)I0BqEzr*zo<*@p4}H*DE+42Z;}u^oif&6rHV%>_3fA28&m2^vS+0t zn5NR$A4>%Jl=U}m}J9Lp_ERB7yH!XKijAHd*w+ZTMEGU{D|=Za_eQiA%#%lCmp m@O__2#D3P_lJ=w27Ez1muS^#II{(o0;_C9vPk#aN&ooxLs0^0? diff --git a/cpld/output_files/RAM2E.asm.rpt b/cpld/output_files/RAM2E.asm.rpt index 2cc2e37..f002d49 100755 --- a/cpld/output_files/RAM2E.asm.rpt +++ b/cpld/output_files/RAM2E.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2E -Wed Sep 16 19:34:49 2020 +Wed Sep 16 20:14:41 2020 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Wed Sep 16 19:34:49 2020 ; +; Assembler Status ; Successful - Wed Sep 16 20:14:41 2020 ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; @@ -90,8 +90,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+---------------------------------------------------------------------------+ ; Device ; 5M240ZT100C5 ; -; JTAG usercode ; 0x0016E4E9 ; -; Checksum ; 0x0016E859 ; +; JTAG usercode ; 0x0016ED59 ; +; Checksum ; 0x0016F0C1 ; +----------------+---------------------------------------------------------------------------+ @@ -101,13 +101,13 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 64-Bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Wed Sep 16 19:34:49 2020 + Info: Processing started: Wed Sep 16 20:14:41 2020 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 4524 megabytes - Info: Processing ended: Wed Sep 16 19:34:49 2020 + Info: Processing ended: Wed Sep 16 20:14:41 2020 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/cpld/output_files/RAM2E.done b/cpld/output_files/RAM2E.done index d21a61d..39c72ed 100755 --- a/cpld/output_files/RAM2E.done +++ b/cpld/output_files/RAM2E.done @@ -1 +1 @@ -Wed Sep 16 19:34:52 2020 +Wed Sep 16 20:14:44 2020 diff --git a/cpld/output_files/RAM2E.fit.rpt b/cpld/output_files/RAM2E.fit.rpt index 939dd58..a748e8c 100755 --- a/cpld/output_files/RAM2E.fit.rpt +++ b/cpld/output_files/RAM2E.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2E -Wed Sep 16 19:34:48 2020 +Wed Sep 16 20:14:40 2020 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -57,14 +57,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Wed Sep 16 19:34:48 2020 ; +; Fitter Status ; Successful - Wed Sep 16 20:14:40 2020 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; ; Device ; 5M240ZT100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 199 / 240 ( 83 % ) ; +; Total logic elements ; 189 / 240 ( 79 % ) ; ; Total pins ; 69 / 79 ( 87 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -142,28 +142,28 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu +---------------------------------------------+--------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------+ -; Total logic elements ; 199 / 240 ( 83 % ) ; -; -- Combinational with no register ; 84 ; -; -- Register only ; 25 ; +; Total logic elements ; 189 / 240 ( 79 % ) ; +; -- Combinational with no register ; 82 ; +; -- Register only ; 17 ; ; -- Combinational with a register ; 90 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 99 ; -; -- 3 input functions ; 36 ; -; -- 2 input functions ; 35 ; +; -- 4 input functions ; 90 ; +; -- 3 input functions ; 42 ; +; -- 2 input functions ; 36 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 185 ; +; -- normal mode ; 175 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 9 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 14 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 115 / 240 ( 48 % ) ; -; Total LABs ; 24 / 24 ( 100 % ) ; +; Total registers ; 107 / 240 ( 45 % ) ; +; Total LABs ; 22 / 24 ( 92 % ) ; ; Logic elements in carry chains ; 15 ; ; Virtual pins ; 0 ; ; I/O pins ; 69 / 79 ( 87 % ) ; @@ -173,12 +173,12 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; UFM blocks ; 1 / 1 ( 100 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 23% / 22% / 23% ; -; Peak interconnect usage (total/H/V) ; 23% / 22% / 23% ; -; Maximum fan-out ; 115 ; -; Highest non-global fan-out ; 25 ; -; Total fan-out ; 850 ; -; Average fan-out ; 3.16 ; +; Average interconnect usage (total/H/V) ; 24% / 26% / 22% ; +; Peak interconnect usage (total/H/V) ; 24% / 26% / 22% ; +; Maximum fan-out ; 107 ; +; Highest non-global fan-out ; 34 ; +; Total fan-out ; 810 ; +; Average fan-out ; 3.13 ; +---------------------------------------------+--------------------+ @@ -187,19 +187,19 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu +--------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; +--------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; Ain[0] ; 56 ; 2 ; 8 ; 1 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[1] ; 54 ; 2 ; 8 ; 1 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[2] ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[3] ; 47 ; 1 ; 6 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[4] ; 44 ; 1 ; 6 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 115 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[0] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[1] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[2] ; 43 ; 1 ; 6 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[3] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[4] ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 107 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; ; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; ; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; ; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; ; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; @@ -220,8 +220,8 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; BA[0] ; 6 ; 1 ; 1 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; BA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; CKE ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; DQMH ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; DQMH ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[0] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[1] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[2] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -230,31 +230,31 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; Dout[5] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[6] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[7] ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RA[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RA[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[2] ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RA[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RA[4] ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nCAS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nCAS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nCS ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nRAS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nRWE ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; nRWE ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nVOE ; 50 ; 1 ; 7 ; 0 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -418,7 +418,7 @@ Note: User assignments will override these defaults. The user specified values a +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ -; |RAM2E ; 199 (199) ; 115 ; 1 ; 69 ; 0 ; 84 (84) ; 25 (25) ; 90 (90) ; 15 (15) ; 9 (9) ; |RAM2E ; work ; +; |RAM2E ; 189 (189) ; 107 ; 1 ; 69 ; 0 ; 82 (82) ; 17 (17) ; 90 (90) ; 15 (15) ; 9 (9) ; |RAM2E ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst ; work ; ; |UFM_altufm_none_e4r:UFM_altufm_none_e4r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ @@ -507,17 +507,16 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------+-------------+---------+---------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +-------------+-------------+---------+---------------+--------+----------------------+------------------+ -; C14M ; PIN_12 ; 115 ; Clock ; yes ; Global Clock ; GCLK0 ; -; CS[0]~2 ; LC_X6_Y1_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -; Equal9~0 ; LC_X6_Y3_N7 ; 14 ; Clock enable ; no ; -- ; -- ; -; Equal9~1 ; LC_X6_Y3_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal9~7 ; LC_X2_Y2_N7 ; 8 ; Clock enable ; no ; -- ; -- ; -; RA[4]~2 ; LC_X2_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; RDOE ; LC_X2_Y4_N6 ; 8 ; Output enable ; no ; -- ; -- ; -; RWBank[4]~1 ; LC_X7_Y2_N1 ; 13 ; Clock enable ; no ; -- ; -- ; -; RWMask[4]~2 ; LC_X5_Y2_N9 ; 8 ; Clock enable ; no ; -- ; -- ; -; S[1] ; LC_X6_Y3_N6 ; 23 ; Sync. clear ; no ; -- ; -- ; -; UFMD[8]~5 ; LC_X4_Y2_N7 ; 7 ; Clock enable ; no ; -- ; -- ; +; C14M ; PIN_12 ; 107 ; Clock ; yes ; Global Clock ; GCLK0 ; +; CS[0]~2 ; LC_X4_Y3_N1 ; 3 ; Clock enable ; no ; -- ; -- ; +; Equal9~0 ; LC_X6_Y4_N8 ; 14 ; Clock enable ; no ; -- ; -- ; +; Equal9~1 ; LC_X7_Y3_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; RA[4]~1 ; LC_X2_Y2_N1 ; 8 ; Clock enable ; no ; -- ; -- ; +; RDOE ; LC_X3_Y4_N7 ; 8 ; Output enable ; no ; -- ; -- ; +; RWBank[4]~1 ; LC_X5_Y3_N2 ; 13 ; Clock enable ; no ; -- ; -- ; +; RWMask[4]~2 ; LC_X4_Y2_N8 ; 8 ; Clock enable ; no ; -- ; -- ; +; S[2] ; LC_X7_Y3_N4 ; 20 ; Sync. clear ; no ; -- ; -- ; +; UFMD[8]~5 ; LC_X3_Y2_N8 ; 7 ; Clock enable ; no ; -- ; -- ; +-------------+-------------+---------+---------------+--------+----------------------+------------------+ @@ -526,7 +525,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+----------+---------+----------------------+------------------+ -; C14M ; PIN_12 ; 115 ; Global Clock ; GCLK0 ; +; C14M ; PIN_12 ; 107 ; Global Clock ; GCLK0 ; +------+----------+---------+----------------------+------------------+ @@ -535,81 +534,79 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +----------------------------------------------------------------------------------------------+---------+ -; S[0] ; 25 ; -; S[3] ; 24 ; -; Equal9~6 ; 23 ; -; S[1] ; 23 ; -; S[2] ; 23 ; +; S[0] ; 34 ; +; S[1] ; 29 ; +; Equal9~4 ; 23 ; +; S[3] ; 22 ; +; S[2] ; 20 ; ; Equal9~0 ; 14 ; ; RWBank[4]~1 ; 13 ; ; Din[1] ; 11 ; -; CS[1] ; 10 ; -; Din[3] ; 9 ; -; Din[2] ; 9 ; -; Din[0] ; 9 ; -; CS[0] ; 9 ; +; Din[2] ; 10 ; +; Din[0] ; 10 ; +; CS[1] ; 9 ; +; Din[3] ; 8 ; ; Din[7] ; 8 ; ; Din[6] ; 8 ; ; RWMask[4]~2 ; 8 ; ; RDOE ; 8 ; -; Equal9~7 ; 8 ; ; SetRWBankFF ; 8 ; -; CS[2] ; 8 ; +; CS[0] ; 8 ; ; RWSel ; 8 ; -; RA[4]~2 ; 8 ; -; RA[4]~0 ; 8 ; -; Equal9~4 ; 8 ; +; RA[4]~1 ; 8 ; ; FS[4] ; 8 ; ; Equal9~1 ; 8 ; ; Din[5] ; 7 ; ; Din[4] ; 7 ; ; UFMD[8]~5 ; 7 ; ; always1~9 ; 7 ; -; FS[5] ; 7 ; +; CS[2] ; 7 ; +; UFMReqErase ; 6 ; ; UFMInitDone ; 6 ; -; FS[3] ; 6 ; ; FS[0] ; 6 ; -; Equal4~0 ; 5 ; -; UFMReqErase ; 5 ; +; FS[5] ; 6 ; ; always1~1 ; 5 ; -; FS[2]~25 ; 5 ; -; FS[7]~19 ; 5 ; +; FS[3] ; 5 ; +; FS[2]~27 ; 5 ; +; FS[7]~23 ; 5 ; ; FS[15] ; 5 ; ; FS[14] ; 5 ; ; FS[13] ; 5 ; ; PHI1 ; 4 ; ; nEN80 ; 4 ; -; always1~6 ; 4 ; ; UFMD[13] ; 4 ; ; CmdTout[0] ; 4 ; -; UFMBitbang~0 ; 4 ; ; UFMEraseEN ; 4 ; ; UFMPrgmEN ; 4 ; -; always1~2 ; 4 ; -; Equal9~5 ; 4 ; +; Equal4~0 ; 4 ; +; Equal9~3 ; 4 ; ; DRCLK~0 ; 4 ; +; Equal9~2 ; 4 ; ; UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component|wire_maxii_ufm_block1_drdout ; 4 ; ; S[3]~9 ; 3 ; ; UFMD[11] ; 3 ; ; UFMD[9] ; 3 ; ; UFMD[10] ; 3 ; +; always1~6 ; 3 ; ; UFMD[12] ; 3 ; ; UFMD[8] ; 3 ; ; CS[0]~2 ; 3 ; ; CmdTout[1] ; 3 ; ; CS~0 ; 3 ; -; RWMaskSet~1 ; 3 ; +; always2~7 ; 3 ; +; RWMaskSet~0 ; 3 ; ; S~4 ; 3 ; ; Ready ; 3 ; ; S[3]~2 ; 3 ; +; always1~2 ; 3 ; ; always1~0 ; 3 ; ; Equal10~4 ; 3 ; ; FS[2] ; 3 ; ; FS[1] ; 3 ; ; always2~0 ; 3 ; ; Ready~0 ; 3 ; +; nCS~2 ; 3 ; ; FS[6] ; 3 ; -; Equal9~2 ; 3 ; ; Equal10~1 ; 3 ; ; FS[12]~1 ; 3 ; ; RD[7]~7 ; 2 ; @@ -620,40 +617,33 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RD[2]~2 ; 2 ; ; RD[1]~1 ; 2 ; ; RD[0]~0 ; 2 ; -; Ain[7] ; 2 ; -; Ain[6] ; 2 ; -; Ain[5] ; 2 ; -; Ain[4] ; 2 ; -; Ain[3] ; 2 ; -; Ain[2] ; 2 ; -; Ain[1] ; 2 ; -; Ain[0] ; 2 ; ; nWE80 ; 2 ; ; nWE ; 2 ; ; UFMD[14] ; 2 ; ; UFMEraseEN~0 ; 2 ; -; UFMReqErase~3 ; 2 ; -; UFMBusyReg ; 2 ; +; RWMask[4]~0 ; 2 ; ; UFMInitDone~0 ; 2 ; ; CmdTout[2] ; 2 ; -; Equal39~1 ; 2 ; -; always2~10 ; 2 ; +; Equal39~0 ; 2 ; +; RWMaskSet~1 ; 2 ; +; Equal27~1 ; 2 ; +; UFMBitbang~0 ; 2 ; ; S~3 ; 2 ; +; UFMBusyReg ; 2 ; ; always1~3 ; 2 ; ; UFMD[8]~4 ; 2 ; ; RWBank[6] ; 2 ; -; nRAS~2 ; 2 ; -; nRAS~1 ; 2 ; -; nCS~3 ; 2 ; -; nCS~1 ; 2 ; +; nCS~5 ; 2 ; +; nCS~4 ; 2 ; +; Equal12~0 ; 2 ; ; FS[7] ; 2 ; -; CKE~1 ; 2 ; ; Equal10~2 ; 2 ; ; FS[11] ; 2 ; ; FS[10] ; 2 ; ; FS[9] ; 2 ; ; FS[8] ; 2 ; ; FS[12] ; 2 ; +; CKE~0 ; 2 ; ; UFMErase ; 2 ; ; UFMProgram ; 2 ; ; DRDIn ; 2 ; @@ -662,32 +652,39 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; UFMProgram~_wirecell ; 1 ; ; UFMErase~_wirecell ; 1 ; ; nC07X ; 1 ; +; Ain[7] ; 1 ; +; Ain[6] ; 1 ; +; Ain[5] ; 1 ; +; Ain[4] ; 1 ; +; Ain[3] ; 1 ; +; Ain[2] ; 1 ; +; Ain[1] ; 1 ; +; Ain[0] ; 1 ; ; ~GND ; 1 ; -; UFMReqErase~4 ; 1 ; ; RWMaskSet ; 1 ; -; RWMask[4]~1 ; 1 ; ; always1~8 ; 1 ; ; always1~7 ; 1 ; ; Ready~1 ; 1 ; +; UFMReqErase~3 ; 1 ; ; UFMReqErase~2 ; 1 ; ; UFMReqErase~1 ; 1 ; ; UFMReqErase~0 ; 1 ; ; always1~5 ; 1 ; ; always1~4 ; 1 ; ; Add3~0 ; 1 ; +; always2~13 ; 1 ; +; Equal39~1 ; 1 ; ; always2~12 ; 1 ; -; Equal39~0 ; 1 ; ; always2~11 ; 1 ; +; always2~10 ; 1 ; ; always2~9 ; 1 ; ; always2~8 ; 1 ; -; always2~7 ; 1 ; ; always2~6 ; 1 ; ; always2~5 ; 1 ; ; always2~4 ; 1 ; ; always2~3 ; 1 ; ; always2~2 ; 1 ; ; always2~1 ; 1 ; -; RWMaskSet~0 ; 1 ; ; RWSel~0 ; 1 ; ; RWMask[6] ; 1 ; ; RWMask[3] ; 1 ; @@ -707,43 +704,34 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; DRCLK~1 ; 1 ; ; Equal27~0 ; 1 ; ; UFMBitbang ; 1 ; +; DQML~0 ; 1 ; ; RWBank[3] ; 1 ; -; RA~12 ; 1 ; +; RA~11 ; 1 ; ; RWBank[2] ; 1 ; ; RWBank[1] ; 1 ; ; RWBank[0] ; 1 ; ; RWBank[7] ; 1 ; -; Areg[7] ; 1 ; -; Areg[6] ; 1 ; -; Areg[5] ; 1 ; -; Areg[4] ; 1 ; -; Areg[3] ; 1 ; -; Areg[2] ; 1 ; -; Areg[1] ; 1 ; -; Areg[0] ; 1 ; ; RWBank[5] ; 1 ; ; RWBank[4] ; 1 ; -; Equal12~1 ; 1 ; +; nRWE~1 ; 1 ; ; nRWE~0 ; 1 ; +; nCAS~1 ; 1 ; ; nCAS~0 ; 1 ; -; nCS~2 ; 1 ; -; nRAS~0 ; 1 ; -; Equal12~0 ; 1 ; ; FS[3]~29COUT1_46 ; 1 ; ; FS[3]~29 ; 1 ; -; FS[5]~27COUT1_50 ; 1 ; -; FS[5]~27 ; 1 ; -; FS[1]~23COUT1_44 ; 1 ; -; FS[1]~23 ; 1 ; -; FS[4]~21COUT1_48 ; 1 ; -; FS[4]~21 ; 1 ; -; FS[6]~17COUT1_52 ; 1 ; -; FS[6]~17 ; 1 ; +; FS[1]~25COUT1_44 ; 1 ; +; FS[1]~25 ; 1 ; ; Equal10~3 ; 1 ; -; Equal9~3 ; 1 ; +; nCS~3 ; 1 ; +; FS[6]~21COUT1_52 ; 1 ; +; FS[6]~21 ; 1 ; +; FS[5]~19COUT1_50 ; 1 ; +; FS[5]~19 ; 1 ; +; FS[4]~17COUT1_48 ; 1 ; +; FS[4]~17 ; 1 ; +; nCS~1 ; 1 ; ; nCS~0 ; 1 ; ; CKE~2 ; 1 ; -; CKE~0 ; 1 ; ; FS[14]~13COUT1_64 ; 1 ; ; FS[14]~13 ; 1 ; ; FS[13]~11COUT1_62 ; 1 ; @@ -757,6 +745,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; FS[9]~5 ; 1 ; ; FS[8]~3COUT1_54 ; 1 ; ; FS[8]~3 ; 1 ; +; CKE~1 ; 1 ; ; ARShift ; 1 ; ; ARCLK ; 1 ; ; DRShift ; 1 ; @@ -808,62 +797,62 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 151 / 784 ( 19 % ) ; -; Direct links ; 54 / 888 ( 6 % ) ; +; C4s ; 142 / 784 ( 18 % ) ; +; Direct links ; 49 / 888 ( 6 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; LAB clocks ; 6 / 32 ( 19 % ) ; -; LUT chains ; 14 / 216 ( 6 % ) ; -; Local interconnects ; 299 / 888 ( 34 % ) ; -; R4s ; 125 / 704 ( 18 % ) ; +; LUT chains ; 13 / 216 ( 6 % ) ; +; Local interconnects ; 306 / 888 ( 34 % ) ; +; R4s ; 151 / 704 ( 21 % ) ; +-----------------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 8.29) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 8.59) ; Number of LABs (Total = 22) ; +--------------------------------------------+------------------------------+ -; 1 ; 3 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; +; 1 ; 0 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 1 ; +; 5 ; 0 ; ; 6 ; 1 ; ; 7 ; 0 ; ; 8 ; 1 ; -; 9 ; 3 ; -; 10 ; 15 ; +; 9 ; 4 ; +; 10 ; 13 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.38) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.36) ; Number of LABs (Total = 22) ; +------------------------------------+------------------------------+ -; 1 Clock ; 22 ; -; 1 Clock enable ; 7 ; -; 2 Clock enables ; 4 ; +; 1 Clock ; 21 ; +; 1 Clock enable ; 8 ; +; 2 Clock enables ; 1 ; +------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 8.67) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced (Average = 9.00) ; Number of LABs (Total = 22) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 3 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 1 ; -; 7 ; 0 ; +; 1 ; 0 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 1 ; ; 8 ; 1 ; -; 9 ; 3 ; -; 10 ; 12 ; -; 11 ; 1 ; +; 9 ; 4 ; +; 10 ; 11 ; +; 11 ; 0 ; ; 12 ; 1 ; ; 13 ; 0 ; ; 14 ; 0 ; @@ -875,50 +864,49 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.13) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 6.68) ; Number of LABs (Total = 22) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 3 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 4 ; -; 5 ; 1 ; -; 6 ; 3 ; -; 7 ; 4 ; -; 8 ; 6 ; -; 9 ; 2 ; -; 10 ; 0 ; -; 11 ; 1 ; +; 1 ; 0 ; +; 2 ; 1 ; +; 3 ; 2 ; +; 4 ; 2 ; +; 5 ; 2 ; +; 6 ; 2 ; +; 7 ; 2 ; +; 8 ; 7 ; +; 9 ; 1 ; +; 10 ; 3 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 11.25) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 11.73) ; Number of LABs (Total = 22) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 1 ; +; 1 ; 0 ; ; 2 ; 2 ; -; 3 ; 2 ; -; 4 ; 1 ; -; 5 ; 0 ; -; 6 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 1 ; ; 7 ; 1 ; ; 8 ; 0 ; -; 9 ; 2 ; -; 10 ; 2 ; +; 9 ; 5 ; +; 10 ; 0 ; ; 11 ; 1 ; -; 12 ; 2 ; +; 12 ; 1 ; ; 13 ; 1 ; -; 14 ; 0 ; +; 14 ; 2 ; ; 15 ; 1 ; ; 16 ; 1 ; -; 17 ; 3 ; -; 18 ; 1 ; -; 19 ; 0 ; +; 17 ; 1 ; +; 18 ; 0 ; +; 19 ; 2 ; ; 20 ; 1 ; -; 21 ; 2 ; +; 21 ; 1 ; +----------------------------------------------+------------------------------+ @@ -977,7 +965,6 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 Info (170193): Fitter routing operations beginning -Info (170089): 2e+01 ns of routing delay (approximately 1.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. Info (170195): Router estimated average interconnect usage is 19% of the available device resources Info (170196): Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 @@ -987,8 +974,8 @@ Warning (169174): The Reserve All Unused Pins setting has not been specified, an Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg Info: Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings Info: Peak virtual memory: 4767 megabytes - Info: Processing ended: Wed Sep 16 19:34:48 2020 - Info: Elapsed time: 00:00:02 + Info: Processing ended: Wed Sep 16 20:14:40 2020 + Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/RAM2E.fit.summary b/cpld/output_files/RAM2E.fit.summary index 355ceb6..06d81ca 100755 --- a/cpld/output_files/RAM2E.fit.summary +++ b/cpld/output_files/RAM2E.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Wed Sep 16 19:34:48 2020 +Fitter Status : Successful - Wed Sep 16 20:14:40 2020 Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V Device : 5M240ZT100C5 Timing Models : Final -Total logic elements : 199 / 240 ( 83 % ) +Total logic elements : 189 / 240 ( 79 % ) Total pins : 69 / 79 ( 87 % ) Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/cpld/output_files/RAM2E.flow.rpt b/cpld/output_files/RAM2E.flow.rpt index 3ae128e..eabeaf8 100755 --- a/cpld/output_files/RAM2E.flow.rpt +++ b/cpld/output_files/RAM2E.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2E -Wed Sep 16 19:34:51 2020 +Wed Sep 16 20:14:43 2020 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,14 +40,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Wed Sep 16 19:34:49 2020 ; +; Flow Status ; Successful - Wed Sep 16 20:14:41 2020 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; ; Device ; 5M240ZT100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 199 / 240 ( 83 % ) ; +; Total logic elements ; 189 / 240 ( 79 % ) ; ; Total pins ; 69 / 79 ( 87 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -59,7 +59,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 09/16/2020 19:34:45 ; +; Start date & time ; 09/16/2020 20:14:37 ; ; Main task ; Compilation ; ; Revision Name ; RAM2E ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ applicable agreement for further details. ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +------------------------------------+---------------------------------+---------------+-------------+------------+ ; ALLOW_POWER_UP_DONT_CARE ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 207120313862967.160029928511600 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 207120313862967.160030167703488 ; -- ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; ; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; @@ -93,8 +93,8 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 4575 MB ; 00:00:01 ; -; Fitter ; 00:00:02 ; 1.0 ; 4767 MB ; 00:00:01 ; +; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 4568 MB ; 00:00:01 ; +; Fitter ; 00:00:01 ; 1.0 ; 4767 MB ; 00:00:01 ; ; Assembler ; 00:00:00 ; 1.0 ; 4524 MB ; 00:00:00 ; ; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 4522 MB ; 00:00:01 ; ; Total ; 00:00:03 ; -- ; -- ; 00:00:03 ; diff --git a/cpld/output_files/RAM2E.jdi b/cpld/output_files/RAM2E.jdi index f4ff7e9..a754f33 100755 --- a/cpld/output_files/RAM2E.jdi +++ b/cpld/output_files/RAM2E.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/RAM2E.map.rpt b/cpld/output_files/RAM2E.map.rpt index bc0671a..5c0d3aa 100755 --- a/cpld/output_files/RAM2E.map.rpt +++ b/cpld/output_files/RAM2E.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2E -Wed Sep 16 19:34:46 2020 +Wed Sep 16 20:14:38 2020 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -45,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Wed Sep 16 19:34:45 2020 ; +; Analysis & Synthesis Status ; Successful - Wed Sep 16 20:14:38 2020 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; -; Total logic elements ; 208 ; +; Total logic elements ; 198 ; ; Total pins ; 69 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -157,34 +157,34 @@ Parallel compilation was disabled, but you have multiple processors available. E +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 208 ; -; -- Combinational with no register ; 93 ; -; -- Register only ; 34 ; +; Total logic elements ; 198 ; +; -- Combinational with no register ; 91 ; +; -- Register only ; 26 ; ; -- Combinational with a register ; 81 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 99 ; -; -- 3 input functions ; 36 ; -; -- 2 input functions ; 35 ; +; -- 4 input functions ; 90 ; +; -- 3 input functions ; 42 ; +; -- 2 input functions ; 36 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 194 ; +; -- normal mode ; 184 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 1 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 115 ; +; Total registers ; 107 ; ; Total logic cells in carry chains ; 15 ; ; I/O pins ; 69 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; -; Maximum fan-out ; 115 ; -; Total fan-out ; 854 ; -; Average fan-out ; 3.07 ; +; Maximum fan-out ; 107 ; +; Total fan-out ; 814 ; +; Average fan-out ; 3.04 ; +---------------------------------------------+-------+ @@ -193,7 +193,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ -; |RAM2E ; 208 (208) ; 115 ; 1 ; 69 ; 0 ; 93 (93) ; 34 (34) ; 81 (81) ; 15 (15) ; 0 (0) ; |RAM2E ; work ; +; |RAM2E ; 198 (198) ; 107 ; 1 ; 69 ; 0 ; 91 (91) ; 26 (26) ; 81 (81) ; 15 (15) ; 0 (0) ; |RAM2E ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst ; work ; ; |UFM_altufm_none_e4r:UFM_altufm_none_e4r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ @@ -214,12 +214,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 115 ; +; Total registers ; 107 ; ; Number of registers using Synchronous Clear ; 1 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 64 ; +; Number of registers using Clock Enable ; 56 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -246,7 +246,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[3] ; ; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[2] ; -; 16:1 ; 8 bits ; 80 LEs ; 16 LEs ; 64 LEs ; Yes ; |RAM2E|RA[4]~reg0 ; +; 16:1 ; 8 bits ; 80 LEs ; 8 LEs ; 72 LEs ; Yes ; |RAM2E|RA[4]~reg0 ; ; 9:1 ; 8 bits ; 48 LEs ; 16 LEs ; 32 LEs ; Yes ; |RAM2E|RWMask[4] ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -268,7 +268,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Wed Sep 16 19:34:45 2020 + Info: Processing started: Wed Sep 16 20:14:37 2020 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file ram2e.v @@ -277,22 +277,22 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v Info (12023): Found entity 1: UFM_altufm_none_e4r Info (12023): Found entity 2: UFM Info (12127): Elaborating entity "RAM2E" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at RAM2E.v(101): truncated value with size 32 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at RAM2E.v(104): truncated value with size 32 to match size of target (4) -Warning (10230): Verilog HDL assignment warning at RAM2E.v(551): truncated value with size 32 to match size of target (3) -Warning (10230): Verilog HDL assignment warning at RAM2E.v(568): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(100): truncated value with size 32 to match size of target (16) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(103): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(544): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(561): truncated value with size 32 to match size of target (3) Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" Info (12128): Elaborating entity "UFM_altufm_none_e4r" for hierarchy "UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component" -Info (21057): Implemented 278 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 268 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins Info (21059): Implemented 39 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 208 logic cells + Info (21061): Implemented 198 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 4575 megabytes - Info: Processing ended: Wed Sep 16 19:34:46 2020 + Info: Peak virtual memory: 4568 megabytes + Info: Processing ended: Wed Sep 16 20:14:38 2020 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/RAM2E.map.smsg b/cpld/output_files/RAM2E.map.smsg index 1a16445..11cd769 100755 --- a/cpld/output_files/RAM2E.map.smsg +++ b/cpld/output_files/RAM2E.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at RAM2E.v(39): extended using "x" or "z" +Warning (10273): Verilog HDL warning at RAM2E.v(38): extended using "x" or "z" Warning (10463): Verilog HDL Declaration warning at UFM.v(72): "program" is SystemVerilog-2005 keyword Warning (10463): Verilog HDL Declaration warning at UFM.v(188): "program" is SystemVerilog-2005 keyword diff --git a/cpld/output_files/RAM2E.map.summary b/cpld/output_files/RAM2E.map.summary index 741a5aa..ab29896 100755 --- a/cpld/output_files/RAM2E.map.summary +++ b/cpld/output_files/RAM2E.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Wed Sep 16 19:34:45 2020 +Analysis & Synthesis Status : Successful - Wed Sep 16 20:14:38 2020 Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V -Total logic elements : 208 +Total logic elements : 198 Total pins : 69 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/cpld/output_files/RAM2E.pof b/cpld/output_files/RAM2E.pof index c1f36eac0d84a2623242045d9b89be31bfefa0c0..866d2b5e1ad39c40d15eaa09c28ab21e1d02ce4a 100755 GIT binary patch literal 7879 zcmeHMeQ;dWbzjFHGBYxw)1eK_*l3teNM?w!t>8~25y0-ymV%pN5A6u?WhpLLYW$&% zt-QVV&_I~RBvS@=1Qo)a7+R>jq#kf=v3s2ayM#z9CK+4B^4kYBtOn%WXGiQl?LOUm z`aAc&l@ycw-9L(F)_3nc=lst1x$kN3xqCw_7Ml~RG5@#X|BbsI+`ewO@n`j$w>(t8{*kRaAsPEZY!Q^)eAkMvE&H3l zUcPME?Kj8f#;(B8y&XHZ?tE;^BeD75;eUS+tG(8I;s4%cBQ+7J=Dx8Ph(vL>CQZX* zLt{kxJ1-0?&&8w#z$mCd_L;$*06!c}Lh>FxaVdQq4r;?>x9DFzD z)a>g(k(!vg?40QV@Q4cdrovEsRz9G76~_-EdKI@SFAG+Wj`SOP+WIpB{E)QtLhOa* zyIfwxp`DZnX2}a_0G-8+r>R$2C;Wt1p%B0qkC=a6cxqeul?bCD^-w#!E0R70H z?{S4%yWwZ3lLtV*mz-AZ1wwhA&PsBJbiYWuXOt7{pfu>N^bwzKUk!w!0H0yM)B`e1 z4M@TEp>9o{^IG+3Uk@mOI4dpLPfI`hw5IW_>ilW4Fih$r?KY>+jjF~GfjT7`3KiwzRAh`K*`iuYRQtiy^%igI%F}S*4g+t`u2Y+J-+WL zcka}aPsH`SXZJi1TTnA6SQNXMJj3>_I`L%g(Bu2u*r!*5zVPbAgRup%Ij=2_Er(x3 z{Y`&yUO#!wYePRg;U8$Hxz1$w-ZKM7!c=(4%6Fd_(f&1ihxVQz(4V?XKYZfUYd^l2 z3O5>gMd!|C=#ju3`u^~SuI6v`2EFeU;ycFN-uI;SKa+Xle>!qQgS96!ZRvIH!PU=X z8X9ij-_v91fl?YZig*P`Avwqv%T4zwmC%neRKb|1wFpun{O%k&3 z36j7kQ(t-HR{OFN;ggb`VHO)FK9Ze|FR&we=!T)t^v~=MRz9!jk}!SA_Dbb@#Pi7= zsPG>2AE^ResN(y*hd)-ELNU;I=}kjV5uHa|BLCzw3gvS6)BhqjyJNG}->pl3+FjKz z9JOklCOM^=hmCxGZSyU;^EXYx9^|=h?)=Gxw?1*H_F{i5c9{FGrTLGS<+gm8^&_Hn zkI(<_y4V8HQ+pS38cE$e7391cmIq#4Z1Lp21q&u_yx4N>UL)VT_LfUIZ~ipBcd}*y zH5W!y>p9GG-6h=d))sa<}U^{`|q}(-)P+8dvXAE;c4Nj7J1a- z3!d8p2ER}VJ+@?1k}w6rsNW4viS5f8J1j1`%E}+-xgdQNgfdOR82G%bH20k3d4qca zed%B&DowE+N~AsXgJ1;IAwIn3@qY=0g}8L`jl%xgb4%X^-P#{Hi$bcrfLyCxo}n`? z_(XpII&~(Pu2BgJ(}m@?MfmJjp+F7-U87Wuuc5m> z>@A{@;j8v}O1^I8U;xWIyQ!~qR&pcDF76Mq`I*i$;+YU(7T1ukg$Nvk>9_hX>t!`1 z$yMXWv!k%KA+ihDjNc-ZC zIg6&={>mQ>*Ic|Sw#4=y<0}&LfB3FmQh#CNe)sNVc6k29t79>1U&Z1N#Xb-VuBxSN zm3_B<>HNBh#;2Cp`)@R&?|q*ZTr~)K&4w=xuerE*?^Th1gM+8q+j4ge?jP>j*%a?B z_w;1Gwd#Y$o?c=7FBA@ZQYhpzwa?~qO%1&VSNCMrJoD%M>y8=w72}7T4;sUqMHOWE zJji>VrAP5`%qow%;dqF;RqD8{KNZo{%j9QK=?)2*-Bb*faDl{l)_&E>YvO2&now+) zBcpRL@IHl)?PF@rqSRH$eaG@0Oc%I6(JvM3`DVbp4J78O!RJ1ja9O_Ou^(Ob(9R9dC_wlx*{vn`9Xnmj+ca+T4) z$>z7!DNx{>`BI_}_7m;Oj*cQf9B({duf5^Qm*&PEIQjUAnnY}2Z2sgoV_mTqC-&=v z>AzxgO>J9UEVh2mi7PiP{Bmsmx3|Vt*1kLS?ECK<`Tn)@Y6~^*=htV3e~_8?*gt-E za_eB}s)wfi7-=I3>pdEdO^*~x8Bmtw!B#`|__o2NU@oodg0=nnmZ z-_`z|m9Jmw?a|Be{OQ7T*r!Ax!~Hk(;f*KTGEG13?O%N))3fHb{onguB#*hf1NDZP zkMW+s)bvOnPj+W0}5bSvjWx1bf z(r=8?E;Y>OA?7dhfnMO}-k={dpb$#*O^Er8{^{U*E6TH=b-?!$kT7|-`S(~}kwm@1 zAdmSuZ-Q}wQ?(DP=Szn?oTF2iXywPVRz5(xI?7XiNqbd!)Y~k1$U2bLbl&PWauHn= zxxUH8``_Wtqg<%aGOPb2**L>W?a9x|b6hA*{lIvm6Lm!-VB$0%-v2B^n%Kge^3dw4GmDRdyn!NK#hkv|P|O-Hz26dTI+NBT|wIj{wL z(c+8=y_w2o>?@ukgM;s2Cyh6hvPb?qNWJCfcv&37pU9FLqU+M}h##b50yyAl0fK%R z&lRPDe@be-8+A~@lyWK*^&jJWOdFd=)SkELlA6NaV>@mA9IQ;y@wt1&`q*19FSu0~ zok{rf(aDJ`UQ8VR74VBRMTwfd;_I=uo_;9?`nMtfQE}?(7o+}zyly{1`xgGR?+f*l zp_&B!uU1SouD1PGhJ5A86ZGAMKkHlYz+~Am^q0JteBz^R0;Lr??>DpiZ36VC+;_&$|FR3@RtN0e-$KH36e~|gwJpA0S=`f zg*@#t^}ic?w!etBuTW>6@tMC2Fg&blgjZSrte!G@rnH*IS$n{9F{4R`+5=_lQBa{ogju^dIJf43pKFn7R zagMi7iw}SFE5?qx% zS9L|YV#&g#&w*Z~pAY8RV|r2TZ|ZXK$>wtT1FFESGr}g zS%I#vyF`skdXnt7s>Pv z&qniiJVlwommX>>{9^DgPd=1+bDjI|KN-kAf9!Aj*F8TIzfeMtifc2UaGyah?!PHs z`97%#2X7Z7wLqVdG;u4>^q+707B9|tM&vrH_%8mwVdIbfny#CQ@PKFQ(g8N(7fB); zdyv@x?^Pre@Xh^H?Kgo2^|&69mu{{DuB#{yID=gVCq9yZm1%$}t~0v_#|%E}sTlmKKD*lUDj)0rUFUJ!Pk672@Jv0<;+uUw zTi%{aYfmtPtI0EZB0F(^SM}TVciJz*-{)6g_>V@ov(H8El^Z9l zJvbM9A4Yb2_V4?%?7{B?&kghM15JJW`@k>o`#?o}Hh(6+m-%tIU!U)1#nt5L|91by iSna&&Uxe!U7a;$WGo8thnqkZ!<3%AejK}pz8kc|y;_X#C*tHXBIo{`bR>cP(^6A{@H+^KWcks+m5#F;UE4myzIN* zd2s8F@MGIrw`_Z+xw&y$_=(1C+qbs1gqK7X)h${Qez0xpbDP6UBTK_|%N8$Lx_D{b zl1TXR#%(*dKHC_6?3rhO8eS59{BOcfHvT01@aC;Mpc%R?^mQ2d-nymVt$X_Km(e+xtNzNvrVA0M!j9ucY4-IIrijO;FtJQ|rCo+R>K zI5eWb(|p@7JPEmWho5EI#xFJ zeLTpQ=)5}oY-jMRa>&SO{Lk~H=i?M9;NOI=^?iJI>`V2dF5}6(<&iPtmkj)Hl=esR zKEBL8=wLuU$8ullA3zzm9-k6EyVYo;WV$6jV3;SVcR%=}WZRywVLw&E z!)oDKPy+lT1&4AY6zw@et-YU-eN4HTn1uhHufKt!PEO17K81*qa#Lh`Y7CA*pZ$e> zPm$F4miC+TkTFnaSPxEmPNpxNaU|j|l`F^-1GYJp2;^6g=d>fAW3|bQv3|JlWVW~W z7nb?w2I55&cZ;c<92V9&WqXcIZSER&CmyLR2>*u^Kzl{Ld)uf**!nn(0dkqbNSB(Cn~Rp%!&_wQFWj? zbjPl}7w!!$%+@T}5$HP~cz4})(R^jF`uIx}s&r3$niP~b#nC?2R}avjS9{xwX6FUX zugSlC@t3bG%-8Ro*858vLo(UE^Rsu($48o)?%i%q$M^nnd;7FyoeTD#8QT5P#3#+k zd83)3#QpKZ|1pq?L>}7H)us8Id9Opqd2**i$cCTCpkAUgN7EUbI$@r&)V5_X2*I2plB*LKejGN^8_Jh`poaDxwJ~hhns)R2f=Ow^b z1=c?mh$m z4A=pGA1bKeRU*$t*a{;iA=}iet-4IOw<0~4rQ7%a~}ToeJ2lEM@|l;Rz%kB zIdPEpi9A@!a}BOr)H%lw{$nlopTYYyTz}tW2diraa=Pve+d)1x1;r>mnb!52(s)cq zJ>qvDm-S2iV>Q^oZ{>PmIoRh_QW;+X4_#<7{Vn(fL9dVVlmH#_BF5)lOf|6HIPA!2 z02ci74E#Q(`*}^-(=toKO`yk>lL*fJDCVx=9_xnOxWqnO$2-LH9(I5q#b?GZ2XQiF z$~DUVrorV?wbXw&|Dv8T20yS{==%G?YA}wpxRH))y3cQhM9DwMJ83e;Qyp*d+|l}J z5IsX^{T$~(t?p0ka>QcX3bws6i>rEs3}&%a<40{~*7udEkuWe&Csq@$tYn z8qohP9anvemFkFYa&FMZ;CwJwvs}+qwx48@eq6L%(VOT&{3n}&{^K}Z=RDw?r!z`g zXL3*XJLUsI<6CXO?+6uNy=!Fl?!rBxm-81cE*iUDTvsuu=gUR|{AlRLt7Fq&+x_$E zIWOlgzF2j~)vFs`{LuFg)59}P&tCWb)sc5+%@0-Hm>sH`TU|6OLi+qvKW2XC>~(W# zWcMd?7l6MI{Hyhs{uE`#@fsd_cT3Y}mWA`Lz4ej$={;Sm+LupS!%xM0{*}!B%U7EA z{L7rtNALS)B>v`sgUQIg$MzB-|++Ug%f!ApZM&VF;Y7PShEfa2V$f=A=!oMSSmrA))=WRm>A!gF_~+ zIT-+(=wkQ z*Ey$@T<$p?tRKYB-zV33tlfAX8yAQ({7;qj^|=*=+&J-k$W5GWL;s|;{*eOcaD;b} zalCZBbY)6PQldlG7y7DtlZ-3Ea&q|7>t})vx~b`W^YE1CP{JU&ULc?jOWsgz+oCG5EErPFmGQ z7jNu*Y~Iy7LLsf+1AXwR`MLLMzdiA29s29;s(s>G%{?`K{{{LFZ+@?~YWMt=jo!Z4 zvV8}x*4%S%kl&&6=)Z~K^Dm7wO|0A=&+m;Vj~W-P*Y|3CRB>|uy`Mtb|9al5=F!3<3LXC&zY@GLlA%eT*jR# z$M+`2#cV(uHTd>@96iSc&QX$VSI>c4`0YeVrQc5-oR@q)Po>}k;Cy@uoc?b30ez2f zjG-%iK33Ele$Pamx48di=+HNU_gL;f8dcaI^S@v}3c1vHi)Se*v9B4vV0jEQDCNj~ z3+LZ0_(A^|O5pw``Z`9{_Kriqf|*K%89GJ?sdH($Z2M2^_4`OG#aW)|8?h5E}7Kf6(_oZ8ylE3@4AU(M0| zmxn(5G~W3ys&4tG?^i3TJ(PR>^wq9s7I=DJ{`ogCJbY?o=Z=+kop3Gda%A;%yvx$K ziunA?WG-!g$9W(O zo@cE%W;``e>c5-gtgLj^Kn4-+k zxIT&gP16H>1Nw&1q2pp=I+JKlSpt2k%SP+-+%m^)I!jK0Un3K)M1KY6qwxFFM9=ek zc2)_@k$&i%=dy!8xGWn+6kDcV8AadIXPfep@@OR9SE+yWdF;S0Q89)7!JHAiPu_0~ zAJALz!siSVEoNZVhj{w><@v=PrPToCj4ikaT+#Y%u1o9n{@^!WCGi7qpfQrp36rL9CG1e`LfglE$ytS6?owp^wkF|@Qb+VSzM717 z^J@mt;lkL5zkasSs~>z}_U<)z*G2zl&EZ9%P;qW&XwhrnXKC!ii7m_@oL?2XyKdJX zDnj=?7&=w+^H3}SeotZYlZnaAUUaay_|DM0NZXBX<>%LRs+x*BdsFNemH30TcP^L{ ziQcIAR#ja^@t%s^Ma~cMXtiwFIl1n9T(;o*mp#+*6UoT(sd(2x9e+j1q1``e%3MnR z?W>nFr|!3K|2))nbZyVTQ69M*H!7h2P)`n@dr`_T-5KUUYkaOhKMx*OmcJ}q$`AN; z%kMq<-1KaT?;%7R&btD>2QgdGq>$zM@Nsx-S02(K1VM