From 7ff514a26cca21eb444c94ab1369eb351cf55af8 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 16 Sep 2020 20:20:16 -0400 Subject: [PATCH] Fix snow on screen Previous commit had "snow" in 80-col mode when updating display. Put back command timing to fix problem. Kept PHI0 read gating depending on EN80 and data output gating --- cpld/RAM2E.qws | Bin 2098 -> 1364 bytes cpld/RAM2E.v | 105 ++- cpld/db/RAM2E.(0).cnf.cdb | Bin 36983 -> 35262 bytes cpld/db/RAM2E.(0).cnf.hdb | Bin 4164 -> 4051 bytes cpld/db/RAM2E.asm.qmsg | 12 +- cpld/db/RAM2E.asm.rdb | Bin 1384 -> 1382 bytes cpld/db/RAM2E.asm_labs.ddb | Bin 2863 -> 2813 bytes cpld/db/RAM2E.cmp.cdb | Bin 47300 -> 46058 bytes cpld/db/RAM2E.cmp.hdb | Bin 18552 -> 18521 bytes cpld/db/RAM2E.cmp.idb | Bin 14236 -> 13728 bytes cpld/db/RAM2E.cmp.rdb | Bin 15087 -> 15108 bytes cpld/db/RAM2E.cmp0.ddb | Bin 85254 -> 84578 bytes cpld/db/RAM2E.db_info | 2 +- cpld/db/RAM2E.fit.qmsg | 77 +- cpld/db/RAM2E.hier_info | 24 +- cpld/db/RAM2E.hif | Bin 576 -> 578 bytes cpld/db/RAM2E.map.cdb | Bin 17790 -> 17226 bytes cpld/db/RAM2E.map.hdb | Bin 17748 -> 17654 bytes cpld/db/RAM2E.map.qmsg | 38 +- cpld/db/RAM2E.map.rdb | Bin 1246 -> 1246 bytes cpld/db/RAM2E.pre_map.hdb | Bin 16440 -> 15766 bytes cpld/db/RAM2E.routing.rdb | Bin 1504 -> 1494 bytes cpld/db/RAM2E.rtlv.hdb | Bin 16184 -> 15685 bytes cpld/db/RAM2E.rtlv_sg.cdb | Bin 29054 -> 28670 bytes cpld/db/RAM2E.rtlv_sg_swap.cdb | Bin 816 -> 814 bytes cpld/db/RAM2E.sgdiff.cdb | Bin 17954 -> 17304 bytes cpld/db/RAM2E.sgdiff.hdb | Bin 16505 -> 15938 bytes cpld/db/RAM2E.sta.qmsg | 44 +- cpld/db/RAM2E.sta.rdb | Bin 10820 -> 10922 bytes cpld/db/RAM2E.sta_cmp.5_slow.tdb | Bin 45239 -> 43539 bytes cpld/db/RAM2E.tmw_info | 4 +- cpld/db/RAM2E.vpr.ammdb | Bin 600 -> 624 bytes cpld/db/logic_util_heursitic.dat | Bin 11836 -> 11396 bytes cpld/db/prev_cmp_RAM2E.qmsg | 108 ++- .../compiled_partitions/RAM2E.db_info | 3 + .../RAM2E.root_partition.map.kpt | Bin 3096 -> 2925 bytes cpld/output_files/RAM2E.asm.rpt | 12 +- cpld/output_files/RAM2E.done | 2 +- cpld/output_files/RAM2E.fit.rpt | 353 ++++----- cpld/output_files/RAM2E.fit.summary | 4 +- cpld/output_files/RAM2E.flow.rpt | 14 +- cpld/output_files/RAM2E.jdi | 2 +- cpld/output_files/RAM2E.map.rpt | 54 +- cpld/output_files/RAM2E.map.smsg | 2 +- cpld/output_files/RAM2E.map.summary | 4 +- cpld/output_files/RAM2E.pof | Bin 7879 -> 7879 bytes cpld/output_files/RAM2E.sta.rpt | 750 +++++++++--------- cpld/output_files/RAM2E.sta.summary | 4 +- 48 files changed, 835 insertions(+), 783 deletions(-) create mode 100644 cpld/incremental_db/compiled_partitions/RAM2E.db_info diff --git a/cpld/RAM2E.qws b/cpld/RAM2E.qws index 6cdca8db0d1c4d47c68c2184e1fd8c12e1a5dce2..0d77c12ae5f7c1aedb79179b4f1c3d0073b99c43 100644 GIT binary patch delta 136 zcmdlaaD{7vHY4Lio%TQ`1_lNnhH3^221ABK1``G&hExV)AgK!!F=t3-Faxp-fiMM# zb%81j7?OZk7l;jjstkZ4X+W3=)RP9*SvyguW%D~m4kjk1zR5qBO@x^GfHuT1{Qv)- Vfq~^00|QgvW;>Py#>rwFG60Kb8+QNz delta 364 zcmZ9Iy-EW?6otQ;RS+w&5KBwJtZtTQq6iX1D{aJd7G|9UEJTPw1PMV9{Ha{`O-#3M zAhs6id;p(8)-y{Qo#EU$bI%O--1|BEHuth(Y+89RNgB1IJkW{*{_fj~ImmJU_A zY)WYhj*v(@DOpvTQ#O?5bDnwC?=!)bXDl8wNGtcFM>Aus$+Op>Hg9rOIH4#CFn2;e z8V9FlXouoo(kAQQ-Fs?KV}rU*Cua>+b*fQUE|eqhDVlh4rRx2}LR+1571vAu9R@0q zu*()}w762QJ<^^1GS>X_xt_(PcDgMg zB_=K=aa-Np?&G`Ll2Vel#bp5!k^o6@38~vg?>~9iS--z+U}^1eTjI9S)7vKRt!`_+ zv-?aQnb=E34nslF6Y`&+`0~F^ing%-ZF^Df`CnW5V1yw##H#1qe3(XG^|)88=SSM% z>ndo-gYHPqXCEv-+#HUC3RM9_@8;OPsCucMSHdd#_-4*uLl0{nKN1Yty4iT>hV;#J zL;5dbB(eU;0bJ|3^0~JP@>3=%!wqS%@Rj^!a>O}nUkmE>*fKh$oHI;*5mEDoZh4W* z;;;Ht+hv%mBBPlxU;y|aVnyo18ujcZm{zvlY@$2ObH*nqY{-fZ3>rwJ%%# zwL`A~-i=xt89l->uTH_sK+pS7NE^|9ic=EC{3R+cYv z;iy|Hou{~PT4XV&>+7;~5SX-g!=U{n)TLb4JX+KlGThICCT`$bX6hqE*M!NC(ks=Q*cD zX<37Ixukvu$|=?NF&+JF;N%U~k6W1B=brj~ATWY!`a!8U-TK%ET#o|ZZ|;Ax`F5xt zbvA1`YVW$7tz+muV757M5|UK)_G@kA*J5hw4Hwryz7g(FWV`*G1A8xCIx@F9M3-l* zug?|OVCvbln!GyaLwB?DZa{k+-I&N{6 z_1LbtACs6wxGYYyVa8%%^F;o_qG5(gm!-@@&Y^Rh_sMsc+U8d*WoPZf--_p>3>&=; zrU%DW*pWUw$EqJi9F_n|e3i#ZL#07Cznh$YnKoAU`3inljfn2OQy-A2AzlLa(91#c6GQ{bm<4V)P!F7Unt)*@$#BOSn@a=K0|@(FS@OcPZJ}g4E4Wbwr$Fos+Fjq z*UCPE8BY~dG@Yjnp^MHabEW=fP)18e>6d8IBVpE5$I}xuHy;GV%qY-j>!0bD%0}hY%cX&rhdBGR= z$(AeVmaIO>$)4&hRAhSnmTN3J{#?YTeSp$@Ay^3Q!~HCKa`i2(vQ<%>iVyca$N@J# z&J~R3KP5L>fljook?B6%=xx^?#q-(5r)6mEvoOpnk|-5C9m^hZkiPlVnc`e@FxUXn zz=2@@w5wZa3B#!2a|yi{%bW4$NcAskG$W|MRc~gJNWBEp>c%`429!XEuztf&JSH_Nn4LvOfj5mZhec%W<%_$L1H92 zv>ujczcj*Z{_sgTD!n`VEIqpGN@bf4w;|LNW@#=Obiv6MR&S;Xe$1Ma*dlX$27gS- zvjiYsT--a{omqH^HE6Np=5eO8@=x%}B|=&-n5QRP{@7;fV=e5WTpme70}GVRgV)~i zuOwd6HOQoP{t0b0?J<)>XM2r4QdnT?#tI&55j2iBdb>M^j|wj^<0S}h)9E&6z>M^y zjm~7-KzYmx|I>nzkePEnTJ8G7fY*z8{zY&QqMhg5n-|hu;i*>QYy~*wtMYvNR_&wq zc3~6r1+rkj`KMV5j>1dhcmR{OCNyn$7GMZuv{4cDU#%weg4k&yW~hSh105jObDEsiXg| zQ%6GasRnxgbmtD#qm1ea{I$V~&3Fsr4)M`V(sa~+3E8k!c_&AbmsO|?EX6P>K_%a{**hGBFO$57m6Qtx-I^g zh{gUi>{VyAxHF>i3L^j+LGy8q~`@jE;2F7~a4G*>pX-Zi1% z5izbkss0&rIPT>S{G6ePpmC#Xe;h^7!)IMA_%987nGktkD&Oy(gwqn~eUooZdim_g zmm~fTHzoVwIg0a6+s~a^oGIsks=1h72@XCvo6(o!%W=(Y_U{=V=b<=K+7Z2TiR*??>Dq=PfpnHV6tz1&^;Nuxe>dTdz;gue8Dn# zO-N|q&Tm-Y8YxfQES~Y1<#ipE8}X#{&W}38cmGCxd;+-_G##%bA0&SdbM*;Cn%wYH zrA2`+6-8ROLdADQ9w?^-J|#KjBJ>rR2x;#l@XpN+Vo`@JVqX>%5Lg4%z1%BsAOa!9 zHx;dX5qoST`x>sv>1}zvJq-QDuR_s9ROQoh++#`Ey#)KX)bF|m6sx{hQip`w+gdL@ zdv>MWLV*hM-6lfs5=DJe(7P}1=uS`19i{*f@Z=r*FntYh=&9itD=FZG$b zV64X>ProWMS$)i7y`T}`TgO~tJW@w|&8fTSe=yWyAil~RG-Q zB#YDb2)p&8i8NdO4Hls}8?Mq|%wv0>x6M@*`ar%YtyKE@~d&z)VjZ| zG%DMjEcdl~UOKOl$fyWv0KVw5cqXfDy62l;vHI#&Fk0DYDx#?DBIUVt_O|a>h1(z5 z?!?%hJC}rDAne&)@o(nC*9TD{iN7G zQke?n@{}sMu)I)Y{5La|SpiMA2yb)106}O#zr)4~P+w!pF}*h9EcsG%^_|WATH%c! zK9&m-Nf@mfl<*(>nFgxV+EVltx{z_A-^+|g-o1JLHtVbyX5z7eX=cfnc+FUGt-<^E zB2!VH?$ z;pfkGqI6|f-9txSX3s3pUm>qgbm7~w(wC3%ijV1_x|LN=`Db?DSDonwhdx~Is#>kP z>!>)6@zcRSx7%#sEPNuI@_R^qLUMZNExM4mn`?K?PWT?M*2GsFQCd^^4&8R^z^Zmr zHPH9jx8{K;l#+JX*09h(1V_AfJ~>@o4ec`h6Yb67>z`QG-E+?Tm$WYAe8*@A4J5Dq zHB1%#&G+nI&v$aq!oM_bas5{gx&O)__@57hVJbkYY6$bi`$2bKz6YDX*1(~zW!X2) zG|60-J3;fNZ1%A!QymxW3~taiq&X{Y3qRiYx;nG!s3+9O@Kh(={e1K3&n=xd#OK=A^uszQ zqSrx{{0TM8*G8__3)*eTOx)X-4ZWr}VjS5JyzrpFG~IjVDFPb|MHHWz2P zf_HqG%`g!qEPaKSR$$l0Ji^vxyC`XSb3ir6N49-W;1}MC=Z7oXKpvA&yp1|Xj;f<` zj2*aNNiNI3YOT=MviE&R3)i;{Q1Mwpt2l6&4z(&Yz{TagA%WP_uJxO2i&g4sB$k;u z0ewzNuB{ecDgV3j$vOW?j<>kl%%E{j2WL}~Eie7OTLs_+s%yF@$epczZ1Zbd$J%wC zun&aqI#c1SC^PRHURQf{bFMjxYUZhw8R%^&UYg5(9Ejs>j=|u3EQw}-f%CIYKYhS} z=Xoc75|ru+Ht{zq4e_}CV{~ynRUj2#dR?p>7V|>_vXNJ*csoburBL&o;w{?k&3eHn ztC}t+;Wa0nbjBwo5Z>1)jQyl%NWRxwIVK8UR0lUw0 z=5{rs`kh2v`>LBJ7xwAap=*$AwEhFxOP)Vrq>0*4YJJ{U&`~;6xvyng5&H%gS!AJH`QHr+kc)og7RAUa_m>giPLwS_zTUv{n6;Ll5gl$;bwU@e5q43 zO^@Or7lhJuPtR}ox}Y`ZlZ~wyS;-pmy6$ao_4YV$vv;U6VeTI%Jf` zEkRsxFx9okIl%q$5h990ML=yRRFz^AXu;#H62-6c;7g3&aX4!`m|S+Lpwt+0tcX_e z)e$hE@!NVuE_wa@Xi+qg6utI#WghI58H@7C-0dOvufFM^UF!Q`O~XN{B-|rx>^nd% z3@yL_&3UJv0bpR%*D`a04n=<0i$+mouB0|!T{?PosvJeHB&0TERzeYN{t5^#kh}Vh zO@4I|pq2@>wsCC@V9ey~>IVh8`rg0lTm9aA&8NpZ*p*K=mR&+D!$0A{VAwuupA%4*H&%O?qnvt;en-F)(y=)m_+N=3I zBt|k4ry9@_>WV>TdvJsZocx*o_4#O=<(sHIC%gQ8)1p59b#UDI!ewvf)f2GezPQt_ z;j>Eq&V}h9@dXk1dV{i79hA>F5Nz3S>}c`C(7TFIUG;`TU(B7kI(bh$HFca=X&!}l z>nhP~j?EjeCmocsO%%f4f(Lxo^EawhC5j3C9k=lIT_u}MvE3{M_@0|@zU;*$^VG8@ zWNxX)rj8M>y=Q<#S&npBJo%&dT^h1tNp`RyKeenzfK5#I1cUfc?Y;$pMcW5r_lEP@ zcn}-AKQbvxCpJJ)sh@q>1G@9hV(cA`cIgvbSX}nQ2JJz0@1dQeie~KnK%U0(acpdI zq`$;&?`2EKuY6K0L3X|vB_LTk!4Ujv^4`@q0w2dc3SRL^mozD)suep)mNeZ{ ziv@D6yXOw)UYIx68rO$ePF%S*LSKC%GRw>kml(i$1l;Z_=`;Vp-&Nv#?}^mFA4K={ z>Lbacw^bKU1G412B>JK|X9FzEyakuM8OpU3Cc>^&(dTwnhc9w!@%*@LtAl(H{1z&G zc~WXXK~n9zrLosw7`qA0>`ujHwP4dYCi6*g2dx08k|= z$v32h%@Tf#JCy%t9yM+>zCWR}h_(H12&RGby5Q=Jgj%en@w*~Ry-I|m=FG%@^XJsk z=4~0ZEq!q*^wx9-My@UzPsOO(73ReLk_%LUjrSz(`lSw8qx`V=ZHiJ>@IKbEcT z;at?ARLL7bwP?%ng1T3pR(DLe1eqJW0%|H=ErVJFoo^Xvu8CyaM%0J17chV2=TAT; zB|bO^Z%Ek~hb3QpsN_O94QqVho#2Bc#MG{#p9M(wH}qW`E~X3hDkt9-duL7(Q`C&? z@;~Uf`fuMBZU{;`NQvx|YQg&_VIGemYad;R85Z9yn1|gSkc+bM%Dp%!8{%A`3VsFE zVL}`BJ#3rqt9lCB5(o}^RWERr&NK-gC-RQfiHp8&{O`2@5WVR*U**$-HdJYoidw2O zYo?K^S(M`26G*kX2LVyByL0&k($`}c<9982{Po3g%+t8uCK0t{XXCafU6aV5$j)-? z6|UVJvC9(LwTnh3!;AivnIHm)ePrs5e_8FNDqb0S#d^9IVweMIYb;T!SD)dkL+f~P z;+aA&o!y$|uftjEcV4F#u3c55O({u>8GC85(Dfe;O`#SDPXL`qP)f}4>gx^zygGZ; zBZaOILc(=fy{^OWufkJho9SHl1?d3%D4C2mseRbb>{a1cpeit9^TY85jEdu=<~N?P zpo%)n<(_xM*iTO;*2LZkb#-<=$%^2R&8D+-?CRA0gZ--b=ccLe=R3NbY}XkJBrP6K ziCJ9bH_lY9_{sgC;aG0!?X2gWr_-zl!`$AVnVakO)L+}NCnr&9QY*{f%cpKh06bE*fE)wzAj#{MVJQMQWC^-tZ=%Fls#O-k3b@2%J9!0F#C z)%vy2f+BwYDalcVrWq%IZm*`jps(^j|CTU@{gzSl_*7`3Upg z+l9IOS5JN_*PB}vy!4c!PJ3_YS`ztp#{vKpj%7Vm77kw%O1`l9P3k_0@MIqg1~g4t zrDd@JRuuf6&=t|u_gQr{MbUL@wX^%pfbZ=%&apQ{wDoU27NoU3J}>i=@KeF92x&xk z-c;anJuWM&RsBwXvGPHK&hCec`Ny$Zw&jMUz!aqw=*kFcm314^E?O==1c2`-3#pN zyhji@OW$3bNWh}5k2zac80H9n+Dst^=o3snW7*wm(cspi1$~97! zDI(}%%+0!Q%_!%VP1DyvPsaDD4Da#)1~ZQu4)2vuKB5s)a6A-ZaJ??;^4uv@s;gbT z=+59Xs?F};N7eKhEO#H7El(vajY3qq+vRy{KBC%`%7#|T=1Fu|E?1Fq7tFU~1HZ$I z^w;2}K*hMWL@DrA5fFFJ%_S_7r)aYhV+s%blMufcTE1$2(sgh|`XNTbK|s0Rk;jmk z9N3OhMUp+ZQf)8(ujn{)F=Ao_4OgJ#3vsiMlr{orZdf)O$c}v(^A2hFybihZb9v%s!3Dm@xOX!-O z5mG0GGNCczD@h{|ViHKCp(bcEVChu#!Mte*8-LtL3>t~7)Qh5tR$SA~XD1botbQNP zxVtd*9V2O#eAoaiu7_8sC^Dj1DjY}PTe%EYiKCVmhQFanrL*H*bfYYri z*+_9)`)=baQq-VjqwX>_Ukms?3{}s^T#ku>ntvlUYZ8mLayK{MkTkP_fU)EG^f$0o z2E0ZV-KMBYt9j#f%>BpEfUhwrs4K_oKJXYg6Pj@uX1j!xJ~E?Lz6%INy4~98%pu5k zW!2A|zYiq7qawWxgfIs}GS#5rYS36Uz&QbX)<)seyMb)+QWL0W zGE_GQ`!kIo_wyTV(fV_ask6;NHiwE|-T77AT+MNaR-$uz;U;E4@1ot4 z)hBaz2&ST3KI|fPFbcF9vKAGv<~!TwliFH*`o<3uvFej~B>jnk_jaZf7Xq#HwMIKT zb8u{T%@E?=!_ttiQeV2Bi1Vo}tHYgIXwr_n3C;_^$KyMm0wLOb4{XXCn{|-8&DWok-t-Zy zp_4gVekZy$tph@*O7=BsB)XyI5IT~<4$$o`)(IpZ9y+|`_+`Q#K z_J+{(?r5tNd)N8%8JLb#`$x*vALLIEh4!9aHp#d6OiX)=PI3NvKM{H+yM??$n506% z{OPf7s(gQds|;A@Tj*pWnS&k$i)HN}&W%LY5u2QBq#Qj~hnkR_s86C6B9g8*Mna>f$t$qwf3t8fMYhlZPiOyQrl!7sReSpMed_I0v^`-v|?eK zAZ`FHpPkZfOvuL z%IvHYqdIe<;ik18YzY~}qg7}h$!@n-V-g;|u!e|1S7sB1GauBOHl`fXuk$roK7fS( zd~MLXsGgpEY=Y0KOPfI)7?ART!B}Tz)+W-4f6VHUP;?@+`V(N0Gn_m?d?f^_DHer; zUvNjBco&>iDy~7X*5Y`Q-o+2(a|R6jmg|98fdfq!$*;UKmNYuJO5H`WxsHL|0fo7- zqLQ#3N5I7h$(y9|A0)W!8Z_8fV9<2=si5NAbuBzJh=_2@I^R4j7tViAPADm9QZ^TP z{3~F}V%5JHoB-!5>To1&c z$nz9UGoK+qg=Uhl(NabBsQNz1%EbCTG|=bX`IK`%1ziHXc6#D#HU>iuR1!aqm4i}~ zW_!*DMnSx8q&mypm-60jA`FjMkh^Q3)UzAnid>KgKbWRpvLo|_53 zf1{H`w^PfP95|=R54m96ss&=2GsfIpTOtq$OOZDaulrlIDgo6wCP)uI{mVAOF`1Gk z(L;PI!*I?TeAH!Kq?EFc3hI`<=TyA4Ii=4w7|;$FiJr^_odZUi&nqvl?jtkJSGv~* zUwt}MPmjE;Oz;hpQ@IgxYOXkhHQ$>#R{H3j5kv?=<1dKWFwDUnIPXXKQb-K5>lE0E zu>{VL*Vh2)YV}<&V@#7W(k{Ew9hYXDFp3Dn>*&G(shr z2Eq~Buhi}{9Avh^hrPW<^PB3s_SQDdL_dzh6yW{k^n|E2F}Y63w|GK@Y7e?FsGCyt z+p~ddDK9GiJdIOQ<<$dtFOC14g#6rvk7@4$2q~v}t~ITpF>9wZP1;QFZ$pV z8b554($c8e{uA;G*N@Wz-l~3GrQNKABYptn9^=JnMs?`(fP7c66PJ=lG|mT57;jVR zYTLkz8gne_#?b}gir~6C`P0IR6q&3<$X_3x5O=~1qJHl^oK3mbd>gJ*aC(yue(Q8* zp^2#LUqc)@e7$&6d$!p1v%dxDl)$nJXVgF}dO^x|;aLCHNK_MXcT`sqds-ne1NHam zz*H8((MIr0!b>X)T*9Ym6F(neCsCj6!hpY`guwXo#q~Sd(P4{Q&|(;ItXlW8O>5?P z73@X|!o>4ZD0osIfI8{{5tCB`f1g5ceCD<(DopN&JZ$g z|3`=v`=SQg9NaMd`}#6|qN^xDS0swxb!&36CtIH{qRZ?OR%!vl{*#>)tGz4KcD$$ z>SKu-$Hr@p!YUyvrAINW^%}=)F?{{bWaEY712`*$wyEmNczfJ(5l#jKzc~_GK zG!I}(K4Efj(8=t>=g~{n>8`Lt%tmt1C+k%qC~|NThN?GEUXrvr;iwm}Vwb@CaLaC{ zt*zs18{ac?AK>efb{kySLHd) zRz8n+WK;DJXrWs5hhL+)eyobI0XzC*ih=UF3e`u`LuS8^ZX}cnXOxEjlf(XevFuZ^ z;>=GPXtdeSObFe$*`t;EkS~R&g^TKh6bRk&sB<<}`>U{|w93Atp+14^r$P3+O7>I7 z!KfijypHSyreAy~8pH5ly!aZ;JUDp8@&Rw~O14|3_!xlbjHV0ocajIMaAV%b@P5W*5R$U7lTmzAb&*NM z!?u9yHD&nJfXEe3cD2#?MTd6xjTVt;xOKtvx%b#R)gKt0_pd$myuE1q&4&K+ z-16ji*$-onD)=7>YgQv=rd&HmZzMy=YX+teKD;RmPbR$l*6O^uUmu9x zygP!ywcS~KaSHhb;ZrIZNBn-X?PW*d#CIi@NR(9)?+H#&IH~-)qhju7d6!Aydn+3$ zi^+OYw4QWZ#aBO5*3B4{Ho0OP{RYoKmuFQ=FiUj-3KDL;(zyDXWFrJ4){fAb_7B; zz8>L9<5C+ZV>3CV&N=q-*B7M{3s*c9H@fCxg^E~py`Dr4L^S9$8`g}H>_b0vpB~CO zjods!#E*jMiH4^-!8`AsohUw|4<4{+y)-v1+z#`-(vWNNW!8xDdd%fRM~Z8jP^+T_ z`-&81mw>pVzSNtP1m<}Dx{hZ^oO zd}?NM?^}T0qS=hXTP%QnQSLch?D5*^yE2?OMg19t*l<>A88k(#a#N1N5scAzOhn0Uup=O?IxQ^^*CR zaC?l;m4*tFFMI`;GHzX4prg1EPV8PHv~_^A+OxHg{MLq-pG7|)S!np=oGeOA>8@L& zhEY7Iw1u=RvxI58UNpfb5*D6^LH3DzW@fA9P%g?&=~6*Hd?qh|**}uq7|0~AGf!`F z41`s|?J82LNX&8mbsZTGa3X=NiGP!(hx}f0NKhk}j{ug#@_ZJoAtjhCIeIeRFtYay zVuZl?FHZSC%BK9#p932e_6t<}3qzdhq#>7_ie1gIrhb~}S5Q&ArP zWxPFt`H06;^I=b(o#v9^o-)$BbYAoNrlsBg;-PggY$lnG(y!9w3jj^)^0J%)=6vaB z{t24*T2?HJQLbln2ROJr4}@FT3q6C$OoGP4W8A9ofu4`#ePRsE1sO0>y! zjC#)>?)Gf`;$bF*fhf;*Sl=Sa!uHY;s*l^wlOiZ1_14#BPW=1J(Yv*zZZ=xOycNS9ZXJ($kzR=49Gxi=NBBaYEDpYXp4?VSY49{g52a!yZc^y&MJ(g@)){rQ zzI|^OUQ21P6I0mrY};&H0V-yZdW$WgzTm|(?`lAKGSm2pt8trYMyn~^f6`KNB^bYW}UUBJXfR2sv)d|uF95L zN6X9#QoCuM<}+-+d;W=+n>%tU%J<6DPm?bUWRYd^<r(f1OS!kbcU>%~ zvLaRKA9e`dvo@s9;CTV%(eM$VwcaUrq)6OBd~i7Q9N4`qRkurG)E2aN;2cR92ai%b z7>CbnsiN_>$oIkqF@G61qOe+k!YB=X#T4!Yc4(ZMNTmwzAo9xX$#hy*m%gIk*!d_d zJI-zSkGv`}d3@=Pt@Y9Jva`3G#G9v$A<^+bD+vt-zq#?i#i!IydWciM)_m!|j1UR@ zBPO2*NN2_mcEvGMY0^K13{j zyivW0tDMNiS)MGLcfXj#MwRuF^gR@nESV}i0*It&k-xGuc(pxVh@x`CtdruwehhfwVUo-qvnY#|yn{tkhQjoxO?W~tGy@1{cY_%e>#NlTpDG~{l zaW)k2f}0BS278QJbLZh5pclZ6wiE^m0n%~kDS&g26;nBE1EN(O_`=RW@m@8OaD_Zv zm43;aO7(Y4lqypGEXay~C^wFI%TxnWMTz8~`11v|K|A$4@VrS!Wu3u%h9t2dQbIzW}A z$|nktb_#F6GkZvc7U9fL_TU?O~^-atnWWqxPP!dKJ
    J@werDF$`Kz9m-G! zEzf+4?(av>sZ_mh%W@n~Drt1NBGg~fuU)6gTj@<@uIHlo6FNmch30cM_4adm$DmXd zzLYgYD}Jh!+O6k|hF{`Bo9cFbtJf1(`^Q8+Zro&`|BwYV^f$@B70=4QLmGTrK1F(R zk8aV5;sMUudg$-z9x1Bfu$~Nokcxv?Q#?3@MZYFF1h(D<%2LlKUSg73(jw24shQd( z@IOc}IFS2~>Ql%^`li9kMdnDT9JMkhXJDDk+I_Ag;eVhs$e>34fr?U_%%kY;%>t9J z-;eD71LbG_z5=&vh#$9~PdaTHLNY{#vs=rW+fh8=veICJS~LrWTWbuhQ~Sza_SfQy z_IJb~${#;YEsvHGlOc3n}5vv9M+$sj|bOk_e2u=~qNK zRHq_KG&k%g*mirebIq}?Temg$QP>KTo^_-7IOkZ8D~C5bZ+=N70;MTtu!u4WQu|Wb z79R`rE9DRyAD3_2VMF;ftu`*-%;-qvMXB!lyCm}VQ%cf{D?{-FHs4i|MQ6Y+F>(0} z>QaWvEY&l7siU&uN7mCH48DKhJ@FD-H3;7%r;WH&hc}{}nPlFL29V(%SH{sChS7$XQ*@(& z;}o{d01wWKn}Ld^_}|p3W_};n?)b?#Aqr?z9#US2LW1E#A;nT* z+W-gtBW=5XZ)txE<>6Ht7oQ4Nb6T9oy&#^<95s;;zg}{-z7>IT8zcNoy1hdtBmyVV z_`=;(#;8a8M(zWZ(QcvE2dM8QpULN<27V=Q(@mz4t%H)e(ei?rC54UG_vL>^W1^qX zq#dL(p11tv%EMt1JmTI!6*;J7US;8USj=g35qZdhM1Hh4B6NmkLIPohPKfq4LOSS3 zC^SC*Bw~2|r*(AC7SVk`;x~@`Jhl34$b*92l~5R#;9K)fNB%nd@5nE+&x^dG^HLVx zh@orbfLD3I2=0g>|D9B8=B9dU5CUat_zjXnj`#fRmvyw}R_4EWzGD)IyXaN-fqJdG zXzOTB011|R-*+G<_m7B=&OI&9au&Xb;d%OvLDquT6%c7o0k+y^!QAdnPxcVWPB+^^ z&C2QahIbZLmgBI<8xeay5?)v}?In`cQ=WtuM5b$49_~MgR@sLmpN_kvfYIOZXTRTc zTR|16df;X35qL)y2`g7p+~dgCW6rDM#z#s!vo|6zr7WkRn$yN%9F<;+lFa-Ch$*r| z$+>_PUhKxUHFs|`s9AhOyAk#pYjUZF{Uv*R_Y-Hm$99-r5U^APLhV6Ws2+o)Dz(=v52F|s zqLZUEaayjiXA$aF!)=!2P&0B*u7qjgu-D(kxzyDEC96I~-@C0ozWdiVsdpvJ?&Rys z*w;1X3A6!)eSdAivlMZ*i^4bd59PcpYU<5o+4dbcZ*!kVELsbLz#MdEGGy45ZCZD= zM8-@!IgqQ&(*6(E$jH&>0z1rf<>NQVthCwR)p{25imbj+xywv-_)t91?>&`9fZySh zSoq}9>8v!{Y8OM~PCADbbDQA}b&Buxxr}mMg>xuMUeXPvpQ8iNnpB^93dV>=8 zcjeB|2mIQzyN1k%-3$pi&`5*&2-#H+dT&U{lTF_3?h z@%OrjTuG8;RViZ_ZE78IxiC>W4DO@O^GU>V<)uG%z~2=7;`=89pxulYuMe*(k~xya zXE6BsL&$UtBFlk#N1cW@U1ImPgr{k$Z}S7!O^V-UqJw2eRR|GM0Pr(Rc)FIuNeo<* zL`ee-e>G+Z#%H<>Z;=g#rz6_poz?DS%9rgelMq?#^iH)Z=Uorv zlXDo|f91mDa|NciFu?QGIiHcb6@^($mGpbYJ~Qf@I^_^$7d@*a8wWcCsbc%g@9 z&1O6`FYhbujCIUfG1zqr{t6e{O8c@H6H@@4IzsBSH~#rOK}^dg|1*^L-L1wQY71dv zhTRa1!bk8O43ew{ZBc`UszEcjl;HxuUg}}uJ9-`deDvbE zkk39cHyg=6w9fOW?37ck59`+!0DfaW^LX|%G=pB*6k%v6oc_9;AoYw#_ZT_D>XWlB z;5O@*d+eIxCJuHC!17t%Sc#d0I)*OR_!pz|CklwKup_ywSa1FrjA=}vPsU93NHj{j z%;_A?1rZal)UMYZ^?Vc@XN_(3$LlFXxa0Av68%PPX{=Z*XG3o9?9W+TkJ~OKH$?pbV|(>7YuD)tJSI8&_f>*uUPBs0uel~5b4k3c?TB_&eZ ztY^+-ANYXs2-Pw!4O;E4q_WN*k>`7~N&3$l|72jM@HQJECgKsUI*9W?3aYOF0F83eT@8ju;UKdcUJg#+*zC_DTq*NO>_KY#Oo zt76fi<`9v!DS8yeM;br{ym~{|1g5ZYI66{#zN-Io%Is4jNB0O(r;&Ii+Wbn^d*`0} zhtTGN^?B;t68 z8xlM0mVn}W{DB?EPBKHN!oVqzk5cPw7MK;CeSFRvn28E!BD7Kyt_BjFsR@6`9K(HD zCWvshM|p6DGkcOrd0`^=d#&PYNeCWyeT#O(pcV^x#e!{?RmAPhcuzt06BpmRLco zeh*+lTVM)Nw_+I7Xq7mkqA7DtD=?(pN(=T$VHE2h3K z-_};u&+Nw>E0yLjHlD=$GZ2@je&b7yfp0476JzDu{BjAXPgmfXpxNTd7pBODACa=7 zm?+fUIE$RFL0tpBTl${%Kas(ICWSCez;TwbkjN_s9_T{99+&n;VS$83s0=`T4r86Nfm-Ds) zeRm|)#?LYt{Wb;*kA|_&ik9eXgcVuQyOg_XA7uz=T7RMJM4ij?pot5w8!n4fB2d4K zVJ2`dBG=NShygv}nsW*>L^pobsMO1zME$-=lH=jy6Q9|AwB^a=w+wRz86WF6x2n)U zouU|V`E~4C$&Lv*KvZy+J;{%9ip+)Yg|>8eq4FI({}=M%4B|x(>W{2{(TlJSYlVda z`=9!-Y^3YZCSUA6Y|;PMoi=ykAa3ZOJp`pU57IV1>Nzp)P3dQ$gWFixEs{*K%g-4f zJY7s0`tr!zT6lMBw->u zb;4{GnuR~rs+fn^fRFbHR#(7}%%-ykfxDK)ri+X58(&T(#hC!?k(t9T&RZv3j5UQbw5J-T8l*jMA z@BOv=%{M!H?%bQ)ncZ{GBBMz#YC=+kBpx4NHwYA{Oy{|#dbK;k9v)DJ|BT}~gowd- zUR>}CLd!@lT47=^qibq#%oa9r>WY2l-aCo2T{49h-zM?h4%fsUZbx5m!?Z1Vpxuy{ z3Tk8ICI_CYlAV0-yqA6dAlsz5_Q`ql5zgU3By~|(-Yh-zV1qxj20tV_Nl%bj|9G;T z$+hpe)AsW_h{9b9yF@~=UxX@?5{50Np-vM=)JnXkr^{H%o{RT3i6n{jhp@wpEQD|e zKEUJ^*k&cx!~p677OQOZ0VrFOq!Z41m~rlOpbPAwML#eM!Zfc#z*`(1y?GppyS=?H zbykC)1Gv%egjti~Z-@J+pX*NBVBNXa;mP=+%OdRn=b96#Wan@X(_tCHzX_2vL@$CK zG3t4F4eH+d@VHqmsLIxqbf^(3?uR+G!GIYXx)V2+$K+V=yC1cF2kginglRks!;8?j z`5125U=N|uYF(O8Q*i%5NRu4+9zPTwHsbJeCc3rv_b$v9XW)dbi#!-+qHazcifwn zfo*Jb8`d|g&1;$D*IjuK+z;gH%bzW%f+9TRcD04umY^2kW#)@Jzr%1K`GK~R<<9R= zK5R{=y^;I>dGk!dWfw+)rrv3D6+^#?5571={TTJMxeh(h9@{L&bd-Jox#Xep18Xst z*u%j84Uj}YqJf>k;nU&MT2t_)mKGi+^UG6`6Bl+9cjeGTmT-NV#;z;O0U`h3t)%%M zfhg@Kw4I3&FqI^6GL=KVTI#4>EH?*1nw!sv{;Yii%Hjamn3#oolD#F@A!-FQt+UwD~ z9sd|cJp<*@<>`pI>uRT5(D_LmzUzZzcFUvu^4ugxFgWykfuv$XF=}zh$MDjLV*LuO z7A>mIJqwW`b=*t{8-#ChQlbcmKW%>*a~Y9*WmjHM1(cz`6r;f#fIVyKNM;BXel8;hMQ} zXYleuY+FnLQcdCN=VW=Cbc`vm)U*V`p4YMcN1fyiXeQ3#f7euPhh-DBwfJbR0`@|x z;UnInRlPQ5WCCT|(<{h)sP>z)hV(`U94d|H81?k!T5{cKYa@qZ6T!2%7l+EX{f?q) z!C917Xt^m;s$Ty*wmAuLPrX~aL1_LW`(MKM7}4UOW~I% zTmzB9`JcIgx)6H-f?7J>LjNJA(5T3D6vH!awS;brx!f)gIifl~UADPTbe;xMhpeUc z;Y$dlw~=c-vJWzA_7>MZSXE_M=af$zQ%@(Mm=F)iu7 z?wf~n<96hmK*w{T;UDseR#VeRnVG1+Je9aFL!{Vm^Tgm=7fdMZ{cTrV8wXB>%-Wu7 zTa`PT$U~`$aPJR={n;qq`wHYBoeK?})_&(FVB*l-9&9IoOCHSmmOF(OiAn>&Jypyc zdkktV(jb{kkSLV$w-(3P1f$TwSG?5g@MQThQrJ0TU7iGhw+7xti-$zyx8hK569Wx2 z&*L>n-T;sv(qb5}G#gy1WN%0jef$nbRbWy9t9!i*VcT7_|JyGRM}#D6kN^`1n#CY7 zP!!lbk{9?W9g(~}+;2^(3RVzj2ZWu;yp{wDyc>5s-qS5iorBOu5{)NLm!kOqN7B0; zwLd!ePaB3n!v!$fE4hW(Jjfvx{!|5zs&HMlY4zG8=S`h>8M^AW>#K~W9_-hP%=bLL zJ*ZVp0<(2`9PPoPB1mHE(rsAOu|p(LX`+873IA}k07i+X(Si!0CJ$S_YCy<$Nfco~ zUOCxaPqJ`P-fO0S9mFWg8Hf`(Nnkx@(?K_q$T%_WA>bN1 z%z?gqY29c;N*7_<*^p(VPC-& z!Svz1VmnRU^JK{+Ia+7>xAqNuHMs0xTj= zf<&zuA-U-80pd0utFS2f$W-7Tt6kx1|AheF_F=IZQxrUfIR{c7l)g>WHU*bH6wVuTX(B(qMM5r+XL^#pX{~0-b8)6(K z84O@RmRpm)vfvt{d|?yv56F`uu&<+Tg?n>DnykLl4fa=F-Syv9|E}Bk*+NqEg>B@P zHR<#=UNz#X(USuWy{39tpv_6@q9hEf!-Lid<=I5;NHE_7PoyJQ#mnf!BP;zQ@<6gH zuXy!p9L;SgkIPq`SF!mV%U|i#yoSEMd`AeZyMWDzie3FVZ#gXA3V)J*Nb&b-1`!h^ ztpdQH76xa@1$n+p0v&h%oF>Ur5{C+C2m6gE31tN_%}J;6Hn(xK>Ll%iJjsnbo+YR} zJ31!|o!LV-|H(+%(;A zRD6k~P%ToaIzon5L6~QEkCYoh5}t_O+~9}=Q~x&#MI=~i0!nRTg4*10jwJprK!k3L z2L(+PKxnS4JHBEF>ipO3T5*rDu1YS=s)&}zs=X0{Nn!0fi2KTe4*7*7%!Cj#0M2pY z`GI&rTdUYcW^w?$b*49yIhg}nW?1P#d5&a`(Jq&$TSTPT3h`9TJy zfIzpm6Q_0>g+c7@6C|>$*sB<)8ZfWKYjQ_lQbG3OJJK!z9A;Wdlt7& zlQabB_#nv~5GE0yd-qu{F|gB-6VVAdqAM4-}^$o zjD^&?KIy^Jk^OtZyRM`bd_)0X3i67MLaV@phx#idUE)LXkDBsfrbP!GYTuor*`5Y} zQRuEU3L)NTC>sV3xxP0W7T;s@MvHKv2XND|A86)RC?$OS@OCscq}qwd=5s2qAh5=b zzCuqvZ@(~DWp{EmE_d@?jDy&b&`Uythe~*+va!mXV=8EQBd|~R*Z4+vtbf&J`_QmS z!Ru-fwYCQX8(BI8lYlTYSfmCdu{iMHT*&zC1mf>*u&SKM5Z~zPRaMO|GpOt{;GG_{ zD+scdsu`k&C`MVz90w;;?_pKi$}-Oda2MTg^>BQ_XiqY=14&JS!F}WRUx!7KsnrgG zRx_^Ds&KGiOmKIEJxI*w#iD8jQkCsiPS>LBH~V3vgM^youy-(koPebY1CfQz=$?bl z4i3B$FrB1EkU`H7lCWR0BQ+U!F$E!n)Fyu+h9ukLwrDHnL#E{RAG@te>cN#-GY5dD zzpN$3(c#alhm&t+qB}vc0OIzZAf~O@e(Vjjj2Kv8zunlw4k!Y&)xP$}yyDu6i!}0( ze4}vNyaa-)2`MR{72UA(4@61Ja&n|@J zY|v9JX`pGyfqTmHFh~?VfLQS)UAGsdE4AM?gV+z>6+f*l@G|B<+YfQC14*7WuC-;h zZ@P*I7WbIdVHiS#kG`zWEM6+S(>l}d8Da~?gxA3={=YL0W6M@5VIng@dZGoX1nilF zA;(yK8=Et0=W&;qA{dBdy$d<4s(@Ymaz7<*4hjRF?`shu)>tT*m2?ntCioN3nUCIt z!19UZWY!{6&>h@8oaW*`>spYc4?SBzsLxo?2@SwDPdj-yv*f!)0M8Gb`u6w*n4 zD`K7M2K5xxS(iG_r}lNN1C0?v;8I2e0tmeSn4PuppK|#AjrvCJM1Xkak8sqrqZGlM z>bIZR>dEx>mJM@MsyuNrN}KQ>|5`cUeA4>jlz)T5@v-Z#nYga?Hjaq;-VKUK-kbx9?Wr5Z$D4Qz=PYPoru^OvxgwvDu6Qjs6l>2W6crs!ysl-*f!v8dg@NY$I? z*QMe$?$kW63E{Z(U8s8}MYzj%(gTH<)=Nxq%6f0&u$g#(>*woKcmP1+6E12IVLREo z!j_^rxmv9)Jn%G)EuT#&O=4FfxJ-R}yK_WRS}$ReMCeN71sBK|~q~&ZnK2y;DO z3Z!{U@6AP&ER{MvSuDLXLs_BJ)*aPty#SL(Cl4Zi0ba!$E#{_I+?L(psGQ<2=?@5S z)vtRV&PBiX`1hZMa#O{~rQmP3u0Qd4`-(60n18F>ZR&^r_o4}`l5EpiLlzk2-**f!>GeyVn01jc}+H3Fsx&$axI2LvuS>&Pj+pw=S(xm4} zZSL<|hd0W6|2=-=L&L-31n9{gD&{x0VyJ?cb?AD3#^)d5&OcRi1uuf`C%fvlJKMWO zW4wnYOn%-9^^cBnW!C_j#w9D>fEhFh<&wQ%e7G1WzrZ0>j2m`q6Ax3*+}FG;6@p7& zIIDw5?c~Bo!+n2>j^Nlz%i0iX1*3bj@lWkmZZa`iJUwG* z)OSAXDR=ZkOvP@cpuNB!<78F-z^9GP&nnWDeC9LBLeQai=7U0*jef`R-d@zP9&~q( zeOE8YUO8yCT3XA)eM$3=thrrE)!+Syp51cBgr3oSJ^=IgoXH~HR2es=jC(*ReRy(L zeQ|Tx)BqRG+BX%!kIzz=Ik(DHx5QPWxo>}Y?J?o&QpN_0EVF zbBqB%H|9{cZk~e@-GyO|dx$WULl3rOfr@V|%phX)W}hU{HpA)Zu>n|0S99Kz;p0)Kl+V>m(aq2I0xm8SJXfcjKPuMzbRisB>*0poU1O3{q zTKq!J>|lR#X%1Z8Le!7OhN;y$bX+-wB^-{Xe%r8v&4MNW{P|6L_9+M9+-_x-+-w!T zv?$8^J5*-{?@+!+vD#s^(B*d;a~mks87v*yv-xM1R^H%oc~{3jqT;J%&jZ;c-bMACutGOzoH~)PW+g zHi3`hsr^S^-Yt_pc16Z!5ye5p=qA5$bwv;&@T3_pO8RW(VX&p*(EUtez+x2;wD3oM z^Z435;SpnF@B2w9Wcu5OMxXX>2!+;C2Fe96m%Nf+V6)r}`n;4@YL0jNoEpWK;{S=% zO2+!hEG)@T%1di5PR(1bP>YObX2Zf?q!?)-@#=Ft-~C1B`E&1*wHgJjpFAcc880!m zfRP_2FYw9C^`?HE>9+#5=EPDaq#AEb9QebOsCmkxucPx^RZrvZ`pM{A%M)YrhLP@5 z^YpQ*BU)JrINpiie6D#y*=w}+s{3|1dFrH4)D}!^vH0IY<-$JnIt!V{8|F9iODs(S zZ-4k|z;tp%L$4vMNWpG90d!M!ACEMJ+tM4savojjAz_&*8=L{bTs0Xs;nnTiBOkxm z#KK{Wko*)Iv*P^Zr=C%cBfoa1DCGBQhCc&oaMS7}ZnbQpXy7F+gAB2+09oTN8HBEO z#YnUx}*Jn2`}|Aa@BJ*Dt)mfRo30}O^9 zmX>vkeKPgkdG<&uI*faMNUl?a^lg<1n&7g0-UUUqVYNJyrAK0+iteRl7mU^ChtI<_ zLb&T@4phnrZmR!2-u$g?T5A|=Rd`yzUQN!~5x!JDj}0)I2w!d~JxgAv2OZqARI~yG zK|^a22+aVSGul1Hw5rpTH<=GV+XKPHC~OwqYT~r+g1M?q8#r3p1;n+8ms{c-BOZqt z)nR5ZoK93DJ#>%iZq#i*8A1TK)eh59UoKv3+|8_JWIho>xZvP%+GyAjcFQeZmK^8B7XhFPY zgcEBCc*)3oAWf+GqD0hdghbx(Ki}rGn&lnss5%VN{X584MQQC@oz^|YM-6c{?RQD~ z;X3Q7kUnS%r+?Qq64iGnZs)KeQKUt2!z>zFg^nlta`EAJ%^5QF{#r9oJfzq9d6F|J zjHgqtXHIv&JrBuyaEy5>b5(xmvBCHE*}i{o=frOS7N;Rbx=FV~wA!mA9J6ve8a9}e zZT}2qNooz`UOKo`(BWRS2U;8!?X^)6Of*P$)w01^(^0`L0geuANUvT#u=52!IU$h&h!^bx%!vF3xDyO$ zPV=UGty+5V7M1g;7m*EUA3DbYdh}-`{DNHz>k;l|B^xS`0w+7 zKjOWb7yw)!h_$hN;IF$eOer|+$=(ELV`-JLefZ+5^s8F=91A>sS>`j{4wX9AFG8~W zT7*2bSU)hK5+RJ+=%NOH4%5WfaPBAPG;r>bvNT|~{=Qm!X>9OSq~B?=;d}O%X*S*x z;yI`HpylA_;iF_Wc60^_@_Z8J)=)iBaG~t^coI|r7`9gwK0QQEf-l){%@!2-ymfDQ z;xG`iQJFYy57Xqb6VlkL0_d`zInn zwsotAmu~B^SCHXuhlS3AK86l-@&w6f(w9Z`Finl_Mt*M~3K5Af%$@1AXjd*Lqy322Rz-H9Ho5621qqaj)2B+0le< zBww%9-B;;Q-280m7xds`F+5O~?m#GiQ8HFAAP%^Q(B_+;_ep2>ECL*ab2Cn|ebBOb z0uLFzc(mP@{ANQ9`N5;+EAcmIUXv{0OK?P@PpI-LTjz_h!cWzv_s&JG7AKN(n;p{` zb=O#mnXvrjw^xd;vG!NK52=o~{Pm^C*GgeQV9()Po&UGc)m`m0LGz^WH_u=(jj0(G_^je$p$V`PcH+ zFSGOIYcIgBJ~7?n*!$1O#~Kvh2i;ihBAejge}}nFk-|k@qM!TZU}1=xQN80KxT99$i0bUIW*3;LZGTvCb%e9MT%!OO7nYfm+! z&P|@yFkQhp5|XKKmBeq)KE2~UMOT-73BGht7wtCw@QSlty8HD*E6)4%93@_S(C#NU zD6kz<)#<|5A-bvU_jbR}=W^0RpT3uQB7TiKRr%~-m2BiD`0cIl!KUIe+KRJM%Xw+` zuNgjFajf*@hga?u@{B|^Jx^;Di`lY~EcCy9_xuNlFI)CBH@&Al$TOJ|<8m8&{@(l{ zU0V>A!6?oD^7>;%=Jn?Hp6)L){k0uvg@^mvgqMtCs=oh-czL!3|*c2P%+Wn-OX8#~Sk}39y)B-dBELRPKidg9`g+{VL4e zgD)(uDX`24W>zyxy|4Vp+(TBqM=@N^rsgKyQWpqH4cVtAoOaw!x7yBMnct!QB3g0u zOi|OWP|pfWDroxJpP29`jjPjVa7N?k?;`%`DuLos67DTZw$fw}eT1$^j>yvA&+HpdB5n5^p+l9PXWsL}X@?xi z-VvfR2tK*}o%`|0{X+TlbVp{G1Lx&p9aT18Ei|`_7RI)LZq_$yt**R>Pg}Lz#~Sj- z#`vT8UL{HBI(JlMU`sV=BczHiT`LYr72oUkMb~pS=JK*==t4r&O>1#}dFHAvy`=3V z;W$w6SzE_>|juk%RhJsYC~&#JRo zM%`mu@~;?1F*QQf^P^=kpU}$TozK@Ls;X^pWwDSs$nAz@HK5p1l;zn@w_9;aIidg7 zvBQvvL9V1tZqo#4=IONZ>XUgURSZATqU1`Azw1+}ZC| zy%tV()1BE?-(}LNIi7D{kgsT+(Jk6282hao4+G;{h3u8)-zfCr8JFU67c3;G;(1r5 z>Tsd*m(99E6X(i1OPS-kL$uj{JMt}kf;9Q0ON^h~zmcu-`ElV?pX|}VHoe2M89o_F@d?6tA=HS5mJP*fvMDen#&qaX#e9__-SGDm5 zb5!pi#rhi4M5Wl&uFx2ktuCt6fkI;A^k2F}g#zj!u|v6_hfi^Mvr*#W=ZGzt3kk(fP3LDfffcZHGdS_s-yr#h>?d z`Yc-MfIbD?SwBBHx{?x z@q4e9XzXnad{7UB%ksSZT_Q$K!hX_h%okf6uW)F3XxrScY{ir;nJLsibGKt0fLWM5 zwssS{a2JpLa5-1hdKvp+YA=2(;@NCUZ%?U?L7%Iub}f%fGh?l>xI*-^7IwNzN~6s317A_s{+ivP+Z84v&ggG{{9pr;PJ;fuc}+L+dWE-4#y9A}B2z0wsD@LWu^hkL6!F-|;yR!3jTlRi#9daQR31-dJ&c9& z5aAf-j`&d(Swnic)&EK3Q_K%wWFiTN0m$eE6ZWB1aG+I(;47q@Ukp14eR9;t}%!w@txzP=e^L~O0>f%Ha=G(NxhDHAhTdJD#YICy0$Q& z`vkA;w!Zc->>b;!Oj@jThwlqX>%iIoRE5~$UcUU3)tK`aM-Bh%n__5YPZS93=a1=f z8jK5e6xxKg^ae-#Y3Q94#!c$e5)S;w+QDrJTz+Hi4p)bJI%~q|e{&PL9=TY;AEV1} z;wljTpt@Zm()$6(4!1|e9_JlK?EYQyuVxO%wLiUn?%#Fl^5(zhuAGPa0k8)VU&MYo zw(>0h>yj)u@DBd>>Y=n~fsZb~IV#@l--Q0l^;s{6{dIK;OJq3)30(g}*VHEB%>ulf zORKsl9HX?gCFfTCmhv;`daJSaaM>1zV(T2=>w`Ldt#9K)n^eoSJ}~Sb&`FVa((lYx zjs*`tH^albvx5zLy;?%DIl`IY!)2jK_2O*Q^uuMBw2Q<@lMgAK^RY4xOoQmTC)454 zJmQmbtB#y{%a4U#G&aC(w{1Tdy32kqV~}%C{fkW2PhN5?;k6I<)~ss#fgFyrFrSV& zL)`Mso|xX#Li3xj`rjiuWc*zYzC3&jV9P}a2hDoBul{-EQR7NemMLW}^3Uw{mVoEg z2RNEvi*2ofs<$xNFC1i8Pq#l1_tcMF!66&ySK&(2m^rmQpnRL^*XG@le6*8z#zD%H zJlLRrw*>@|ju1|J3g4GS z=awEeW8?+|KE`|k=}(o9M8I+?L8PyMZvH7xFCDWE2P(19Ba8=(=M$8T6qi@A$| z@{$>yDSI)p1AJ~cS*Y_LXcsHo@zCG(Xml_V_7iusRNs?5<(sm2Uu|K4X6q1;Rc8Bl z7o}>g2UFT4&C?zuZsKA%Cx9PQ|HyapJxRVF899TboT!_9PrNa4wEQ0IIssjKPh==r z?V#Pwv}#_p&#D9rxRv$zqux7IsKl|yiO_%2G$!iZ@a%A+MF2U(`qkUWA5arf-W|lj%vZn@)Nu zdv$IKPKW{r6Z?tXI?t>QdylLqo}LO*>y)mo&wWB^C;M%_9cRQ7S6lgjr|R8AyZuE5 zR*n8cYs1vkvJ0a+rtT@Pk?wE&gnP8)nC$2XnY0QaYo)e(aoJivmrgV{B6G!F>8*v) z3mz$FJ%G%X-X4i(-JdqItS~dKiV^4!aE=^dS9Zkzdg6#$w|F{&m3us-?)_~VOlEtt zTiiwiSt7#7`dFriY95u2%f&xynig2QL3ZaV5K%F^RUVr!qjDRj6A0xN)`#B(;r;4PQC zg4BvHEB0Sc2qVTxnQXF;@M$up>C{WU;dVYl79Ku#L*V^OZYXOpFO5r%EQzF&&AFs zHZHg*uJp;wzyH?ORD18XvHBG&w=ZY3mAh?tM2?NiD_MI<@@qC*;GRyY!XpW)E%4?V z+3(9myujwt+!t4%Epe+WQ_oJ&W9w6Uo94J)xU`TSx$!g-~zHH{Kxje(9sOQFvstT&2tOH zxH7*16shFt_|Yu&tmM9zldiYnAy~5v@dNo|rZ3{w@Jvzwlp3M@$Ug=r>z>-4RoTB%jy(TR?(&q=WVS#i z|C_46N+YG;$gh6fa$C|QlT)#7-Y0SK?_F!kAChwkU4LadQ+?wOLi_N*L2x z-+Mx~Y?npW+PTDtZ(Gj% z&ng{EsOxseyXCh_+g9Pz<^4r!y;z42`42ZjT`~3|`TYB%>71wwD8=EzW{MQ#t%CJiGCtVll^Eo`kJe#H3o!kG zam*{_}%-tM5 z_&J4PZ~O)+>{{o@<=_EszVSQh8F?7p&;e^F5@%ZeVlSFk?brLCChmnmfG$XJYH}V+ z(m)SBkMGT5=&l7al9;}e>XlDzlMTQ4$RA^kRX)GI+utQ#Ovt;ixklolFmWg0$d5fG z{C=ViSUtQipA`rFITDeHBT?BS(a1Z2uQDEk{tyS`tXn^lawb5F^iTs2qvIpbSQ6QZ zI}?7eUUdFrepR;7?vXBDrVvrXPdJ~fH98iN831ES0{r$u3V^Mz57^PBRYkae;Hq}N(uYmw8BN#s`@O8JRt(HFT+@{^D&KKA%( z_PYFUPi2`Ir<*X}3++oUmdJz8K3-36r*b*&cCpyZ%^DShPNJVAnDIXV^0!iq1*i0in*=RKK5tg*Hr)GcHOjR} z-ag*mV?*BR!Pb}iZusk^OD(h_T|7|eDNpUcM`v>G7k60U0TDk;-1&RERx)Q9Bj2Ot z<^JXj3jjZ)U!`rlyddcP!zv-l>w68IbFttKve1cR_;486H=(V%PqX>L=zh*!lO=yH z9KudpZw~TI3!lij3qSL>FD9hK>$XveIqDPr~j(Hv;WLxA4=fbC*?;Ix4DvY zE+DV^QRph#J+@|)C1^1cS`>wnYb_+@4E4`*w$AHv?l;+J;g~r0r)SpYl?m3ggvFV) zvZINWV0uj9KcnH{vNO8G`G8wRk~z4hdxV$C^PcY%*7BCTy8qxFsbnqMyYcP4RXa87 z$NEm6W;Su{!@JnB7sk4hvnRGZ`gG7n^HkZJlJB-;=S^}hPM5Xa-mX`QC%_9)O9AHc zgur**`7k2)UsMP@ny|AOP4M1{zAze|?&3^hbCu&h%HEe=IBk>WT)OPgXwK}#>S~4e zE<6NVcq$O{7wAbmv|CI^F7IS`d6qx-))lH5ZAyFAMdOa;OFmCNQm$%A_LH`knS^Kz zfPCqf012^{bkxf$d|Gz#mcMX+?pN0?wI|%C?;6O!-=` zlf^)neq3xYE&N~n;Uwj|d2zGRL@eoV9(6sBHcPZ5;8kTT4KwQ($<*KWe~#Ew^u?hO$XvD6Y2PDTNn&~)+Cr=DLN2&&`W5y+U zu@~iSur(m8eMr$hz$=N(6B7I;G5W15DR__r*b~%!Iv;LK_`5L!qXKrXVbN0MYV*I` zW|!=7vh`wWfHQ(N5&EG9buiy7f#(gCTI<1~M`Gst0lbp1K*DDj+I0d=axCwW^BaP$ zQhMWyAfEWI2MIv7+XCP)r|@#M9@L7mee|YD`6JpvsQzpT+eETF(Q`imn3}t_v!cNb zBaZ_*=An><8UrTy;J!E~CXxKY3v<=C$9zY)zABUCupm`Dc^|B(1|kuNI~5wg@J%-F zwIr=!;I54gDk&>j^>yc$?7aBnwcY;)#i5fj3o#}wHtPWU@DM^@KZ!&S2@KLK~f1^3nQ z2x(9^*H-fRc{#}^fz1z6@2f(b;fDkdFdgBEW#mBIq(x8!1ajsP5-pt+*eorr|GI)%eQ zSX||EA4f9y=bmq~GW4N|-b)8b=?nI|B9NHpW;KJoV#mq8y+9Xj8>q_m3TbhsgVZgM zI2^GMIHm7_*fYKy56xUWA4p&6J@Q@(ob3A5>uce237(IG&36D{0)tLx^4*tLj}gO^ zR-Egijs!x*r^a5k1@2OT|G3q(k0d$=qYiY%sb8WcvX^e;FJr(n#}>~qrE*tkEN^yR ztVuRdm-NXz=dFvU^T#+6&K;A|c?*A0CY&Hieq!C>YSWHU*xrLy41@Dw>H$R z)!4?zAT)!|;8^!sDpKkX-{c`n;;#Y*63Zs6FM?!`G7yQI(ey9`GC^ZVQa=&;7pDk? zQi!tE{7o1|1Mxj+7Ii8S4!>A>aiMy)3C#=P#&uYC^Z6Vj__6r})irlT&;hg?o_9Wb zPj_BT;6du|i&yxW=Znwr`NYQaqYdO@QVbMKCkI1fmElvWM`C|geeChYGfs=KD_N99 z(7rTCh8L&=FOK0l-Vb%(v@@S0k(Sq?V%Cs8=`0-gQ5vLb8WA*KhQt;J;_t7u6FC6b zP|-2xkwj`R{L&^!em05@3#TDH*@Q!_M9BA!R}Q;_zf=TE~uzv`A^fBRRi*-b=a$oFZ{O4j!+jLFdjX6f8p z1e_c`iM+jcI#HcSN3t=`{O)lixnNScr3+m{6x#_u=&IuNc@C4~NA#NX{>Jqc^WiR2 zI)F74#qsNt`R|tz#_-Fkvn$9V99Z|wrZ$+T(&ZSZbwR52I#&DmloZ7amIM*wfX~#uH z3IhPU^N;v^fZAMS#vX=sdh)7tVBCQf;27SVPxww1MTO7YzKE)kYk-A+Yw$GhCWF(Z z{O%S0FbiGsE5DE%slWSBZV>WEAQ^0t>GtpX-RzaD384Rgo`+%N?_@RAb5P(Dpeh}H zw$OYZP1x)%p|oMKXWxG=9#!79)KL;Kg6SIVfSB z_FSHkVTy03c5`2;_p+JPUOode@opl$DIrY4VbfdCi$o_WqQu;vwHqND61ya5bUufDgcDJhQNIokh;ZCjX?2%TLth`D>w5 z65+|VvKdNs`Uw91Z%5;PrLBiMO5#h!_DgHKQW#nf;rIpCWx3eR3O1z|G72t!;gP7= z7dXnm3Qw=-!~9Wqu4;?DaSSck$gbI4!aq`w%Poi{|Fx#78rndyg;F|Foc5Jh^;it! zdo;58(lAH1+c&m5>y1_jKg?ohAtLFQ{$=t?rM>bXY!xZqI&1tUvY3l=1`){8Q~bM? z)?x`VQ-R|hawT4!pLxBG?03GtoW3MC^4fTi=omDN<7I4ci@(C~Y;PpGK6ZF7|FU}vE z--PuUnuV)k(0|5)OGZ5hm6l!^Fj;2>86HhaJJBAwu_^LkK)((I$=$a>vts+M!Ly2b z{*I)=e?OnDu8S2Oc6N$9&|a++KNzQfANcEGzebYjD*Y_zH<)sgWp>zz8%6>P5lUI~In6$^H#xM`$Z^Eag2iyLqX425t;?pTcxu+B#-gj2-sJhzJ_Se|_ zP9i=G`+;7YPU5)crPJ|^?;#;5YdTiLGD!J!?K@9j?jFY@_Itq zhhF(4vTy4)_`)Gh$$fL1dOx;(Ca!nDi+bJUXH|U0cZTTrYk_5nPW46~-OMUfwPn2p z(}o!`OmoId(yOx7%+e#&-=IshE=Oak9(g=|vvV?PxQq&-$t!zBlzn@nq!NBsJmXyP zV|H(cQ>5zU(^l}^))Cu}uKJ=SwxY!e2h7_AJu=>naUNp0HqCI4(JzHn)PKE7sJI+;{?0UsO*r!_5Mb7 zNlXB>c)IJa{n@j2$j5v0>}vXwk#nOq&PP9YIB7(+40dXs1-~NoZdVAJ6HQ3ywSl$DOegv)WY9 zP_kB@r9h0_*iuc=sasV7U+x?9eMKqN3u?Je1=wC=xQ^!=;#@}U*;EN)^R7tRZsDke z6rpCtlRC6Pa^f06V4y7VD`0m`5e6V%=Tk|%!Fe`-18zn3r(0c`sY2>X>y;mF*7qA z<{BZ@X)dMyw`k9Z#xGwt5uo`+`&Pe;bJjcr=!Mc5A>pLXEQEzvZ8vLRXlIkKi}Cpm z*L+i*@ z1uy88q~%@rX2l{tm4X^vfu{%UtC6xbB>3Ht|B*S+yv&j)`~ZwT=G7S+Ad$;(CzM&WNvX6v$A<$tztodoYW9a;HY&Z3BIa~^O1i?Rf zEU(gJzj-c%Sv4Q_{U^S~ zbs+h{E(fXchZS0Q-x5mfgR7bnYv)Y)uZw`A+{vB}%Sbp&Dg^Tv^#fG5Ko%EO`1@MS zXn22xQJT2%v5G`Oj*j2{gDcgVWeG6aROf2$(Pvc^?#uUMU*0qBq+#g!wpwI1fN%o+ z(VZK+l2BR>gP=U@#7cI#d-fK!eLNrQj<*W=na{Hdsyx3KJ5+uEGuf+y8X6lSR(_c; zDeFZ&0;r`Rl4npfeoE0DN5`j5cK;+{rW7H1J6hD|_XtPWMsObu=!w4myU*5>G2(wu zsl-H7l*u3yT=SNd$G&5pJ0E?jW4s{c2Ya42#;JflrhiP1#5P^@Msv`Iuca~Qg9q*jSQzvA{-->xqRnakt;W7J{bE;N$;`r6%-tLR+A$upb+3T765l(XR z_fofu_q2RQtEdD-^k-J}FtHA{w?b~YEuD|>y+5H(_$ZU}`8aOpLB#M6xd;byhYOpv z_!s1a(88Vsu+nRZJ7;av{o*MvRijQaQtljxZ^ZNHISk*(&EA;0OS1zv_2;{<{N|b3 z-u1KM0N+cm0mMrUvX;n?6LQ@p9z5zitq;4(vZ=ZGd)^G0htcxRe&aOJ{&lPI?-yBt z)6#cwrIzW=F>AM3f#Ij?5s1hbZ%N`PF}i^jCyA}3===EQU9#)f^w9?+&r_W532sBl z-j|fbS1`TP=qM3FJqivE}`-YmjZGTYT&)LdJ^J(6%_x}@<25k8O)DK8K zb-XL`wa^dU#4s%+JL^S5YiMKiR`rROB%h}zO5^o_=q1sAq~k;#zo_f7P(r9=18Ga~ zaT6NyBGGK0pVKvk*5p|o*}Qv7M_d>FCzZEQ`QJJcTx8)QbN$=O7wGyEI^LwrO(8c+ zZ1%CKZ21|eY(U?sJUOq!M(*YM@;(o(m{Kf%C?6*L-0*G^GTJrX|U(r#-7-8v23=Q+OjsquJ|=R zf=>@?khxeg`Nkyv%f`WT8he+i4p`ULwXHQi7mM}*$zmL_@fr7-(EVQF_@u7iqVlhE z9u`Y%{NJE+Hc^q!%d{9*Z1nD=av0O$$PFbAV;R$NW_&-Y%tMt2^ZXkfZxcp-WQU&V z|9t6XFX3S$7X9MS$Ord4WyUc2nI<|L6dUWv3tGc?z(x;CCUwvsn=LQsoUt}Sd{^m6 zAGE|w9{|gi>5-T-7#Ul%kxP7S!-pQQ*+4VC7wV2s`7yN{&IfWlQ!=pMaLFP5lpSd^ zjGyVUE%6?|=Ozm}KEq}W_NRYSWhWk-u<^*t`QRTb8v23X<_S9AKzVKPA=75$;UoAZ zb&1UjR9;78;#l#sApfe)H_-VZI_Cy_uFiQu-ly~H7wY^AYJ0w}?I{{q4%GR0m7ms; zjX8K}m$~Ob(bmy9V}+Ye`a`~6^f%;(YcU>0XQOOm9obNMR`i2K#}}{F`C^?Puk&+M zo+a8?9nY7{+jK-$A{zeu4VAwqzM103c3k7ggC}rS`4bNhunF0Yn~OAF7`KlrGgco~ z4r6s|m62bS@grg@nOMWzKSG)K6z7kMM$BRiw#g@|-8i0b{+Kd2A5soDdsMa+%KGXfNt` zsC>?j70UPo*zg~VabYCewnKTW_^JQ0@&VFenLUsZ`^|<8a{nWF!5-SYD3xn%9qjxi z$+h_7^7%&Y^D39&4RY-HZ8J=fEkLSqU8}R(W^mB8S z@#poG%lvt5(LFe)R^W`s-TmTwMzJII8GF8kbhM4y$JR~b4(uMB*v#tFj+^PahF+@1 zIBl`kz{a{E?UG|<(r5g{<4Y%r-}+8m{*KxWzTbpV_mZu3@>z}l`;6=mk6FiseuQy! zbOT1>N{o>>SLR=BFfwkmMAHVQUQh8T}+&eCypJR zn6S?S8t2=n%zQ-u89M>{=msohKKXIgFSD&TR=uFPZcoX2Q90Np#2M~;Y>fY01J3J= zj1W_+Y}>}?(PPNRtL@kaIB%LevCIDi00030|9Am(QafuDQ4~HmZ#CK7Y_g3ArVtS$ zl0Xt;9+I8eyE_BBGn+fJxPib$5E9r_NnxA9B4A-4m@H^vV`XI{B8!hg3mdhFg^iuY zbp8Or@7yFi*;yPo_uPBV_sw}`NYEw`J$b#e3`J>3Q1G-T!=f96T6&>cVh43V25GvJ zs6Ju~wp@lRhDB{vJll~u?fE%5XXh*(6tbZ-&#TwQ$Hs0kn39k*P2;>Vk?v(noX-LO z&u2ylRdK$*@62-o!;mPP<4ToPwi9KWqAkGgylMOd&{P(okLzQHsceWwaC<;> z$Kf-mSZ$1o*TyaFHIXlE9*kbci!D*pz_G+Rs>68i_gAYud!(M1N(`I+y!htq0U7%T zZ>{L2RXAQf{&H{^ZBOAI1@STO67z^>*hLGtJzTi?_7PyMNVkbhW-w>7?Bm~`uQB&z R+RZi9RyL609{>OV|Nms5I5YqN literal 36983 zcmZ^~XIN9s6E_SZAW{YCO;kWYy7Uqdr3xrTI-&QDATY?=kMz6bx&MU zL`+2d-qQeA4~Kgak`njCq(#LgL?y(;CGQzJ`USe$JKlR~Ywvzf{GOrqJrhT}d(RzQ z-w=ATY>^Pu5D|fs{;P;0{>Ku%P5B=mM0{vP(e7l3!c*C6X+P4+s-|Scd}^|HIy{iM zZ$U-IAeqKWKcL~7&U9pykrGI+o$)YQ`m+@HPyeUdL$y`>Rr8hNRrpBosQ+=}i9*+} zlaZFy)|19jc<2l~$A9*1P*4yOfPBHh!V*M$keYl#(oksqQ$$Qmj2kC>Xui{h4n}@F zm^ZBn2H`p+*dS;2v#Z~(4XPUdHu3&#fzK>{ER%brDk?DW($5Bc{L#7g&$<=eyQ+{b4J9*A;t8}@n1NcW|wz0k56ec+)z#vWJ?xV%8y1EGLRYP9`o zCEzkK#2GRMTpC!>`2YFZFPTO056hn4+B+>}^xS{`ch!E&9mk~xgkP~>zC!!fX0Mgh zp*tty*HYl*GZ<1nyi>20+W&4_wl-IoXRabIn0vtR{3`YTlK>3VSR@a0p{9{XAv!I7 zUeX|Xc$wvbQK`fxdEZ5cVjK5Phw{(Q79Z0jbW0Xl{tECmgdRF?aUJSNH(=71?P=eB zy_$n^zD;gj^u3y^ClE^ihzicD-d0^+&MUrFUpUeG`H4Q_Tl1KKI*N&*1-%&UA$~;q z9z#ejiNZYA0sY?Exm_<1@J0FCEUJQ_^QXU9R^<@QqP>(@I|Hrfhu`)qO%53VkIxKD zvYFyx^QWhD`d+7MU(JwI6`f@xojH&sUqplW1ew%rzaTuk$dhQXm+RHLbxpI%F8BmAy%J$gR#K>y-`cqIv|$Xs<;E@QSDVb- zxX_nid9?Yw{POI6CZ{O!kNX|&x`fOa+XBQD_&NH=3irf8c-7Gzbe4&{=L6gx@_wDv z2IT+6;1h7ZHU00bBd`O}xN(G*-66*}{m@6l^>gd->bLeTl)Xe-45t0QC_KG=WpVxB zD7^@`cwM=hYn=Jh%8wy(Bt96*$!51VQzQT3#H*q-RIV00noC*y-E64BHU&@zn-Wd4r`T4AwixLxycsK9YpyW*X7@({N=?y;%$afy$QadA7TP;ws9MrcvlddGq{ z;^@cNh<>!bryxh^ZICkSz-W?ke*1mo++#gAEqrkXg1^2XGdOWqYuOMD{>iIc?nHAZ zJE2=V8ZS2ne|JBV-%^zjfs z^gq?;4L?i%bKskTSZ@$ONOHMbTZDdO( z3hZ_l>tTnG(Oe)}vCfRU8?fN5@)+5eKUG72?;;X$Z3AwM1Me&cy2Ly`7%&~NuBz6# zswlBJ*;SZCG=aCr4shve6&Mqm)?=U?L6F*3oVSa6j-L2}w7<|;h6;uY(x8Fp%-+-n zF+R9m=o~Z)HYGI!{RKr-*jEZ;6BMUCWl2be>rK`$_oei1EQ>iA4q_gylX^lO)`LO=(3z;u!Ro}BZZPCyI1im`2VWxUV( zWcQ>#dF<_oNiwnqqZolm2<8R97Qy5nCqF<9&ly>tR?L3fg110M;pTX@asG`Nv+(3W-aWH2(yR5O$L{bPfwk*YRr>)VynZLxq+0N|KZQ@j|30p;+z4K{ z@#?PgZ*o3P88UE*g5n_cRcuSXP*RzqiG^rO%YAVBM^}u(CI1uAy5|TZwI@+x4VVIf zSUFr(dwRXYoezsfx!0D4kNIK>eRa}^D2=m{Wax-CL{AZv+arGZ0(qst(Meq5%lb0J z{+E|c-WS?VSd%wJi^9tTiC51fFwM8jf5|t}j3AcIRBi_V1KT1uxGDC+dINko-UE5p zpOG-X%Iy@M-n;bGRIP+^Hf4q#)6OUN8LhS54E=E!ML$ekog8(DVK_{zE_#^7?!Zks zPy29=-H|)X#w#V9$^npN+_F}(B`2}mB>L*_@_r0}-sjPBVE8k5) zyL7l`AcJB^58`9Fi_KUuZbJSN=Q**?@64bgm(f>K9S{ zT~4~h{p{jVxBAN83XyuLe-PIkI;G9QnN1GRE2 zpPI3Rex0vDsNG5v_fDDL7jD%Y{EyO~i5Bn77PWys>y`4RNgv4da^>th44#kdpQ=B4o zoo`_1Qs+oZz1n#`RFJ6U7TL6>SZV8#U}kI}WCDIe^0u+QZ)K7!+L^YPADD|g>KY=3n>WRhctlxiS*OU&Yv%!qB>L+{UB9$G76vGbo= zRB38>gRvY=I_DvB!x>uv{#n8U_Wz25f9Tb(1faFTKj7HF!k+s!pOzdF3Q=+B7n&*1#n5B#VqXPQ6(kW#Z*Wiz$tmALN` z+KJpxT(;x*Z$u4ty*bz6*^y=j0SmuC6^)of${l&|zyDruugeG9otwDth|j~@%Jul^W(meba{$!9JWlpRU~t^&3yjhn(Yp+!<*kKfmwWczn8Cc<;WO==QbNEWfPa z%z)y!_t)cjS~xvc4EmFMrw3yT3IGgO?8gRIaqQ6A+xzEO|*)|7i!tM<+^W3AyOXC{x$@6Fynot+tz!Y2>`V#gOKAN&7!KtshR zzK!xnA9~o+@vG0=YZH7paaOHK$Mo@0s!~Ds<9;W-ZGHRgY$$iF#zmJR5HKwJz$EZB zXSGM{I$xsG&RH+ZHFuv!`t7pRj~zkQcO_NzHu!YqZY)}6AD z|M0U&pIjpsY{10rGY`E|Q$S8Xr#G@u-ryHkycl`(?-o-H=NuZzfIQ9Icv}Z&kT#~* z;OylyjK=`}Fc#GT%HrOQMd3hMCquSeo>O1IvO8iW+sz|h#gaJ(Q2!y$x~!0HTw0Mv z#ozKW!`o8^F2^G&bqU5UKJUF4zhdr3$82X_J?s2*Uc-j2#)&YQyL$$kd^4-CrLW__ zWSE<}97jGiaItgOx#OxYH1!gdMEbULY-#8I_l}y-#WJke>I5zPFIEg+%)JA+>Wob~dg95<5sZRmrC)PE7~hiFs)>ja-Umc#kH z6ogYLfUHuQU6p{^6w!@1E^{`}Y{uIwcz3SrlbphrqKB|qlitkdu~?29vDRj~4^L%n z7`t9lV3o?o)b}WQV%Cx_;J}7Bm(xQK$QUpTIEh6Kg0d0yJ zxp&}bTik0JxW^BZ+=DFp_#Ww8%TK$HP$aT66(WB<8hP7N2Q8$fV@4+>yURQ;gqDQd zFG^0Hh8%uIn)rp;7oKGjG#UVgfrM$MBxoc&HX0H%TGGG=`#a{=PR;t3_!8qKK?WD> z&hr%4@l>ip>hulQ0>|BOH+~k1>u($trW9dM0mFS)U5Zn*a9^z0<^&L4^?tk3o}gKU z2Ck=mgL^Y8RCDcoq^kVp%G9s+8i||2_PjnDBUNf2Zz@0T6MC&kLl}?}R!pBzrGT<% zk7H5!psb=TF>Z&4r;$mGu{V=|#^CJKc8tTVklOV2_>%qEegs+k@be_(YA%mxOI1yi zbqD$A$8|^lu7+jF_rgxBnGM!wI$aG`5?j0<6EgECVtf~!Zdpu8i1;QU{JLZPwZr>`lyq`B0nqU6-5;s-=7Z6UXXIh_YNk1GeJHxLRXjKXu08o z^yq3ZmL%vD0!WoW|QGln{ydz=oTNiZOmDW{~(z;kdi4@e$BWbvKd(3W5j;n zBcAL1nG;Hw|46D19$L?KeWNQe;qso=Mcv5gmYc1jObN5NdnDKUc(Yt?{B%Dvn^Ws) zm_JnSSqWUB&3x_>Oz>ehO+gYWww+GUdqAv8$}702500 zkS_p4Zyp7-%R*#wJv_^^Z)^X?_Ota|CDp>cagOQ7@viD;7DjGKup7%oAJ@Za_4Mye zA2%r2TjO3qj|ff1xR*gDuh3s?r=*lLeai39qWdEc2@3{ay^sF9;9DP z4-H~$<+r5Y`14=ugYV=z{wrQ{i0J>?$18_^mQZKw9jm_dG!*zf^s}B-I{eQ+oyhKO zwl2OGKiOfE>kSr*Mn9ir>SnV^xBh1k`2s#0qZ@nm$i-)HizJ!mvnbSy?tqx*eWpI%;o6#~3R(-J(oea^&g_)W>Ugo=}z44buHFTKavYTQVb6+L;(#)?8k5 z8y**D9F*HSD_FJgP;HE|VLUx#FqQAbea?(+qXRY3l?!ovV zTdMlaZi;|@USH;*)Z?H~myzZeT^^&?@s~N-5^;-`YjEy6+UD>aVr`2%OOt4@C zFwJGikpFtVHJrC>`IxGN-udrq<4+9&6M6k9Oy;~Y(Ohx|yB$c%&||Utmp(C1y6U?3 zM$)Mlaj87QPK#^h3f{Jhfkn29%d8Vri}bK!lP4a{!fNI&?6IGH!=5wGSZ$w*bd|D8 z-eh&+Ef;PX5IaCqxr)~e$|Uy;H}#1f>{F@6n8mw;gjk%cGI)fal;kwi>d8CotB#W^ zmZsG+JH|;+=~yPxdi!OZpG8r*ezSeib*}4Q6|`=a>T-d79doa%H1X)m-)i-i5rW5G zdxCT;H!oI*U?Rie#sr*J7=HIuyju z$j52VQ1hxWUFykEH)8+>?6=mIkFeLZP0Zt=KiyL#P?{~_`^Vv|8y=H`#dapG zeWDr9bhA@=4pMEYQW57AujetM)OrX%Y@+r0qt=H0a-Pyi{E}Hm%PMd@)qE-)u`iIudtKIEcao zDew}9U$b~Vh`!F~vAox`uY6i!_uy{~%Lc_}xu#EryZ zF=V?_UP;sbj(SVo7v+mM3dx`PckYB>3v8G6mE!zk85Blyd{2i5CjZ=>cdFZe{{3=? ztKd#ah+kj@vQQIyS19^JrDG_ax^+->SZR#udS~7@=G0mv+Un1?XF7cixhpz`cj`=O zJ1sv@>B%kqNt{${jcXtcr7QAC07hlD3EX(jV$HsY9?qtJ&DBWh)S5x=QK2{)r>LFdzK&B+ilclK(O2HfPLpVT=X1bHlmUW!~Xy&-_5x;oC_F zC)ZPV+i=YnVu45|(+!k)7&%E+A@O1d-T20pl6z$4 z^4(?g)1IcI+MohwVV@<&@pmULKe(RteponteqwHO&NRLOY)Iq#8U3f{!TJ8H)*Vv6 z2j59ozw^*v$R8y{hs@j{Rr=m@q=d4o&8v;WY^bUw%o@wH2UppRn%fY?AvfIADC6}M zMORj8n0$e!kxs`H09RqM(3y8_Yc`|X@>>O5F8Jl(+-I-o8vr^1Z9zxLZ}gm)x*OSo ze)Z)S_Yo&s=N3{ekUI>x0TCm&A<7oa##zJM-GQN4g%AVqih3xKljL`*ndpUHzK5@M zc-T9dj1?}xWs}g(VubDCJeV{zQlUh6;vlF@yJU~-J8cv{hN!Xn<1Vm=qz*#hgY+(PM*X|m&k1EK1bc^e(n12z4sO|0G zy!DD>>Bg&D;c-!+jUVX9XR$wI8_x2B1_%CjbWC49q7k`b)~|2xxHuw^mCQNG26&Ee zpXYKPqI4E|YJ`1|YP+9IVrq?(4w(fEZU^YL*$ujlk z0={B}N{7fy^yjR@Pte)(*6UFUX>A#j8oR~#M`5N>?hYQB3Rlrb!+28#NRgKtP-R-H z*t>hy_cOZkmYq*Y3H}?z5EAJQW!X8UQ)S}W#Dy)bvna0{+FK#lt0zZm65zZhdE6Jp-whaV=f^hxMsM!H zy-D!tV_@1bcy66JB$)--_mp|W;whS+`r3pDppJhwfJBt-0V)q8EocyA2wgX&tJ4XMG3?25rq(rh zl85a`B+H$=x;V0p9Sxp`PW$p6#Xo*tkf^KLY)meH(cSk&5|L1hk`CxhFXB;}jlH{X z#x#n0LDzKVU>V4F2; zcoI-X_0l=DS+VWay9?>Bu#dQRw10?zm%|4~#$lM*)$^isfPXKb33nPsiRpQRptRE8 zV!O(Sdu6)XeO#3L^*Zls>?s?gDS(t#^`Sik4< z(E|t1QwXV2I0|9;+aCNDIZKT1QN-6tZ%H&KC?Cvm6Z;D9v%r7>-e>jW9v97D)!;LU zb8&S#;9WX%?Cd9u!MF+A+XJ8leJyh+EI!bxPdX5#_BVjjp3|qo?P`DYoD2)JUG$q~ z$Xsc0|ELP4-L=PF{UuX^xrm31z<(()m*I2Cu!3Y*PBN?;8P<~w%SMJ3&UeO|Q7C_9 zhY_C!&5!YqgQ2nVr*<=t+ML$Rt~SsJ$u-3_{yh$3oA`2&u*_=f_EmHl$1IMFmv@h$ zOgJ55=LvKR5^aHdaX=v=D$;~IbVX$PcQBLyLRk^82vD;oc78D*z>cU2sZLhK>qg<0 z$*^W**fuik7cy*)V)7tbQZ{pc6lgOmjBKF{Y{|NC)`jW!LLq2>NhEXGg?BcLsdd_G znmb`-AIOBwaZ8?6S_Y54!4*AI!i_`#2!p&E1(M%gUW{%*b-}37uKCFqtD=O(&WZp& z*`<}*g*uGE6@6Ynrh?a4!uJ)$FrvVVCo(&Q;02ZM+2I7+dg%7p^ZXl|z<>vDtj$`13e2D89 zxHliLl#ee}#al<={K=FKD8f;Nji2$u;fm*g_gmmsaL83XAPHlgRfydJz!PzeY&f0_ zi`(%N&I{}pb{zDG z_Z(0G>{ohs1TWHS-OxgUrIkfZ@T+Kg6a?nY+!ebwpNxmRJHcN|TmnX|TJK)O!YZ~n zLI5~0TN{3UXbvv}eTIX{Ma3f#+4#}+D@BbL9JP1d*t6kYSUf{mrB5y(w@Kdnz4pS=cNNt5|G%rjITtP9o|T{w8&YV0-aj-Fj$l=+B+j68BnMzULDma@P& zP&XdY0CSdZC`_R)hsEH*3LD_2x;03@!ERmSI(UUv$p8dVI+U`-6l^jB%b*Jt|&nXAOs0L^6$a}xc?t$A@yth zS*B|Sv)jwku&A;-$T~Po}k3(nXR$0cO=drNZ##BJt;(>SGQtEZ_ zwZsN~kT$tgb^?5mXW)6a<1K2xHhD{bJ0tZv74d7Vc_~qHU_n+yn_@CD(wh?@CuLe2i zqV)F1EgV1c$9VY9enIX z9ZkFC6<{@6d;_03f)5iNjQbaIa9}t7v9xurZ4_Z+tc7@egoe=$pu+Hr{aF5m>1wNf zvROiG*?^wRgw^l>roWp^Fk^PM`sUig?7u;&pF?mg19(9p_4CyePUP!1wMNv|m?0(b zj31Qbf~p*o7Z*%f0mzG8-J@HaSH9gAw7DC(@hzY83F0|Qgk5*Ej#8yrHfZbWW_;JG zDjz2pE?dA)>sYZ9n1b_kX=eH3F)JI^7UPil(1&9Y?Y(6z<-&e7{YhTZMAReLfY>3XicfX|g)qSKCq*18&Y13gSUw?j8el)8zR z>A(*Z3!E{2)1f#e4jj#VmZrjXo4^Fk9mgaO`hH#|N3Rcoxd2*Ov?t-P*^_l_a^>o}4AoZ&nyxP$q060z^1at`8Ot1vB=C_wN~E+f)h#;O}LJ_(ph=-GO>}FVk0l zKuK+2yZLADySxVGn|;~cwhBix=&(h?1%RRfk}#!pK1Rm*cE&T9xom`C#(B^FYu<$! z)Iz#jl(Y$8ew;8~eo?e)fW;oR15Srh=7gHG!xhLeo!8Es3AGFlW@zd&S-x4O4g?-K z7u$Qx2393eB+oD`I(n#uq3@}W$}>$GZn}gQBt;`X88#kea1$umCM%5UJ_{kyz@Trg ze9sJQ2O7I{18Z|qUbrT}-|mj9i(ue6Ka;1$7YYx^uw&{Xn=3Imy?%Rcd1m(`=MI60 zqQx)uVKYw--+BN56ErIlcreRa6ks1**XJ`=F)z~O^H*NQ!v0cQ*`4cK(XmJ7l^`MG zKx%PY>5GT={wxpZHxNj4IOdHQ^&Z0|t8nklZr#Z)PDUIo1y+8RPz%|E76%@Y@68s> zfYUep z5y2_QhdlFggvRk?$OlpX#h#TEYqb4ut3yZ20>CHh1C(r=B$yDcZ1k%~CTRP)6(;^^ zZtnL<&>js;O)#MJaN()c&RYpT9tWn?#zX|>E8QdAplD0 zTd+zYJX?@?Ss2NWnHvFV0Ai7I#&y`c?z*D#)mEdcb0?a8SPAeVtEVpZc!CIsUXF>( z%enI7D9AGx!EkO{Gp&u`J&Keq{lB%oRyg9-)f@%QPKjL76OhJhlOffs6iGk5_eFDb zQj;mq3Rk0#Jbg<+eYgvqekwTe#u?@~DAOxn-w^!pb#coi1jipZrx!LLtUG$i(i-hw zG^x~`d{LpMG8ER_d$vW`ae!~&EPzKEJiptnrJHYgKa1{@q$;i;{k+dZK&q7V7 z*g!UzF*Oo=YnQj8^YUlQ?L9n=WtG`MmtrF^<|%d_WM9D9QkXpO!?)YnR$Fr z9fYJPrvtXn)WacAqj4$`FCeG*rwVeYHYI}zAU)98Y=FJQ^C-4uCD40bw|C{pZJSFQ!+q6oDLeYadTuy1bT$ck>N*xte-j~r zr&u(Eb8nnxeQ*~+BoBjoWt$6g17e_&Y}o*Ki2WQ*+q;&fJ}Kr6|0RA;LMqQrSaQoX z_I`QeEt>iO%efI0+&$vLRE0Ymkd}u!1?N*=IAg3*1NTRrU7m*Y%It9OpU>WE?M}eB zkTdVw_Z(1+EwgvnTG|~=!3D-*K@nLKqr;+uTTdIoB*J@Y&AYMSI+vm)79}i$dlA^~ zXoEYC{r4Y_OMR+XTzu`MvtlM)O}(zdQR4XIiYcM0O=z`*EwFceYpuGx6Iya@tK4^O zUz^-rf4-=xYyx80gQjzHxd>7{xFt$$KcXN0K=cRm9+0rNaAuW zAQImlG{{wOILbOq8yW1qbjAVaa7@mY+qS91OMgQH7=G)|ImRA!fHFGtX7Y@|biUyJ%1@}Y8da+z8i2RT*uRoZ@n73 zej*PQ0??%o279X+CCk_AARn61L+=8oK7NUL!-ibn?V^BWVn)Z|-;RnkfpH z$ou^1RW`%n$eZi(x_XyM{M0Z`F~;|A#XR1nayCzApshF_#TertA%U_Nh>BltUprQK zkr(jj8Weip*-Nazd1siL>T=;+l4`pcdBO=N?zl;$|HAgTvv|m9VRLRq51-5Pl~v}y zG?hp|`Y}`r={{Y=@;gm@=tNBXs|0k9c?}IBE9_RA`GYV6;y@3>AgM_w9U z@-9b#yj)UT+tbNHJ=>qF<0d17sCHh=XR;sG9wsnUi%MomI^u_IDjYWlzp*yxP!rk! zQgiO}Cf{6I^9v-wd)~HTnI*NKm=q^+!scG3CFe;o&38JI9@=H}f0x|hk3qgT5*43w zKf>ppF7=T4|2#|}_$B>wZ{_<@odc$CFQ`c^c!j&nU}K2n=*PqRdK7o`dmWckC&xQf zv?iB3j$J9{0n#tt<}I>C0>&g;e}oL|7jWC_#Gd}zc7U#OlHFsV)J`=h{H$Mv{{{$@ zBiet3p2ei$aJV`0?D_HKA9>CYXr^F~Ue7{Xa=x{90GgxB5V@IHk*yiCmk#pdt zY1Y*D;8B^NFXG2JulG&YVkrVSD8-fy;b+`WY5>l|RIbP?>dOUpf%#Q;JJ4VjLrUs| z*|Q)Yol$KKcoHd>E)uH{^TwFp0Cnye)mnF5`hIalGHWQy1V(R2PO_?9*}Mt;ybohL ztle+^-(<#V{i(>A6m6f!-UzD!M%185WhI%{uAiOf(fl`=O9Q*||0Yv_Vh<=&;2fA+ z!FpJGUThyZ$pPQ%KpyJ394Y$uc1ZH;t4(9F#e4EEirCm3*iMb3TBXt+f*@S(!|Ov+6_!~KMJ7{L)~-}DCM9i% zUEJst;ej-?Ec~}Mc;+9Lm4MBaXF~qzIOL`*NCN0f)484LJe1!rqH+rV9^f6HX>m}Q z`?&)mPlYMy01Dyn6x3x@M1wnRM!qnDmew4Hi)fFP?~sZ}30-G(lw&i#ehq&Tg=e+T zXdl7diGp5QXd~lwhrx+dUYg(0Hz*hc$w{Kgr2V0Tu8l)t_+Kp3W{5ImOg)_y_HfRoJf;j>JpyXTsu6 z3PY#9V{k9jK;^ht_Ul?4AaM)2g$t$Lv0Rs=!kHrrDb^?dF_Q#FmaB7bA7zKKCG#&o zRinn42kj5eg;F_zW1=wDx`YY3)ws`Xcj{aWuI$JWy1o|$Wy<@?sVAOw#$@zXHE`nY z%49(6zW35p_^}E}6j^`zU(S%V3ThR#aHgE$A1t-?Z4rIHBK7?NvYI+{ zalea@l@lNeS|=~nu}~k+Iy=y8IpdZCCe1BnI)cBI(E`ZWUMVM`#FrSu;&dCJRan>E|Pmu0MhG{ug9Pqr3JyAtWzt+gw=Pt)Qe{Q7$re)H% z(nkGK#Lmo<#7MJF9Ou6{DX?7E;ze|Bt*+RVqgR>Iir`G&jSzK`L~eUQB?YW#PwE|y zy+szHTEx@~XZNUi$e!ZYF|ZH`j|EH>R$nGBEZO7p6_FJOECJ>fb|G$)tOc{d` z zRabr|-k68#(h}8TDS4}5f8{3dj#Pj{C2t8rpo>+(FBssQ6wT~kPIP}I`f=!nj2_TF zED-f3nhM-(Z5!vw)Uy~N_*0~(-ZoD3tFUPQqBu@IOCui8iVaN5&618|DHmo|zjWRpP9npLKKCH$@$c;B zBGTqJl9_z`wSODtw*~n0%W0I2NE`I~E?{yvPq2sekoMtc@WMZ@SKePiB#1s=6uWk( z{qtEW4b(~W^4zcxxtfHHUVCcKyP7K-_T<$Eykq~U^qMb;3Tp)a^=rcKi;fZQJxTi>Zu20hKZ$B7EPEF+aj9_`}pXDp|!<@uS+T0>* zLmx=T;k>f)E0V_%6PNpPnLYFZ*U20xTHX(Htz;3OAivwe-cI_)YgCtDzR&nsg2fV~mK~8owwR zN8lYQXGxJ6&uDQ!{yADs9xDCs`xAX9R9^auD^S46oMAd*J|Gb?d@WcB>Y#zCUP#F~sN+}lJ2H-aKb z=6G(Y_7N9%hPLsvmCHBG_YLQA6I{A7Xu`J>#+vuLe${(RZ+h{Bbt&(>xan+}BVwwn zZPk~^Fsgr#J;Cs;07yY2@-r!nmB>6Yo4)qMf(#1loDzWh`xA*s9LsDTk;7yoyh%k$ zpZG99ix@6ohaA+GnuthmHlhG>?Ep}VtyIWzfm53>4{Dgt>GZ4B)knUaO$I%M)_A$} zi&dXt%rmu}V;B8G>}+;HkpbZls|HdXp9ATg&1nwT9ow)2<(t(b6wm z6dG3XV5p+9X3hY<-PQNf88&$9Sen3Q|XxAmP59c9_s>6qg`U#A*I9MpvBS=`w_3BmR zrs-nubgk!``^$PB^bl>C79r97%i~Gf;ug$HX>CDb{eE>V$Bi?Z!do2s+fM}$|jHVX|c~QqyY^k)MLQ(Om zK;i=Xg{4?gNs!?|df|yb3p**-#RP%Wa1u-%9DhJXr5|sUG$KLN8CM|j#)+?2h5;4a z&?S5Cln?HogNc6koF0W*dBJHgFW1$++Z4-_o;T^QYJidxW`3BSA1jwqOOhGVn5sRd z=3lGnxEo4Er=MUHqbEhw^!=0exSF4;b$hCuLAfdn@BsrjMoEmp4!=--Hvy#Jwf@q=et!OZ~G=**`ssC3oet?3AB=ICV)`8%>K znXbtT^CD9Z^lO_Wy7l1G;9o0A@jTsvorff92Jp^jZZvEy->8d+mwMVyAt^7mw@1uw z6_+jvQ1YawDahYcj92dF(LQGUMeAqSg`zRrFr05_jeMD)pSZcmIt;pL5ZvI&)Hxad zc&S$<<#EfS)MyL#mNr~5$C4mHLzIORPl2D09n(`ma(>yHI0N$o4h{&fGNFOxmYJL^ z;bv){HP~^Vavk&LqdDU7IVX*6Pdj)!wE?44v)-+BUGMCgGT8OEhRz@uS@-z85tmm+ zG(n(CN=!>UK3(Rv&ewS;B1usLxmB#VG^f%(*p*1-1Pl|Tl^K4DEFV<$+E-j^=XuP$ z#p6Bw=A+i@6Fd@MT6Xipog~I+DWJn?YE#~g)dCU#X2-t zAYyBOY1VZ!h?9A#g3|2U3Y_Z3x|App zQt?NWvXHcAlH4Z(-}cg+N94Ei&-s6-TAUh6h-0n*elz2y)Q{ga`3MK!JW@%8Q-N%} z8En7hkT}cBT^S{hnAm)dQj$!1yj}XlEx(<(YK2#D?ZyZlpte9DY+NBAqUo9y@gY8T zQqqaDJy73uP!;oeFRk%_l}JffjOz`27uGs`LgCB(Mp(tF!*_M~!HUq1$Swb~N2Z0{ zW#wLvBYLxKgugV7g?kBx4ylojJ+XK#8~s65+IPGeTvbIJYZb7(UU zGvI@<&$WI2pQTk6Kfvwz@5wXVv(G;~uR3WYg1sdh(V)uVCL-roZuY_1tmU|@g{`(X zj<=&KBjHLUuw=54SgM>8Hdz^VS$-DTRbm?d_x?Vd6&8#maG}>Cy%-HMBGoE(QNG@!Z`tXy*aV{erby}YioyN`nVlVPdG{3B@g^u>3J*bxw!-6Fyn zve+&yiPFw3tIf{!56b*)LL_Q-#aKAk+NNgsZopgxwwN1MQe$RIr_(o7R3Yc~g)!V1 zU0FXCprCA}Oovv<-oA{m9|EjP2cGRl2-8ciIb^%b?PaB%Lql*|b ze%7DvJ($n@0o51H;iRf_2@gY?|M(2yrR;>vr>seq$=74AHW)_IDhzy$!g@r0TW#K=o;5!v z9i)A#hCh9<>WDR{gX-?3*=NoG_(ub{cGjRj96{DopK#ggIL*fmGrryW_e;Xcg&&2X z^>C1rb(u+-zd|6kVIk$UJwx|9yN0!ruPZJ#7E1}8!zFPC#(A^5?WQ-5Xzl+>QZ7I$ z-1i`}Lg5J61_qx~wi-XZAAPDyqf-clcR2FY;GXC~$X5{gSlK;!boI`MTM)X8t5r%hP>v4uAWO~=CKNqH8U%=M~p}Y4wUR6(K z>O2a6eGriW%M9Z9%Z%Iig}Dn~xGIQ1N)g4iCZ0yGFkq7h;M0x9Z%_rG3*O@xRV3Z; ztA2_MTT}3<3|qtH6Qpr3{Efx7-b~}h)ga(2$ZBj;`RY6fI61@~_vrOxGHL6_p|3bH z5-?{JPCW`&My7PkR|*Fn)JX3#$Aqt=9F+7c&&^?)cP>1oe3k)Ww z2w;Wkt2Q~9tW)lSMbD*2fipAloo3rSqr}mh=RtocjA@kpo2Xm8D|`aQ=DuUREv_|Q zCeOk2qL%~SeEX5q+&eq__DOh|83es#k6i7%!Wz08ArZOgmJiGoUw^Mv3~n$bRp+^c zj!N!AV_U*+DN0rWC;i)mf61Zpv6k)?e(z1$z~L3pxPnMK%H1|HWm{0&;$)FpGLVOT zLDcR9=fd!t0}Hu4b*H@D@oRfYwTqbVLMNs%YydKrUto>M#Wokk65u+-zG;JQ#cZXr% z4(3u?bNrAJ{>?6cMybBr`NpMth;=MIA(pkPe*mOW?up<^80713Q@Ri+Cq!&j?-a2& z2Dz1Eufo01%)anmPy^4~@_$IW>aeE2?+>CNQYxLZ5D@8>7$G7eAfkk{h;%n>3d%)V zs;jd6&+NNYrUCwqlI|X8+;|nHnOC z;?XqDZBj-dP$*zYg?C+#UTJ+UoX5jt)RXI5tcJq0pw3WKip^1Vo2vv5_u4M)bQ9bT z&jrR%$Z->F9CO%;a0xxY{=s^BLdq%?4q4sq=k>dzf2_9+>3wdUD~MG70ASiwt@&LI zU~5ouvvdAq(e_~+(wBF24AXwRU$6CYU+52N(`b(7j~fV8K_R_9C>K>+Sy~uw%B@ib zjDKh(0}J=22hZc3UGqDLs#$d;t(kGSULqq0#+q7gy@dk4Y|{NBL{5 zKoPYQ8p!u$bY2U~o6JjW()om=@oBC?2*%U!m$x<@UUjD!1wX)Q$Wnc~Xg63wNC^Dq zgT9rOJL}qoON7L;>Ly<}JAh#utG;Nt>uA?tCC-m!JGv6vMZt#*GrdjaJMgX1X&!L` z_Ss4$oZ<6Sgm1H~d8l_ReXBQDtrCLV;?EF@ulKh!dRcre@G*Lx5q9%(T{|1{d`!8D z3f;m2;IXzNAf&e)b)4)1N|jm|XAmolyX(^t9JRmeui=;LCXm-VfhF)HZU2d_dQJ4uOQn?nxPd8y1ccu*#ojRW2(VRz%1E_RrH&(xh8CBl?EB#SN)NN_gMx*xBwBJvd%#2{5l52tY(d&)jl|UkN6^c6U>=-#~yl0-mflgL30Q*rL z`@%UtpeNxjd1NHbE=$0xSpZ%^0xnOX>2`Ydi z4X(hJDT3M+r_cAQGX_g%jgTW57@@t+*a6lLP-jcQy-tt{7-O#$ScVh5gVDF#D-Hox zNFOg#224=})j)!rM_jK3;B&U#7ym!Z`1BN@BDsC5a-rNbVWd^Ij@iNcoMxy6|!c9Uc;@A|l>6g)=#`@?NQ+#J_>o zowWlY?@dhK=uSnP-cy^V8elSWbl9wZ11VKk}ylM(gTAdWL2 z20KaO0VVNCPW&X5W6QdAM-|R3CB$`ylo?GEbS_#7Kib~LE*T#HxHX)Q(uGc|oxwBg zMCSP#B^fn@ewE06Jf`dizvA9vZ|>fiN(<3yB>HuE?Uha6=q~1E7;G9K)uZaxoJe%tMSt?ys_{ce zawyLq24EO?ZYj`Y&T%rrCKz!U-En#l&w)|UWh-UCj8?1S-vm+xoHo7xqoiOpLPI${ zx1?cantd(1XWD%fJue%;6TdZ5{|`}jW~KNLMheaj{AghW*y;r7fpX8F4CkiNF!aM? zyFlm#-q>@3t!oTxKN-QAgJ|a1QW=dG!_(xvppgQ2ItlUxJv! zrhZ*%8Tb!Q6nG4$GjpXqMqP!cYVCEpXP$*1mhHhT(%VpS#UQ8pJs!hl3Wm==e$VCuHL(BVNO|?YNP^Z;t=0N0IeKk^VF46|GPYJOjuwLNR zT$8j=YC5xLUS1`Qn z-UC0)(Gg}NNAT5FhAgzIcNVFI7m`|7MMj-+W;FXJ(Jk5c-*J$ZM?wjXP-PM?9qHJ- zktRpW57@Rxi=$E@J@Wbr&L*~GM~GRaBGYS?<`_OemLhavlNbXMpdz8s70~0`-Uft7uhlYb`;m@WuYs@N(r^I!&mB%mqJLQ~ zf_o(5LYXTfzRs{$eGksFJu4E#y$xi?|E#U4-{Q}Yb*%hpQB~hCHG(u)k$`bt$H6Ca z;4J8tAda33x+^el1jei{x9l3k#bv~TE?_EK6qdn`CSCPg|F>Q;Wr_dKX`pqEJmMc- zV5Bzy(D7+x`3vGC1Y#hHZluSF!di-+@WDz$vr(-S;^7gcvTsQPcdLrNJ%lkADGS4X zUP>t;Y^im>z6}x#x`7{^x!6)Bb&Qbij+~lLio&Kmr~W|4gGplB`De4EZKONf?8}u9 zXV;NxIN&PO2m=gP6bqaVJJemNerI{~kCNb%v)NirLy0bB$KkJE^-dy>pX%L(3kGMS zz_K}zvF`8E+kW<3v53nLAJ0$bRVf?AwXMp3?Bs)1%~7xRw)T0%8*~x+Lz;S((|Eig2%|_O%Uw%c6Cw+{F+?~ zmC`a@E=opf8^{12K(T_-m%bf+$*~3b6W)wpB7jrudK1Z(6i%ClTb+R81hACUoDvP_ zeEFDooJUKDryvX@U~m`uQTe;Ztw)_xS27M7Y6Eg$VvYijN6&-0a%>fo0+*!-Rxcn6 z+)XWapb^)=Q!>Y__$B))8sWu1|Vo>BYBVS&wDUFf86w)QtOut zdzcSMVEk957}r!NA3N?;X(IL^s&#q1h;ezkbJ!kp3|5$1s^G*>kwH<5^2bPfN&N1DnGPuWLwlbwx9)zG=*7&^>FLn$dQ#xvt7aT#FQ4*eC?E-XZPyJe8XtW5p zWXHcnNN+$+DIi5dBy+ObBIf8u2yvPXCqmbXJ%o$GuHri?!h!y=vAv8RNE)BkN1H4{8=efkdW3u$x@Y+T>Pd&64+caZ1=8ZCuau?x=)w>6 zckR-Q)dKf!?^I2fH1}oh@tpKNn^DEm)@bymAA}xl1=3}}V;gcwN4X402P=?1QbMjU zh|KQ;DR#m8g~MA%25wCD`ySs#WhfmjjxiVvegsp1F$-R)+~u+~=b3cmQC@f}oHFPs ztcEWfagiqui?Av?s9lq1_8QX0y&Jc%?b7<>QMN-sK6EHqt8cRfVS{dH!=qMpm3)1! zT>-=DrS@Cd&>$8W1q)QtD(OSDGD(E<+@odv^j@w~ASbRe8_tRYJ*&Kk<_XHW!z*0X zxJ?v?YgiogUL6wa-RLx{?b9nbr|C2*M9I(}^ACsrhYe1@vKh^Hk03k4l>pZXMLCF} z|HdDXRsRe!Oit;&`s<9{b^G)^1<7&*dg~7b1$cuQ#~dV-yW(r?)sifJ;{U!#*N7w{ zgU%(Is6rR7f&GACegD{Xg}^V^aSC?Zr_V-CmnzCAz<%06I@_n76I5HC+t4#w$cf@u zgvxngh?3)KASd`mZN3I2KPX|s-a2|%7YdVu%#GD&0V~GdlHSt=oNM$vskxAiaa{YE ziC<6*5s{sPGWcOst)Ph2QxDbmfdKJh(tBZFDWYQwh-ElrO|J+Esf0*P$Lyta{sH2P zX;3$OtGG+WQvjW8B8b4hV97aN1ovxAL<5lG!&+o^{xStl>vEr2kbXf;zNL1XKD+kt z&zvS4fD;j8`KrS~(%*(VAzgX4&mSu5W(mJ1n4=#lLMq;mTQ|3f^fQh1NbUHSrJ`|! z_fEZsKe8{0+vr}Y{?#M-1^CCZvtznL9>gzhxF7t!QqB_Ge%m=*0=(AoH`jr^rx=CUl8lC28X^fv-0=vEM-cCUioRyDZVRErCC8Wog%XQeN&{v%FQqfH`zz1y+73;Qm(`lY%& zNPMod;dD{BYcy!(EC3c=z3j8g*tx2B&3TSgwp{?JBDv6U8&lbx+P#Os=yCs0xkOcl zA16ySY>LZjRi4TSj==m7xX?NG?Gb&bK#m19099bZ>iZ?RR=^4VwssG9`rZ&S+!nNI zm3`X813`Q_-}P}yan9rm_s*8eLO(lnx)ipX6((9K+GeHrlp*|6p^3?tHKxT#tIN@H zB19x71vli__Ca@7H!HGiFBcPo74gmp@Ziz|`+nh5{VTF1l=i4Cn-(C5zn6 z6Tj?p;@yJ>DJUD@ucvFC2gxV(Dh>M@}gU z)bqT$Gv#L+Smik{!(b8jPk~S4oTlAPS$t3m!RHLG1qmWjt&(-P_1Xv`AGLoN@vc)f z)CX^HFiPZWt&2Vv@6{B5Cw71ep;4XZSKINe`X$z!_u{QnG}K#uryF6_j7hr!JPt4e ztIhTakL$V-_v6s4v7HJ$;K4JiOeLbL2p{zPG zJYcmp!RO7_LOOg<4D)KFH_2V$^F{;99BY*G>X5Z)2+uoNZ6cP>&YGCu({3wN0Ljlf zX|yA~ahcq`)TkdvtN|9w35<2okdAXjGvH>+3;filqt2YzS;2#kN50A$fdyvsUk}6& zlS=1#0bZ93Di5z@>+Cusd>($_Ilkoj?|vZs;=!CC%y;iEoC^H4xy2{yXm)t1(q$VI z#3cD3^x*DtrP*5Pyj-D{;>1B$0w(+*Mr6~TbNJdY>-UIN*_i%|cS7=1AXfoPg~8^#Ld)&HQ3Vi`F`z7dob6QPprwqJ@6m{GGAiQ0V?{+2jNkvdie<+u{?1@{9+9%#OSh$$&e*G~) zcebzn$BR$a!V<^BLcaVEQ;w6WdYUgD)a}K(wAUgy!)6+BXD@@f7|HLqy`bl(R0WWI zx;EoVu|xBU#w%g$E9WyTekVY3^lVdgisJL@dB7i2rErGD$I(8kl=P}g`wz_9Ce>dp zf5uHbd_0t@bmL`%Ot*>Tt)rg>ZvIOKZ$#njZ2ysUq2#lbjS$Dz6hpwgU*3tTxCLB= zTAN<`B6m`0@O-bTT#ed#&Y3Q5rbRt3Mmz7*?q3}ab${uCL6dR*S1HX_3}db5bcxC* zrc*J}j>0g8(T_MyC9mFeeCUl{FvU8(!tMCe{tlKH>CV-NON~4*@fo(nlrhRRB;UxV zKDv*?o@w*O5Lv7G+Nbd%>LFMy{Cbr3UAQ1 zXwbUok5~DBQWLCi97gPptuPg}YtRZV-22--@$ej~K(I^=iPC26Yoy;WB&4I1Y+r0^ ziPXEByiB3wlcQ70lFs|H#ONEwUPVsWqYs;INflGnSPzvOZOiI3`+R(8{rQZQP9jx* z^Lx;7&DgEsZylMqADs<sy=@1`nH}$X(92)a#9`o``cu)$pa4Yx8H>JIic?S zDQL&uC^?^%MX*^mK4(1mj+Ihm@>_Q~j#%jRGvlT+)iYz8e!mcX62d6)MQUqOU6Zud zhKA<^@ZK*XRVHQZuG*mRyg*t^>`>9TghRqy(-ShKwRfZ|y!&&f3U1cp7}!?|EPLHup(}^efv~rAF+@P&ZMqI`Epd}FLhrOog4 zW(Zz^WmgtU%Nr55n|@e%-MXjp%jMDK38lP**TR2PYM~6+pP-FZjI?-L|roF_0;6U5jQw;Xz4(AO|3X)Zz4k<4t-(fQjL6I zwO?{E@=K73mYn?Q?PLc+zk8?oBQVLVO zWgCAh$+k;G<`+o5IXAhdxom=m*^&Wb552y|h3j(2$k0pve35evA1&Xih0FyYLL&rHMLdkjap$hUkE>T7&SK?^A9=lco;>m`eMt-J#$}+ z7ZshLKE}mKo47B;Ray1^TPT#z)qRX{ zKQYJDPKinQH1r#d;{vGeFe2UtRuG(0g8y|%TTNjN4r!a1zRP=2`^v1iY3bR{oh`nV zy0`Bo6&Y3U!*$+2o@dGAT#vogxo|%^?xbTF5k2=)Oe&Yr2jxBZ$oRM1fV|qCQPS#d z`ZabvC9-!0NkW8H{?kY$7Mqf`Uytt4Uz+(QO>1jlJDw-0k4pqZ{l)lux$3c;^W?Qy zdM1g4MW6lB_o`_>?7nGO)BJJcZT_OEYiqdmr!_4I znIC!5^Sj7l^jU9|X1i0T|E5ZjytZd4Uo(dluNY=r6&tTA`W-kn=Fq6O6gkZ=9h{7sl__S_(QdD33C2Ofc5YvN-pjCRv` z)q!PNe|#F!M(CsZ37+92dkNI?)Y@w(#YogG+sr!iBV|WyEpIrcif*7=^ z>P6eC+0!h%@ya`mV|~&Ue|-LhUd@l;bBf7wm`{7w5ZwpUXR)?JeccScZ)7Y^mMe>9 z%ZBu;a;_}Vu9Enm8&7ZfH2=Ky)_b`@igOhES59yKMQ;w9itAKXg_6Sy4VB28(=Nll zJ*ut7r(WkNE{GgA=^Xg?ufsgEvBTBx>=zS)*|Vea;3apmD(r4<`XXsZH`^2ULj3D9 zBE=Xyc#@KZ6MyyQAs7A;4U#wy5e``*-5(EMtTE<>D!k+z8>9_6;8fN%m_vjFd4D~< z39ANEPI){O+)2|^ea!HsiooetsWXQ%Oa5rF0-3h5Z(Q~W_#xU0to~^l3n4pB>4xd5 zP{oqy7%V1=&HuDVH|ehD?OeGT_$UCj$<0BS7+mFR6ex8Hsqi%qg;kAbYfTnppdEwO z_H4cox^Gue{CAGkjp5lHi0^i%{Wkm>0dBnwXWhms@2!)!23aN4UHHY|er4I|Hp*ta z*(=#urUi{v2T?1+rfL?7c2-Fx5;Y)zD^hkN-?t72dPn ziq+Qdi->oyM?vh~ zWoQvRi3JxTvgIuu;QivtXMimP+pg}p<84cpcic_UTvXhj%vJWGzgx!E&p2i-#Oih9 zr@yEvdYcC2xdjVqRdMexX83ec&j~A*8~adGoNZ8)Tq{AzP!apT$I^JHP5%6QNG!0} zxMY;#`I$t<3$yerJDWJ*uvP2ZR0um$>zUP?fz7RsoBNNFqf*|9dzoVLc#m$-?|2g$ z8Jr)OjEhhNjPZmMp2fKuiYSi~@6eX3BSy?o6fbX$sV6@e>nXGglnMIBUBKXuZux=@ zZ+VJ++U(VW`Q?|8oM`yLkOm$q^JXQ}v6yDw%XCBifx@p*_pSr>TBYG_Ji}IIzR2_nPS}RGIA|g4&7mu5dMKk;s4Q>z>&p@0I1~_;a8$=H}da*N1WF z=2oDPsE(-I4yjXf$OqUp=G?2RnxDSVY}ibCYB-85!i))0YGBQerVx7gnHy9 z->#W{XWl$quSnPIpyTusB;f1X>58U6vg9rkKdw}e;r8wZT@tl+>-|WRSn0)T!9z%v z>pXi<9^hk8^K@rLp59X$9FP~^gY_<>TeI4nulW=7q2Fe~kq#f5)0(_ry9b&dsMPA* z1(|f5ns8ZMrXjnpmlo<^tgJAJa+iaz;ozs8t{+Gp)%8<-r}0ye$>j4joi&5=lNP%n zU_}_f=)#ZZ9PgwwN9Y2nHA%O z)2bqJz~D^ot@n$ui%JQ)nKYJ1F2j`msB7+1*A9LMXCJHxoh_@){`1MEnCcBnYCmr- zrkWbBOvZA8S_dXHi_YD!z$*h3 zzA_*-9l3S|WH;5SWna3CH%CmLLTZciS9^u8TXxs<&7`1BSo%95F2US8?(f#%=8R#3fpss zZ!k4cC}ytQUJfwCZ(FDLAr{f_J=yEOn9RN>7T=!AidTFtAN9@L(+9L$W#6Q~pjJer z>GQQ;@dIgWAH7BItBD2dK1BNp224rj7A<`d@$Z&C@m4Uzs?5D7Cb=^yc9UwDYizhs z9=9_2$ZxRhcCJ5+zlu4$2|=DSYvzO_Cm@+2&_;vf->4yg4N}>O-|}BU}mCe%5&F zw1?wAgOmFB`uNv+Q&dW5U&@ks% zK1&673@D4-Iu0^X_?c@?-+l>x^GnNkMo#%#Mh->uH&7*fp|JUeVqM|$CgxIHS(a_i-BZuZ?Au=)P)!ZBAQ zN!VX}MBSU!%~wE0IN< zDyl2g{2n}n`6=Suj6g42);vgj>kb)biwqyUSwN3 zX*Vb3`Ja_@t>1p6Jy#B-?|1fB4R8+hb&wwNT;kg3&cI3Xzbj8U3Tzkfk`!6K|6IKS zpgf^QPEUh5Z1A2j5OQkYki{L!KJNMSW3>LXO45uXOEx86c;D{>-`yY9kXe2?KX=As zwW|2rKFcS4%MA=67>UrSL@E5=e8dm=7(26o2nce(tUE8&DmX%zcm7OH$>vfO(mdeV9Vdc9dmzBZW zC3fHRW@N_9={nJ!MJ=*kbGr0%JNjc02Lts1)gu-Y=Yut^1G+O}@!J;M38Igi%%h;Q z!t;{>OJf%0{9glhn`-`)Xn9;`8yozobZ*auW4Yoqk}Gi%X^7{G{CL2?cHl9k)U_&* z%|@@&`eGg+J-7M9Iuen4#Vac@RppbN%P=qJJVSSoIbaW>|C@OeIW|7c`rM7FaB1Q% zF?zl%=v|TN3RN`BM^D+cw)gVV&Kks;R0*$fP9dsul@1#n;QJ@;P4mMnA)v;ZL3<}u z#+PFqZY{q7;#iQ)|S(ULhhS{m5LECXuAIz^e-qw?qTiu1U zwCYFrcV`Vpo%Nc}xqA3*biaKG_~|{Va8!4(N7e5g8#;J@^J})Z!}2>U;=KP@4~hBn zjizFM`M_Z#TuK*Nba!W3>ewB|MdlBl{!!@L^u1gpV7C)<5Qjj$0u1o_MCvv^M7M0sBo%;?6k?Z{H7oX6&hjD(E7|pyu%Gqk{%!NHD@*w$( zELVz!@ImQwG)V&OIv&KgZD=5iW{3~*QJJ4LiuSA&-iCs=P*-vL>-Tm`ypW$~w{|0z zn4MXHBQo=Ad2Fw6S}PfuK2vH-nQpw>^>1XmisGD7_}VgK?m~4TUk@e}o%rZa*=2^A z;Jwc#JQZt5_s3wubFOa=f(4G;IHS+umY2-8ANMXTd@0}bn*%vAR=_;u=)P};Uot+x z|I-w?5mI=0PKH*$=k>--pjZK_2}gC#-3ReBmcQSSe@(wW97|}SQU4_+b3AV;-r;LZZ&rW5!|}RVaBa+~ zj*sU4$?lCC{f$4%%ctD*S&l5CCJ%B45-8;5DELFQd?(8u`96E<8Gb*wXOsD{ON5J- z!-5+$9%diKF)E=mU*4fC*FyN}WtMHv|LwS@NrfzBg367;1Wf)TGJnQGdSE&-Hnc2; z9{4v8mfBAl@HyDi0FXtvkp8Oktq^gYS8H(3?)kf=Vt$UZzm(7IZR?r2oI!$Y{=))q z(`n2#v^-G1pA=-dc{_#--2z43@mWc+V^(l@i03ZOpA-K6aN9<7trziHIJYqvL&u=?;; z)}#qW{_acf%pb}bVI?NF9L(;0<;eb>f74Y%RWtX|O?8>33GcVZ`KUzzOA~JN2br-S#2pL~yDeq8 zBL@K)dNV>Xml0PPc&Dl5I{t17K6JRhfh!DVIgEaBc&f%C6oq;B|+rs+sYE5>g0 zoaQ*V@J7a=n9z*0!Hs06eF>nKuu4JQwMxw|+VhXDGVV;>tmiRTb5|{$?qJ=DGSDCI ztg=AIW1>k;L5StC2fOSU4X(HYui=vsb_8$_S=z2X)9CIntB<-sf2!B-% z-SeXe#T+=!>Sm8a*?FE(JJyXti@&0q-(|Jl%gXS~c$&ZLlu)CSo`ycu@T6IEaZV(9 zBkE2^FIb(55Qjo2$jDtteKN+=gmhFpK{69MBe`hd6+f&&ig=0HLMZs+T}VYT23gw$ zb@gfnZ9QitL_vGL5**nlmowO2BARb2_v)^z2EckL!|;;AB9w$b$x;CU6pFU?@~*A_ zX43TOSuRC21;XF>pjyAzO{9OenD`rWLdWWSjFiHO)Jy>4x=0`htR!F(;LpK|TQ++n z!V#r%{BcAC|EU?Hbn(rH$UZp+^~_jm{eEwr6j(C>jVQZmCQUpS+KKNGb%S+k1U+Ki zLOAr198I>XMYoS!RUp8^W*7dKyB%2*NRE--pdP`V*SJI#h_ci~*UJ{^F;_ZVMNJOm zrp-kZ3wnG)lwDpghxwP1Ha3spLC;!$gu{L0>&)j6nklE%OFn&?z<=|Wa(1`IHo2aI zR*LBr{oh{kHJR+xxfuJ4lzEA~4d{c3k3Gb!#g(5$LYhq1q|j^7y?3OOwg(oGBr=_A zmaM>7njH($kq;q3fo!YD{r*AA3&ibZ7*13OtWwkshuDdvnEOi7=~RRtL9 zN)yuGm$y3YARLSvb?l5ap)PV`HUA>+1OPMqs~~O{+>a5@m?|JCX0P{Mb41q;4l?U( zwNdlte17?x-?4|uXpP#}6M`l_LkZ7+IPI7tnH=c*v!Ft^X*~|(hU9~UKQA?=K7|y2 z^Fg!R9R2FJ>zVYdIm^@NA76jlz||%IDLi}|{2kh}2?<%U>FG*KwAD_Fq^d9Zn(SIn zrX(zZk+gJRzY$=T$TQgBQ@o=;v!DTHAsRM!K3KYb%^-+_buE76(q+x^YkJk`P-qc0 z3dQ!SC#*4*?Z|=M?lHh?v&h{lM`If-gX+0{<|+9%vApRKEY*mh8~ZD#A@C2V zTG6WK+?<2u!|E!JEb!+1$3sTii{$7D&~U+2X*t*}|23--j7eA+00|2$!vE-j^IckQ+9SLiuY?4fG! zlLr((elS+c|6b;p%{CN;+T{vlW#shJpG{6Y=a^sp*ai&u)C95L75H3a)?_6V7sI-0 zbfI#=Vz-1~5q?l^&E2Tez6pQr&gs7vbkkkt*g6qnw5=_kB50U$36e& zvP?7(KcEq#k=LslHF@qW{?&9^P|r`^#L(r0nCRYseO@3rJM0(WXXp8+SoyWuovD6X zkAo(+-dHi$i4IU*^}U!Q3%k2p3^9owU$OMq$6?ONw_hwj1jwK|GKB`?PiMauKybm7 zyWRbB4Kl_-x1bcp8ItpxMHO|?M>MRDX{*P_W9e9a(ugEcJt!(z+9ggZ(^sd z;61IGk7?VVu(v)Bo-B&d|CM$+&pq-JiXI4~nWrpzt#oWR+n%ke)s;T;ef7`DxILKZ zdGT8@h9A`RN4VZAzsc9R1?J}o{ON87kFZ&v01GxVkD}C@bxh-gtWkF!3#rs)P<-p)}`zUlDGoz#Yxc7vC$xAKzPF6s0k|$o(JR`>+sX z;+XUIL6N04&2b#D{FU}_yP5COuZ&Y}X2X8!9$}pa0}o(r7pik__fj4zLQmQnS7|Ci<`q_lJVLGsccy5-6$s0RWi?v=DeCE2E`yp{sm`D1m(xQfrFyGwh zW|Id69V$+>^*Wg23M3jv6^Oytb*Ndy^qfj(pRF^^&P-0fsuZ*MAFEJvR=k4K6cc%o z2%n;1PmZO@K0A4KM{xH1YiK}M82h;5)X}QsmXHpAv%f>aGn(`-Ugruwrrpj@BEDpa zF+G);A7;KbaQ9ZnWn>f1QVJ-11G_$NejB|vaDO!4Yxw)J)0DVO=M7a@>rdw@lyW~h zq8Gk?xaOSsn&m0^&)SdspV-RqceeW1x$ZOoWFqE+yT%T|llHTy zYk1ZDdn+DB-=^T#`gm=)O|-MHjaDt#GCzd;)14%Bm9NHZOm8Sdte8`+-s{QUk+qB5 zCuhFX@xUrUCEn>T2k)2t+a1ZFub$tgR3*X>%P)7&_vR-_GM?TyC*+p*c6TdaIEa>z z*I2kQKc7+9NDS{O==#3|dHVk1DUBb`e?3dT zstSFG2O2Of8NdcG&tt3CN)Vcd0o{tKFB)OX@I;^OUUZ*PSIn@agf zEcK-m@4UsEHRGa$=5b?;7;$^oe}iQ~-+UOk0;wBk$r=DWnnNKS+6Jb0c$)|q_j!A^0;41&pU1sOX4|G?^VJ$ z>SOm($Fc<=W%-yidrY)^iZ>mky@G9c!-zkv4HDW@5~@XfhZhmT!lRCh)&N-KD`JZW zJ~LIhCUWD{`DK+&;#Qh>e^^rst|klf=L0dXb746OpklSsz;L}13rh4?09J_*>F z1W6Wv=;{+^3-LRJc+c0^@z+>n`bkmx$!v+&S{jLJ?N~xr77x17v1&1$MDo;ElCPo9 zme0Sc^@|rZCWK?V)Lk;LN>_<0rRS`{azQ=%Oh$!u@r1t<&by&M z?O(Tg&HRn|JaDi+G9()XeEwe7c{YaVZl^0bDaH87v!&tdxfpPn==*DjOP}bauLKnL zJ>It+Ae9J^MD>ZIh4^(->|>Jq<7_o;EMM9Q+-`srE&y@8noin(JH*~moS#^z{oeR^|b<|qC))8cLpA> z+b;ZRSIb}E+~lKKR?(H}oi=ZCa&b>pu{PzEB3|IL83}?wM7t)QCA5Uvs!#Qmk5f!~ z*B~^F7ZZ&f>|^1bDuEq(h5M}CSC4%EMeCU#BEZ|6h&>lGzBl6Skbw3K-n4Eq2sY`r z6hz~+s-$j<6tkrj0m)l~<=27^SWNtg12yr2&_zCtW$^33IPv37`K20?c%dR+>dCb9 zF(Y*7B2JN2n%MlBq$9Q-QFIyyu9Mo=#J?7LAq)Ec8Z#KBi$OkLw<;mWNR5473;jVI zL4_v>%;RoA7rk_e(DStaX}I2+ z$-iUP3q^?48rChOfSKnQYQGXf^74dbIG!}0PUj#s&ONY$B;Ohdr1oCpPtURafsUuWKu4q@S)wyvFYH)g2toRD%pN#2%!r_-;0zb{7^B z7Kt4i4h43}?+j?S16!jakc=g_co|Q0B~T4G*eq5??0_AP&GsNW2iOiw&-bQnsP=~) z0I&K-50E2@4*mRM*%8*Xfomc%+3`}nB)Kin#+v&Dl_@qO4R>gTbM*EEIu{B+!sUQX z7uABPs6y8vqtottBx+vKv(P{`ZN0pyDOCI z82>it1;3U~;YTvWa3Mig^2~ePzeR3INpc0N4!_@%{6^dgQ8a|gyhwW_t96T&;so%- z&*b!Uk>kDdKX|U3MT{8gsqG1=r|W3gVzWs8!#t99TxktR9xQ2|F8Yj zWjwny_1p_nML6HW{~C@iFFON6u`Xj8udy=eDBu(qLsdfF(PAN9^KlSsyu3x`$2E9I z46<1}P}pV;*;MAT}SI7)as*g;(XeA=OcY$Vj+0J zZ|j0Oj$oUHQrITKTBAth_O`<1EIs^YYFI!=6}SXx}D`jmcPWH=2-w=#<~A!5^$S% zSYDrnwCI`_`mC^THHSPP!YnT@zkXJLOrwu3u0AH}Ni4;Q9duS4ZRY-gr-YY}SWqr5 z>xvO-^ZFq_`$^2>MAwUpT)*P27vgY$eb1>)A>_!Y4|nOjb(&)G`f}*QRr$OS9w&;$ zwl=NZe)V|mwEt>Y7V=h5m80o{Pd%rTg%GIB_jbE?2N7JII$eEctNO>L^U57 zwtT`(PhNavB;_1HQg@aawef@L1V?JmAV~E*L~Wcn9CVXVZ#@U;CZ8vPB!XaebG@+< zz;vAOX9YwD5y6R%LF}danS!&B0xQTslMim6!K_)MH;$YA%EitW$13?S08vakJT0ns za+(_mSF*eWeBKTGWsf-FyjQl4eB?=7?Cv_k?NvTFH(BLdTDU-&Dp&I0e%l1DxZa_+AO2s1N^G9~z5jivBg}ry_|^I*}0R=cHs541fW;&H#usbeE?l z=iSep53thkr<30?2)6pcY+U?HcBf*}>tC(AGUxF@059k;XP56v5h9Z6RUwd>l)5I< z#NDl7eGRcbCIfn}+a&pz7{9l@0mBTCy+&){lqYh$}t; zBOUMx%>`hX08F0B4ltcPeJeiiNb&FBSUA|v*Ux?ynLMTxa6Xz^`0Xf950VR!en0cV zC=;_1_^r) zlYH&;c|Rhz`z&HawDB>9dAFV#Gg>s9yCg!F*W^zYiQ;#Ike6imx}|n_Xz`IN@AA$O zsA#r56r6d~c;K`~3OR1k@MZ$M5s&S%peO34V?=mFiLTU$rC%Nl1rL{{3c+C-7Ied1 z*S(1{qYxmkQhylhtHL8%BMX@&G_*?yPx||P75Z@v2)W-0{MSX&U<59|^Dh^YN@Ad;_w%cvOB_UjGcRdUps3~cqc~D?`biXkkH-{j7WC2o`?)WQ zOF%&|iplP383hBg?=L|n?tI2JiDmJ^{94fgAC*1|g~mQ&dR17^6#@F^DaW(3oEjM+ zHX4+&B3bTQRTN4Ubf|aZ+N;MsiPkjx#y^Z{`{EDMN+j;$%VAV(xLdC0Ik_VE6b}4$ zb67}kErWGNHFRfykBH>Jw68BPeCb{7O^)az7O|#A!h4=O>9CMWzal=MTn+k$Z)bj} zXga@+$oq^3Npy_={v-Sa$*xZ{qz%HY6+g~~%WQ-$r&X*XIk(?(zj}ULYVU{fER{AMw@znvpSAe>3lB( z(_W$IKXvUtSyGa?P)Bc1_FBZ+NqaA0E00jJ=eZ(H%O*a{%YLR9UH-G5U`|F{Ipfa! zXOPbs!K`L^=H?65_S1-)x}3yy?I2c?BSjA)yB0n4w#kG0vH`Pfqk!O!BG{&4AiUfL zf@hPM`BXMt;iwIS<0t^ggOa&5t-i7R&a(gNh107vp~v#-v0v8NBm5pGrt!jtp7Az+ z8d2l#;#qL@($v+NBFN!KRP&obwEXS@KFK9V$oR(ZCvsG@Rfl{c+3Hb|q#nb}6s0>V zc4OCp%N$<#LGwEoHP^^_8BymcG6(fNlKU1y`-?= z?Dxy55M4^Pb`d1XjrwJL)7^+JI>YE%xc5MMD5-!bpO;}4B{Dsb?;^dQHId)QvBKF# z%S=2yx=4c2N5;F7{ArOHQIx>FSt+`p9yMEq>7b0W7Ea^d{Q!gw`+e%F4O7E0+{(Va`}goSAy4S-)V zF=-a=4!w^lcD-|nP3>S_)Yzg{###I^K_~>gw*B&@WSreY)1(r-D7Jc(UgqgX{eWw$ zxm`1gQrCRsFjcn7xO4X|2qu;J{ibXyd?Im9x^LlCw-wlL4fl^jJh)3}*f*5%ZXVMe z?xH%nWWiGoIzCCq-_u?JZ|5loO`z$NjC#0N>gpT|x`dP8vr>q5AvuKP_kH z*J6!MX~}C{a#kY@QbM{ePL0&{E(e&14HGWegPhhEWTw6)??^Ktmyp9Y`%BF>FKw15 zdyhxr%SRQii*6TzS4Zq40Ft>*<^ag|u=@fWCl0JJPO@47ERp5ycHRHv!ls_=hR3qZ zW@+0Fr79aI@c&-`;td`02}{TL$kktps-1g`B+@zQEZ{$(Y$0?omZ&p#{Nxr=L|js0 zC=rRFU(;WxuCfe{P+g!qGdHJq>z$FF6lzabO~Q!U9AOHzQ6{h4uj#3(3i4$Nul`6|QA^qIR^%c|bEM@KKnJdowt_6`4_24@R?r2D z6T}<^qXU-dA(Q(ha0TCli^Kg=Z!!9FXrX^A^!Cs~%r(3fWc|4;7NZ15utl%M(72s0 z^%f(NHOb~9V{D7wR$?qM*Kmu;TA8RO#)x1rEQm;M_Dg7~-eT=_>E8-1U4=C zvqT?mv4D~m@K1d!{l=l;ej!eVx5A4Z=n|;Dm2avp+6D?-!&?FE@K(?zcpe#{{`8PB z$K?7}m@T*xqB#ifZKb_mmeG$Um{IoJXC|WZBrQ5f;6!azqI{>wl2|lw^&+@J_2XU} zxVqM)5mqMMbr5SvNG*5v-2dQNFmQcXVlVNB#cz>%u2DXxgdJa?ynJ>L7w6B|%RT&I z>|66K6j;)$$N0_;kPWZZ5`(USVfrB;{%^Pdpnvt;P=h{8BBSSH>+v zLb!d1@t{|T=W2NvM&fdO#n2F!k*D3b{DWmbVo)gG-R&G7)hh=dk29t4UJ32z1?3-Y4rO2sWovZa$g_VCUyu{yE$io#NT|b+XU&11^MI4_ zgsF|PIMJ_T{&4L{l|Q3#?|vOW(OWN%XWkD8@As5-@^aoi-?@gL_f2=m%L_ZGP8Qm# z9OtvVup2rtZSft$ABmzia-3V}`VBSZlT|*qrhIdi-&iS+WGcI$^y0ZlYBhH z&-AqjL%s}T{CIDr99yufrQc!q@JDr(b@3NCRWX*?6-k@)nWsg>Q1^n$xweLAe#;}7 zaW;!jqP$fOM*7(LI@Z~fep9t4@y0w;Ek_po#m%?QiqsNMvz;ZXR?aAuLszO@F~mH1 zzaaKEt(1fF#|`Bl&iP&ZLRh3MWQt?6USJ`9vV-lb zbAHAo#-_MD%E#F(K8f;`@_u$G^a}X@LG4~wX_sqT^(p^|%CD~Q6IWYz%bVL3-U`mH z239#3i66d$z#P<-qI3MhS|MH^>UwTx=Im-Ya+sTo@_zWcEmUw8_C$V{BhU1cGh~14 z#<=&f-ntoh=oi~p%IWWyi#}c{hoAYYTJGQxZ|3l0f9(7d5x*ym>gMCpg(}~@QV!1Z zR6ey*jx5Rx{${(WowzNX_T$&3B4r^{9Ea==er$TZDB{WEggC#pQV#!?DzDDhtgo1V zi}HSW`o&4N<*b{_GtF{zH6JG#vsV>Gbu;Yzu&|D=lvC#+m5;5IqgP#dBvaXDJYvXt z`MYPeZoxfJK9)a~C%0bv>)K$*>;?;0kwmK(008iDP2_mh?b<9S)M8X%!~R{^%^Xy)vrHw z$J|(6$g{>R$K))OH63XOZNxJWespv2!0+Ip-;19JsKp=pny%+b@^cS@3D3|T7YZ-s zuUV8YBZLRiTqQ*<6$RyA0mw(ro27eV*d_Ag{#pNMiS|^;MK?j*ZBb{bk z?5`0uLgH16z^O9buH>Qce&wNXuC1O9#5tsGl{eN4qg1G`vOI~eNJuzGAMQh?1x*Y^ zO)>)pP~R)_H1RIX*Mc6}2x3~uHqeLKrsxd@ZU}D_ZKcihQ}tYHtQK9%=p%p(0Eg;2 z8(gc2xEbK%`z){mt)lZ-XEw<+v}XUMqXx~49}^O~+;~4KLKZ)OMYcTLC9WSXx z(eX0TRON5fk@4C9qOXjC1c=r+S zA>w6djtT4#m|5`LE;>N6*xc;b?n#n$l&-70&Ymk;PIg^I_78GHZ@5B0ca{BPo5uOI zBqQijcYItj13%cpLm|rWKU1wX3p(G>G1lQ{I^QOt!{w0<=n=4U3^u_hw0oO~e`L{Q zlgA_veb|&_6Z;9-WRB{9^#fc}EWWI=msCdFu<=;c8GB<#>R+WiAJl<|5C82Zx=49! zu({|*%7cfvTvGWE!{G>aHa(xKAwF!vV&fr^K9V~79?^G1j|n3`DqB`EvBRnI-RFdd zjZ@@)NJruXOvj4Y?8ZJvsC-ZHo-W=o$_M}BqZOnxdO^f_$d6V20r7_OksJ?|4Diw> zeKU0g|IOmtM#r^u46*fAI^qNLm1{b$$zP0b#6J(Av{cRX5yae2_?DZ#t>o9clxL&x zw&=KtVQN!#h%HN{nhB}8AeZHjgZIvfJuM?fBYs4+|bzResVX~KW zWGtqStgIvY->UrU>IYk@jf<7%1E3#Qo*z~pt?M7w@%t*fT1R9NOKe8%ul!l!J6Uzv zeBm176Y~S}6IkmAJAfC8`^~;OkNIf>nGVr@KOzqB$9RoL*k?)U#CWoZY;vKF*oCx@yb!aYIR_~K!*oKp}pmmZ_?w1 zNRKncTlGsge_hw62nT&A#?q8${EPd0HIFTteDP~t4|@E!`gE)ZxZ?8_BR~JDaxLbg z-dIx)=8+hC+;1)yu2pq?710WPh|Mi9Fi+I!OW7kL;>3xiPl!L(t(yN=wHsnIg^~48 zTi@=ZvEbQA_BiFoirym%dIZ01pTKxcBqw0h_D-!W+hC0Q&AyU@-{SG9nrFNUWh)D7 zoqy`qmJ<_t-7C4V?Xgcky;z&JwLSgq$UZpvkQMzu9&c7l;5g$SD z7okT}tT*Wq_nQ?Z2VGc`Fjr4fJ7Bm|{fY6PbuIRz?}S)dN!P0}9-r66u3vJ` z(S)@+uFcb2H(7PKzYcy4_uQ3k6#8H?~kmC*731ONa4|NnRa zbW%G{6j2mDm$$rjS=feUd$VQ=nf(9+Lu(1=Q^9Pvtor~hJwGcS*jY;l`AjIybNt5S z#KbIvDFI2*6wbT1QtfPs^Eu%E`OIjdGS2-KXND6PfJEUOSE`J%ohaKRtpfJsWA!J1 zrm_hAuReB|%KB*pxA(V)s%#mCL|EG|mNKDh6{J=&k0hlE7-harT7`c4YO!)&;ALW{ zTr}q#JKaWfh4z3=R}YWwK=(tUAbttyhrSX$rbg@JcMJS9*Z|TcUtONdF%TWKB*rkG z-a1Zkp)8td6SlVxm!>6jPo3ZOMK`U%bU*oLrUSY!=0*uQ(PkivYx>0!-1QN%xt!>w zBzj$5|9a3k8SbC&VUt9Hu7Q2_<7^8mRvV+@wQ)<^P2{V~r`K=d#g?dP;8>!IDloqM z^~Gw;9;xS~5(B0`JKvmjAY=dFtre~G42}=)KA*0j?Md9DAU@_@WFGMhTWAiq*K_wi ljiOQYNVkSeq%mi+?33TOpELJj+O;*+Rwj_*F8}}l|NkIVC7}QS diff --git a/cpld/db/RAM2E.(0).cnf.hdb b/cpld/db/RAM2E.(0).cnf.hdb index c4178316918c26962a31cb5caa312384ed09bcd8..0728203aa6f78a4c62a32ab8eafdf432b8918dda 100755 GIT binary patch delta 4006 zcmV;X4_WZUAk!a^Q-35u000000003F00000007+r00000007eu00000004La>|0BW zoJSEJ^L|S_gowmpe6WafK=VKL6><1ycXs7f{G8d?M$u|D@s8J{tY?#%*+f8O!G$YA zLP8M-5WS65ee zS9SlB+`fJL_PZL5#((K;|6U{Be~5SVcNBx8HSln(fsfFh{WtB|!~9Xx#y5^N8vmm9 zM}J2#I9dZoYv5=N9Ib(ST?7B5dh!qYqtep&5dA&5a^`XR+Zc`}Q}91YyuZ`?&G>6H zjx~;b_>diNV}G2Cm$LHA-^fEE$sRpmo9+#LTbww>c(b@yR)R10qK@H!XHoZjOMF@H}vCg!0(zOwy{a=E>5H&Y~; z`ySSvT)R5mqrADViOn&YaJn$DIo{XAVBDV!_9*X6t_((fH@Nqbjc3O@TjTz9r;dq! zdUx^#$CM5?X;vK@;~~?I2fO3xvwKW%G)Z=c2hH%n7Vm+B!2McCyZXOK65s{%9|-aQ zahx^MAb->slE|HeC@dkQ2j1wke=s|g1TY`;nr|_ItDRnHL<)IPv zJ}_v7Q4f)vP2@}>%S0B5%oCX@q2KnH0NBid`nfE|aZwOa)~x zo{^;Z1p6JD%fJctJ2aDl6YO`wXsCH>9XqLeX|X?ghHM!y zYk$Fv1*HXr1-S*81=`P<{v`FoK>J~!{V>pe7-&BXv>yiA4}&lhmwJP384I|*!4&5T zddWanRuGS+9xd!ZNW6*DTK^zK*EiR&;BtpDhg$3JCrvu1P&7+J7lzIaof%rzG`C&g z<;|q5nRa*S^b^=W?QZYd@ahyEcEA&&vwu3`%_YL%!ZK|HAklnTO zAdyKrw0d@@ph$jhawW8bxI5Sa3d)4Sacp%ooOX6bg8;jO=WLIQ!|Bug(H7#({cl}g zJ{yd(njjv-`Q7cw0RG@`G#+eWOx;0$lO0>>J(Wo!0wswElq4chl88Xb-UB5wPJfi_ zJt8EIM9AJCLiPp`vNwp3y+MTR4I*SM5Fu-U2-$m3!JdPLytD9@3_>Xy8H92o?@(Gc zcq7H2xGuKwZ&&{9An39Lg~H;5e5r?+gjI*;6vqw(D?J=^dN`o+Uca$991pHc!?g1W z8S3rL&6ZB1Oh({l=x6!4-TpS06Mv=>FOSk9Qe~uOA~hSSIk%}Idmh~(V3D(c0ZDhQ zL}qX@ZLTE96Imp(OyrDhDOp^s&nBI@M9wENd6Fkj@+>OksqZ}Xou|I@nWUR~%TsT8 z>Mc*b6{)u(^;V?biqu=dy>VHR+R0-pxMSu@xf3q?z+9<(!ew`uTk`UxC4a$PF-wY} zD6+H6-BTAufrr2flBSqj@@V$2?xMhBWCi0^$v!YwYP0ATVR>={SZX{hQ|L>M04s>I z(wrl}T(c6&IV=&MWmw0KBF$8}U{{o+ecE*)VYytkf9;UGLnR~D4y{UDOSRxJ&=SSK zscBhbi55HtS_&Cxkz^1`K7VRl%%5Bw^e~3o4I+$V0|b0(2}GvH9&U;QMj+ymBOXHH zu_PW;;?X4@X5#TC9(Wcw3CO7k=>U{u%n{w|;MORfuG+nD_`+Op?cnQ-t!lNBlkyLF zZh%IZ4*?os1{z@o8es++VFnsu26OAR!iNlioJ_SPk_)b&r^A3P^ndmMU=If20BkFK zDD3-HeLfN(M5!=`fQ6zh=~wHL)+yOjrqXgv!*ts!7wcZEgt0cpY8mTitf;ZZ%5=k^ zWPebpIH!W0ig+sQGwY$Swbnyn3plIcyoQS!E^BzEhG!KcP@#^kE?;av+=n0hOBm+fz3kU?L+uV8WN zBd1M8`nd#HPM9juw!m0C;W(yZ`$lhcu2mMC*M|o}XI#bhEeBWSpbvc@>9!T=M;l<4 zboP^Q4dMH#O6^;uNZOXGG#{Q7*zVvy^0~^8?(x7`$gs?T@qgg6v%cBjUx^`O1f`}x zn|P|yQV&#eGa=M+MdSzhz;>__c|6{Qa>n&p z&T5~yLpo9By({Ou)Z59}P7FiF%FzW5VG`D$cQE&Z$$$OGa~@kXm2)6M$ZDG2{oMPT zdw+93xZHb~vxjjsUbpc67VJgT_1zTS`+~cPy6j;X3mc6R9!f-h@(VAtYsjwyAD*IS z#fPV0zhjTJA#wn)!TB>fmIcZc0bNTYI$cDXt{#bQ_ds)7O_>-meh7nr?MU8cHeFYu zK0eR`V1M$%vB*J5d{HW?FG5Lv5lZrkQ1VxVk{%+IjRA+yFFKW)2?{ZidzM8hag!`1 zZUQNF5lD%fKuX*MQsO3%5;uXAxCx}hO&}#T0^)&GeRu}%k-|Y^VBz5EV2U71Qv?~N z2r^6&WSAnzFh!7IiXb35#Z1!yM_t3o%+RM)g@4Yc%EsjZG!_s4Dquhw?P6P-(%}vy z?ln|2;?LU3qmO#=Zen=x{$hCXj$?T79%Oj&u4H)eK4p0E&IO*oPAVr|H^E6aT$zM~ zWfl^aX-HV+Az=wr?TU>q%!OW7rD4drFbr84h9PblhWKR|;+SEGXNKWi)9>77^!$BD z<9|al8osux#%QT`gFb6>s)AMg=_sfH@p+-9OE%Ufz1_F0^?L<)W2|>5Qm=^z=2lS z%CRK^WPaI!8m#l&;FkzvDSX^hj(&)iIe$l^?j_tL$eLOSKaAOR!=K>nx?zUcKrGa% zw6UB$jbx2uP@$B>K}DXKuE;YJCQh>lvOl7dnZw94vlw}lE_7xi&n!RFf$X=aWTqE9 zo))v*BCj?A)-xlBdNqex&p2!xvHP5dF8e%Mstt)PnIU0H(r02_3|z-zD4@f|tbfii zqy7u6v<=du7vB#nC5YFkZUrs_{MXSS@OU+QPxq2fiMq7Ge|E3ftGP~pZ`J;2L04PT zyE62{3S8V!jP-I-AcA?8|Rx5G%9E9n>M^RthGlhaBbYqasdCOp+42mt)mx=3)QDphu+?u zmX(+$wF&;RHT@N%SDE&W>PuGbt5(ge_>om}i~KQTu0p0$Tz$)0Tek*oT7OB;N?x%N zLpS^`S+i|^VDzfj?CMol@UA{;P=#B9K9v%9SAVcWK)%)EWBbkYz;shve0P>yw&DGa1v_t1+`en$Ms!-R_#apFsg%IGvfp(pR{?)! z^eRI)s!P`NpDg$s>FJuS9)F`@laRge2As9SfM3~>YKJi7v&&&j|IUubrNP5kJ760Z z_}^L&+~B@O=9Ez#(DcKp_Cp$GTsyzEvwfUaRgA%pvC8SeAS;)zRfQ!J6NIWC^jY$4 zD>3wecfD(ae61ZY2_;}TApUIa$ z`}~l|i-YlSduOY8s&l&8pG<~Zqw9mwwE04RJQ|L+n*C`rC_kFDS|=ZGPRF~WEB)zU zv-x~~dw0-$VK}|koD9D>Xcl>My3@SgpI!-!ovY1hf4nuAHXqGqPhLAvXD5o|ljCpH z%Zcpx=J7jsbRsA5$$yViU*t|U>zr>AxAFRC8jX|mzI3s>a(Ux)zHxE6ySlM_`FwY| z*IPYzc4Mvke}3k!!)mGAw}PK&X@Df& zTmA$p3?x3kQw#7ByO5QBCp zV`mD%#v*nR?0*7Q3V{@Y77Bue)`Iy3sX~f$LGavJ-t$?Uncwot41AIel^nXI;@@m#-?}qq*#;{I?oBQq9 z9|AMN%0(xP5mguI6b}+AEh=~gxt+>bmqx}XM(+g7>sUn%0YR64^h@#S3_j`wip;k; zgEmS)|1SMfoU2r~WZD!LJ_FTF(^yyEz4(ERI)NgOo_QmqJh<-*SV_)5vdn!dWX^Hh z`xfx6zJD{#FvBfmJ<~Q1dWnDQb$RF*TV3ME1)a1EYX4;0XXTPOsx~of_sL8C!COb`-~WOc z1lX3#MHE(>E*mAXA~=Hh_e0--^NDYtndt)>GatLc9d!699Qg3(DZ6+K*rjGMb!raX MVAhv^0{{U3{}INxtN;K2 delta 4120 zcmZ9PS3DaG8^zV0MNl;x8kHg?X6(JSb~VJTS+!N{AV%!bnvGd4T57M_TTx2Yrbg^d zQDS`kzW2TQ&hPm>|8s86#dG)kxYrF#GDMjx6Z}u^xBuZh;XhQN`-i@_cFeTnu!nq?HPq0KYr|!=boCD3=}Zn>zEEf;4%xe{KT-X4sPw+x8!807dU&|X zqosCwifA?f5abF3fj|j#KwePFLGQT9&!6U3`!lBHsNL?otM`XD#;=gC{>u2I?Q5A? zy=zOYLCIy*!s!|7;q~L@m0y)K2|lc>ZpA#?MEv2SEG2*)cvGlb)XJf~= zSJM7($xzE3&<<##itfEH8VJ}#daUlO{GMfxFA_PBS8Hw?#VcO&r81;4>`N~b%iJ~o zgYrztz2bM$NWzU0t)2g@1=+MlWULJCV$Q^uAmgWAv)g1I>CVR{1+7Ul?D3ZMKhTqW zhj|9hNVg9h_qei7*z1S=vSp6PLS+S0{cUnqd6!3<2Ub6CZSW*WeDXd#f8iup+%f2E4hyi)74AmFqcWzVu5F#yomP*0mu`pgWa54Hq+5br>dKE7 z73k3v(U=RRZY$3`%u=B|$KH0c^gL?cZDX_ z%1TZE#~~0Y{I{RH?m_MRT8EHKQjf!;5Ki&FE#lmgnBoBY2ifGKULYV|_E{fgNzPsG z_S0g?+*HXCMi@rLC3B!ybc~+Q2ZmhbO)ACTN{}MLy8b36B7Kj(!UgK;cfI?f-l(3b zUZNhYUb`U8*A`Epxxk>> z$!Xj0JP3EXAvPa8jM8It0LO?h_OQe(Q>%JJ+R$}N@}}qa+krh z<{yLR79>4#!G;YCrZQF~n*4qK6c{e)Zu;j0(NJ=TrywB};FHUyWOjM?&=eSu1J`2i;^IGV}Np}a*n6ZM`7nN z)^uOi@e6b+r0YF>s)|wB*`qDZ6I!^41WW`b27^8e%<6k|L~jR{L;cth$n^`O4K4}@ z@^&GmF$KYQ8hfk4F&qXb$^nifyQj19&7)pZ@hWVR`niP8WPrYI1DLdi7<>65Usz-bR9&r@;?2Sxuh5a@so!O{?Vij)60 zv??eBhK68ey*o0MY5jQjAoSr%@>7^842Y3Uk=uJIUJs3H8Sif6IIkyJiRGsZdtDIJ zNHq)F?{cqlprYY7XEZRM=YzrqtP=92M?7k^Y{RqY)va+?cvc-Bd=MAS$w3VSx93+ejG(pQZ-2f+9M{Aa{-{vws-#%9hY^{ zk?J-AaJ@O+*v`~bgZ9F7-_zodi*oc?#(s=OB~m;#)=`-kZSjbGAooC&M>zj$3Pt)w z^7djOLS%rOCFb$nn5(-np)6TP`gJjlRq538#F!CmV9iul8MSm&6)_EhNixY1-jmNk z5=Ycpc&M5n7!b&X)4p#hAjzboSli#B`teaX3oyF>`IkhcvdZ#>EOV1N>qJS3L$M)y z)HeeyDvx+kiSRvkW|l8rIvc~#eCfWwiQ#V;I@5|~!bd&RZ5-_CDYhc-iG+Y&hFVk6 znpn+Jb~4?5Jm_UilFlxquW>nS^%s@IVkPq+8piVgeO|wrUli2?DP%)Xjd?e@+JfjX?@nT z0s%YL#TRdL)Nf6Xk0<Y^thcubp!arnwxm>G=rgTg!kms1KFtO$nW22I#yd-E zGYb5DZcM6}WIJ8T+-%p(l>R%!1j@XlHMN;1XVX&0#k3e&<%lLi_o8(-FYH6h>6ItQ z3kyQxWov(UGJ}g*z~i*2JRrf_kB~yzeQaUc1yBYU!Vf9v@i`$(WUiXAh#7vn}|3_hcXlHmmAK z>LS-gs+P`6U3}%L*>Xm%BiH$fyN->CeLX@8v3d%j3@^kh?eluDp?3mEO?4O?D;-N5 zyBR;IF!f1LPg`}Nk|5&L`h!9$f?aey)XJhFaT;P*!nRLGBp%k%N|xf~-plq5&NKI4 z!7LQ`t}huyin9_~jMf53b+{!$f&^wBF%8v1T8GmlsqnC4JPhZ`BZ+&-bGy+rS~=nA za?;yW7|xa_VU*>qZiMzYx=lG<(w>?cqn)|GeD@Q57it$pa~t<4ohR~ydC&SPf@Q)o z<6qEU_5>#WjGmT1xT2#*cF!3jBrm2la6nK)%vd@7O6S$Rl|aGg9wQ?7Wk35fnUS5! zbr#(NnH9kthh|C{lXw2{vgL)jpWM&R_&58mv@W3Kh4+sCVq~Kz^l>Zn4Q9j_kX*#Rr<%_Dl!&9;$2qRsbYZC!ukKc0&EjAI>p4T4nJKV)0)=54NFSJ^Htk zD!^}`r3Tp7DJgfb1)%L%H&Wj8L8^h%_8 zuNdQdpFMF($r!RBTCEKA6i-TLGpv*aJkS?7%Ec~aHjvWa!ki>*s%=A8J3`)?TXB9` zm7sOElN?OO6I4Gexw!uGT%3F-HkOp0g;JH-uwX(D?CA_<%zOh|rfGouT z1X)O>(6E|t8!wuqQS8l(4k?u|i$K3^1@Lu8*xwKqetXL4V&~n*e0CvU<0d_){Fi|h zguR4~okA+lb`1=p#>hz8Q6`&bj5PVozx1g{x<4_t`5+z~ST+zXMmJ5nk$4R9`wTr7 zeoDM}^G;8SQ<)}zH8BlS`0ABt3l;jtB)T6nr5Z#@;@j6PkM46sz-$el2M-N$|bsf8Xb11Jt!A;xZq^agy z1M$DDw2v=4W)>OLI+F`C50PU)DK9}Ynz&c|JrWvNVa`ISsPT)X4YdgyOW!2Y#uxQC zFZ=n*wr1eo&aG!t)8lxYE$$*!8YSksPC+Gl9eCHA^Ld4siYA$MA{EwAAFE^pmxn9Dha z2Qa&PR=4^t&37D-9FksEkXxtyr-$|TtDd(yHJR5ye$_%IU9^pw7DcxV+x=v%S+z*dQ3a`|nj8xIHjdGWW=bjSdm@`QpWxTt=0JMH9#!!jDlX<6 zeOjbAg6y7s8{2wW@BdDI)-!J2`6nDzC5tuI<&AILj>_6G^6~UPdQy$mdUE^8LU4iM zL$Q&dj5Y38DQbm*sST-<@@6GNqxhru!vm?`L^jvnqkJ4(s)JSoi{^JfXPf<++dv>J zU0rL;-mi7+!ATu`w959bDOHlZ+GI|PsQ%<4Y!_LjLO0SM0Vc#;gwE4KDzw8 z;~X|agsOLYzSMt>x1UWnlFtfyWq8o)e3Lrj#U6JE#n{bJB@#1o6p@EBjY>q{YZU5* z-0N=hy`~}{xViDYWn=(#W>jPhW)p;d&FmJ4wg4n|D`>Ft#8ck#aLu00PMU?=i-V$i z6g0v%1!{jNRaAZ-4#~E%Q>cJpBEqaZOvrvSqYAZB)l?1wsuxxb0!6ycg7#bd+#VE$ ziuA)|I1%Ga5-NBwqJ+^8A>ao+}phfn|}J zZGeGa6w*DiZdk-KH;sz5omB+_nvqM8Q2`l=dW#$DeNa1-&AUmFbcrg90Fq@Ph)oLz zs#WT4XE0MSCBH&=8?fT(eHbr#w)ccP3LXFB4IJ~OXA69msxJbD7hYP^rtb)YKQ8tc8jqYyUcZ? ze(cK2)2l_YOWW%wHS$$nU$%ALh<2)IqPouSrcQ{mT?U-!lZd!*<^&6dvJh;E`>+YD zKpHu>4+pQ1LkHyWk(zI4kLAfPCYk3kW&DS$Vf6mQTZ^-;BLff*qxHUud0s(0RonT| z@|ByAA;GL?V|1@n+n`!>DOCb6`0BfEUhU;6g$RR!o4rW`TKk&WVp^`T<8$Q={i73t TwkJP@XzYXVH-A6=o16at`7Qxs diff --git a/cpld/db/RAM2E.asm.qmsg b/cpld/db/RAM2E.asm.qmsg index 23c4a84..b30f19f 100755 --- a/cpld/db/RAM2E.asm.qmsg +++ b/cpld/db/RAM2E.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600299289286 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600299289286 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 19:34:49 2020 " "Processing started: Wed Sep 16 19:34:49 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600299289286 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1600299289286 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1600299289286 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1600299289446 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1600299289456 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4524 " "Peak virtual memory: 4524 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299289606 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:49 2020 " "Processing ended: Wed Sep 16 19:34:49 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299289606 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299289606 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299289606 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1600299289606 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600301681638 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600301681638 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 20:14:41 2020 " "Processing started: Wed Sep 16 20:14:41 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600301681638 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1600301681638 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1600301681638 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1600301681798 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1600301681798 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4524 " "Peak virtual memory: 4524 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301681918 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:41 2020 " "Processing ended: Wed Sep 16 20:14:41 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301681918 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301681918 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301681918 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1600301681918 ""} diff --git a/cpld/db/RAM2E.asm.rdb b/cpld/db/RAM2E.asm.rdb index 783ba95beadb870ed1533976278a46943fcd0d78..9584e4f81841afd44a7f09fd0f568fe8b627e6eb 100755 GIT binary patch delta 1090 zcmV-I1iky{3g!xsTYruO000000027y00000003qM00000004La>{i`x8$}Sefl|Iv zkpO{sm4Q#iaA7Kk5xTejW#%tT1_D zeh%3b%WvmdxW*`sy6eUB!t8o9kEGUOD%VEy2M{Z5Z3~^WpCx+A>1EqWMjBxXZCAd+ z;1#|P@UV!fML*)MJ(*MYHuapH?$*v$7n7c2x0gzAe}5WRM?Z)}TK1b5O5K+H1j9JX zTd_QqF%44_nc4c?H?ezHBvCxC5ezo!|3pU?jF+v;Ed4%)?NM*bIUaW%$K77;vL3bc zTNpf>|CYg)4`e8c*wB%Pi@D`&D!fk`HOi2mC3zGJ4h$>BPCN|5ONj5m8>Rv^l5(L$ z9OI+sIFb(Mnyd3KmbzF*_=c3sGZ<{x zfJ>~a+0EW}A*bME_FIw#e=Ear;l`Q&lyp`oq)V777@UUDv_L{KOi<>LRnBk5z0^pB zBfiYxvosptpj*gL$!CR3{TXE;nG<;hFnho2(0^#3a~SP{e*U7QKgV|vokjsbN^_Uk zlX*OGb?BCx8{i`#8#NHOf%5$^ zFarz>5BtC{2}A6&aXKW!LtWcR8fwz^+`#08k?(ZQwf9v?7vjF~e*-1__GHI*t{tY~ z0UoLuowO_MZ&%t6-NnVl#b4;PTJ08ktzNIag`umpjK`5w%zs;M^&8+kgV3L){s-ph zzX9MwEMEeUo5!(G(`68*8K?dVKEFel`*jFFFjnmrG=GG#q*)phCKLS{xc9s6kav~a zry%OD;xkuYnDCPEAKZs^3%l?$OcyTPmx)xuNT2q?NNV=Y3P*AXLDV|(asnESF;6fq zF#GZ>^duc+CVxy5y-U5_=0h!&Zk~ulHv6fU$1*XxxgVN?eB6A{9kvHeFN^$Unwu;) zzXWWG~PUcbt<^%W-?JB7EwO4oK1!INux#?^3pg9Bf)`TrPzr_L9l}O0lYyXP$MZ5 zN<bA@yXQU!yPAe`h#NQUu?JhIC9&A5{o zsc^*SIeeB{?HhCp87TQAmx(u}G$3;-uK;H6w|^WO9&iq$UD8jV74+x$_QSK#2S{P= z0((4*C$0{yVsouD{Q#V9Zc@sT`!HaBCFOfB&pGT|>A`b|<#A{%VC9}G1lKVRto3(R zMla7lhGcK;P2a#O+imq%sV~<^l2~!jinSG@1DJW?rI8rtkx(>}=cXvaw9zmo*rYuK z#D7Sri8NGB&k9n7XqRChh+pY;nBd1?4ywl20lixWJ&@5<=`E@d zN5{hqAGGrPd(fATa-|A!?qdkTGxpr`^MC3y+%0GD3mvusFX(X4AN0r_b?@C>f`269 zYrt1rCy*$%LgD>66!A>Y?WvK}Lvm8P;ml9-Xzcx8)RptLJpUS=xsziUizkHXEG) diff --git a/cpld/db/RAM2E.asm_labs.ddb b/cpld/db/RAM2E.asm_labs.ddb index 41306687ff92732417f969ce280aecb64e260a05..0bb936ddb2505edd465ce22d90382ef4ecb9f856 100755 GIT binary patch delta 2698 zcmV;53U&3b7X1~FTYtI=00000002|~00000008|800000004La)L2by8^;y?X1rW3 z+1gyOmgpKyFqA1$$ssU$3IZe;QL!OAXiT|oPHtnT1p)k@Smu?KlwF9)ssSpH5?l-&oaeq)dIrjm>nYV9t=9~97 zZ+Y+Dy?gtR(gw$Z!~e%#61T zR)xQ=V1|I(A?ga+^$}&^wcz)&KpP=Bv=H4WVB*!+m48$1pw*G_9$@x-fa;MTPV~El zH{BpP-{$i-ttgtTxNYIVvtH&z&+WxC!ZS;L*cx+gRq1SD&iv9xAf32m(4H6OGQuaj zxKp0SqeZLN1iKXX>3y2^x>W(1K;&VyG%?xCcj7`vwjgOB<06-$apMxOp9fZr9DI-y z(<2%lrGH*NnUdm7XWxhYR}S6-?i`SKdH~%j%#4GTr4xRedi3^U)puTAaWd!m-e3c* z%NRXyQk^Z*3fw(}dS2s-*~ZRUR{d<_K!r+OH^6Rz&ovwvxE;~otwrngF+DWqHHV8P zi>L+Mr2h5~Bjwy1-wO5VMVM-cwJ6p37mQb~n}5)K*!@d5J%G<@9_BK3-=l0Vaw!LL zA*%*ka02Sh&7oIn_%n-$mx^QOWX~));W|`Mo1xLSu`A*>Tp+>zmr3fpi*i}$RtM8n zqi3r^R>yPz*)Jj7=wW3yt>B7&jUIFemj{Jj({8^Y!gf7ULt3_c3+Z7#6H+;};it4( zTYqC!G&H^DlZ(FjMaNsMIfq(hd1uPUKa>X-n8suA)gsPGr@>fgPvdqW7;GO&)|ZrX zCrO)-sP|yR!SOu0IT%L9^(im60oUxIPmhULs=%$#?Q!>W$X#Rife!jh4wt6SIA|AP zN~7}W;bbv7^$y*q_w-@F&cl#c}N*H z&6b|djy5@tENM2%h|2769BtEsv74+4XW_-C;62jyGZPXOi9pd?4Lwfaw#fv~OPrA~ z#T=dzs_An@1($oh$b5E88mcPbGs(8uaY*b(nJ;b~#5*~eU_a`iD)II({HTJykbezE zR6GFs1^R^^H7%n-53M3k655k&k;VOBf6oNTk%L90f1{XTor#&8PX{^bULeFsj~+oZ z2Hli|YkdZB!NJ@BI!%}}jIz?R`_2`uY5o_xaV0(gKCI=;j6}cI2-~m2e8h;CSgm={ zhKI^qj9k=j79H_;#w_G}ex&5}+J6`}w6qzTmqJ1o{}!d=WwPflj%F^wnfa|$FG%X^ z6aoQNors#5GAfY4cK3bDrT*mR{W9aM-J10j>T;A7c=6_bqh!%g3 zItkMs97gy9DWG)X%}V|SSZ7vR)}{2PZ7pAE`PlXKPDmxES9CT zJ8a5!Rf2b6offQ=jN{;_1y0)R?i(+2yl@dpk2OYyLbONlL!$buA){l^w+!oxi zX7H2mijQl+=L?ic=N<;#dEN^GH>R|iV=QwenXH_29F7ywRh)datl)c5D~Ea%8d@P@ zDyLuQLQga^ozXW&eSaId7qN1dclsU&tpO-FvdhJaaDpQ?t33?6sj6IS$hlTJDK>na zQww;qETRF)J73wVavCZ=hWt4$Hk5%9!_Bvt`Alws@-q%CG=sVO>KPs(EmACYT5on9 zW(wX+-VY>B?yvji`#hLL;8rfLLz|Kb15f_-d);1J7Ne4hT7MTr|01uw?zR4uAI#)0 zeHHd>2paa&vhW@$f19}%b8q6)DVckpFHH$~7mTC`?<=y3f#XHlH^I!zSk0_4Ee+M@ zzhI316FLdbC$-H?EgCII>A_o3q~SY;$BbiD%xXbi8c&dF1X|-}G^J!dVn7pS{tDp4vW2zfd|DaN-*D zT(yyWi7aH|p~(BG@r^)u*F$_!hj+CKxDZj<*0uX5dT+b{@l4$qmZ&_yYH6=%XPz}b zVAVUE`G50ncpDABUqG-{VN`p;Ix={pf`g3zt0(lVh`0mxZI<{C!4AcpoG3d$i!v** z_D3eLkJ!>G>Sa!G65fgfK22p-KFxR|XCKzth+R^-q;a5cH88^Z8FFR}jhgn_)q%L+ zVT~0`Ni;TCIo1pUU)VyFuQAFA(oArdbP+y|?0=(&&XW*yirtFIZJy-oI4e(dpA5Fg%Lz+0&@@@*Gr@li z9E_490Q+}>6?Ig+M|(dzKVY&KYy}vzrg~%&PEGhTbrtZO(kRV|bvB+{YW4~CPqH-I z7#4VRVh^Sk@Nb?hkkWuQD9YbcKZ8OVoqw6U;Aoc>9cA3|vF2cFU54C)w}();%QR4 zE#W_b`~o}va}j4fxejX+@LYE|bJotZjj>_B!?)?b00030|9AmnVqj#DU|?Wa@ss!p EH$0d>6#xJL delta 2733 zcmV;e3R3m`6|WYMTYu~d00000002|~00000001uw00000004La)L30;9M={8=KAjJ zoptRUt!1rh5_5NB?J9XN%}XhPhO3p++A4J>A$3BbWvtq(jY)#(L)Z#=xe|&k2U4$1 zVv9myBy3B52_a8;G9u)m^ht%_Hl@(9v5nJ*Q2J1eaqWJ0R)2D2Vu!x=z|1}8{(kqI zbI(09_wV1oKZqXPBkz&@e=G%YJM2&J*}cXuVv(G!0>c*9MG~?>RiTF$kW943n(RLp z;g&(tY?-$xX%DRi&Nr2I66b3Rq+X0Bv^Ibc9M8hC4HyLu4FHqJVGA6(fU5(cIdWXi z)w~dXkPdjsbAK~Hjcr>H`-pcUwnYw9{-J*0%$}?*P25~P>^*LsU!)ieC>#Rff`?r* zu=x;^kZB&6;=by_w0$I-52C|$%0EXWaA}F=)97vsU<8sv105enITN8fas|Q}HHA)&P`Q{6R_dzzRfv^3&|ZLnC44Hxx_=2i9olOzhhn9QI9^}{$?0mH zdi)~ATtQ&g;`O~%NKe^%f%?mLNQ%OZ{k%_Lr~i7AhiC&0?tvQP zln?09*ne$4Q*k_?arm^txW-3XHI>Ayq=KlX5)y7w&W8+D1_hp=?mR$uPR34voGXaB4* z>CLBf-xdP91dPoftw~Ik9<6ueB(4DZJt>ZQ`0QL4oeDIy)84{3Bcp$Zhm)#86rBCy z98Yc~vZWnK0`_~N6g~>Jqm%BOCJb&m0 zbZv0#W+<%}njMg75aJ1~?5nuIbCn3=e;8)&K{YyZ1t0oMtER%=@zB$H*5aQ_A?|1; z%f2|~;SlxlFGlDs3P&p!_fZkQtVX)*Bl3_^`khHu7<``0rhJ^wto}++n=fCebLa11 z)oEo&TzFB*zvH_R)qhu26BeBUx_^1Y2sV9*T{;@8RL3B&ywn=(a8qlb-QA?K^@zQ_ zRfglG?o1x`7%dw>?mVe&WgcM#t96sy+jYu72!Af~} z{8%ZQEYp3DTi?eb#l(Y*Dz7AgYc=%$<8SxB%+dLQ%ra||)l-!bO7j_Y_c7HlOs z>}@N_Exd6ooCf> zegbyn3O>Zn`1JndkWT1ihc&JjjW_wsjPgU?WNL+}OXmzOIqX}H7=NCH=JYEg162A@ zuv)C3)8Nfrz-u0_9FoJoPCb5+qP+q27lhZole0U8n5$Rx;EaraHh%sJ!dyuIMQ-^i zPhU2|mOtPgaD@576{~GIpwt~dJU(*;Mzfz_7NgYq7KlA6dHB%cGvYhCHA#a8?|lzL zHfe8Ph>IfnH66o5uz!TVMTG}){@g(mwo6{Tr8|CrIa~$ucK^4uyc9z9|}V1J)A0JSKBxA9_<%V zM?}=SXe+EPF^995Jv4K# z1IIfLq2Fr9l43seVk(jbGHVj+I9r&UptUoc&YUqG_6dbeocxHhrarPaK- z$zYQ2x->pL*u|4GKy?mr#TzcP!Y0STzZhvqp{oHGDmkYLHKX7 zoAS9ykqq?X?Sgdo{vD&!b3*+>ggB`PtQvO(b_uAhDntOiYmdvxVh$DADp^6+>HP!V zmW&s9MStBQUJBKbkzqtt!9FV!(&eV4v6Tt)Tz8N3C}u%_U!$1i1F8FvM9{{yxk_%qDSd4{og5iHU-!)X<dPSyQ&phuxo^JZI>OE~RXZV= z+vn1W1aDMf_h;X$acGQZGwgy(Ha22ktt0Bx+!q#Ng+C3IY^S7b8Ljrqdi6yl_Y7uv z8@BaXmF{)-hLivm233)MRKzq!l^#oC@O!-58xZ#(O!DW zV1H_4eZa*NS!D48E#-KBJSX{eh_iXF%H_)AE|)Mp+z(^F#-n~myAzM!&^>Bi^nA78 zcB0?St-d(#>}(m9TO&$y-*3^o3bSHai$B&qZ|BUugqK-cO>^dTycxRzJ3#-1If(3< zhkp=vfg4Lq+%2=OsE4mge9mi}C6oN=Y=2c|ufxE3Vf1cj!-fVQPBr5?X8w-6jKAW5 zI^+9G+=9VP?b#lpm2IBL?oNyLfN=T3+a+44Pkv$s|4^({`8A)^yS#I=$=2|078q(EGB!qq@31IyUeV2_LL#B!QcN2leP*+kIZ7c diff --git a/cpld/db/RAM2E.cmp.cdb b/cpld/db/RAM2E.cmp.cdb index 892e94d5be7c4f10c79eca1ecb2af706927ada9a..c63d6f9a9b1ada0d6e4a077abc509f99a88923c6 100755 GIT binary patch literal 46058 zcmeEtg;N_&@GosC6faPqxH~Oc+}))VE5(WzhoXT53Y6l-oj`GS`(j0d2X}Y(1Og;^ z{k`{ByqVwJ%PqN26=Bs zXB!3qK>-FnVP1X#UI9LSK?Z$WPaj8XTLxV#YbOSN27Pq~BipYGN;Zz)|E+8Z#r(Go z21aJ>|0Ng(SpSb6H~c^9_v|$2140MQz-jJplo}cVihlUUoi)=dB() zgIdWf!!`e*!vW7x(V>L|*oK48F9nEE=>(Lnz zBD;>>=CCC@myu(IFB1FGuB5pXw2-r}9hZ{3WX;TREB3AJxXw;HSrd(k@Qa&u2? zM{V1<<4)hZV=ZwYy?VV2X=T*5k5dr0k_e5cRfc$E-FN6cp3hQTas3e49`Ko;hsQ1p zm5Ycs^Y#**oGrqg`>a=@9Ae!3cyxxK$J;@~aa-XqpD%{?qB(2SS}VTIQdjLW&BWc+ z)90G)lB;%BN)M2;otr;Cv8Vjf$JR@|H-C0?<6g6eK_EE;%v~;pWGyW>ui5t<4}Llw zm@P#J_3lW1HgtQG%$773ydU4(onot$aEW%%=D5F~EFvDSe`tL#Eh0hIQzh-Tks_=N zSzcb>Ts`Qi?5dn_r;guPS%2{R!rUL(zcC_Wx8b&!AG@^4CP>vQZP2;4TG~zrr{zgsT8PP z(Vq+3P=tkrA{_}9k+dT2#3*Q!;)P-R`dg@oY^FLW|R+{p%0ODb+5rr z=_pJYrF-Qhc=puqI(AUC-A`C|$T=PcZPZ;~v~ac#hc#nqyxkLA^P6}O zVSd1?mB%}=294RJEM^5Z|IrANAv&F_?9`HJKKNW$cc}hIS;-GqI#U4lwGY>DUy)tg zgZL7ncbftpLS6dcYjqSm`69yHjpz#2>)$d#E>BB9IcLMgT;#@tb$IK z8N`1yURQov>T17wRA6vpMtOzOLr9}#wtX?rsE0=%%4$u9PjvFpzueklU9K;+$mc~8 zWom*15mAjM*UM?J7G-!I`afnF7%LHXGVd5s;f5LF;jPDMR#Ock=1BoW-Xuc(TILk3 zbkFuNS0?kyeh6yAI$nKwqKzu)T082#mAcsc=#wf5`0g8M1LOltNpC$R9E^bsb^Ozr zEBmisgLgW&^g$C5y*KV%CcE*k(WAf2Ifz#D(XT;@mNiTd4%-?$GJ7tA8;srO{S;@E zaS;D&P0l|L8%#m+{-Wrn8_CCh)TaKk!9Tyc<{;O4D8DX^bw>2W*u^lYK2Pc>0`YDi z>P5bzf?nd^%9$$}LMsdM=5`aFs`&T${o1ny?DH0=Jh@9JMr`Cf$pITfQkVeglKmx` zhM7G?NYgO4+v{6^NUcS~+4x}pg;RfB$!RfOkN;EV(T4LVNz1_(p6lM-S?rRy0gXUUtfs#*f@We5{NcIrWSjyvmmnbvF z?!1AQmBQp-CEZNFNA!qybfqTLcky287_B7B8xIOZF09?tl3kuuKJ^nVC}dt)&TkzcK3B1h0w+Nd%K|4+y(p~Ck;A&WbQXNNmZG+8eQP^ zNhG4}s-U~;U7dyCgLeri0c13&lJ%lK$hSsiQ)|UPy7g zVgk@d#Xt9V;60|g##=ZUu8~-XNCp`?8*=boL@fFzNR3B0(@%7B5V_@=JlesCV9^)8 zVTf|>+ur+OVn&{S*?2Qha-@Lj zulxFRwz@6WFS7K@8Zmav>E?S=GsT3oL%O*9+E_wp8C@4$6?FN0VXN8Fl|G5?ce8Pu zCR(75q+bCy#!6qcXF+>W}9QL(UdU*-e_e5^Nn=)QPeWVkx1!Lbknh1D_2)~IHl{Q8Lf5-1UR1bn-CdV@r zS!B~7-c9=(<_5;AM@j*BC5ZHXKEi~4J-#H+c848dVoEbV4pDVuGjw3=tiOu9?nf}k z+}Uk^=%8~6(?OF$aO10Lh*J-0&iARW8>g30lXHIjIg4HG*Aa+W78x zxm{MEA3FIu0?E0*p(#0mM`fs5{)?`ssEZ8-IT?5;$a2 zEXh+GpF{dnD3Lt3p%8=S6$|~K_y$pq6#}U^YV8|`rRi3|q(`Tp&=K3a*Q4-uCF|pt z$t`~Da`)O^M}dLeC#^&++cd7~5?hI=VW$MvRI|XVB65qbOM4U|6;*hL-L+TQ##l9Q zzE|qD5hH{KG>z5t#_!9W*Tu7p#HvR;3_ukZZU*nmThvmx%fIODMa|zub5S&{?;BKC zc$%f096y?+L?63++>7Ee`qcIZhWs<4RMq02a#H@qXz#xVpwZr+fUJK5BV-2E4nf_e zo_Z%P=6nBfEf};_cWoH7m9DcHRF`@xrEq`V;4S|$8<1txRz2eVZ}$t|{}ubcPX6DJ z`u_kxEXO1eWdC9prHCtyNN$VOBNb$IS+^Y{WO!`ZfTD+v?2PUgm~8ODx@A>pSTD>w zSlO898=($VUe0&>PQ%Px~D|IQpXqAWf084zy##fCBLt=)yRHv&H zXtE8xJYC$R?~Ff%qWpCycGK?bY_8h2Cty(KaY~3Y`kq`}~&e>nuysWP|4^ z-P928F{Sp2HBl0w3k5((nw?I=nrNehj?HJ|Z=d?qyK&s)D^?uGXl&N)OxPxg^DmM+ zyrO0_>!v)SaJpI^gu!IQb^Wf(yF-QTe33bY zPkznW(n1~mp|d=2+=xS4(>$5q1;jZ0*>I(_2JEhK? zSKB>HWG!Mu)s>5N*kv!uSFt}^%wkjfUyftZbyS348}VM|`AamKzOCW%`H#Ea)TQ`Z zakHn)Q*zPb1X6-+O9PMw;u5WWxKrhx^%?kTuE~Pn&&zgEE44^Rqi!yUIY~vgDCh^>pHsWVf zETrGQrrxU^Q8@l*k0%uVBI z%||yvG7e;IIIQ^bbeU1;EsclCSaE6)rq+XQV$4sW2<%n3t|MJ9-@v@mf6yh)weDLu z`C2?)>sje)*J1Iwmyh~gM(JBzZyDy_c6cfKTUh_`PIImq2wmJozi_4IXz8O;Tw2fB zhC5mwQNM8I=5Fz(cBh`^bXDb%R==(f%6>7hkLXrnX0dnmBtSRWS;Ohx9DuHFa0VH2 zCBDqQo)39w#8{F6!LD~A8=zM61=T=uDwK+)IV5d#jow*P+EA@Z>{>l+D@rP{tdd^|7vBq${pxIEP^) zHP^(l?~UyzygaYH zIh{f^vA6&ybmN5ILx}NWE>#k0L@P!l+y2Y>l}aAYzkmRSG!lK&26p!NHOQ8D*2kWX zCF8wZ{mIqRP94*Pq#6@Ub(Z)2#_e!ux2``M)Fzvm^(hlz;Jf^))I0ZdMK)79CsEn6 z-0%6q180jI*Z2T|WSv}zWXPK>?-F)lg4Ud>RcRMKa_9o5Yt-ohr3B`x_D5G=@0kk? z?~&>JjXT0Zv5!SDDizPeoIXgXJfQ+ zU&jq&;q<*aD1FY-l0V@brF^-kKCjc3EBIz4iMpY@>TXli3`$wu#z$AivjCfV`19q? zG6@KpKM~_rlJln!2zo{^wRp9v%rY}?7ehm8q9fV2B^GUGDi#TJ!LO^Js@QM!YmjOC zct#iZcQ8MuUa!8>qyEOdyIH2rk1t35{l8l$E^#*YRAcsD!>i>dCGYLlU)xW-KZl9VuC;(Pt>ZqU`T3O3eW$r(K8N zZez5MYzf)oXlB_iNhzanxYq^&#de|2XplR^aEIxdxqa zMx9anITGI>+wRXlN;gb#raA$fj5tP`^pD=Ox1kW`qfai#qz2Ldi-Y84+Z(K%lWy_7 zi+LFI2=_@!6SiC~M`N+X*{NK%wB4alyZ1@yN}{*dOTslYe>a+t~ikHfT(>dJ&EUg*~y)x`%Vd!nkGp18V}v}73^7T1Y^LOi4fu1 zYMI}?HcYtF@0*7uKhjB1r(;_fWjoR;hMe&K{VM*?z#CE2pG6UaFi zO|mzDu&073d0Dr=Fix&1IsBBZQ%tHfh^Ugw-l*~betB~sR4@>7hSM+&^GjFVVT`+3 z5u$T-dwt;R%SHE{GtZSyO)9{!#sYVHOL@*I7Rv*u({hUoJ2L5Xb`grZIr&s0^I`HN zQ~L;(g0*;m(XCImTO?P$fJJcoUy**m0AfDy&A9<=+HB{l#Q_+YaSUt03dmXD?39jv zB0IK*6yZABT6fyLzg!3}UzjMTuy>cO%0knX5N(q0&1`scfr0CV>y2_5sPPk8C4Cay!QS*-n5T%=+XH7wR+29J;e_q8IiLvum(o^3?A$BFXEi0AZ zT0|#w^xMy7876d2K1s%mO>xf--wK`l%UL0PhA*$&nQph|1{KRGm}d=Hw(wfI*9pET z&~3roM6=6XI0!syy{HezTzIZE%{#J7VOOwON%0%%KU`sS=V}_dh8cm&#D`S|NVVFRRywD?(8tr$H?mX z(|vvm?)I7%`bIHgdF~y_t*R~A`4_*BKAHmlCkSW3ei2Fi0&8JKK`$ud*OOhpajApD zrp5ah{nZ@IJCL9MG`+n_a+J>$OZqyxC19=Op19+@af~fgN;n|F;Y@nK zj_!h?iau5frH!G>qw!Jz^ijSZ#E7mr?Y0Tx|0rPf?HCP2qDweXI zW>v#&o{`6#w*zev9Rsg7&ErKwL94Aib=A0OBp>_ zqfNr^-^nl=0m(nTYMVZ z`HDq?Kj$YIH}fzBsIzYoAG|7{J8yTA+6u#q(u5L-EqeUVjO{D#LOQyL)$6#?KTj?- z1mtNSbFhw9mqZt(<2~`YcZ51sgk`RF^Z9p{OO$fK*jXNR0dVJ6Dbi(5uvLIO0dRz+ zXBx{vm5#1^>DU@NY*Xhbi#d8kPiV^TVp$qaKrY^CffSkGJZMl3RFhlH7Zl8*<`a z%}69O?Y=qr$VirFa8&8awH%5w*b3CAuHuy_C>U5uL7}jsiD6)dd)b0PV zmMxXVc?JT0l9QWn@c%~RT*CoeAk+R+74vS%+>*w5Loi#@X=b=I;_ zv6u-j-w7q_xkJV96WsYU0ni3^ zorJ~d#$PV(vX2Re4v14`1M0F&;(znY#5zG>;GHW*QucEVng}n;0=kRHjnZT_Ca3qd zUY0wHzwk~*MI)Py6KX~o2YcXBeZw|5fRKM-0(BRV(IylxRsc-}G3goYz;Mhn8zRpA8FlPrl7m&5H#&CWvl)=APP8_{|!2SA1zu z0w2hKsd5Ze{N~%VJ~iC#B_?=z%IP`Hr?J^?@A_t0A>fXC6)H}yIz1uvM-u6pt&i$B zlOr&Jde&EK6B(3bvKBV`%#|Jx_}Zo#54Ow0lN!o=kvve>Yp3`VfRjriFvkR`oW z)fT=yD@v*M>d<;=g8FP!R1KV8ZD{xL;%fD~xFNR|$zbLj&Pyk=F5V=?eLf_Uw%L|E ziETE6lq65LfPB5uP+7_MMBm-9|LmkZt$PYMr1n)1SoB{PA@8jLJj$x>KD%K?PHnyw zjCuark-^Io)~VJXn%Ni3TX8J)83`|E8>r_}Tirlct*&~sU$v4Z?J_&1I+bN?*X2-( z2^E(tZ8+B`46SsXS4Pm*yPmj%9et9v9Ur`)P1J+;ESsqB{eBcc z8|Ql-UBc1Xz-l@F!Th_oJ@nW?LLLncG}qiFqoKl`L{+q!XE(59Rr0?#;Y+`<8IkQp zxV|e59>ApOZaG8}YET_4w!RB^F)G7C+ycTs!_MbjRj|*m1?Pl{#1Cg3tQL%zRZk5A zl`m@JnlosSI1%U6*|opX@eVr3#kinf5bIAe*O0#%%^SKa;HoFJ9Xl83j&~z{1wycs zIYwh!SbwP40gJ_=?#qBm@nj}uYGJCRwe!St4O#|+G8RKfz>KDzy02~GkQZUJBj90| z-3I^RxrM6gMqI9@J%hELj_F&8l*O~kHhwrFwDxFqCT46i^a2#M7BKJX1cLTPxMoIUCpRcJ7hm?Sl~^^|N&{%EEc4u3f7@21-K14^&F~ zN=-fy)k*|d2*J$jPo*lqu6li2-PGut@ZMg((2MI=y;Km@MD1Im7}VW|z@>lja9E_Y z8HraXL$6rLL$8Q7DUEnZ>zp{!ZL8Z&bL{q$U8H_+5sHi8AeDp40*|vO8Y)`?M|bSh zO~yvjGPu^uB zM%X(Kr-AGB>N`12z2_URWNx`n&ZLS&i_IZTQuRgDMV;~d=;zCBSac2fw1RAol7IN; zo_!6oN{d{Y!&A;46|y_P`T8wF7RBDQe!Ii7NjYf`VveWK)1i$x;lZe9xvZ*rOyd6(k0Y41ayd=d;X}0CC%H^@BlvZSeRXj0JdAe+70%sb!y=lh-|qI+fQ9}rO=y; z{FhQ8%-c*3GGI97v<&MI&hp(_%JNLQmpnSVKq>@*zl$>K=~!ZTG}9 z>?$3|j|LeqY`n~auKQ`@1#l^b#Tb9NO8nT{6;gsFPZCFm-S9j-_X`nhY;|>(>l|h2 z`iCzbdfGwDv^DW}I_BfP08@I=Ldc^ZooS|_D5l^~u;u4eK6{fc0Vtj_f_H!;vwbKC z&`vQb9Yhc~h*Hng=^=^Vdcr@`4?80JG)+j;5LSh%4C|u({MGChN5}(yzPsa>22}bR zCu^#jGKh(7KTQ|%&KbJ+d}zs+!T$sKydZsb>;wIWlHVxtJe{TBIh~> zvwrgFy?gSek+Ime6toz$XBn#WFtAFd_7Z;aF?rg|WDIuqPL5zc@njj1FZkuqPrI|u zi~HLJUycc+Hz3(Z%<0LLZTN&CnWbBwiAFEiXhcGeDIk%~T)rkmJUA_FYW~y305W}D ziPX0!fH$ss>(@G&o{V9EFT;H|g(5`*+seg9cLKZH7Q8>~jbm=FJB`7<8W;(-Zv!Cn z!7f$AKg};Nd`u6stUNA|G}l#857fjzc$`7?x354|_iksjcRj3?>{;|vSQ~k+3tkbO z%x0+_O%}mx+~4Q}xRN(+PikOwK^|wPi*$m_7KhzIwn2m78f?>gpq-hL{RPoccg3|u z@lpJeo8Hg`^-e@WGw#<-)o~zsWSG~^uffhOk>xa{ZA%^diD1mG1&yO1s|hA{Meu=L zqOlZQIv(?&D8e=|n8I(9ea@*?6OQor<#!5@#@AIXn1xt(iDm~l^JG`k>mwvEl#jV$rh}zD5cyrscEd*sb>^M z_&+zrv=PP4C}bN3e0EDr$CO$kB81O>))%W&*^#1kOVVLTP+A!JL8le@OYj{VCl4EE zyn>_ux+Z5sM(yNm)~MRqJU(;xb6p`7(=q+YU~RDs>1MYmg5M+G6BU1CF3>{Ad`)$bW9*$({F?fPd3!LMG9xmF zt+x{^1v+>G_<>MdTt5|*4gJQmd@xrN{hA$_j+PS7MPe%R?1F6ha?RL1Tg_5H3BVbL z!N{YH{9C92()AgV`}u>$@Zw3=JlQWP-S`?Vu@O%xzXtn{knY~eu!Qct&vpuPb7WU& zqI<8Gmw|gSSf7COV`)4Ega(iOH!pc~L~Fn6mjPpH%zs~S!Ju{J8*#^=p-f%#(?Buh z15(iB{V|3RRA!|tkRL6Pg6bFnqx^}lqtcsptO62saO+#0am(o!#56TTWHp zMuFun)1NXqS@e^W%;Ddg4Zh9pdVHz4bopdnbEzIua2sBZ1Nbj?`ZiI0%V+MS`ik@D zkNI4zfz{yH@ukS46su5{MGe3H>_Gsbt6{Jz74#CO&Nt`$L?~JtGJ^yHyTb=6hm7@dtR@k*==ZTHBXq=54;IL|av%k>6 z67<}K4#Pf|4$qNrDyEWF*ed>~x%NiML0kNfAhHn3;q5n_A~{;9JlLs+)!-jxU)iia z=8Ky6=E??w@k}mT;j}_R*I$;Lx6+til7iY18U}-pnE590OEgE+0z9uh1aQ*#{44)Q z6><7w(-|k8)Ao)7XYm;YjCN^voD+}SVMxhIU=Qjb*yPwWmJs;ZGx)ee6?2Eyd$t|e zo;KZ`%9ZCXnL)QKcwks|p? z+^TknSF&7LE>(sgk^tA&oH1VW#MOcZ+u48M){kOBI%iPaH_8tY zzcaigBbHa&ZE=~9mPOszRJFRO51-Hkb%QldiRaAYL$rT!VC+HH$Mi$?4<7U1qy}=8 zA_19jU*E86BBoBrO@s<~(GaM;iYtR;jezVw`Zv>_YkYTR|)EW5r;biDcpRPx}}hCe?o%KQnh&3=jhC0%9zF6*x0G)4?JnJn3V zUPa_ix2{mU-fw*r`{1ivW5&dog-AgIH?8=aqM6>9#Y)y(4+KR8<=#m_@g5{yx@tz; zj7%xWZvCT^iM(M@rf_%He|JhuFFkuCkR4`)zS701lJs=8I@TAax~xU4+v_Sdpn}vW z=J30U$$lh_BJP6U64vGJrz#n9=I~l%=tD!}daj)%OD6$hBC#@Ka@t~Rb>Hjz(8X+$ zG9F+-V~;w7wDwxBKOl~f5Y|#rw4`6kdtyBCCccfnspLBbc{8>Q_HTgH+nnA2X&8{G zdWUT~SMebW>z2sqA-W>y=5WT4_vLM0ZY#&`28Ptip+aid!VkL*;5Qk>kHAFt+dcqq zoF8w9NWDP(+Nbo-B!eg0R=@9*61e%1s8_|67k|l*?P0!{*Ws`gPGs8)B=5_h-&eMt zR|VV;6LVe5C=wVE667$6678&}ugXwddh0)OQA6rqH3SOwr+8$kV}~wV7VCaK1H0OF zR_NZ+zpklh+O8|+EksgHn-M!ykYAGo6|JKb3pnGHXW-imRBkwpNaGds`@`7$r~O#{ zGGW}DL?iT%dC2ue)x5OwUP+V1)W820tVIW{ytB(Jp=d^rO6w)V>-NVIc=Ml*w)X4z zn|+yNP=vC6hRCqa(tIBVgJK|G~fYCS|b8eIZ-OSOd-)7uH}v)qZ1W)6VT~ zqV!zJb@#hD(3xrBCA{&Gk_m|VLNmdsz@#WwCH3JCH?1*&pG3%2v>lKc>` zURvvqO2=w|u;U$qOK#Z-4|lZL{U1Dk#4O0LQ}eJ1K{11p_G#)o0Vwgw0e3K;t;&uMTfh%9M#vUYH?bg$-b4+G%JiM znsRo1^Y!L2f!jY=%A%)4$SwS~k@|CylOe6RT|e?;&Dk1ZX1LbJq(nd#=g;iuxM&vE zt}ovI`62AGoLtjYL;~z)qWi#8qV*2iHX)Bjj&$e zu5^c|TVGtAVJ>W$|2(kRjZ|RL2{S(|OYi@Ti~G)bPuTCr=gTLYad1R$hvdQiLwR-e zRiN)Unu4qnd$s0F<>kq<=(3`HWz)BLv^J}TnH$lAL|N_AdHE#<+P-B00X(~-6`;@d z%gJIj>t#Uy+|70(jXl2~be6NX$?UR()7?dh?kxY&q~;p%WN(hY0hjDAByf!BC;t`x zwy4QDs_!|DFlDvQ_WSlFK;6EO=@92&vjr6?fN2nKa#w`U?%A%p4x(hAU-~a+w@>g#;E?ejR1G$QkH*9>MC-6~^w#|F?a-sFrQrNjG{mXvt8|~0 zg#S-w2nKB*CqeQJtltW7nr&7wqhN(>A7W}{-$hkUg&gm81)~#>8#I_TGHY5}9IRKA zi^dWeUY_xYi`F0BX-ZC6{l>*UXKb>W8gdu;WEUxT$0~ju^Ckk35S_|;?%MiX_uZyp1uyTFsi*m#M`i^ey%*AvM&Lv6WoWP?{*~?UeH}yY(h~YHvUD3P%9E zP2#l~If3xXYKLXBnvNccX?x@8*J%&8pf4uL=OZj;JuQ-xque*!)GyQ!K5vVHQ~)y8 z)B8D%V%Ah!oAcA@Ec_=``8qP;t(DP1Kj!qXYa5ybx4*m{0}#ywXwC-$9-bESas_m| z24)ir-L4bR9^ZzgK5u#*k!yT>`R>jkw;*ih#Cha=`KNTar4y7$1w}AY8C@I)mYT z-amE1wWo-;ptY^B#wXa!^3I&s78>tDcEmO6QEG;H{hc7{OYFsWltV2zO!f+qvY>ZE zUwnTB2s8>E>5-2{O1ga-D(0|OFfzt=Yu%v`d$nRBr+JuZ{IJh)f$&;od_T&yY#%o# zX(Z%(ANrZAIjqyf$(r5z;V@YGJfkTz_OY?Y;KWf~hPQYLC0P~!_yq*RTqnK_e~J%g z_v@Y0hzj@C zVqQA_Llzvt7yU#9@Gt()#|(1#N~GDTI9Um|UMwmm%lyWMPW8uyte=xHSh7_Pyrkct z;T%b7Fk|$+{||ews)Q!9OAgkOiv`R^6QpHKweIT{O(hMe&d)72#}1b66!ZFxyixwX zN_x#&-2Hi1jY;Zt%wo`1Spl&aT&H+UO{R?fE|>s1C>qIjKEuH7@iglV4y&(2ech9J z&Tds`!ZP+o@=;7JZ-Zh}F2J`ODL%PwhaYy*F``usSMmPYb=6I$X+m+w()9sG;D`Sa zO>*E=c{CGIC{uOJZt)^%$|HG1@k@c5V0k*K|BuE4xxg93S7&(xXg!F1YnfBv>!9_0XpB}ex_$|^S7cjD2a2N=ETzz0V*xJ4KwGUI7WnUj%^WmNH zNEJk#fPCg~;V)}bQZQATa##o*xXdF-_2+)ui=nC__&X|`39dsl2n#e}8KBSi1`f&- z2mJuA%%Qlkud+%u6sWrEfY3f*P#&~51h`Uux|`pziZK@tk9bFOV)r8!F~>nuyzm&v z?58)GrFRAebsWriIx#xdYEcWvti(ZhZhG$)+cajSX+?*V=ue(^^&h+`zF*V2w4&ZFNEbqT6w=62`T3dY!0c2>LPR> z@WwuY>G7NyEUyGOKJq(slR5N?9zA}Nlx9k=SV>{8`p&;>?LAdhdFCI~oZei5-`^8= zq4}p6h}D{{Xc!=p`h_5rwUYj#>Xl)nKk=&u+;tej`=ocfv+Q=Vf`@t^`vQW9hf72P$waOyEjSz;#;fxPuKfun4Wx z38Itb#TzkM!rpa0}9=)R6dhPt)Kf6m+hn!K6zmQ^=&KehR^`qmg%Tj#`i)m-Nt zF;oM?hhfJx?-j>YQc!nj(dEzXtd}vy_b%xXKY$u242cf!=*$P8&I51XS9s^UX$xap z(96qqD>XmJS!M1dts3G7JOdaH^1uXZGztSfYtwXnX3Cnba~;2SOYt4vS#={z29fn# zSdV8LvPmvOiOagn;Xi=UI9Ba*ODU{L@hP!QQl0&a7^}n77EK6ItNxb7Ef2vW9@liz zq+O;aOu<)RrXsAdm>BJE9}54H1TrNf{MP?6Vq*eDw6@z}yJg-PbUJ<}oYP@0md>g& ze3N0!)yn3Xcy2_V{JNbwixNp&j%S*g@7CjK+paTV)c-luy7|u^OOUe2%%n=r?MU2I-X$Zl>|IH9p@LiZa&F~( zuN1DuVbzPd&zQlLeG_YQ49#Z}6Ubm1i}$@xW*CvTrF+}#^PpubkI!F=g1h? z9rz4QCp=N9(77UbZ}FX-{oYpa#43AO0bkYc&pbpBv1g_5No-6ZaF}|EvS-182#-0P zIInX&r-oRQDZjCJoWAo7j2LA!UUGwtICLH_iK&m)g`zDxW&1}J-^xi*TD|qwg&V0Y z8KwU4E@c&&8`+ZLn4NX1Z-5(g6lJB}Oy>GWArQM#Q?SiV{%+wOl^uwmHK-n=H<5Pc5GiJFOB%QsDsWu0w6@%V>B; zYZ7lqZaK_jwJJYzgZV`;eZ)J#uQ)@DRu4QMLhaZFX&a=5!#(bNn+)}M>)qb>F9-hV zba-J9x_%z+5uGvTXL+%1o(!y!|V5bEr;DpbsZtYkaScrORzCgyvxct2bOT1lj zKZLQLG0#z_y>t~-e=ej=qEMMF3?9Ph{rda4nww_p53QS{ANT4*unG=gVCTzFTYj z*zyzW16D%7JQQOnbu!A6z)(cS`t6?>rAJ-h@>|Qhykq)D3JV|%23HhBtQ_B?(s>T&lXRLyHfDx=v%|^LC9H z#SUrN#e%cL)QT%!AuaNV_tOr8zDYq_$LrY3raC`Q#dmz!o!si%TE}|;o)c_3-WPvT z|H$5}w82RSeiS!J$kDEZJm``(u$7VIIa81(yD7n^UTBUJ0!wh+?j;xojx!y zd_|pxo|Ha~QE$Oc;zl+eq%zD&c9D@Ecvl2J-1f(j&?;)ZPk8Iy{?d1^S5=nj=Gv_S zP8lMcALvK5)&n>v#+T+P1Zm{SxDeEusvlYWB0Ubx;r%ae2c-r1_ZCh0{rkW2L$`9I zYuI#{wWbP~qI8 zx_PZFBSAlk{S`XTt7q}aO+Bss0?2Q-3|?qRzZq(wv%#*)Wrse?^sv@%2lVULc zN8@a%t-n&Q81ODO%6t<~7}#@XyfNBsy?-h;sxd;FNEmS@f{^^*z=X3ETJFi$o}?6t zxCaHYUhFR)%xH(Yo2CyAo>FqJb{&8CdrJ5aLOBuBAsdn?rG$s3bs3uCrwp~%$%RLw z9m}K(ZhSB5G+#f)>r9Vf0+#UOZ|CfCQI;v_M63*4iye4)LUzf{L}9LoE&{%9WZR5E(M z)qBxN&maJJ;_T;k?ZUbaa<1n1jmELM09hkT74C_6QXO$Ff?cMD2o}Vi;fxh*)cB!U>-;#!u)VC&39WhU`C1053@7PW9r=9VJj>oB(+UYr=z2yLs z^y*@(M?L95OwQ`-!R#^|v9Uk+v)q7naeJPy_#pqE838IVBJeLn)^tnFklY34#px}N}uC&oS}sQqpST0X!}pxk5;!)e_`8~j$g zzF!fH_h8HUsDitie-pkiQjj236|aZw3OH;MNZ>0Cc$|_E2korAwTKcpihP~Ox{oe8 zmT~+GdXNQCtGV&C8n&PtAHSTbJdTy1B(T4KGQ3NA=q^QX)LiQg@<}_I2CvE*It*9H zd_4Jz?kav*tG?#fx4?MJdA78O>EE~FPMZ>6`=UrofZB5bdpUQ(lIM*V?%z|ST$0;3 z1L}IWuf3-04Z@xVr=TB&&{oN;Y08C%*U);D{0!Vj?|nc;ok}PoAaI2I(FXZ_{6(E6 zr~gU~@J9;un||M1V6!L1s2g)p5KgFteC=W}VYFIhEAQ>`ZzTFo4*j3WcW>@|ePS@H zb4}gg2QLeUVx1rGnd5t}(g%CYV+BOXe8#4^9O_ZPK}RCkZofP4=HagI7`)g;@9?x* zO#2~T?_bFRo!Z(OL z>j+%J?(SE!zOt*nUzkll@kjVi)VP>O!a;4rvyHn;b~M~$~Nqlnj^on8igw@{^r`jYOC-l}gHHd!MG@pb{P z1S=0%8ooI_UGQV2veqrnsW2ICF^xS=ngNnENPPDAuojv3s(;CWFNJ`&J~qh>kZ(G= z|K00|{Y3;42vh(D?uP28U7?e&jAD;=jyD}jGE3jktHyu?cvTvp5uZ-B-kF_UVhlG^SPQ!h& zmC)yqRq3t)o%QR&P2y=V6@(%H@ce0;FVdI9m&*u8e9B7`IYJ}eRN{4-x^|Hm4pOxzoUBWccl4xSnewGb{@H)vW_i#| zBY|FKl|Y}KshtUjeKJzuLOF?pJDBz1RJPUapBootN_gUh$-y$u(XTdg`34WJyy2At z2jIWNB8fCSFPyhT?IWcwuu(C8!D~A$A>!vEFF;kv%#3$Gn7dWStU157Bw4nA1>N)c zC^uubNgc&wp?95U1Fp!wIf%>77@12J_Dv^+WzY}$F6u)~39|~pp4yrv(v70;o%(?Z zF3OW8%yV(@K#bMP;;0=YK^q+zuIURwhOB%^{Cn`wDu#Q$B*r~Aw`BZQd%b*Mx5=A4!YIIl@y<}IDKCib zWs@^o*}%$3-rv(vfZ*WOBYpJQ;G;oe5NEvm7it=Gt|!Zrrh6b?(|g9REpvP;Pi!e^ z|12^SIr~{b?fYI?;c!ngIt9oz|Mm6duvyel?f+rvy5r$`-Y#pE)pzyIS}i)!JF62l zi0C~;)I?`>qW4}yL_{Y_gtdAvAxeZ*qD1uGU*F&7{eR}(nP;9obDne0%o1%CZJkaTEX`=%oPE|J(#NToa zGR>?VVoa_Y|07*RrigEZOr4B)%J+#%GN( z@Q-r%vR!}c!~c3#&(#yX22aFnfW?czZ(9TPYYt7#Bj&u97+M`aB%lcjED<5OhuB1! z;oXAVey(psr@c^(BEdwaU%Er4mf>vV_?{9z22@|Zw@jxT;Nai=mJbmPm7=MX#rm^g zfM*-uxbkJR`Doi~FuoaQ)>H+ltsqRtVzVcv5N+%guQ(Ms1MV5LdY5rgU7Oe4qdIH< zRVS#dWZEy{w1QGE1p6*y6+2j|AL@)Xc(P^4>M%gZGGvJnnuSp2dQvK4|Bk6$DvF!%fjW>B|5a}Nsea*krR3Xq#yg!46G%6j2bnOk7f zPaLZIetF^|!n85qiH)Khji_HNaD*b|A;P3T)DNm#!Uo%rsj_4krlG!T@#vi2&gMe(qMEwCjeajNR36Dn9of_C8y zOpgA+?dwnE-Whs_VKwI`30NUG%)yYuIef>o1z?J;xA^k9t9iay3tzBE<}P*dgPXj~M}f?T=Gi;KVbQb2v$lQR4Ju{$$RPGGsu$ z+9|Q-MY0M-!&{3;EM7XGZK7Q;22QMq2j^on@e|7Mw<3Z%?4T4y^6P)Qkn&mtZ;M`h zXJ<~yv}l#aqI%_naf>1}EBj4!$PL_wo7x;Zr({xADyOcZ#h-DFqE8}qFKOr4JD_Pa zxb_Ql*Y|{bs#pYZqcQj;2!6R{uZ(zcefZatZVyppQBuPsJ#vL3BY~F>!{O%#Pb!V- zD#M}bt9#Uq$ctP>cFnZ9O~JgaW$Dd`KhIn~Dd+d9KbBt-wPy{JP)*bUmjgf3o^2r0 zZMtAo44C@mNPPNXiPMSuqMW^%6z0{IN4(x`d)i^DmJs05^G#Q?nH}lET>lbBL=@Rx z=D_xqH-6|QsL<@>&L*nKGX7X7gvcU^>*4IKaGrVxBSd4B5$S;+>CHG4S_`=Bm3`2s zI^VP`VVH5h+)@*3J5Uw6@ux{|yi*gBxS6UzTGAOFmdSj=8@QTGGMYG*3O$k2^%)Db z1d6j&XXKtuPGcq}JY958KWkljs>fqh=l+i!et-+p@#nQq?;>gMNNTsIr!gf4&L$)j#6kQZG-?r`aXKEewvXmZ+-F>bejERgtNT^m}CDg zj+?gj!=*hoQpzyPUfRs=25@L@xS17XM{o;5GL25zHy@Ra+$Zm`4@P!wzW#d1V>f7# z6vr2+$Qh`(X!H8{U_S)~wa^RHmd8{%mCZp3z4?;ww7uoFyO_6x@Z_JQNqf4%L#JFB zI8|av(&pe-A-iv6hkd0-PJG+PzQp(V+PnHiJtNlyjts_1S#bb!(df}6J3CTyqfkNv zPP6+Voqt_ocEOZ;_Wh~{c(-(uZ9_l$sH6ZPdjx?tvl}Kn!P^N42mDp zsI|cikCdPxr+2Koba=_0^c#@duUXf}KU!{yRkS`rKc83Nh9i5|;H80^u6GE0S;9B! z7Og-sR1_AXRKS-mAk|5}qquh&fAQmRO(Ehq(I9wnbO!fNhRwZGkfW0wuMV>=~y)d{*RtSso`$HEMOa`b0LCthGZl#yF{ zca*}jcIBgu7fURi(jGfJu|tmr2L0pZI3UceUCZA+^9AAv^0zM$`RawNihHJ9fWEV~ zr~j$1DjunxjGW&b*x#(rVD8aO4sBcTZX*?vE2{76orlytXwtL}c5vPV69>LRG68?j zF$;Tr-SL&(HV8MZ{1c%P%$3)}gUfhVO1=I~bk29#MDO$~nfZCQZxBD)EHd>i!&Kyh zK!NWcvL^NY0n7AaT}{Yi9%lB?dVL*ds#RCB1ryqJOQiYDC=&cNXg-j zq{O20m5QsC>-~&2w7~75x+H1s-}-SIk$4_tiFVZ=%kYgcdl8v8+{HcQ{4`a zZSz570eN4_4$lC!M?=6gGvD_SmzL4zY{K5adwMi`-oLoVc77MPwH9(~_>p8tk6}Xl zA!WI+<733d#Wa|STsIM`Q%)^pSey?pF(jgS>+t(?@nb3KxGOB6q^M65J^eq_G zEt4=l;f}uTvdl_R@b<$KYT`26FO7Sxs&p|cdX+ULO}`-JKwR7k=KpVMa$|xarv~63EEfqXKXc zD_Lo;ZdiZZC)-9>Y6SY!PKJ(dyAGKaBhCDtz|5n$#0mOx_^;6ar?M; z0`J%`Ywaa&drA(te#k>C&T@(7BKdAG4GImR#<4x+m=ETk#a3;q<`i%(w>%@%QXHRM z7BuA+n6T=I$vm&n8~dsu?~@&*b~J%qgq^SRl|t4lyCz&p(ifrZ$T=X-12_W zE(8`1VT|T=lM| zU>aRhpGe;mA;fOMP?Db4bvYWG6Wu{xz@s z8dJ~q!2i^88U}ygyX;ro$d9H9Kh9V-0<4frF8VaA;E=@4_Gvo2xpw6)U)j94g;t$o zOY&mbzA07w&AnkIm+4HSTb-zjc)yk#37!i=yoYsRV+RpG z@#_sxf>uA`@ovEA%k-zf2lDM29euVW|G>}-tYH3(H{3bPBY(B za0N1TR;kW+jYHWcf`_Rk`2~0<`2R?}uin(dzffoo4UOP<3{XhY%IwgW2((LRq-X~{ zq$b%^3zjczta!fWW1y9itaGyjq&wys^{UUhD4k-RiAs`(1jdoL*Hf^S^*8IEu-97m zJ^MnTzWrg*z%?Rivh&;nHZ+QyaU&%qQtk+3zsb#FR)2Q3Os4)3aQ_#d34+`UI8hAm z62k2d{bh0V@aISwwIlDyP%F`FNAsSsyB^}UxZBGAHJ36wnj5>D+>H?zWQ=u7tCJxD zwXT&+06t47`+oQH^cs1|oSs#f}{S2ZCupeVYf0sFUy7<=@o@uiD0YAv*~+CvM$GWax{? zjpKs`K!u8m!nw$h5B3TjT*EMStP4=^Ue}rNmnHlu=VV=ONa&> zfjUV(B>Zz(oCSw<5T)`|y1%HUx^)aK`u>+ny!JXW?e3?_bH8gMooRofMQM~laX5dd zc)q)m1lVGoKK5Nk)vFyY9l*)EjEyjPloSig+$$G1<@c~!0MkmHN8zUj@Fgu9qz3mk ziMhZ;1r|u|oj9)MOAgzhe^ogX1zlxDZp?w-AiUGC1{x-N5Fur$gm@jd;xNZxsi7Xu zQngEJ^ujDgsFz6bN1`O>qbt?P67!zhp@(mo+=7jOmF)F1g8Ms`w5tPbcGZsVW#KZO zl#x)8GlXVnJ*+YFpqVp>22!Fnim6lu2ijK;z8!O;+N7?v*>@_5)@q* z_sJIxz7MRUq(<6%s_MN_Bv=pHy(C+PJst41F&*aBVVb~DIsDrUPjn9k$E<0kU(pH4 z;BFJKzQ=yA+U8vPD8VI3$@v8$lHZo|qgt!M@3#pZ!r0ElMYR{L2fld^KJ->Yv&CL! z8sW*mFVpCWFOO(ioxG{h{9&19Rp3VcPp-V##Eu9v?0i>!ykB6{)vN>ixj(%4M2kR46(Tn2@EQxgA596*m461?J=_?IS{v3?h}|x`(M8`P7#qdA=)n zICcXgOw9oK=Kp(K+s|`El&KlUB~|gM`>=Z8Q5M9K9@FfaWoFH6Qtw+RU7V93-l40( zVniGz7UlzapkK6VQ#LmF1w>2!Q=bHKK`OG2fhFH(_2CPHku8Fw^O9=yp5Dc%GxD<3 zA}*Jo7tg!oN)4=6Ce-Q#au0BH)n&p(E7577fo_1omCplZi3r4=pr~S+9SyC{iSM zBJzP24{9uBs(6kD(Exv}^N&*%Pi6&E3~@7iu|%-cd8Mu%FaG>j6dFfa!5zdbQBPfd zM}Ujp_>na6Q6H1W{uQ$AT|a-^8iTbXH>6Qvu|D@o=fcmB#~tr5 zsOPjIQ;uUQa3tWZD48tYB~|GDMrGgEXv%ykL5?WlG_24ekIZW`Li!Q48?4~SEJ8{| z`sOYc#sdu`^`$uOjTE}l{l1uewHNuvm>rcf)H&QTra6f7GE{2oSyPeK@O%@A0Dm;B zhXs3pFYd|~V=M2B3FF?FzjEFgXMVDf(`4+IIr;}c7AG~%d`J%+ovAkN77=3}OY%Z* zo8ZN_isvSxksJ921;lSOuLMnD2T6KK4?v{U6r*0i?sPJ|rXAZkRSPJ&Rdta?;J_0o zD;!Xy2&?VV(QB00!P2}+720}6qEM71gIiLE40lH@0)M;rUg1vzDx7UXK!Y}V3H z!S_AN&3aD7;cGH|D=nI&ya`0r>0Y))fM6x}dCT%U1i@A4NNh$fo-bmas>p`>2K%yY zabI2M&`_rg# zpG^qPt#rm;&`N2&p%iA45xFFER;5^2S3Ha^&Lov`lX_L*UX`a!JAwbNc*6l`=MBYw zNgRdjro!^*PuRm-<=MrN?@0-gK~5O+G9K~5<6Jafe`7to{-7=1P>Ut$Eaq+t=EcEy zR>-&!0w?J7st(jsRlWt`A!^}?BcQTgfM7R`9xSuW3!N7MUtl(5;44XF3)_#4p%!2&Z2tDUCWnVCu) zTj+;_7l3F}QqO9)P%=v#zlk@iB0|&`&1MQAv3Gb>boZFvGqS+an5GddJ_)sA_C3#G zF>YQ*ybcX>>bx<<5R%d5byir4ig95J(LLa7E!@v_DBvWu1x2~{Hf9tx8=dIQ8s zpWdyL+59KB35H#iAF-Koh%g~&9r2oqM@b{r-4y(~$>Um~t8~Z$w&-;#^x)Q~#0yi1 zmPz=-u&g5{^nc!dTDo8Lzd<9w0 zS2~1{qy6!jMvD8J$sCyKhlk90cZtbv1FYejcWMJU$Zy4g9bKCg!)B|hy{+FcsJN6- zNz9U+Idc0JLQC;*zUTBM7pvuTKO{?&S_HQ(Q3K)^ zuE}YJc}eLs_U&yL|6vb?C7DHyjJ5~KZQiUhr$H8xOvo!YqjrjhS%1;p2%*}V*@I^< z5kfa%(&n1kCLgH&bx?Dj5UIuTtX{I++S5n-F%kSpK^UMc5fe8o{T_Uie)??x$j}q` zzIkvV_ZR&NkeZ9}Je5Qw*N}l?H^|QKP|ziCw?5?PT_HF-US0MVbGB>n1?9h?b%+n$|B0Ql$TCx`AvJ=WvR`7LP?pi6 z5mJ38fi}QBtW>xHguF@hGD3d(MxQ+iNbkEB#yq(Q%aMLB*(m(hvAbqAGKJT~?_d%xE+N)&~y^W`!_!flLqYwk2r4 zc1L3t25IcMVGiVdU$O}q53c-%RI3;x`vr8Tmne)sxtvbAWP_fwq9zXAQ|#WNYpdLc zGCqURfwsW5;TH8&Zlo(izQ_Irb)xpbbA)=;@y+Y9H|_4C%HL)>CO2I;ux?pRC>+PJ zQX>sj{qk}IrjBUli*{9;>G|5-KSmt+y7V*QngqYyuV z_%r%#>j9e;k|mCWkd&*}PItvNdjwB1(=5Ye4lr&7kan;)C*J#*w+?-Bi|cWt{22G| zkqY7Vu7m2;Y+htgdWqd{l-bP{>F_KPmLaQh9vwQo0WnNDt<^FcNk)=IC5+mg!7C04 zi0Z=$B6`TRxQ;-8>F^i=ZCf)R?5Nc5l$!h#fV>!5^`&%rFh?gE9`e&81jss*^(kEh z`gvS-UN5eD1$TP0d%{y4(UvtyN9sk5LEk4=rQc-|b|Skl?u8I@DtjCl64YMVxYIeA zCO5B^h$MI-_3%pErH{{>ijiAC->JL|Kj94y((m(2Nh3qNGNOplMb_rYvgTbLx#+G3 z+%*)AQoh8nA!k?T#painG6Q|{)6X8fB}UU@I%uz=j>wQMv}p}+yaDSm>qOn)NE7jU z_HyQiJ3RdKfOc}e^e!CwCi+}Yr4lptM){4bYB7y^JTj7HK;kd1Tbz}F2%!g#2-bq8 z&06FE@ctZ;##o{r_Moj@4I`~rkx?y?Po$p$W_1C!E*%XMSy^R*a5}wljJbHJ>8WY08^-T}wJ3hgbG!7%!lU;Z$tUJy7pvq`sJE z&?bRZA@swE4VT&NTOoAAn`{{ORlDd)ybon2?!7Ztr@J)Ry?}%>s75*4QZW(f(?Kak zM!p;~W<#ZIjc;j&L zRKKGf>(iLPC^DvjV1EH$Vk0e?aUZnN4+`jK+wMOd+Xqd{w^0tPG# zgZ`?bDq2`Es}@*8?+Ad6=-IKVj)N?<9V--9`D3OJFl&{6zh)zM2E)1FRGR|9b72Pr z!*oE!Hlp+*tUxDcw)zt}t1TZ)T+BC|++lz}JuG7BUvM^rdH*_#yS9lp1+mg^{wqYT zZcmYUJ6#kO|7Zb8Ze%on5qqb2FM5$F=Gxb&+GB81`sS?35tHnXC-O+HhB$hDJFY5R zE7E|x8)ly{QC2jOFfDeaER>fPeThX13Zz13acZanLJrbdU*=cP*J=y0jkokJ{*DUc ziU4a$w^Cew{9vfN4_lz7f16HTrx8qdC^qcgQT1%VbmH+|P(={uz^sDbT|5kRL_9fl zw5T3;v&%Wx&^u0pk2->&-BKPUN*7E^DJ&}f!<{4Z$IvK+@UDI5PPYtoJTsSmt^#Xg zBzG`km?J)h6irOUXAwT4c?7t@FKV4s*XpB*TmsvwFNc8KsELmFIM!0Em-iL6H+9be zYc325SDp4_S5if5V)-n@!*s#{W!@s{5$mqS$P_0AH8pkKr~};mMqOICgS6t+J0#VR zZZLNGtAytU43Wp?NI2aEja_CitI@R>+LJ@;(@n_M(P9eKLHf(YKh6-xjsst6mp=bS z%$h}T3^ctc45sHEi4x!C zE1jR6K|!o`XM_XM-6`)feZjQ1-!Zd&O3S4^)MGaA7q3i^{OSYX`UhM@2+^elV&v>S z(l0uZ*5fw)OgMCf@UoOf-69I+hCoem*-zb>TGb?X2U=9a>U9<(Hf4~3nB;g8(+1!i z8chEE$?0*vvgEH_#_hrE@7SgjE!V$Q13n{uHTnF;yy zdrERj+&`=0qB+sl!Oh>Dcq3*%8zaVvXW#Z%qv(Z;T!m*AV^ ze^9@JrW;4yxiXk+wnB&UVshAo(*>oJ{D{4;u`KecJcv{a%X`xHf}^Bc^M{igHGfgww)w z=w!D3h@m}6VPVbP!6f^F>aiU0p3gH(!|0?N&k^f9zJ$)kBOW%6Vt(OV|CnpVMCtQW z)OPHa6lOhq&TCrd=UQ9Pn`}y%!g?B|3J5lex(PM_SBsE)ac0 z*bhoBKRp?fS>^5w8l~+=k72H+Nt0F5VkNyRu1F8{nWOJ!N40R~uFSOW!(_kxGE{?a zsTw20#9sg}amzeOv+m;PuTORp|e6VU z;cS&E2*fTWvw8U%aQsGP<;Kdzw92VRORBrEd0plCOZ)H#RzU&fa9O<><9m)Cx<8n^ z52F?O#k^~nX*hiBEnK>knS#BE>nhAHok&43gH zcP_R&;YhRKB~{PH@a@c$K7ql6AUdWzhw`tppD)VY)m7!G`O7@8htx{fd_-Rt<4KkI zL}*!(;eL-xJM2mZ3KDxhusYS$E*Slyp=UGZ^?MYJ)&fsdP-#AQ92{pg-*ZEqvo{CoW=d?Yi7@8AjbHS61dJ|Iw zLyQ~4Jl#_@XEGH|qcmND@zFDnfmd#9KC0S{IN~-2nKEftPsEsAakU4Fbe#ugS`qhG zsXor_isuMl{A=eH4}gHGS|cwqC;Wv!A4wO!`OBg_yeQOgn=VNeSr=A;p)|UNk9YFN?rK8Inz%TUylS z99o1rOP&Nppu`TZpQc^Y?eU*#JPV{(uT#it!WM(h8f5Bey5DUHERXz4ZWQV8&S@&K zbVE^+rd6Gbd(Yqgt)K^49N>o5jWl|31gv%19xR^V^j2%whYkZCT6mC4^+V1frk-QU z7t2`um^ZygBHGAbbD4#{Z`jOa*?DBeq%HjGXDFNgEb;N%FE&#mDwxfWj7+k>x-Zhb zs=^9@3I#03?Y)BWQx@9hyIycy8;sP6d_tKKL0@}}X9=WrhnGjqkztAUk!Zw>*b2k( z-1-HgEbZ{BsA9!ktW+ihfx>>OM89-C!me~ol(M&6b-*WtwxwcjcsB34N&5%S*}&GE z!L|LTU~7taJgLNs>Nq@&DQuqGy#lfSN46tlB*;M!7G)M(Jur|x!Ak^vsZhqB#`h^y=5vBPj^@*=+~5qE(I z;MWxCk=^k2P@y`cDEWwg>nRvq!IFh0;I`0xLX>kCzzypMm!+@}CIze&_F|E{0o}p^ zS+kGBc)KDp3SOFfO(713;sdC)a|KXD^1F`*x**&dd5KtqY2FF_wlgd2xd-VdDh z*GeeK(>=U5B8y`F{A4xbO*4y@puzlk#EH1HnAv`w7?3IPZy1ZBgEU`k452eM*y!kC z;7clh{o8I*vL{fdjt;!-U^segv+D-1esC4) zc1Ar9oXKYy%*oH)riBv`VXhwO$Td&6lfNaRW$q;)5}fKU#UK(SwtQt3``o#JC08qx zTu2nYy$4k)&UqllT$H3`d)f=T+z=rkI$Eh3vR0%GYbzxHcavC7ktY8RDXSbLeJUSA zMGFN%p=}GltO3fsQC8y>1i1o0z2~u~rb;sZD0qc{xqeDH3W`eZ?_U+gAG3|DJ0?ZS2ocmqOI{v}lRV5N9@BWHH(Ob-zqh!bcbJebg;UQqy_L-g zsOK@qoXQD%AEQZ1^d`oFR15+Hg}wJYxvkpm2x@Py`sUEqb2pP~`Te>1*=eO$;p54Q z<4&?@9HJ!fsz+f=OIx0hYT{D5V9%I|)H%+}0)AFY9U!G4VFFz)Uc3;1r+gGO9E-lG zA7Ks~f@D>+=sAqCO227iRG?Eql&8NikpOS;yE(~6#J|^s4yVUptb4rV1N-&}$)M<3 z+hPwb&?i+};0{PDAvBvu55Rxi6hjs|DG7PHwGH`*L9B{A5Bb0E54ar+~diTP^AWK z(v(Ytfij%Lphz{{iK8JpnugHJ2DdtQFyF&($_GdGnT8{~o#W|7Cd zJmwaA>a5iJj!Ho(2QN9;B%RO=_O*x&YTBQGXR&J9KbbsE0MCW-f~~9GuS$bo*%`_l zuLp*4eBh#a-~mq+Myk~6%}l_4l43rk0gLH~J~gCQip5i#_G9VRQaO#;yV@@YFnVCG zuo*dNEb7Rq>bCpE6x(0Gvg=Gh`(e@iMM8mQd87kXb4?*4J?wC&{-JTJpSZsG11ubm-8B z4@LMxN9FaOQ^)`S-WI1g?u9WUOXHr=u?6<~L{+E`cC2(NGPDip8`v(@(9#2tF_&(3h=9c<@FC+$AOZ7v*$?{MC zH*z1F=#nE{f-%dkS7Hs{V%7l3`c+5j^T)C~ zGrA=70&DJbQfpnqQ^wGeUI=)UB#Pw>K0{2jXxDa{(vT}Jm(=xmq5Kx-G1fZ@_>y9s zun4ge{RdaI-jcQCy4rK1WcTvZgcV-1IdNpim#MCXSX^okb5GYztO$et_X@#Zw4e??b&P2i zgsb^^+5PmbR&d=7qEo-Bkr7U@dQpYVh^LfO6up?xI(|s#Q*0}0g)buhk3LY}=+w4d zU+-f1;N}~>7)JzZv<6n`y}L|&g|BnDJ~%X5UQM5L$Rai~NA!mU)zLlI zLS#L~LC#{0h}qi!(>)hyQ4hi2E!&c4KuF6}_l$3-zF>@UkCNETW{dI$Q=Q#uwK&mj!C1L!_?s+*{t-Qfj<&&{1t%m1^r=E zV6ecpGdL8YNkh3jn4jKXygJct?NRfrvvLgsd{t-V`lIXRKO%yDNu{!^q|X<>jkiK2;I1bK+%I-WaLXO4YU9#KH_2|`~tySw{) zIh8)0%FL8jJj0A1BN6{&%k+T+dpM}h{>R1F1CJzz;khxAqNz$ca@?5gF%tFbx5tim zn*HOqh`6{BBt2rvuUPBr*qdnt7Fm7VMlR9f{4GX*8q&j+Gi9>St`(jPwwA^EzU-z66`#chVU@1Hzt~C31*khr!6z1?cS%>(r1hSx3P8 zGe~z`CT)Lw%go=3X@AH}hLTs9HzNbFB=@p+xA z{D#ecn?D3HO&MUM|8h|^0_B?c8}HJZ?$U_~qXQ=n$+}M;ve&n}V@fEXD@=%y5vQL~ z6Z&7LC94~QEV#gfE&$pW^vnRa!>mOdHgh7VE-F*wxNAweI}A0y8SC%mr^!_XD!(dW zF!tW-dmkw0jAV5Ph-&g{ueY*H)%hXLSVC%yK{3E4oFO8zlO`ncqnifJ>o%Q(ua!Fb z16b8K!>%r6!3)u{kb?P6SRsH>ef^XSUWY13@q%VjlGD%%(F7ku}3n;SWcF2b~Q;LIBa4Sxrpt#2s%dqiK&?nDLJtu>V zI%!s(`AK~oh1vtV^yi%w+TJ>(efuyT*tLcMy3#ljF6f9JSHZxkwJX<+(`;`y^lD6| zldR&j(^#GqN>pCa--zDBjTzjEqyxRP7Uj1}Zniwe5h45D?VibZMwwsGLJsW^o4@)^ z4tc{Xyey>^=6x_qFo)MEwZ&;w$AKJH3sUO^T4ut5e;K=7u<^B$D-r|qsN!kdxa>tr zHi-7xoV?qhI|#82_-j`C=ne&9h))cgTU}eXJ154wlz0G)=LzI-B0n~xmV6Um8iv%= zwJ*^{`K0(_iOKY<$1wO-Tlr$)Qd|GBwYM$Sntr?qPU2qKto^w2TCWkn&)YQxO_w&Y+V9}tuH3ySt{P0^a`#4vt^?nz_CBI7F2FM)lNoP zZ`sfPIB^d3JIk$cH?@+8_ocWdBnFSL2A(lw4s+4i+VtBMpgeq^ z=q2c@9%V6DWw%a@N_VS=bo{SNme!#|M>)Ig6JDa&bLsX--)@1&#p;elf~YG<&CTou zwe7XFuggaFwP+Hb47;pkV!QRf)Oex&i32kMN4g{m*nxS`kA#5A|9En_wPzn?{v^ft zSor908KH$RV?YI(;9-7^1Si%+V16Q$=}2RZ93(YUdAkD&p9HunpG+`@Ljum8MNT6U+~MHu-Feqq@kFFc+!eXa52YVPB3QwdZs227T`7*tr$#LsJjJrYUUKl zQs_1H2jV28$Omz0>7*ySf0D&-*_<8nqANHJ@12`%D1`bKSwsIWfPJ?H1XJp;gR*u7jREfmSQ{zc19@J7rc5x$3SCfmgtgrQGoW9$~H4ZB0I}eE^;N$Mmzj_K)Zz z;n-vHgowY<`?GWkt9+9iP*98#sW8iNomxIr%@ASOkP$Gbq77C zC6k6NMa}T^m>hOM!o4Q1+{;&yVi?*gy~`cX;OOHgacNeucm+SZ{h*tabm1&E|AN%q zV19993u6@nm&cOOXUQ|ay-l(IV}YA%Pw{DN;n_B5NqDPcwpYbxOza+0=SRaL*s9X{ z%Q(}^&P2SXQmH5ZTLH%ITX|c_fKU_YqZ?aXr#Ej}8Rv=gee|_1#%?&+y8mWoo%3QK zvz7y}Ar!0oOS2QS9xI-BQ-V)=^pAf>sf6p591BV@7FflkAy^wo79~6xLsNH5e8!@m z8j^{sJnbZ2e{Wr=cZ}DJbk8<-UA%fkcv?vRvM=U3i1SRkReB}`1I&~uL9r~4g#-PZKH5fd_xAHzoo-%lZeM^#0Jp zNQX}V=Jk!Ho9FJ>pc}ji<9Ix3!Hu>0=^(@pt^x^`*qE}}WrSdg3PxpycKO?7_sU+x z7v{CbhFgDS+J5;R2#0DSOJswzFZ2_Zs)k>+7~%r>MHb{uR38RRSC^4s#r*L4n2xo3 zJsIo2oj?gXmhheNtDp6w7h_1T4DQ3ho07aPAf1|Q#*!*D>7w#VO#RXV*dGW zjNcT6q+PLjW|*v_&3ZQRz$hYWMQFln81HYN*yTetOpxKV)v}fSCdGfeV~ik6ZPanr z9~)eh{O3enFGlyBD1Wim5S?efwMz&RML1!wp%p3VHm~sHSZ9Mmh8ts?+JaEVy1+e35r@G6Mr+# z`0#}ea(h$pO;b0LLt25btX4yXRbZLW@OcVw@VFIj*W1-2)8=p2msXV@?}4AY_zK!I zKT%1y#g~yw0mt}54)`7$+FQO)BMq)P<1V#bw)X0|H1bz{;XQ@{emODbdOOuUPajUW z44j!DQUPN4cRb}`#wYZ3FT@*U6HuSV`EOP^FfW}tTczrJye7qPmLzxhB(tso{fAnY zc>G~~3wqiaI#BXj?EJa$C)b!($soGs$3Alo&AwH%w?TdRcz1jYe18WM)S2+ajehz< z4QE~uKUPfYrT$+#phCpe*q%h7lekONaYspt(5-}w+$8U}%0G^rn=p6|FNj`N_j1?9 z&o;u$PgLyF=WQb2urU_2lM%~WmZY9%DG^AHJdiP-fr?(O*3IkQK+6vMwI=)K8r-x!7Jj%J8Y?&nTeu+An804wlbT43y-Uk7Zbr zt%c)&p2abaG-muGUiK+_CUC_6jz4>}=s)6&<13SyF`^}hP2O32Na2_L%^Pwcp+oth zZ6_Qxza-ES(-akxvCp2R12hkaSNpW2k9DSmiqSm+)Wd;qNgY~Yti&Y+zeBh#HwQzw zVqTY%oZwlCX4dA>?Q=9UvI|l$dc1t$>7`7ot)jZF6$=47mxMQ-7@v3s*e@T}dM*xA z4R&$tUGcGFhP#%V{Kfw1+0h;URHyFglQdbv^~Y^xjD(Qr%zf+@<0*|GYgnO?;Ge0n zp&JbAQIf}s7Jlovv@3VRw|Z7I%N8aQcTqI?)y8C_7I*R1Dk?Jy`z%=Tv6>wxaqunl zpUye}|LmJ?>Sq;TrV%{Bi;b~mvekrd=cE6Va55NE$c|37z!`E5M<<%VOhFy;U_L!Y znHIfSN(6h$K4C($iHH_%9_znW_Ud0s^qhKGb7SD8`OarXKg2(%M>o#?$115&dOCi4 zT>$_$pvfcg$z~6Y^$a*WfOPr;AHfU1+bFI+cDj}lEuM1nst~E1Ic)o%SQ3(=yz@5= zq2T11ZqwJmHKTO|2Pj9h+Su9Gqp~X7Vm^d<3X?*nwLfj!AN8JEQxhj(=qJ&P=mY>@ zq+-^Lak9NQRm>0{L z|0ysE`+LDKt^9Rad#F4l_ zI{zQpG7hT~!e}<0ltSP_eJPu#ASkYfr8s>VAw^lX2YGMLifoEahI=@Yz#k2(uXgb8+ zOR=o@O{~`B*fz+!TS$E}$3v~yZitMUn9*^b*QK#{w1W_0Bq{jjMb|$AKd(r})2i?y zvcV+3urUVoD47uH^i@1?PAeZbfo)rE^hD8X%!-!6?YT3CEn%=RZNVTE9qW$drV9e||eXe^mCc(WIo0)$Ozcm$`z- zBjsQsPG3xR0OnG#y#3Dt zg0ed=u5}UQOdTBARIdxiruUuS(ZGCln3>F%UKT%og~Z(ip%J=|mI0^zLArla^jNnn z`f*HE)y%7BkLMp#5Mb&uVaE%Q2Fw@03>)LdyiEgtM2O`VtNoX%9o_yb0pS@mLULa{ zH?dKdH%U%?;gSE7*`Q#_csYaO2JxpFa0Hg^BQQWb_@7WPudSyIBa7hbE%H4L*NlXxgIRq2at!UXxqmk!=;Y6%i#U zDOQ(>ClxZ%o>(04Bno>tz-`jn>`4xXA>(iQcoNdI36dEDi*Fvs`)>*b45OB$vpLeY za5f`C(m@XrXpldL$A2iwbfrb3@}y%)*Zv`V0&L0bM-f)-0Nh? z2^}koU|hlgo@Swt*ITL2bW%;Q-v9J+n-o}3Zg(>j3Ejck?xW|V29N2Tym+}8`+pr> zcT^Kk7ga$KQBXiBf(TMn5EPIOQ4whZO0NkBh)M?uB_xq12+~3mDN!UKCG_5+p+oS-y{5JlViq5HY zt_Sm19*s*5oqx7V4}HoUxBdA<2j;tPKiu}mfgDw@RWUsMR!-h1qjLIcTO3ryfY~mr zKWXLZP28nR?3eWBq6Q|t-*|;pKMn7T;Vqk2xltZf^;YE9qfk`pYQSCIE6xJ^f~a`a z+r6K7dHHLfXA0lv6O_=q_2VgX_NfjpF#W_aK8BYozWV*;@5+_`&yK!Ocr%q*%Ok+B zvU8Q6WM6oki%XpMUi$43#8IC|@#6wyT9lrS+d`S)q4X?Q}*b*8q%9RA)87 zp*>vNGfn50%}+&vXCct*d}no(IM4kT+I)#RzdAinan1=J2tUH!T9yv~zZA*&;wdD| zniDanKwmuSf001fGWs^h!TS6&uu`W)*n!}B#$JKsW#6zmRWrnOy#C?uQ@ILxfzP6? z4kN}oTfQG8n3S0%UE>;%H7d!aV!Y=%U8{g9kFdY`5*dq&x?8=2!(yBQ(h2!i5vS&6 zvVXW=K6J+x70 zp4t}t9|K*on!6(}%so0a(6>TA=~}b*UE=$XpzGJC-WPc>0AU{HJS|c;`}jY++zRFN zyid6{Izn;m)?{`Fexvp%3UAW08X0m=eqfiIweDeS$c>dRFZlU~YQuJ)x+yE#?WbC= z>W*ecy|Ml>%{#nuvQG~~qk!i=KhvkNX)%$X2THji>IA+ee$T_&G}i9}Cknbk){Q**N_Y z3nuN4V;4=;`1gCdzcBGdLhN}x zE24jCL#)+$+a|^qtue}X&MCYvH7yCx&(s)ey73^>6%<|R8)rP(QpjQw&2wS5=+<85 z0RS6paR*b--RR46Tde6tA~=m7RNy=C5+GCS)nlZ1k5Eu=5$UVA_(S(wjQCeh4b6=9 z^?-064$`-T>n(*5y-qn9XK;ltPz=-int`qU$x0%V)X;jaoR&cS+iF z^s3Hg-<^}HKE;S{Xxy2CoV4^pWoq*16!!)D`1)+g?XF5(%nz#nz9Z? zh6K`i_zFt9Sq3%9e+A$JJ#!?#=5+BVK|6EM+*OmnGoNU~>6QasPh|L>yDX#Xhjr9rs1i4c7?bGS` zw>|#dVv$nun$SF#fJ|kUi{ zd|rU^Y#nNBlAM_l@tv8BEmxMnGq|-oLTq2h0?)b>k0s4MUPbOz=lw1%*kk!)EMaWT(!5yp4VlWqXQ2D3q3@O%KS)H>j2!g3 zh51ZlaPT(Cl1SLJw840H*zEAdH+z@k(6E0 zV7WmUS&E2v(d7U}lGPN4jqmYTszezUa76Gd&a(mqgF9Nh6g<0c=qULu3BQ zZn!NPeVjICCc9xUe$RG9iXtsKVR%O{wl5SpFWS-Ervyxyo|Sa z$Wj~)1`kVEdk11jh>2LmOUKqvvNQ))EodX)4T?hN$ZAiU1A$K(#yn@;1=H2+44}Ea zwr+;s44Q-qXLQV4t3$8-CeD>~D=hcgX8ZB4ECb zORq*~+&z1Y!??U0)2^}1a#nG__2 zSRosIn~FHC&L+D$YxPIOMC-9t4hNGg>c!>ouJqK*@l`n@<_XhRD0|mPz(t67x`zO9 zJYxO!jOF%A=t^z2ZaiN?epH|!^96(eqLLaEYJO=jl0Xr^{g8`U_$Z;x=1LdSb-}O{ z)caGExB2;%v;k@E^cF>vdpK?CwePERXzID(wZ&Gn(2%E{mP4B8a5a5?^R~Ic7oq+B zkoiRJH~fL88>H#Ni)3i;HnhRIlGv#zYH?6^Ssk7_o{-0RJ3MjN}6XFJ9i z=3V~#r6Mc|@xyV;BN{$)57AQS4OL?{PZB9KmoCn7?o*G1Xl~^j`-jKn8wVwq{kd%b z-}&!AKR(N(ozdj{oNc?^geqMXYaw=k=VpWS@cV*CHJIl*8E>(6+56+0@8d&2KWBNdM>)8EB!&kIOV+fTt&b{ zZ5G2|@eF#S6aHG(ZsV9K?P7udOjvZ3#zo6q7U##8Pd>4$Ev?WlVe;Yaowd!#!!eeG zVp8a3D6eI`1{zkYDp7)`WWjjmeeoSJVUpsm7(-7(iXT zK$#ANVCAWz%+$pcemuM+yx1jiv0GrE64ogaj~>|_KSAwqBH@G9%&f7&e~y;hqES|6 z88HHI_?c|wIe4$|UXCK8P_G|TGG>Agy!a~eU+c*lw3Hsl!UUg)n+{Kf&N*FsHggK` zQ2N0`;iN3<_TdgmpKQtN3 zxo2sqK3tSlI_*>$s4MojpyD4W**MEuG!Tm4?_{OKg)?V`=9vi%?8ZDPlzOo#b<`?~ zTo7l5YB~HphHV_1A{>%Mb}K8?t(KkX-k_Bvv0ZXY&*>Pto$UC*xDqV9{j9YM2lzmA z*vvUNbOh6sJ-L}+mpGV1&W4=ei>b@C$T_<9&scruR?<1*)dabt4_c~P}ZgKskqS!OuW>uu|8@ zHkCJL#mP;cVbMARchr8#8eBlC>g7=ou34oU4X1oSd(>Y773i=#Y(5-BK6ZEctrWY& zE0hcBG2Eg7Uv~EWI!!oM1&a@cCs?kd`GuU6xFU}n+fDrXKJeRFH|oMPcXf4v=WRzg0H zYpd4Poz2XpS?4En7NVrk3xTR)fdIYZ6ap=EY1Y)%XtF3~rwiXNz63Sd88ho@%))Wq zSkOO$vIJrc*J||<{zC`463DEahVYoxb@c4L6v;BEAtoH%(@M`%zzCN1BLYr$x zjAa-0z6?LYinqi0rXXEp0f;;%yW>bU=2L-}fN|@4k;09;qLxK(jZ>T)x)%cdj+eKx zXVHTh!@zQUy33R~`~j_=1kX+s$5hY0lQujb$PTo9z#^J}@qN#=#ZJBtOt%q5CT8>f7b|vWeFTIA98E5ZR zxQ_H6`F~#J2h_bwq0N<T06$x4wyGN_KC~i7^X)a~#qbR8Hl&Zw_0W&@Rbl1Ysd(&~lDPqdkko z+DQLn^k@)yswZwJh`IkE_NAsG9|xl(F0UcW3CzMF(`pV0V(BK@nl?G)`m9c3&zHWb$|26pnu1xop(7h?x`4j^#@HfiytW#4=)%|4Q|bcz1`d=) z*&Lis7lZhD4Dk43aa{YGUzcviyr^j1zgJXkC?wjvGFn5FM9radQDIR; zz*=1OM0$zG``Vs=T}tfKtFsf;2I#0=7ZS@%60Ahg+ktaaUn&mHVl#jKbm2Q4A_gHP ztvmiWy1ka)UGS#WhO;HS5|)K<*-K3&G}lfo&$cHSQ^!2!F#p;c&vGEk{98GRSA|at zqV0wiQIDI>fy}Xi7b%ElmadOPZoHxcN!h9qMW;nA3EU-U%LS%uY-hc(FQN8Lvp&(KY=wU|)!z)acZ}z9*#l+f>=lD8c<}A939zMTgFaXfVSWD8W^E zxnl7*j7xmR=t{AZrCtkHu6|wq)KkDl?a-0yMkVX*$?j>@L;cw1p2X?T|MF`>t6kP! zU_x4fD?yb^HWsf)Y+^Fq{!u>77jd{rttB zWP=n*O`RpH(-u z&ou&S_gp~M3|rz+ZpQ4mE45aV)QW}L3?3JTZ)Bpvgn?zd6hylkY{MxY*XV8WC?I70 z?R8AvyPi3Y^NP-$LAbz3ueD2Rs|PyBh{@Wq;x=;SV)92q-5Zj|yWPeHZQ4F7qMIr1zW)g~0b?*CrKC zuw+y?d6>{W^>g(t6NHGkS+NK03pmnF7e|H`XtSejXX^|zKAd_OC}zP4c7DyD3PK5;7e-HwlH zb`jX0du%C{U~f?OXuG7D>M*;v!-eaUDROtRZlu7{yme`@oGtAyRoEO-_0ticZMrB# z@c8OaTsM(ccz@=gd=KqZ+F_t$(9yO;kzHOqUg*|SBEJH;;cKM+Hc9O`?zfl-z@b>+ z96&1$%E)snC4W+CdCAd|i?1)lTWl+^g0RH=qgxS`wFrHssh#gmq)kM-qLbzIUl~nJ za2bOj!DLw0Pp47}UU$vzMbfI@OW2B$w&7>}wJS;obS|a)8p(uNE$|$uAzkPztiJhB zZa0^>tG}z>zdFHVGrjxg@_$IlVGyOP`c0SW`Bsz3jiRHB-)(9*V3g<5oN!Xwnp`8E zHr^cgGwfoGP>u0$f1C;b$t}&bNig^~`h5M3)h|s=fR+rhV!arnQO{>ngwQO0EXH6@ ztajZo;m6c!(?hs+Dt4pyrS&k)P9z5__|pE3q9Y?#S867rd8N~Yx>}pJ-;%POxh6<% z^&aC0Y2cKZ4Ag?`{SeIBuue*wrk+{rRJR}Vb4nx7cAX-tJ$DF7sK0aAZNmF^*=@iU z6NQNGrTD2B86zUc7tjR5ijhL7Ugayz+`@2)>H~PLAxFQve&V@~>HnHw;YxBJ*(`r| zuwMWwo^67Ia)W3>4G|I&wm9Gz$C&TR=mXWc??L<5^YW`*JMYBOiyQ2h#WF{2tgQh+ zw1O2+l)bxM*{(4^QYP4BB8#(RM7lx!d7Zz}{EAt>N)k22lgG-IsZ2ta4y!4kAk9uB z=TqHN_aAzGSk%}U(@XrmcoOF>FPC>dfZxCh$I!L3_m?w04{l{jRlJY-=Gxh_o35<2LA-s9?`iNJeKA+Hgmx4o_bkJ=p@F{gpvitH-6~! zb`a>c)dV3%uW9SL(etMwm=Txh)Lc;wcOsL@@mKv(BZk9Xr6icXQ-v5cf%$)+LtGF@n+ z5T~ZA{@vvyH6#3&U!fA4x%Ez3zV5Xd_p~yUH@VQ%b9dBwy9r3#VgI&fu|N^&WK}3l zUd1G+Z8A;MQSxyCq_6vg=5xEl1>T~nOH>+6GnE77-A21Nc5fCE)AC{=;^1>rQ_2o@ zqRNHZMRS?l?q>quZ+U3cGj(GJkaeRoVe&&0XWudVMThf|Cxi_7@b@ulslSx#oHFIO zqMq8jZ%!5@a_n6PtC{Te$SA~TfN(;==RKc;c>y1%XTV!?MfiA*NntG)n!R4>2qXI& zm@lnSv{8R?r_HqAPtv_~G&n-0vQ!SD-!!oo`Pcjp8|2b{mhhwKqz6VzV59P)?OJ4@tV^j8%ina2UZFK=-kF(`w{d4Tx9_MvL{N$qp z<$2#bfsQ|fOIEY7XTwX+IjZik;w&SAin}|x#@;ps{E~9=pEls%2EKC`bA(>Ob&Bm2K-YpqIZ2+T*HmU9XQ*TG zr~3z&%zkiLTX(@Hj%nZG6pR-piF!8=^oa-K0=fj`T~))^1~$p#0YQnq6a$jl#C`(Bpy2aDH`=xUgpQ>%v@!ID*GZm^m8TJe5uxEMu&1+QL*pug)t2!(wYMga@ zPNsg6?M5x(xDHU4RaVn~qe64FXWsw}W6dXtbRL8ZGwg03(UQU!{RfCD-e@GVZ)!luh z9tQ5359E5omt*AP#vY`mLNM$eytjn(z-cSqW223C>Cdp=E%L6vJG;M;#_InXdudO` zT|?&!^)B5Sn{vF4H&*XAePfS4YF&9bm!3cSJ@Arh9eeu<6p_s@;oH^(2=I(`-OP}% z{lqgpJ_$t*(bKEbXi9irQ{S*fi*|=dQ-**;r`O@5LJl#3eIIumG^92U3eg1+pv5b( z7J2)T1T$4{JpDZ`!}?&51H<3?UFeuzIn{pdi7qByf6U#v)zk1_=WfTaXg_Qj=|=lteR81>A15ro+6{Q9_o-_N$-3 z=_k9Xw6rp_(|$QHIdrj41qWcagdBcVQ_D7YvmGOvt!b~pRXa!Hv7U&Y{J4MGjawaa zNeZkeSeRqUUjkik+QS!k^ci;G=KxX|Dk<~!SOI2csf%*ZVEVlKmJrcE6eXEr4{XXz znPVxZJ)=|ag7kfki2D>KU!5Uu9e^3jeT|F6NK>H|t}&GFAOAD^J9a817O^hVcvsLr z%{moaC3fhjJi}=lkJ6Zg80BqP5H=33jjgPX%}L9QWuwM~z?nlIvSvEvRm=Rk<}A*) z`nqKFm&;XNO+4*kS>&GOvG*hBnn)bvqRHY=N2wkBBJPKQZk#XWM@G~2v`PhB8`#LW zu~B>`LCtFmTN&2;%&Us3=AcpV=9sUaPch_x7xt45T@S~@b?X+hn#?gC3_aMdn{4;` z^j`6+Xsg_&G!tWb*@Hj)W7_|Uca6XDQ<9=i`$O_+QJ9=Qf{r6GUzaRZ-5c#7_1cHQ zTCV=6{lcs|*q5dnyJ69i)1&)4!CClA>TcLMnezwjk5wD?eE>P5P66u1P!^`S$wf;%<|_g)j3Hb#02aVy zxI1cJd>yB2DUIPlMk>kVBr`TL$xgzHrHIaiwVKx4F}=oqZRLhuFZ%{Q7&dvchq4|| zeSU}!#JVRxPa3b8CUQ*IeqPIOu-{Al3OliJUA#Tqo|t;#?>wz3$0P}>X`)8Xuuom{ z)Dqx2&3-|Hp@s10a3B&mWz0R-zA(J+IghiQ5aa>{E0>8%;mRfW;nj_GI_vnl%hxvf z?6h29eRIzNLu@9)go>bH1@UggG_8JcbrWZv3gFR)g`4qW#P^Do&;A3+kskX0{7v1Fv#IUtISVw7uLn z7C%(i^eu%e;XCB(1A20pLO2r_`y_WQxa#KelarB3OtlT(rsuD}noMGzTJZm4#X{83 z!Ntkc_~bMV9b@a3D3LhH$&lu%462#-?~y#2>y>F697&OAG0322zG$P93~gWVZ!z2k zzCR^Tbr~~*u$x!#lqe5xI;ME_xNN;bCwraPkXL3wn3vr!O5@u!{HH;2s4}5Aj76I#0v#mQ24b)V+4^*7GYu8zcSAR6vv7Der))e=VO7>`7=~*54=xX#?DrQY*f#HQDXmZ>?zVU{N5Xm$3p)jt66i{9xe-Jy`49;qCAX>>j_bFT@c7XR_-$4ud7;bCx=U}bR%qR@lzr?Y3~z{9iVL$v1wXynl5eL=(r)a6QIxwc{bCz+J`1d(*3p9jDosCQ8aS#0(wI^Dhg9*D^qAqDCt18zR}R&(}ID zPtC0foecB+yN2c}%Q7=*2xLFZzhEzI^H9lxcghL*Xlm+vN_?nzIFnhwZxr3n)M75S zjntSVWq1##@8hD214(oG6EZA0PG>Y7t2j5WBk#>1|IcIngFh`)$_93Ug(pznv#;F;6gl1_1Tx^6U}q#9@>+UwC*mDazqrsHC8WZKU?uWVRRUcJRzlQ zS2r|mjk|CCp5TrP0ot_WUP?LyMlI*~I#M}@V+-3{t$vkA zf4fF!qaHO!EM`l|>NU;W9fjLkdZ7jFfNwI6l8mPoZf8Yo0ddNvi5uti^y?=1?wBkr zf7gwxJO3!9Luc+xLspJLU>`2XfMQ@GN_ui^m#2E(KM;FqEwTy2xso2;;frHOz(M%QM;-Z)`0QOiSK4j{i9;2s!ejE<&+evtJnFt$x!)fi?TjE}O0CO`QG5 zc>|-^NhlV!`Zvy?nImI?u@FUv)_1F->Vy2;b^Qn|DO`eW`TRUvzFJ!z;;Z?EIH7fMk%OENJBL z_od$MA0~{{jw>Yakc7WsT`G^Bi}11O?%HHDNU!cc4a)C2jv5zGBWGjlFYr5N9jg!7 zA@qFtc#`kXvBI+{#M>f|2{u${G8^=IUHTf^$Dj;0aNfvx&on*d;L=g?0aPuv3?=ZO zra^V!$R%CFCYBxnM_C)&G{9!Y6{?ctTQc?AeWBsTYnW`ZJfMrX+;Rc(a5L%FclQ(F z=;A2AvJP%g2gc8h8fklHAJ0dr>9Viq9~!P`YkLiel^r-Te7vyLL@+hhHA0S?!$1j# zymjE|ZP*S%iRUW3JH7MNO4(%~~6_&W8*S zU5{x`cg8x|D^J9CY?iAeWqgWl)83)aqUylL+Ey|iylt|CHrml^a{F-<6TWz4v$MML ze*}0a;(K4gp9fBR#8iM2UB;;>aJu4FTEPLioQbg!|D(ok85_x+IF3}brVqq#gClb6 z;K?hi!%1qDKdNgbJ!SsZ0qsnqpNT>0jcQXwc%Mj?DjOoVAtEig;YruztmY%V?#3L1 z$e0GbH^Pj8-UkJ9#IJ_YwpXg(9!I+<&!l~O{nPGhCq&0YiCh_Y$ts)^eyHCIy)*W3 z?xnyk(?hN|)C|OAr`E#-mM>6l<$!m3`bQyWT^@HPUO&;)mL}6uybfvHaSEPd*W+Hw zyA^>j@NGzI%9OK4nX6fun80|dg<+Q_V5wYO4R|FG4HM{nQukyJq8zweD6%qs8gQ+n zq`p9K=)^#$*Y71yEd#^Veb1?i8nq81(?QRHtlHBGAN6*A5kLh(khbx=g@0cl@^`YE z5HNs`Lh{mgp>ZJ+_gIOG-a#*+<<>a5tC9wV!T(9&7J^3Wq}TktTwRhau#?A%VjNk) zx9$4XG#h9U3*H6L;P`(`O0wUmPwy64z=yRPR~2!C?pP@ zqZGd=qkvpxL?V*P4|cznTK2oQ+@;((pwy440@k9@n)@Q-ED+dccQVJMchzYdDYubx z+LTGE1oFl7$TE0>q1zd^5ngYGu&W58?!dn|375)~=5%@Y-X1tjJirO7ez(OU~PHg+ntJD-;dqrS>2Z(3yi$gTqB5e^)-wg`v+-5=L3`W0;LISIi zMdnmz4qh1{=HbHVEXWmtf(r-%1LymzAW;jAS`QqSze)u+I!F|NV=M-p`uXhJ7v(vyl zD>m=as@YgkwC=cf!`Z?iTrE}Eo&Jv*Vz?i()nELpYoi>0zGj3P3FSr!qWofLYzNPN zU)I~WMm3ot;Emk+^pTI>X8u5P13!+@Xrl4dxtb32RXC46U@RPD_Ox@Tp}l48*(X&m zG5Y3BdR&6kCMiU6+httB{``b~&l6jgzf*sHU;OXFjoNGU&O--iCAjl&5qN%Ad4dxz zB237tV{#dPN!imFmzAgo>mLiZvRq$nc}@x7IP})*8 zUY&6FNZmXqt_&Y0(URdEiQhhawa((jsG5C0pCmi0?aCGNFZon``UkH5`5iK#?< z`G_nq7<(cqh#fr9LMa;`%mJ#EiT*|oiUwU=vVHep{i-9bj&`xo~xm&1r(~+l64hAFObhxR(8?Kj^Vbi(QXaj~j8c;nYgvz^|^5ow4FK$4E zQV(#_tiiOvl5mxxI{D^`@!7`-7qqr-hen1D+~9_6+n+5^yc7K`iah_Aw|X1f2(=klVyj76_9kA&Pj4i0UDm}$cVa&lCrGEt`RR#a~O z*TBC58|kuJqZ~QUu*R{U!o!oU$EBnOWB;aJ8FH5A<_WTnW7Uw>Qv1Gm)%>)v=F6(J z$vaia@gkoYS_Mw0IUIj0d&)g~%Q9)#9apvIcIHtwag=l+lVyj8b20UOcps`)P@DHF z_p=dZ(B@=o;bW`x#DM#`ZvF_LOSirTp>s~H4EG`5+!6X_TN;&j>Vj&dwI-PR-ldzC zkF(u>yH{}zwDLc0RHiK6u(s8B6>1}_AB&njVE{uB7bebj5XO@v21BJb*Dnc%yZ@N4 z-hYM&LoqRz3wM6z0u7a$ocnAOE#Rm5>ppWh{)2kO$M>}fHq{cvJeduWAw}lCj7q%Gm6X-DiyF z=i;&+M|DBDosNST7l#%-IK_lRx2{o7m6)HIOYc?dDA+v3sn1NWH^+x1m=T4Emwo%dmp(kUeHUh+V>Pd=@k)@UfWkIMM?+Wg3Zv1g*L u$ANC2D(&$kH|iJWX9jd>#ES<7y-hKKS~rE4N`E>_cT#flU6%Pjp8f}pP^CEl literal 47300 zcmeEt^-~*8&^MHRp@jlfC{8J^1&S0)p}4zCad)=_ij`u;izT?bQ>?hVOGDA1#gYIC zN!~v1`&Ya(&)nSY4|lgayR&!q*`3>GczAd4YE?|)6^AJw<@@^*B0W8@d)eaFks zDC6tsV#6pPD8Tqmn2%q8Pv9NDAft}0m!G4xEu*HTwKF3>qmDA8fvpvzoQZXSljMii3}=?@cJ{0D^W-cSMGYF<|S1@u8LSmUPH za(FvJzcJrEdc|-6j9jZLpZ;ts+5;Z34Tuaj1%YEIm#ADf$RDb|;wd`6@jKmbvEB&I zZM5DsSuCyO)tH&?XxnAV*7J>a54mn96p^q1d>;X4Tuu&jJ?Tjt>Hff1xJ5~Ad)54D zYkj?^?Or^=g0(DWgZ~D~KsYlnJ{{-7Rp=NXKLTmwO1c zdg01fZ)BObx6WxmY~Y;KywmmSJ$jv219l<-(G`0+$MZ$+2ucPN7sc#bo|#-a>ACy3 zXxujn+j=-}OamDmng`0va@9u#XC(-;%{yCBy95b zh`7CD3s1yCksF19#+|DF7yHS)RH+lTk)){GnFw=ijDdE-fhB23Aagy(Rb3Jz76dgFf&itmvvjf%Pg)psX zNjF)C@#NBcTU&sa^_X6_p!_h~8#hCcW$^v|u_qTu+kDuXD=ke>kaG~m=3bP?Yb>G&KvA98gUB9A6*4snO;^sITIu6Sd^QC5Hn z-(u-di&G-WR!Br-GhCm()n4jUBlsk)c#apeJJRs&Zpxuva^zE$JDUYuq*u*xvYrhv zQS*>;Kdvn=i!+qm zwa34&-b1{Rx-QsWkebZIhWI(hhg$3*u9AB)li;rbV93l$==KUEL>dUhI^E4q+&BR+ zusX`1Du(^-p*MaFFYgvc{LO(^yNEbp_YYfTy;r+YHg`4@U@l ztPHU91=()E-!n@j{Ql~4l0>c5_6^U3tpr~qOa$)+ew!AZ*Mt#IH1Cl_oSom!LGG1< zZ|@S@=qj_}C0(IZVekT z*JGT^MbHr_T4Q&?F6)^J{5wEb^)`RQ%dvW@{toY~#pc z8H}1^)n9L*^lj}&-eEsC$LiR)k2^%2VNlXkpliTJYbu`_;rQ|8S`Xk_Y5w|zB(2RU zH0~A~6p2cd>$0MZJ385?tMuK}G6$G9c5gRA*ROxDxVyK`?B?)HT%ZLRm;ns9?)1!C zsov%y3q4SYWO?0M7(DJE7;i+|2GH6tZxZT|1k3G3&qJ@vLV!Sd^ev)-=`J``gPqUO z_$aOmlK|5m0Lji(;EHmlNH!p%Jv$N4$T% zavqvIlcZ2Qa@RQLo(WEZbzww7f4L;DL`+hm5e5-jP``r+mkFy&=d1Y)U{7itTPOf` zS|hpI)4Lm$1cP49Y$Tzy{TCot2oXrzTgYXRgcRV1#Q#_Zq9CUB!`?*Ej+6>(KE92-?0_Bdfakt%b#~AfG$vFCRYyHp1qF?SEaZ_zKyJp~?GW%;lXLK1B zd-rnrI*@oF3vq`iXXCsAAR1al9)Wfp zVZ~DtmQgTnzv=Fe-z=-&)-ZddW{m0gZ&&;blUV#EA5U^E2Ou_hc=K*vprrldfVKHo zbev}{tImmw4;MK9$BvhNN68P`oD3t&qmoRszYyVqnfnNA0w)Z>{rR|Nj;HzefIFm-_$U05Kn@ z{KD+rb^|6R#ytNys18*D3-jT;hH5}-svWwW1)0NNgEC~^DZ*Wr3v5WeB3Ss zI&F-uo*|y14V6B5OV-dAKD_Rb zvPwCA=a~LTkFPCyk(0!KhD>~xG(;+7y~M-V!Hs}B+PZx zYb4kOvukt@yND_XD*Fq?QrRcI=!Jf#4=IWwvUk|-6I78Ilwg*`dbQbSKQ9~tit6D_M8gUcUhM)wUL8(q^`tK+(vmmZ!xu3+^p zXT5HnwJH5ZPjIy@wPMZaqij(^mU>e-0@qtGDQZd65}<_to>%fpaY8MZSuLQS)yt*u zGLCUglU7gx8yNiu`_21Ls`TErmA(9VZ=lo|vFq3D6}&y*Nq=V z$E^G4xb4n9D6gPo48~)BV#_H{l?bU5;UisEuNHnXXnXnd+H}@#`^`Ap_XAks29R^g zl^SE4K|jy+d&~1D!*=^w=_d5F?C+>Ws(7qR`-HmBV8U5WL%( z>?K|N}6Dj)hd%%r^K!D|mW(3ZT`&!>cV z#oZ`9x+rqZVDG;sJ_ygJ(H1G}ohVV$&dvq#X+Bkd+bu7HQV~hUrY!G80>~$DgILZR zqiKhFk~--SFpMQHRJp=Jmt~1g%X)0XHD}bGv}Vde@W*fZS(HF0MzwC^dfu=rchN;8 zu7nW4kuz_W{+st(&4IUH@Ed!PN6-H1cP8+~hK)T_9EK`9J*B`Sk8xrl7+cY(^JA!5 zc_NGcb=mf)I;2X}@9AFWa@iaX7^L-7PVsRa5+4x_ZTJR9*B~8)SpRW7ud8M1?&V8VP%by9d+-Oh z7_PP|V~>q?gU!>*?->%Ko*Nb&zvsVftatB}{+_CR-h3_stzx|}X*e*t76>^*qr^v9 zXwkw8_mjzwB77%VMx*e>E=6;ToW<@;t+L#=HWg(>6-%@DiRXq(xp7_(L5!_iIzkO= z)Zu%C_&M#4tXzA}xuP3mZ#SP>R%)j}xMq1Bo~ip-(^JdlB&Y1J==i8Il@+2$(xjoK z%fL4^3}ELv<0zAj$(a*5SFGxsX4mfkbtmL*V3mxk;gw%e4D+(ooZ*gQ*z+BV90Q+C z>ZL*kpBY9TT}-p&>2s0~-kX8%juBsE)c6*L&R>;ytr*vRU;>OyFusa6O*(E#nubo) z3TqsuoA0LG7q2+W#+uKRo3k~~p4fNKpLFD!OCWl78VYs)8l#=VpLc_GyA!p$9USw8 z5nFRQad@^EcQLaDCqDJ-Aeb+`Xg5r!`xr*`{K#lA4otp z0BE*;X1)mEQS(^eJ2?k49UOQ>o8!9TQR)0d10GZ!4hEx^lysWwC%p`f9OK1p8*moC zPPJXjREofpLyAD>Df9gr4cRlY!|ul8ycrXbN@!Wzs0kWb$pH6Jt<&(88a#QB_G`*_ zcI;r;xgGdt_w}~YriWe}(1YZ0;U2nAXq_Cq2>j(6xfMYV4vG&Yl@!f4YHt`yzw_;G ztm2*WXEbN!I${GY1gzD|LGMR!n%%4~2T3r&QO7NQB;9Ve43#S{S+GB45sh8?!l0gZ zgP?Ae)B;shh@@GpIjq7wr}bjRBDloBedJAp%ylc`R?r_~uTSmz-e~L6{1QAT9OdKOolN zrJfe^>$F3J_|i!k4_vIlAjsDZ3h0upv(~M9YjWtT`;UcM-XAojG{JdYhYDOw1|cSk zIL7zSAMSX>(r`B&&-2%$i$peK`}PjG9?{R>)3XTe>KWyQvMLLCRj)TE?)onA0)fGz zE>n4VFUE%5Iu`_-&;LJ_{oA3IRMbmN}zao&Apjj`lrVHLw9X~%OfSbCYB zaPkc?gFm#u2dx~!(z_Ib4wq^;(P z-yV2koLi3tXl>+|3nMQVb#6w!t*7YpoU2eSBrf|6{$TE&Q#y?f$w18+2TR^J9O*XK zHG&;<2FG+bcWtz(YGH7MQBvq{lnfe^;Q9>?7kf4`0yZQ!s_oMlY)ol;x-Dn=XvUjq zay<7EZ$@0mV_e6{*KM&HAL|LEg=_gEWRu*NcRSsWS+Wzjdr9t*AOO zl9XfSOV=Z=$U7mvTC`qBYos23%x0K#il69<5nuzqF!bjg*3UmaUFc;?Ti~4ND%6{) zx`PtFoK$Ru3c#3nAIlPE+|GDkd1c>kq6OZGwM>k8A9$uCz6JYr=Bo1T8V)I)X0*|p z1i4{X%c&_XGGR9Do0;BLHChebefJ+M^(#f`7?SH17t+F&rf~7ueW#n}V`DdOEZCab z3!kWR7matf2>i%HhrVJP`wGmkOS=j#a_|tpL364XChW^W5){LAF;E>IvD&KzU~)!$ z=Gv{T7drIWzC`u61^H)GGye(8MQZW8`I)!W?L?X+|G9L+XWRXZ<|NZM7*aZZGH`FR zh=G>toh7IPU94zgy}ID(@|Up?jZ^TeqP;FLZL+C^G)XaSZDQ?rjscsMx=KvTQ%Pxo zynb%ES1`i59rzY|N7umW>l_zX)E)krD?U2Izwbl$(A7?Ar=%!Xv!WK{GXW#9Y$ z-*1;3smo^(3+=?oH@0BsxxjR0N_nc~dAl=Y8xwD&p6BzE1BLl6Dcgo0u+{8T_|IXN zbXZ22u@5vmRn~!@O?&br4Iomhmpk~0f*-0e+~*_cM0f-9GaXX8FBUnQUt%h9Yutdc zAIy+Kvvsg2=Xp<=@)4}va#1|k#60FcP+Uxjd(YZk+v7RlPH3U)pWWoC>0svbYq zKbE9nP7ZRR5D3L|&>0xHPkm*+r?#G9rxq!)^8mSx=;cPnFhJyC`dFfj)cr@}eiQaD zIy3tZXLc=Ac~6|WTX;7p(mC_$k{UQ}0tbK2_U=nW_#N_;-B}TsM7@qgqED0$KK!_g zAGq|H#RUf?)ex<=|2(pL8`AV%lan_3KOaNtB;-@3n8;yk2c2A2pkX}toTz+T`^+Sl=wsR{eazTikOGQO z&B5;RazU|F^1|{4r-~xP%Mg3>mN&TpJe(+hY ze{0`s-Vqk(v!Ozb^}kF@+!4UdL%ze`dk|#&sR>63F0oEhP7YBzlKsU`OxQmyskiN_ zyZ!F-tP28WKCDlc8gcr*?5BOBq?lJ|gPuyCUVk5`IoH@jU$z#TSVTOxH zH`ATcg6H_ZrM)Jq9_Y@^$C96vPf@)Hgm~tj`0@9dPa`#Xy9%vW;bPIxq%spNt3le< z2a5I;QO^Xci(Hxsv)>`xHF$=sd7`ah>1a-s-}HfhoSlUx`!0_1B)3_Cb&B;z6c#VOBCxkOwf~Kx$QBjSiOiQ{v->d1YXj zMz%3;F`qBlb6!1&&hz@?VO|OpA(7?xWf=M>w0_a$1*_jin+KKmK+og>&tBi^Pd)2I zOj?uHbG9>{S*-5qGw}uwVSYm%SAHexw?awZdy_hFm1RiXJ4G95SB-9l@Up`M3(5fH z-5TjFcG;oQaUB7!(rA6Kf~uB^@)bimT(PFOl;o4Plfu)>dJ<>n^KlcDgu|8D;HIwn z0&nw*-&oq$hfX##v?ky;uKiktOMy`Jih120mY6#pAI0axdyUE%_q0bTnpHHWsyo3{ zzYU5KZ_{2kMSEn$DVe4Yi?ufWxG!)rrbsn$2!(2sTW$V$(VJ{M^COq0>w|DI-)_=% z34y8Vdb!$KMoh^_|NPsr*HPf0Pl93_4Y;T7(x!L~;QKSN%!`<9NASjt$=9apD|^W; zo&ZvK=Mu0QwmK|E?`#@95ctIk{GQLNPV~#HmC62?`(UdI*MCl^#?;95Le6Tv=c!d6 zQ@ILa6Xs3uvZz3|zs?`r3)m30#tb)@K1Ss*?wU*{eJPp#hzWKY>(K12zfiS%NOyK* zX^>D%uNi(-Ohv*oy!GzU_>Lq#jA)3o%$ZqJY759E`}m_J(NoKUkj#!#IcmI^K)s$2 z^jQn0w;9uI!7@e_rbfD^M1D2c1NcyCE^*$MG&f+)aKt8l*B!!06GqL;os6?y4KQ2W zSU_ZkP2{Nquky*fJDnROK=SiX6>#3SkSkIdJ}HVuCPjs|G&uN&p!XaHO zSCR4~9tlACUztDYMaV=o0YNe5a)R_R9sGUPV+yc`vLoZ=5WVh^!}b|DBFB8A)djhj zdFGDDt_BiV$KeISoda{3Jwk;s1U_Ft3c1P9x-H4WnkX!EE zHkbcgr}dw^8aPj$kdXDWBqRA=`R{)urk}Xl{+P4ucxk%8zfYDx)}@o%+Uexq7s8u4Rv_F#UU< zelSgyhmpjH`?Ll{&zFTP5OW*-=ek5;X>7`7up9ytt9qt)2Dw~x>ON-&gN)m0-) zfP5c&U&;Oz^(Gv9*EyXb&8L-ZlYalmoAOlVB^i68hqGHxg^Rlk z`g$hM%Brw;xf--}+hgQ`j;?DTIbm=s9!W*J4vs;#$)U;9}~ zQ!1GY{25X0tenu5TJZXBN7iN-v-G_9j?G4T_mZzgw{2WOBeyaOF7QW7%3QqmNFdx+ zz+sC1={~w*e&)u9MmkgbZgPIH@3_6p^el~2B`N-4PmN7{sul}n>gH#?e{lPGYrGRz zQ=f+20;p1rQm=aztsbt%7b%(SVZDcW1k31F({3Wdh-eD0i4xxyD$8`-5ZVW2yz(&q z#d}&gr?))Q@Z|-G>jUiu9(+8a)BY)A$T@7qk={hPXt%C@@O`$zO7xKKghy^|?>1c^!N_ckB+lp`^TU(Bu_nO{5?;fxX z>MX{p|5WmxX&sF`C51EM1_V^dfp)I)gxS6XFEhM5DB8_)}yiJx7QBUt?Dt+`7)PntUU#M#Se%rCDfi#&0a z-FEhg0wvUBw4+SvBHoZyUVcW2aw;uc3 zkAqL&&rZwpIz?1^5G=kWmOK>NmJ~Lgzyj!b5^xDrLcfVakF2I{tT($--q%2~STek+ zMi%TPn}+F}9$&RpPl!RSWd(?ZH~wI9OLT#d2C`Y-$*z)f8Fa(O9XpND3CY!0?`(Z?a%klzp`iEBtxaq6+f3B$B6b0 zB05@p_v|qfm5>xRc7DE#0ENYc7YFRu4=o9Pvqi@6zGt!jg7$Vg5_(EwH->&gKVd!# z4_vHE6a(uxAt3~o+Tf%CjT)Mh#ChkTCWLWL=wC{=GqVqq(+`KpslW$^* zBBo;B z4m2ya-l0tk6`(7;r@dgPzIxUZB`*e zdh5j9B%H_tLDIC19g5BRo5h}z%~BnK`y}=r`o#y?kt6T^V~xFJL6jX!NJ6$faROar zXX;B1JFoudEw z_%-FG)!ZzKE^Sh3>nlQ&e+Ed`LG%8i*B%0Q?NSVcgfa6Y&c@7i}q(lpNj^CcOVT(wMP#9prK#*}Csxw)%qeC#ET&5Oa5s;Q@QAgeHO|51N zztO=R95EE2a4ILhZ2g~A8o2$RvRjqck9B_^Rv0)qp4!J}_A`1fxxkcN?GEd{d!ZOt z_D{^QT2S0F8(#)u$wbAW)V(C(FdUXu*nEfk+n(;!6fPxfmhsqru|w2)!GvIuAkryd zWO&8ejshx=8KR~MbiDxa|6Id9OXx;6ODk|M+R-f8fhpFX)Xqj1Z7$cA-D$ZgbT_u^ zwuJAELEf#{(9mD=@SOH$>7fn(c;duN2s%#CF2Z#2U3PH%5ov-qT;m4z=6#Oa-6y@=j=^( z(%|n!YT*d(M#57nu&*^xivqZ5G_U}Wt=Xi6Q26~E8A#YC0mTzlHAw381CKbC!F*3&RFYj!X)X$f>+nZ5fOb{1cxWO;eZ(I%W zQ-JyhQP&lPtD9yg*Vf|=PBm@5kr-OZOM717H7+HbU^>L8=PTA}dP6GvVoT+LEOBZ@ zTL)94W1`f_CFGIT!o7v+#j@OIOc^HwY(nKDj>VQf>rZEY1J>azCzlVxEBvX6xx)gM zvr=(ydp!k_R?6rKeb@aMFJ?#=h@8s#9fC41!3|JcuR+pg0g6X;e-5|K4zGJzl5rF~ zhq$gttfex}BoRxOh^}a7hP?b@< z1f%24z}4E}%PIM5_N>BW;upE~(ZTBTV1qIiT>kQ}Y-#S(vrZ@XJyYXrpY~5J961^r z#$z6um&@iK1#}Dzj{px)GfWRgX(YLNCghx5cI|#mettQ8-PTeY;=VUdtvT=+FES6ahohhPWloAFi-CT8fAX5`D5hTnN|QygSn;RfVjg<|#nzAA{JsX1 z<(uRD73NEX2d+CC;GaqGt%Dy_Lu>c0FsD?rW%A22-KE9W)T#R7CFAIMJ$C{l?VVe{ zHYtlfZ}j=Mk<3X+$ciY~>z>ijH(@j;y70$m0qe6N5!o9$5(a~2a=ixFTVUq{-}gmNHW)M zF+ep3o6m=zdS3m<5v-6iPX}WbQo4{#Je1~p>}lj~lnkp;PWNX>lz1AQ`~pTr?wAXG zKz<(G`BYMr;V6jN)vqZCf-E-E@Pv*quBgTS@b+J#7cnF1>h*dbffJ_0Z%)U&ql!(D z8>GnL%u&LA0XDpwCi8|CLE}3Fe>=EC3%ikX;^IF(mF_dIK#TXeqlSXFjwgq|Xmz{R zj8!ZD@@}lwNL+$9t^WgejHLp*r(_9&Sfc6kK32Y+5F<+aT=GK+u?A6bv)yVU)hWVe zku#MXoRa;!Nqy)+ymiZ}M8{k?7AFjqyZ$@8S`I1tqTmSl57sc;5hG5j>t% zI{ntWh)(&fXNYSOQvIPegfv%z()dTQt=TFvOQ6!-dNN`(6R$6NBF zDIez$uTI*Qq306(fB5lD3jZ7>us^Q*4?47jHmZNH+kZ7_b{yLnAKLbvV`}w@e@0iC zuz>~!8hD&}@v!^jy~wjv^!6rr?M+sy50AZ6Kq}Y@EU_7=+`aOh&E5IUmN?6m>*KrE z*CXX2sq-(qa){qNlaxNYTk^1zpx>&Ya^(Q$d+7PRJ|=Wlb)I=Lb1W8@`u?n2_`##7 zV;<|DE(kmd!ka$juo<2v5vp#5ebMTYj;vB4p07=%inL^!5Ck6K7suc~L}4;(-sW$& zUo3AJ09HHM6lucxRPG?Be?K!6G~q4R)!e&M%o7-b0k-w0{ZNzltr#W5#0?aoClzn$ z*mpf#!Ny>q0j>m>-itUQKj3-x)=b~1dtC9wp&(9>e3$#P8YB%7@4p~W$SxS}_Eql7 zzK49@$NkHbBC;!~*!cN-Y-%7)9_zGBhJi}MjmZ=32tWmkhlUi7c)$2E{Y~5h&VENH z4m&nn+9~;Bsu}gQ z))K~we|}tM%LRkDztw)G^jqAS=k1-Z5hm&B^k_T9eWN+~)AqC`zLPsy)=f%;z2r(` zhrN-SKq#t5WaxtH-+fm1_@r%=**)`?Ve3C)Myk^_OB6^x96uiR+EQgX$&sHtuq{%m zR44q5eNCm6xXrD+w(2Po<~jXw;;oP^usmzz^JX(+1|;Xs&J{gG#)7`2HXP-xlpQF z5el?m`*TU?h0NbQ{n!$ZNK~5(^0IY#fm9AdgptT-#NGgvSaBcr23{H8D#9$&K%xR2 znku~-6lA`an94XvLIH_>ZGlG}U{4SyI@0Osm0(gWJQ2=x5aAR6;0X~uFq z44%IXJNJ={9F>cV7ST0<9yRLmYmzZOuW12jb1Ur?-94MqSEzh_O4?S&qeLuc1~ zLfRt|8imw|Ac796O)&9pAlNa!dM5J_B1BpOT&-t;E;LFm=(^vyOHiaG^<2#`RWkBX zafI2e?#6;ik7PB~iT@&s$-N1a`q5@=V`SZ;@IHoMF?`Gb%5cG}zw+i@)Z9WI#G zb(}W4^uxl0MVCJB+Mm~?K_+=e_)lVsa(ro7cyd;~$!)LJ#JTR+Zomah(^gt2J-sLK$@Av=(<^& zXvY#GE3dH|+J{#Esz`YH&YyJ?sLYPLJeX=HCvxs>MOIs{{g<(a-m`ZO7W%4drBZ+1 zb|d$pZV`1a_?_>V{R0IVtMVM36a3yIA89fyBw#M$`FP~HHhg2AdL>sQXNys(T^s#7 zF*|DYyr%|}f?a-1X5AbLt+ROZc6Y4ovqnz?Cb*u$QHOB;7w@FSy!qw4_~tMPZ^b#KNh&<=TdnELE^GgtRZL9F|fb_Zi*a%DQ z;f{!ChCE}_yV@;Z1NDQ7^YXH*v%vj`r5caSpf6jd#*?X24(0 z)0^^n8Ber!db)DCxaAtk__u{+?w|U>@|2`i?GEiqX!;)Fr~7Yl>1JC+BUI^aAFz{~ zi&5Zw?&ezyT2LK8VMQ;0ChFLg#%v9|Pk#t_TJ?O=YyORfzvsgK`x2JEclX*XQ%RI; zzqFf*pa=MAZZs><9WvaRu(6Zqaj0p69ttVD$Tli7`Xk)OaQe%udXj7B44%%)hCr8| zEk<#|R$Py4p%*Io!>GX@+H59gIk0Asgwl4bj^lMJr z#htk`SRMac(l|rL-G2Qd}%k&RY7k2O}C)wp0q5`(a6*by)kJ zA_AlcdUN*AJG&2K?dn!4Qz({)pC&e$(agyuEjJt_EdT=DVWU@(1Cn9 z9_2iE`<}t^I&PpCr=Xu-PsE)VBoCO0{WPO~5QL}eIGZFIFvCYNUMDuv%H~Mkwc26H za2D>;mbpAo`iFa8cd;qa-jv*e{KMREV86K!)sBm6FBg~Q!GrWy%rV2)?vBl-MOBJ- z4p}Ni`{}}kQ4ilxek1&}5d5A*#_OPp&aBz6=Zo!*9(&ClWeaPK?Q-$(O_hjCZkb3% z1RhfFYnj!T;R(b-AY zaLO2=Impk;G;eN~e<=^S-;eP`HD?@6rP>@U^X7VWi-Z6_F|V&&iMul2LsF&AS2d-I zl0w^C<^E>r@6(XkxI;!F)!QG)G`_nD`QtT1O|9mgxd+bjnj3WJQVJ$HswqCBoY(v6 z85W3bf_YJHoENQp9$~E9M!LO!oa6jHbBfI6RT=k&Lzbdz-(3L>(=Er@uu=lqnO1nvCzGUCOpHtrA zzogefiK>@+pdh7)dIe*oE@q7$OI-e^{QJ5+{$eT{O;-V8*?h=GkK4xCni7BXF}wE& z56@ir+0R3&a^fVewtr=PzY&!?|K(xct*bsyxsM27sjiwcrf@~d>h-kMR?T&F2E}m^ zi<8XQh>r??I6k^0Z4uGx1mpuvlEwQ1@z`^V4i5WbZ%UVT!4BMa4p8bfE0tzkQFbya z?>}b}VXQNF-QH?!HOxQcfyY3+m~g%1+q^S~j7d66uHJmkWz?gcXjfCT;4h1o4BwqV6aw_9qHT{d{#+lwhar-kI{(%H~+xMIf^H$u3cB_c+VZuQ+2|X_h%}O1X4+IdH6CA9b#CpiH8(s1i1*1Ey&XvRG5(PoMMwtn%wH2# zlv{F{YwHwiQBg9|S`VLQk=&Osq?X?7{&B)_IMrwKTj^*+#ALWYrU+2TT?{s!lKYwT zvfG{tIt!jpb*|HTA;lT{Fh|b3{EGj1v)bN_YE%I;?U}%1%}>>X!#x7w=b(|4OpsJM z$Tl4!IkbLlewr!9*J&6`j1hbyIreu5_$5eI@w1f(7;6-1k^lG6s=*Y4R*2+aV)8ex zm{lm#nu8^P@r0o`CO+&cK5lPL4E20^j%-H7^QCHB(x8a1>P7aClGQ&?Cz18Lsv=H} zoYZK=KnotzgKOU zp?VIrkLbXzDh{}!^LZkz^~nB2e7CPx5nJRNZ6<7&7K(q(la6~rif10KDH4ajK)&Ko z?g$i{>lv9ZlFX7su(uj8^pK+BLnhXI2oNkh8C0?>^2=OP-{*OmB0x$GKxZ``P^gs_v_3q>y?%!eK_QcIM zV7oaQBZW%!HzuCBxpfBl5`SeRNAx5Xh^DVtH6;ur;`$?v9K%?FSdrZ|p59Ply5HeCuDkrZ_qKEP=I z)(@dOIHf7^S$(M8>Kb+zLN2SQlkL*b{1NA7#`}cizYJ&7hPzl zy9?qze$;rQc>d*$(e2_>ERpS3w$)9}fNiI|YZ!XuG=BzBm1*-jm|(Lp>wAH(N! zb<~X;ePF3!-Up^CeVx&J@FnlHcr`9@y^I@hUU3E6y=E=JwNLbh;BYj@1XC>A>Xf)Z zTvMIV{r8^nv@qKM8LJPbMVH~nHV++|NRtc<&VD#u{qc95@Qd+K}Fq zW3Ddyuu}nT_hU%scI0(FS*c1LRq#h01D<(tX}l7PI}V!O3!BerNp9@(AolowR|i(- zA>GL}GE3)7FmssP)7%r;LE5NB5(?Zsy_PK7;#vn~EM|QsU#A?4DLc0sgVj~E;NB*U z$e{CBLpj0cyxs*D`f~RV`0u-mL8`Uz8-1)4DD=NIz2$eZXm=oR_-^UZEa)T5{(eUB zrU(bBxQl8~!Ge}vWru{+lQ&@Xrrhs3FAU2P5it*vqu`)z)JSl)#m{sgxyQFtXPu+2 zP=3z@jgqzdC=|N$KIY{1v%3=v0ibE*BLOA(Uh7CzJmR-9lG)G6$8>%!bt`v0quMf^ zS0UJ zM-e%fGGiiWF%?VNI)~R)lAlfY#>iVjjWk-bT);T#ahzszFHviN5|heOoc{o84rO<& z^E~ljEf>91BKvH7qF8igX8hYPlY68=3dNU3a6Deu9d^H90<%X1w;K6?UiZ86A9KB5 zZzmK7<$4;Gx~J?#`WRMFT7Jo{9Dm$Pehzggczr0pd>l4*VPTw@bSKU3qo)bf=a>jl zWq)hr$B?7Vx@8e|6-??kut{rc=aE$=4Ji24azE*o*3bPiM`%qg--@VpgGAdEANZ;8 zrPYkPzJn*j1wiKfMM$#c!wV6Ome17P)W+|}-$W36IHtC51TCiUM_+b*DGGeJg?>W8 zLxPXHNPEjct8FRLT_pSdWpW@bdvha=fRV!@Q_}`nJ%K$iK!)q0!$A80$8aFUWn_98 zCmhewM@dET5KCA=(Y%3B^Fzxs%PU<%qIZJxxkf1J6cQsiMeVdNzWQrfKMwKQRSB3~ z$a?Mr%u|->di&;aDd0_OEKTeuZUrBW4LnM-+xtMSU?!fuQw~4t&U2Z{(9e*&f4ac# zxSBgDZUswjnFhLkF{C?52Sev=G!oStQ$}F1)A;}GWq+oxZiJcrvfoIb9WHbr_P941 zPj2~9;&ZaH7x0bAPyC7B31|I=L3i_pPIB~W%)f6wIv9)9elnAOk?`hrlBe&i{#$T+ z^oK)RVsz)4_|Ht{j{nelM;Jh0DG|B%B9MmQ1uk6yGWe8O+(5I3{?@&8w?z|5e~T_l z8#{fdIsD4wPs7WG4QxHlx$^5pZUFvE;~^SW(~f`%)H%B%g62Z}-Bp@s*X4p2+Vo+F z`B5Bg;ZVwV%Ozcsb(p!s+znH~l2SWef1J3S>b%m@5KJZ_5K1gn}9$hrJ*Yvh(av-^)uivMfd z_j#9A`%1dAyBOkN-Y3NOjbcsBYuCSt$-%@S-xryd|KMhgc=tyuV}4!22|HsYZ@&D7 zx`vTN8KG=uxXJGR4@XxW7gg7UVOe77rAuPz?(SSdB&9^@QaS{rVS%MXLZlm|L=Y)q z=}rSsgk8FXrI-GAzyIg{&OLJ{o@dUTIh=6)5?qI$Ei#n%!%qf(JpW~2z%vu=wIuYQ z|4zka$FJ^8mJC$redq#rEBW{zX$!Fi`eEXs@`;$OsMK|}zSt=U9QMdWhd`MnQ$c{d zsLYm0-4YKy%!mXR2#{jFm0Lm6k|=}6aX9y}Tx|*oC$({*kkGW~b!GlY z7JQ5W5wZt|Ne~ByNPC69e*veCP3IHZE|}=!{#Bl;S-tokY@uFo!Dtl#dLfinnD$Cy zC+&MLk;P?dAoWJd6o)L}DCXyc3KMVN4%Psl`R5$HJ&h_GY?f)9I0wUcy|=dQ_WmYJ z;c`ZxxWXuTI)8;bHiWW?Ys^qF8+(YpgVMw*+Qj>cw;@G1jfpcHQ#=cmw0I_z?Fsvm z-FzUX!+^33J^0|?kh*BJ-9z}m#PAj4?p1(eepqk)D4XtIMZTLb8GIEuit1Y4bd|5P zo{kYbJXpIUMzWMk-YMrc1-lAi7v{NxTB{4vG~a$VICsH=Fy`wR}U zWc6paY0-VU#WG!Zn5!=f>jyPyBah`B6nVPdk72^IE{V1qfd2Ep(>kL_!+1_4jvUCg zYdvDN#b`3ovi%Js86D*I;Z)9+``_^E_W4X*yNMTRR8EftEqilu8S9<}lHnHo$W4@s z#wYCl`s>y692^pf!L-+5 z*@sWec57qhk$$gLG1g!qQ8XR0U~uQ2PNN>t11#_hSzU8e+Q+>kK*F3j_nNan-Zv%q zM}Etrn<-*?IK~3jlNr^%msRG#!4&U--DlFp+xP^^TC|5Qj$QEIbhs7sOpJZ8{~EGK z9M!2%pV?OZ8UY8@G4oQmXg#cZCH3Kbr1q z3dwhdOPKGkWm3L?u51NK$UI7eiJ@YU!?8#|1!}^Tgl=K%ZbBqKD>u@u-xj@x$S`;k z%82GynMQ_Yt_C4gvz4jB{apA|oN>9f4nFh6yU41bEP9iJv`||J8!T_7vlhnzTAh)j zHoP%>?B?0>#Wxw51F1AGdwPDny9{T%1vFk#tQjgKzZWu{i zI-RoM%iMJmZ=?1^eeOvWayc3S4S^>?iE7~%jR^R!atycxEKi00K>jKc1zkF#V-fCO z)Y-vsnjZu@C?UE<7%R%P&b5GBR>@~iO?E4yLjgew+R~O!^i+>n^G$BeU4b8L=su_D zvnB6oV!5?EUkrkRplk8MFYuL;_@*&yme$%%1`dR@dBJz!Z|W=OG@pKN*(0b+Iv*1? z0R(#|i0l3GEIq4ua#}Yn9>W`eT!C7Dl4!oFOFe6+_Rn)e%QikEy1IKGd1#8IOpAU* zgxu1HJjWEw=gr`R!vr;Gl|o)KPE|+VRwpY_JvY;!x)dIM#{7)&vk$f2=_ZYt8tNIj zG_UolDe{%6My{2@>7X#a%BEf^(Hr{TNkVDSw0>d(I?jpZT@zL-8mZqpR5tb5{PKFV z+4-HCeiWG3+Pm3|ypeQN`a9aCavHpX+#0=l-bU_Ciczf1*S7$R59u*f1^ z-k@t9=L%`hF1XbCU$T9gj-A+&ecp}poWY6mIZwV)e$i=LQ`!Z=LCU|kF!q+gx&4`2 z%~-!K37JEG!K~#Wm`I`7^|)2 zt4Gz^U&PkS){*bj;5*{bY$bT=WP6$J@IS;gHpXbP`~3>Ks5NX&yMp{tZj?~f8d(7g z3-(6O|r=$^v)}AcZC1rXP=K_X^f?R z<7bQ-Py=b^QlngQ#!{XA{D8z%J)BhGDExEH#x!aR*+f|tXbib2~T~dHpR^rqpH8DS-Q4{iyp-8Ax6Jt6(3@6 zq0ASFca#Xq+QFD3Pa@)j9?>hGvXdV8tSDq}Am_};z2^B2Mkl|YgcYohO;m9A|dhOhBR#Vd_w*MT3kyGlIcL77|Rr2X}x=go4e{j^6hpRm2A$Gcn~96igQC;TFx2~ede4BNpW+V&V6+HU@}0KJq-GOEX_N>mERF$mP5{RARB zyYV$0b%!S(*d%7j{JPsTz;ba%jAdH`=5NB~ckAE^h_vs0Hf0Lm8>Pd$$+>oWr>uSPozenTm@YX4PXR%{I1&BvkgR zM>z>LiLrWGedJ103A%A1DfW1}5Y|=eL2*Dj##jS;CF{jZ5PDzNsS||n-j=u8$go3j zTl__k&0|DniSC?^dhH2M9jWjH_E?0ba+_qI&zI=qSBA{En2)m0QsQ7>w!c}6Fs93v zUtfEV^!D|0#St0PJ%{PWIc;({S>{HMB9Q(|B$+B^EyEE)-pe<YiF32r*l%AROYKgz zW(E%u_23Lo68ZPe*YVX0etRcT16_M;;a{41wGV7Nev));lOOp>qIHc>cBoM%voOa> z>+}}9&T&qTh`=pacmM&Hz#U=Z;xU2|?h9h*xf=d3>g&hG2+|x`mwCF_3X*@BezqPB zuwsH#NcECn*@bNQzkFY+ak5vdJg=_TF^t{?gqXG@{N3kRoTCRNCvUUZ*|?+Fd%)?F z>#<_y*OtZEk8{^lWbfVokVQ?`S|Ly3KjZTxk?Q!`wbX5Y<`y~n6mgOC;R|j=IAZ13 zFR!-!CZ0{|XuCg;hyP>`@s|t-dL%RnZZFNNgrqHaYa;G{V&%G8W22=J=4DAW%~8KO zqv=-z@7HKY_mxX^r`M#%wm&Fi893K(X&kKJG97l5Jt|s)TWPyXyvkTSk(vpjX>oM2 zXj!1}pYljIYeDictq5_4@s{D5pJaJyXKt~M*Og#sL}=l2-Z>XwU$Be&X&jm&Pg?U2 zJ*v~7tgC`g?8LJQ{mFytq`pM8cADiw(nM{{lsd`hgo$y$oi~oP<7W2nb>#RR?LC?O zF|YklcOiF<4T;S83f;glOk~ZPG|~6ovIW_3I#F^S{xMeLSi_Z#ezAr8;ART`fREjv zau29P@A%PsM$K@QxKewnpubsa+G*)WCGZP@{^X`gZ%e&OfG#A{$rzlIE$~r?J?4;t zOd3%5iV>+6(VwjJ`(4Y;?{zUF!mGlJ>A)eLrmqWpk<+~I`Q(q}yCYw`q@P3H-jrr^ zU;)F-H4Wd9gS;AsYojodw4ZsA zq63>z)?3x}$Z_h>#O$G3AkH|dktC^FJfB99(z4#1zB^|cXNUYE>Af$13!!EUVynKU zp5ReR{+t+bxk4OH@bch~DIpcjwHZk^-W8A?toRNncr0sa}deWM*pHP92b|Py4XVTsq-Ejgcq0(@|@J3*#0=9Lm^SRnXpT2I%#& z5#F>+D&BBCVm2+NU&Y1$K~m;()NzS+^0btrY48Kn5N?R~C~qX7-CKM~ffZ%g)sIUk zMcU0!u5%*^6e}RB<6RBouutp>`ZwKN=XfW_-8xKJo6#}w&vvHQyjwj^_?wr)XT=_m z!rsb@Fl?DiKtq5$qVGGv#1}_=NCxTczZUV~J@2ZH&D#96(9G+YrQ5MXsnLSPVwM^X$bgAJWt*wwF zl5o{5WjEF*l4}G(y&b@MbA64{h`~%h=g^qb$$xBrtD`{#U*6%#V3^6KFc05WCLDDy zBQe2L1-ya$w6{OacWnxb^~huUs=}BT1*dslQvMo8nr7DC8F7>o4lii~3p$Ts%2I>9 zZ0+d3RlNR1X3*rUntKyX2pY2B4Exjz*Ic)16T8a~GfQG2OrQ z5g!MCL`J+Q8DvHLd{g3JB@$608{Yqkk(5cRMWsfOjfwt4Jqx{ZERA^u1-8)_@`3#0ETZe#feRuo{ zi-A@~b8XEqS}jJFEw8924$kQWcFuHJS1H6CYM4UTMbq;Qi3SuW8>ykeo|Y+)`}DR=5rRBc&C2 zo-chTZL6CE^3oirD_Bb4w900ERIo!LFZ0$t%ZzYL&HJ*o<`Q;;Tt189oxXX44i}S@ z3az5;a7OR`tT3q#$-X9L*4XS72+W)cw?^s5cBA)OJ|j! zdO4z-&n+k8w=>d8lzC&^;lWgBt?MKk*LyhGdmBx;wmY?8CJeg?0}O8Cc^H#=lSv^i z{9)l44vYG*J}jKP=Y}LpT8}O3;H8QZES0}c*mnaG{?N`4f_?fz@0wzjI(FJ}Fvg$V z;0PrVo(4WyVtslNwTq9b2N9Y$cqtxvI!0DUo*ia=wm1wa>9TG~&7j#+BxtPvWy=}0 zf;$@gb?{Tl-J1+^yfZ(kH%2$(SMe%&UiGuaD>uQc#?vOdz*YhahZhihxc#g zGSJT4r3z$ROg4Z!BSep=E;I+wLybA#Ts(bpoR9U;L6$EDvVoaOm4`1GSpbe~gP+0S zunEnUzr%8B`5b<%%W<{-dqW)!3;U;40cnpjzp6kRp2OuHZJrl!n-K24%o$*kTJ_@D63myU=Vv$uBC^l_~i$$cM?QriL`g{jL2wiO6LvM$RM z%ctM2Lv0V{SQE5^NI81vUCXJ&WyY#;R({oPq=aUm6A3|IfrSmG>>223giWhzUpyPz zR{xDbvTq9O<(7bLLuKX!-3K@<0yp&0LVnR(YxYdEaZJj>2iV0ll;*xKlKmLwz>dv^wB?;cwvfgK&k_qY-LWiR}>4;O|n#)*r+sq zVYBKpbSLEZEJC?c_4qF!Uf3_U)^8~q9hoQl6>+5EO{$gR}qizh>9?f&G? zlnpD2C>`BM@;-5W1utyMh0c!2?y{S-lQz0?a*oFJp~4%rH=)P;K49^6mYW; zjvhk2zPO@7hPPZp39GcbiM(ZMEs2%$%#&cyNHGT!?{;cT$PC@DR&cclda%GP7@^^Z{$*y3=->uXvPOGWj1(;W>ypG24y&6S`(#swTVTu<-`aO%0 z!f5Wu=|)~dT3$d8v3JafQgbi>6h%$N()zh+Ut(e2-Iu^0CAaruXEz-gkt+JCw?)zp za@mY{UdPIJhePzq1?=~{diF)hz5d|6=)Py@McpUaWdt!s>UBjnM_MHNaQBMp%h5k& z*9RruPKlb`5Vpopb90Qn5r3~T4nq^ZsQo7V46wrNPZJ9ZQIR8CX;ABPnpJ8REEZW` zfOcLGAT)b3h&V8@%*{|L7>Gw-!{2p9%JE6N0sZ{l{FO&n1-vHl`_ylK`DwG^CfZuzm0hs z2|^pT37>in#>JZj`6(h#E?JKXXBHzv895Y4DSRh7__$G52n8l8DFANXC>*u|yr}@_ zoX2wPH%9=KcJg5CQN=owXwk(0AKFXHsJ3Jbf@0ru%YrTohEz$U6bPl(ttRVbLFPD{P9=zo&e-xgE9GqW5<~;YJ*}o5Pmnxa1sl1`Y)#3g5bRZf+DILcvlbyHa~Z zIO4O{XK75Z0Q-$sWPT_Yii`Tc_fl7Z9($X+cSvkA{bohEdan~s#H7zmx8wqP3b?%H(djs{zkaKelGssqu3Sko;iqa2T4fV&LDnRvQpRO1QZ zNZ)6Ejx9OjZsXq~fehFa>ME)gTvY>GIgvJHnc9wU*y*4{WJH{`j{A4lV8lHLLuIr} zd?$!tx9S+>u%X2C=p7AijstAW`JyG-8O5<18We~|ZBm;7qfhc5&LRvg1S?rH4~YpE za}%}Id6e-&@1$Ky$Le1K#~nqOohZhd&CK{8sApk4g4XcPPzY-6lf5am;F#x1o8kRJ z+gD2FfM}H69AyuW~)YJ^qdPhz(z`DMB@i)U$z$s-$ip&8c582&fpJtfD?6(@?WG#g33_)xEr zQ|*$6>(|T@;v$zX`lUY959Am3n}xc#q)0?0a-3in8~jD{YA7YSEZeIhEQ4Q>lLo*O_#1;*v!ui;NGR7Jjm+RP<-ue^W_dcjwKS~0<0aN z%{E6Y#rt}mlv@`1bAoQ%W=0v(#LSVGD92CP+s=O`xH_ZD7h(eXGNeqeRIMgR^1Tnj zv>3SUDX;CxzO}z@$wuDOf4jr*VE?*cO$ol_(1xs_`yxc(Ed%*C28(YvIe`+S^8lML z`+Dr~*ZbLoiJgzxk0)2XBW#NrGPRvEx^Hm1{2KZ;7L77siqSTV?6d#u3kYt+5ykTu zYl)W}-sf#RDi0QvaoA%KyKoM6m8hNp8K01{c0ty#MdLWP&-H%-CN{+XNWo2I^LmYql}CcroovC%4eP{^$;Os zi8a#eIwr{_75W=fWX36l`gH*5zfA{nu!_C5~JPRVo=%d9l6N!G^q05L%rqkYve zlfH*3DXCfIm?Ki#cY+;V2V(^#u&5N{ zr{$?ZX3vb*jRZk=&u2l16|5|$Zk@6Dhs5RX`ec)q6{r3RBGXWMn~Z_whD{Xi5m+6pA2!RK<=A^BmItVlL?I!}%mELMy9WkG_WwB_uj#rQ>@@D9}l65LoK zHeM7yjMNDgwe|f`1suvK+W7PI_#0E7olh1m_7+_`4@bs5AxGASYk!iCP`u~%$s0F) zH#(2b@j@T}FuEC(A724(Fk;`UwtO_~#?H#W#$EZG02oXen2RfMzKu4Wm^z6RJD82r zG*(U&`WkgCt_F1z1Q6QWgm$tI4n-gVTmhxOGD>{IZ z@*i!+gI=`B{_XEC6VJKN9@v8lP8Jz-n{st5$8-;VCaNjrye5cUtX32GcO^U8d#d%v3Dw{#b#w|&h^A*8uoQgBEsE&8d>0(A&z}6nK7}8v zdKk1gX1S(@5cg^}L${NDTr*}hy_?3BZOAsxdDl)&wVi)v+-BHD>Wxt<;f>%~_Yx!0 zXmy`V&gdN|x(9xhWI(E2k|J^iB9#ecwv@-H*FAv)GEeBg@lbKqxHMNQ#!924)_(Ku zK49Z8!WTqK^z4OgYFlo|Lvy5$Qh7+Idh#um=+I-%&^GFbBR&zvdl2oI_5EA<7qBLR zf?C=F2S>Tg=$(-zk1YC>0=;quV*PULN0tJe*ZY1G{Qf@mRh!wj~c|(~oiZg&NbyQ%-TC zKpBv%OQ!2&LS@!DunuN$UXuZhdnbX;qg07erc!gp(y*e0D1xOWI%!`aLUq4zO$E-~ z<#9~lu`>oGxPQfou-owKe(S-x-502?4b?SY(#N`cP2zLslB-9O8}j>IGcNPWBVN7f z_as@e#oX3Iva|2a_X@-nNUS#@C$#2KQZzRUTsjS!^@Bah8fsB{rl(0=-!!g_393`A zJ87P4QcP)WV&B*p$*mGTYFPGuk=66!9g+8x!-qV>JF+$>F!Y^8_BZbgswD5y34Vum}MoPoywLGTlJs zgB9fP+x#0IrVsUXMacXK(K6svHl%xA-14O&N=6ph)$M$r2H{}oe3uniFrfP#`|Yps z1|rz;Y2=^ei<_EGL+0!=!?0Yae;zi52%b9DgwMo#(fM%ZJ2UQ_uwqUaO5;>m^UctC zU(^>Kp*cW1)`??tTbm{8``$*Qb3!)|5-r<+BF8ILJn zauP?U%6-P^p?PWcLt*S%DWW2!@}Y5PWa7)|kPnlbRvvVnM}cHQEKmHIw;rSL`~T>H`fRnW<=v?MDs5P^zGm5UYb^yfMHE zW!BD4*!Km>u~0L|+c=q?S)*lndm`NK-pOv>+RVPU&-xloQvKvYU+_z&`uAn97w*!* z2-#YleY^9oQ}AMknhfd&nhr0JBVVau(T1CUZzdW1+sy({e)ZA3=gJQ)ETXt|zt)~D zThkh|zE--CElS~x!z4u#X~==-!IYa!PpPul>gXLHl0V$ zXf^%@eBc;$&}YIWm3t#?HRTbC3RB2;(@Qbj{0=zg$XSG~0m`pj+|1lYr%1^`SjY0q`VQ4= z_ca}#6N-jI{N5w)z~@7AZx#PWYW!Y5ocGmj$ufKZmI~P#byu{Q*@hjhj-nCbu6A$JF=>7$CBfWTeTr^c^}Oag;Vfl@ zdqJKbU>9?fvD0@U)7H^ z;?<5ei{u|LtzUF2mm-$&0+*vkIVvVnXdniuICwwr2f>=5SVtm&00oqMz~+}{SofZ~ zxe~!-kTtc?@?nRg)WxVRCR)MfBY8X~D27I8&;3!H4c{kMsJO0K?9x^c3}mV0EsWW?Q8*W6a+|@~Z@0rRg$$#N zf-s^DGA#44s2PsMD%C(W`yN-+OyArSzseRCFc3M^jgqt#Cq84c=KMDF&f?-s0~d?^Ri4jUSzcJMq+=YjR3E? zB8x?X#p68Jj%ZawuDph~Fn%GNZoKDi8&i9UP$Y z<+!S0y(zJytCjl7)W^1MRkiG$K^`cnY}6-3ikYrKFPc9rDi&kb0dOd}8M{fs%}?lW z@+#%eBQ=z;19PX;O!+uDia-8zIycscVBmS4!Y?$?jo0ww>j}+JlA%pZgNI{+4$@q} zF<_=|0^W9G@agfKDiIkjbVxXdRIX-k9XW)loGMJR+vGZ5EK0R{_huFE$G`{qkF^_B zjq!W;vB@K@zj2fWyvZzx|C}*t4{2{K+kEF7HPo0}#h~ocs|0!l79IRFq%={)RWE7! zi!3IyP3ihidG(AU3R|q3Sf9C~bjGuZ0t@G<$-to`9XXSnT=lUw*H!|_<_P)y`CO#Q z65YpBeno_s_iX^dsrygAQ#D_#b}>Egmc7tvUPeDI58}0|$@!93i|!tCyH{tJ>{?)9 zTGf<`M#D|k^PcxM_)2;r_{xiYeK^IOXvogM)I|ZOE$Z`Aw`LJPk#WR^okE3D(@8t% zvBQr0v@DZ?{Gs`ay&IcV-7xt*bKikAVjGU1p?qtQswlrZukr zzD(9`nAk16*qbkZwdEqxf?j|06`g<_k#{LP^o!UwZ{E&z56u8UOf8W zlwG)Rv-;F8w6^oHY@a7lYveoYFT-{S;fCzm^;qjr0zh=MPL)U&~Idl!JnMr?Ps_(op*O%&U^(GanHnY_&P`}8tVOQ8$l8X`jXxpaM5<#WMqxM_AMch)0h1A$gTKi|0LAOq zHns%gMB!e+B`;TAqz2J({BjqDlK&(twus+}1Fcnaw+ZJ%KTWOirpTnpI49R2LT{7m zn^eKtts4q`x?U-W*Ag1xVos-y(;Nx&?~YVYTOq54>l9s*Ot@bXGW9=}Sew>mL`_Ir zY#qV-&xd(@CDbHTo51c8pA_>i*UJ1jpUc&@yz%*mPwvGW`Foqj96-|edsvP0v1k^mXvu)|C z7s1N~eDxq_1+zox$Rt}O2yx!?{?wD}spl@p{@XCofq81MgsBnmR2dQ%>B|CHy;oL8 ziXYzRL;4iFu0Ip76;$3b^)ecU!3pf%dL$jIg6n!@`-p8rbP)~_clQhOlvvLacWlvK84CGB^rS*XfrEVJ^!6}4-nYmL*8eICxl>#JL35O~$lmeLOikDr@LTM3)|KXvn zD{|HG7E@7)NG*0igdDLl9U;g;!*EzK0hL8zwVI;}?HCQyQIU%SsG5<+SQZfry zfk~4(=`y1fO0l;R+W!9IaZ_CNyTW!?do~x=Pz<&H_-hMI;CD*_{-OTZ7^Z~2mva3d`rpTg!o&LZ6zRU$$)Sq;N47)fANEmKuw`Q z)EmhD3m`{Lmp0IGv>ORV{z`<;6&OiF>nOnK#>WJ3G019{S|I-F6S*|^J@DjfHQt9! zxzs}^41o3K6JXi2lK65T%{2H6k^bPcwoq_W?ZX`f;LYPQpA3s*g1D$E9`=_9% zr!mm3E8^R#XB7qAw(@xGHmP6)QJaJb%D5F6%!od22vN>)?`#g8-y2H6if>XfmZH|x z@K0t0clCV%Kq|gNz?#~68Y0jjrb#{^B<7jr0e&DLaTPdNPt6aB=za6LT3;3_zE zwXA(5HCypR3XC65mZp5KWdm~rJ^H6RO0hxN*90ZY>>74$+mOLCJ$3c1i3TV_lOCHT zoKnLVXH>z}SXT}SU!873ky{B_ElWOy z&`$WBMevg{J(Yp;>uvSY@{-AVMX;;J_zjKPAQQF(6qZPv zz?nwZdDV48?gM+AUM0zl{e#l3$hN@-(iaCqbwLXvtpDr<4M_PwfBxqfYhe6Z)i~`~ z=))>VTn%=|WP-F4jUR7-Ef}Zo!qq=gaA^jQa`k7oO*Q8TAyQ)ux0gRf;>u^6vm;*! zgV~N03#^FVdi+~cLch`j6tVWd+{Dkqej-9)A;}uKER>=0ZFupLD~Td zvlkg;RX;1sq#;twdT#7V#+Pm*2$|qd*QsF#)WfMY=(7A@q=`Vzf9v(xWA=vqD=g@F znNjL5YZ}Kl*vbyE1^GU)e75jUgfu^mgTESMm#TefmvqN#&GGLn_fm}+Qt^60#Rys= zKq6aUN2=H|iApz_r)B;2=ep}By=r&EI?20bJl~6SyjXoxIc4jom~T|FBx{>_ENDDO z0he&}(9REL-q&4B5SeNc3rEp4lARmdnV%2&ICwO^mkViDM z9~qT*<8W(n_;J4R`@Wz1RIGC;5MI^-n-DPadA$`C9#R1vUX|$L7t{U*HbntP`{Bs&@!D9dvMcq| z<*^{@q(CF?F#%p1w9jP|ObC9BGit)d0Q9||THl=Oby*D|7r%1jp;SL0pgW(;CA{6M@`9WUa89mfeb7iW|xKEj4j9%EIr;@ z&>4rR(pB|r7SIg43!7b!!|>2&1pE4&huC1jMo6t>3jYKszHFREKR%zqN}~77ZAS?W zL_PqUFO`7{Wa&BDB~xqrHx8pbDt+qIAP=1jHx7%@-{~q|HTh;TOwpclA^vNQcyy@_ z1mZYsQOe&mMCvNzP(kfL?z;1am#xpqFK!5;!|coVoyUKbxT;6yP{u z!u|FQMG>DRKL_?&f~yGw>8i{GeVq9I97X$U838uUQo$XiBUk}`g$CV_@mnkq@DG1F z#hQNwgRivlC_a@qN5!-4dRR~MgR^*h_GfwK_EtI-Ooyud^=%NqC4;3?n5n@sW_3Rq zNPK?B1~51eEF#vG69$(l1*QLEGIHCuihdJK@8$MP?#_~drf|=0Ht*rSpJ{T@Ke9uD zr-Qp%w>szEN*X+;=h#hk^$EpUAM%)|u*Sck`hvA0E4ga3s`~BWF)(E?cA(jNHf*nj z{i)xqU3a=+1avNnFViVNAH9gx88ju37k)N+a-Qq2bPD28O2%fCy2~?#Nm^Tc;hcvg zyx=AE>0lg(=Vj4$g=nexCMg|;REDwK5uQ)R0=yLe**;OVm$cQ9m{}qxc!7lnfoxQAnCn5*FZD=ttl<<8s%JgJo6gdS zQu_wZV9@<*!81wrmu{eph5t#2hRl}q?vOCw9M3lv^|r2@nxSmVzr@gQ#VlA|8zVWu zO?*?O(rlKS5MAO`OumbnOds_DQJWCV;M&LBHpAt}Zw#T##qmQrU*+_^W3MN)Y` zNQhdKSj9Ek62#i}8V6zbk9d#7-cgyxt6o#$E+>Ee;rO_clcM9K?iEo#z-wWt zR6W&%z=zp&+wBkIz-JnAW#01TwVJZ7^G7;p=dQ}EpRhL+6;4x>FSSc@1#`4*N9f|H zHhP7&ILW3&0ot++56(nYWMh_2{wpFFsxFWb`MFgsa*eKT1Z4Lz8*3e8!AOCrkDVwG z(FA;ZIfOdqq%daEiu&uT)c%_P8|wZ4!4$V1r`C`nJ*Zj(3`6tHNRc(w_<>=r~)HDEp5M?{` z?01put*kzvTfeK_o)h%2Zj=ul*IB=@B}DZBbGvK_3uL^~sR^qc)MoXV_Ei4vP#XhO zyXyu>TAYINKIhL7Qaqt{H*xIMjwGN?n*yaHeT+0>l8ZZ>eE(!P%_Ub0j#tN358HwQ zG)kyP2q6!s+j%*XyC%p#R>VMLNf(Z^7XJY+spEP~M&#D!ZSdg?kY}Pg@Ej=r?780B zDHjL1?2yISTzY?$WrMVLDmY`)Z#WCQ+ZAQk%heqXo7Ub=ZQ>X0vo0JW;G{79Y3S7( zqW-Uu!mlAXs*=l#ukajCq9b03ug4((dK8d)f(J)1qJcWRZ`2djeY88r7T1649GlB) zXa0xeKl_Z)A1LDZzj3Fi`WD6JlW4k5|0Y(tKnQc@VBYXmxqY0jFwscHRXgT+1~5lC1r6O}LqsMR_fFg%4b$u<)D-YU{9%r=TMjBV*h>b^ zy@3$gJhClY+2?q{3>OJtwL43w>AHO#%-Y9zctW1V%;*7E!KPH}K_BiKj4u$fJaqoxlOGmQOkE@fkyE4PxukU_2QoSp~^qTy)kt;*!B`i5F^?TmqqD6c?6yy$tHXGA5j7;gc{A(Rf|55s;Hv}KJYN#JO%h$=RdJr zImpb*)XMKtrWBNK78t%#L26F^V;H*)?@ObwXW@%8XuKQ+dr7#6{Up2YH!qpuU;0^O z>F-1Do9t5;^hF|?D*G2>tO@AZ0b+(zaGa2iPOx8m0;6cbo{n~cc3LmxZror<#jku= z11sun^kq{0SrLoK%YSgBojpoZM9y2hei-WqS<)HTL&QDV&Zwdoj9^A%L@mEi;DIMZ{{jkD9%8+c3^rM2|Aamfg9 z#_aQfUG?eUW$#<8Daxi#Fi=2X{WHgiAPf4PP>n9@kGE<~ee98MAao2>@G+=j-`X3E zHfd+tR1+M+xGz5xqSwBh5VT}!NEJK+ieLX?>sVKdjE@yag5IZoV<9j+D&uFDCqgFk^c(41{+FS{>8{H_bPwQR z?4{ZxkiY)MulHIG22=2S1`_?awB;~tea$gqPCJ!Fn9YI34J*p>krOAzweHpc z(4{q>kP&5CK|@oq{sTmFqZx$k4tNZsKlD@OYc|H7`7YJAo9!zROOdf^rcr?8D%lu> z5(z4PE{BN7r$qi_p-?uY^!JICMsuDO^Oa=<y$wLBn(f} zBgLAyT{vvJQZ7X)6i8)lAJVai@Ibq$JO`pq5yT%#J2rjgaH5vjU!$VtAFI;WoJT#h zg*(Mg$M$a)F$whJ3aNA$A>pnq_!42~qJ2I~H4M;%~N)L!QPqc>9neBPCMq6|LnNTgmy9}O_N zuW|f+AV!cH2MS51u_#6N1nVVnR@MIi)_gp$V>9T>3su0!!6ITUB<^X8e&qj?65w@$ zwVvAKA0PgsxDGZSFRWkOIy;CC_8?{T*OR%`^q@L=#(J$huS&024hCrLK++$B0vSF@?V z+m(Kvq&-xk{J{1Jx5kE-jwT3~HGxo3L7vT-HJYuL&BD8gV1)BiUeAffD$qbB#e^Wwzy;;TTI=-G zn|LKR(KVSWupvJS-iJ>b2Ya&fP`ojBeqn6u*@iK{GIZ7JFi@5@eCg;9KPmtlX_e0Y zp*n1MN0E`oSj3xD243F&^wuHkQAQez+ZIN@LGloI+2hOKA6Ihv)^jKSg-fn|Z&B>Lt(anY*6rzOoA~ENwP`x~ zv1Mm~BQ`r71-XhN=L3Vwq95|s1(5{kty7`nWT2$U9|}3lp8);(OXrXpga1#fYF;n+ z)^7O>A!^lU-bL_7UqK2$$kf$`bi)5QL4Tj_1pj^zUp*tuMYh#NZVe%%L5NfMr$q_M z)!#x)KZ64NvNXQ=#QelKM9B-2UiZ;jOgQWOCN+lME+3*d{E*)JHNkck0>g|GL;@a? zd3SXuamu+?!e-LuLH$30Ygu_tqKO4qs1RpHJJxivvSxZhz-SaesjW)Ym>n z7jjFNWVETBN@-UO2jS(7(G*3VoQVE-@XHCJlAZT=a~394#43@CiGiErcVj1lWMg0|BW zfJ}PC+?j1Zj27!wD@Lh4(MZyzh@>p@`Nn*X_qE0{?ZW$Y_`fF)QEtaH#Y>e;1nK<|uU6jEM)a^$TkL?sWfo*|17Y%d4jkKEL*6x{ zzZ`!~Jw$X~vHzk!M!G2zSOQEgi8tw2tGvd-A6l!a3T?k&o6iAOZBnPR%17g?;3=lq zxrtEFNyXu)Um8178CWEatH0^iFDi=sas+&>#?KmsDlx$UpZX^N3DEy5>AK^ZSi1JL zBBCH5(m_f@MT+zu6_KVOa+MBJq(}!TArO=tTPYa;xyJQT!uOw#$^QCL zM@2QJ1Ex3u2ANK(Oi)r&bw7v-<1s>iQ~%29g1eDOa>cmCtgRQ?@r zQPs=*<%4*x<@LmX@U{oCI3!F2=~*e>|MSd#=6vON7Xk zAFSAW=@?$H-|L;q{^K$!UU>9){z5L=R8dIjeBt;1H=f1ZSvhC$m-b1V%x;2M!XKWl z4RJiGxBr+n=n%RBE2Qr}4v4 zG$m6wU67p#!onudwegn63DZy8BOm@zaC6xB<;AqdL*2w%p|XQDx`XjQMmolZgu9?j z+4?tZ#b4F~%rdya*|?Y#GN1dDrt>3D)w(v7ySMC0$8LK&T{YBdNw8+i)2X^=cqMX9 z-*vE^Ky$QRb4`GsC#l-Y7yHDqggCPLgg;IpA{opqiLIHVZ+EU4A%*~@-`zo@u^ zZng^Evl~4@uLcP83IN~Rs#i?oA^_tq22nL8hqm^ajdr@=t3CNjQWF}P&fjWh1nj$R z-=_ceN}zkKNkyL9UALK7G4AD zS#fpHv2SV%KKnYr$AtE9X)YI`^;O+s*vnUPdm-if>YuPwjf1OS>+p{Iz)Y$RU+uS9 zOmz%@^S=z=kfN0m%$wSuVuYU8f!#bEn_mXq=A_QwxB9Ux>W;j~9GP9!F1OU$cXvh& z1qwuR&ZYQ=HKa(f>!Ojn@q?puutc@o8@o!JOj7UoUu=(tU6s2$Ulpiq(<9yY#+6Bq z4bXK%{KaD3z<=2C_=bk&joNVh3$4q_F$vbHmur>iC%;t}O=#S=bSk~NMQa$ql#cOL z`d2e3k84a^<0E@E?rM+ajEBa-E3iboYIQB)*M&o_xQf_W)a$i?{N#|)cDI^MFq6Nh zwV<4-s)`>KPh^l8to^IDPgSSyg02d?#*y;8UVfe862E`hXsDmoUW)&yxN^XjdGjtK zzguIzFMp+`;>|le-@+ zL!hfD&{U%hX<8{i`eniP&PJ@3KEH%;U&>7LgPuG0yEQ%raR~$|=tyvZ#t}Fjj|y3* zd6S3#>D=Xq*Czm0_rHm&0R5jAJ25>5@MSMrJi-DxWx{cDH#Fi`>)!v*xbT$2qoT=Av=WFwUC#n6|9uq(W}sygWQi`E-vSASDd zHv2^4|Ch7g6gp~m&(3_@s`@9N!|n2`bXjpiQJ}lak63#-#lUZh@;BqF-B<$RA6N!x z`~kw=p;&UiLMC(U1b5`h|6w!4UFE!4_&1)p+Fj`?lVJr_h_Iw+{5tESPsR)Gh~N46 zGFjt~o9>bEpyD+>Loc^5s%Y6FVAiPz)8xxA5+Xnu2uMu2EI!dtA&P| zLGgT>(Iz5HD*QQb%kJtjTNpcZLm2j?mhYKY)`ygE;iUwxgn42VExpG#{`J$2@8r`) zE=?GQ9o05o-XdUr#xL1hizj_|xhZ(T;&EPZNTc%rdV%@<%BDZ>mG|Go*O0#>U9XX2 z1sEOJ23Pr5s*Q@xLw#UedwK$U-Y>-=Kn6oa>QEKek?IBmcOFAoDyTf|4i1n(v-xSS zN-|WED{tW6k+a`aTE1Jb9|_Z!rMHm~B>g-LANRH>SCZH#WQj!Ou?qRc>n+ZEu80 zWTXdut2Ptf70HF`E8w%Yz{605E5*be;sFo|W7&L|ZIHIg854rh;SBOYad89t3m z!!60yVG;}HrN9=@M%O8&c z0g0Ado|adK`i!~X{m~L+g?LG9e6jk=sC@BNDzO^^@t%O4VQYHF{$YKk^zyyR*R$9U zm8`weAwZtuXCB{+#Ti)EABg<^*?cWb(3V^7KDwEQJr5Bt7*LpK3vTLGp#IBprBsei z?~W$FzCz|`iXnmVX4hXs`3jL!llGtm-88@l~IW7ExNfyF!1o=0@2mkZO zr`>l$Gh;2U)Pw>`oZoVeiRi+|jv^v83&fs%;}5g7#<|CWE!iv7+c#d}`w4)BJ4*P^!1H3GoTHgw5{K=^XI= z*t?&{Sqvr@OAypltGsr_KBJL`WRC-7?s=!KfA@3fgJ^)kRh(I5-0v6Wf1UQ}+|{Kf zd)*gKJho&BanFATvgR?0cr^URUE0(xGl6;uR~<4X!v<%fZbuQ5oZ@RTLs%~!?Y;1P z2l;Yf>kpLL`JI0!XVhoF|7Eb%^(fCIV(aLwVxrVNuZ?V;V^5q2Ny5m>h0>meU_eoYW$y4s!5gtVX?L4@-8S!Xu9*5$v4|5_^xD zvcIG0(}hiE-uR^(L$i>e-p8!Z%uO^z^kak{WIcEB@KaFXoeby4_gS4I!W)U-EWaq} z4T{~WLP!p-)KdX4VtF2(HRmd@xgAC>;tum)RU4cZm@+yF{yEAZXW`MnuAFBclkBtA zpJ1;;&JkYGWjG#PCeOkZ?*V1%&2qAb+k@lXy${H+JULWysP0O?vA=8b?q|tHPe75_ zw)77xY1%-ognYL6p;!R+aYee+bQUZv_qW3@?||fG`Achd#&A+kctsieCS%8_wH)@c zpG%qV%!D`X!KKa9TC+gbhM&s~;}#m8Z{ul(`JJ0DPZ@nF4sKYmExX4OuVaf;o~79W zLE3F1q04L6WYP5$Ji>3495<35pTx6*-RzJdHln z=KIjqM|-;`oBJcpUtdExVn?2oS7)R|1w>@>ktSS~q6HAGR0BJ1iwYVY_50GS)Qe4* zPepGR<-e#tikL%imIxS;FHsC{F{S2mfv11Uwzk*am%d?FFh6VSw4Es|AQ|lc#oV^| zn3R*E)c6jTHS*Z##SveD5b^Of2c_4iw{Y9DgTP7`<<+%c_A9VF9e8x^z<4_EV2eCd|M%uA^vD+jOJgRYfs3RQDD`ugxr>jo5#9MU8BEZM@^&kWW=r2*MCpbT(+L_^hGCtKj-zE zRFxkk=2Mw5^mda41!wfD_6sIwQ`KIO;4;xrv;2#uGV= zla~W#hsM~ak}OI*=HlNN)0_%L{^lda*HGZ^HE12`15A~k3`f67+?n%Q+&|<|Rh=GB z%guTC*r+LK)PUjBIqK~Lb02Dj7>PWUe?LnL2Of z-EUbR*%@l;Gkb=Vp3Oq?RStIwa7R7EmgM~DFgD|+{+EsTMc54VB!h%zIurMd#mf6& zZg!8Na z<|iW$<79hgWl2t3d`3Uz4Gy6I;mVrl_ayW|tr}*9U-oH1two6Tf)}|wOjkh+8Sy@; zo80<*3}UKKU}k)Y32Y3&f~#cNGW+x*S5!O8;=|zd{_ZtO<(=(@cY)QBsQoA%!hpBl zD))n<4fgfSAf>>r1r~|FMnGex_i`yS#TY(s`v#5j-~) z+syAB)3R*bh{|NQ32a(Q@zON>-hqg798}?Ke1ev|E6>LJEUbD>?(rH^3P89Wka5p$ z@wO}S!(SXJK#-jkKzRqur77{u(r-X|zUkD?M$ki@db-z)zcz^B`IH=f{_WR;35z%x zP2_^s;6t6`c`wf|92V_enY{6Nky$+j+55fT4Y4A30-iyk2FgB8>jBId8QJO$uAPC7 zYva9l+R^5(%2HT%J>sSG@9agHdclZ{$K>`Fy%aRZ8#z~ecEe^IXHRmaMoWU ze)g~KpRo}HRD<3XxT0cS5`D_+r%bJKH<0mB9NI3v*O#Mtu-q)LR~f?)W1H|6wydV+ z07+H(X|7+fb|ux*G`&LdbJ@>!L0ArR?MnOH?2qX>D{#~IcBi7x`GVmnN<7Q>5jks6 zo4N%}tz#Xe19ed;T50;dk^~qz`)f6}v4_6|Q<}6}6$jzRRb3-U7!Lh~hG$2YD7j@a zj&SxW1WUtsJ!E1VHX;-_lzIRrkkGz*?sz}7enHo(-#Dk^o?10e$yw#pG@Zx$aPO9& z&_iAa;Zx-l4-X5V&54^b_Z<lUBzZ} z7g#&AuZ_e}MCK2xrckzevPYbC!R`*kCT-M`p3Xg-A#=no$ri=J7S#VGV(kanzSSv9 zxI&%gdt2Sz1+gG0(C)E130+=)?h@&7{($g($gv?kKqkwYVgbO6-*L8W%ryaN=FXZJ z*Af#x6WP?3#4_7wR#`QwgdTSa?-|wlz>*F{u5@9fVEhkT!<$rM_n~1x=~8!HA&2`MCfJq=4kfa!l8FTS8kdMKf>!1_tJwmJd4Ly&pS_ShBk~*uQc0sJLi#?NbD^+O0l*-Gq$WA z*j4?`jM0nLW=-C|w%FQ%J_*ealzeUN=$2ze+HHuw&c`hl00y!wHH0ZBE+Y-u2pm;+ z#SWE=^E>KCR;O3Tb~laa_?-RJ71%aHTNcovNg&@-e=FAkZQX*f)6m2#LT6hWiA0XW zZpE0tieU1Y89!*W#a2Chquj{ewk7@1VYgTJXB;l$A&k`2JAzF}3x9KfvmBb%mS+d< z;H}y-R`9s)v-Zq(|EGkqU4!*cO@mPZ7NvCsz*QANP-|dEvsv=e8mV18_Dg_58}H=W z>!f&@4gv1uGd1f6<)_F%d~5-$)@oW20x}uPBvxK(K9It>3IB*+2J5ID;BlIo6I0PrJMX(jCso^h=gi$}3v#QR5H3F)3*%V($` zPMc~m2%P++Ti|f9S|V8vVbzl?J$xS&hE~Drn*T!f10#$P0^K)Grg*^Lg{T% z8Wvtn6rwk%O`~iovhZdOMtwG%QWBgq`PSe#@YLBCzMsc}F(Cjw=4T2Y7 zgM5qeRg;@-;NBE;3S9I&jvsz&=j{{&7nrE1^OxryYAiQVsjNu~4*l(Tf~DB8>~n8< zz*5sgsCJIHVa#^6qIUw$wOKJJT>(y<%->(SPBuLI#M{SxH=*J%y8|l&yrlYYVMHaG zXzvq^(`x>pMa-an^#R!b_=Xz7Wsj!h#>klylQ!f)&l1ar-Vi$KVOTA*r|8vgtm%!j z*I<~&k80~}s?4>`mvs+CzC%ho5Fa{*Ig+T|wBtA$N?P}a>IvORnrK%|H*jdjWYlM^ zCvL2t1axi&ym{Ioma^F8?;X1sVDHZ}yD(`H$0N)IUA4maD3z6zzh%Q_xHroebH`2@ zrLVx5S#K8smeJAakB*mOYg3e&O~F*3+U_H~cCo8U36UB+H420BXw`m!Y>a4m|6nD1 zlka_|-TsuoTSo-!HSO!nlY@UDw6BvJ%(d&z#lc#nr=odf-WYi+TAxfeB@=DU zk2OI>1LtjEqjK2}Q%nK7B%khl0}_qt$(mZ{nvL<9N;uJZGM297B?z$0V$~)jNRl_Q z$=Yn5hc0Q2Z%D7sBiv&fvKGOOd!Pq4a5^Q)`^7}(F1NTVMpu*IJYyCAE7-%W3?2c}xJ zS!5kRjVaA4e$|TsGa((`7S;{u4h76-C$#h=RP3#?H3hiZ2Df61A$s(C zGs)08KkWf@Us?(JQ=ng@+niX@xw3|wQ=_|St@V<9kK%)~3}qpi>ecwvO}%Z^q17_Y z@h;r<7iF!1Dl_OpKwDzR(KVP(%Ul5^5pp52%ow?=g!THMa)K{Pln&J%7p~+LOL~Iz z=ik0~`e<~AWskBB`}(I36UDb>$oX8Pu`AQhIAX7Z_i^ckqAflscxy*rz9}f>bg6DJH|8sLf+HJJ96T*h_phZRM zf@^E2_s_B4Lf6S5X$u{nUq^iSdKW}a1Ho5oULs9qpsopr4}isMvf;f()?0u;P}pWy z&Pup&OhKBuQ6r?B73L32KZP9$AC6|*HYSdb;HL%SP|r;mv^OG%g$}SeRs3|RyP)E{ z>TS|(BbDU-1Z+U)_M;0bn0fJHE`7 zqr>-yhj1NZr{ zsVNB#btT7jON>{n=s;Hs7oC`}G2IytxT(<1QF++N>g@!Kg}_pt5QtR~BrnR-nf*Hp zmUwNriv6Ue+bTqcNuH{*piD$UZ-SG3Y?(HQOBY2VQ8W2o{cA;&Tdv-SpV zrN->2kTT`px7Zc%w@#pP#&z{N4u7D2fi079Ew_ghovM&K{~<;>z>sN)+#mhl29A0u z?|e#-1r4#~DvL?BlYFiOv`>-W%n!8&KCf@9-?!;R=rr@b;_?Hu{(RSSeniWmo)_J0 zdNIYz@FF!I)1$S4xuO?K`uX$QF^B6xH^qBZGk;~ux5s=>WK?mLklLO#^Z^Vkky_P> z@OKk=wnU||(H4tj^Vgp36Hr{tLD_fj3pM<}<)_E`XOUikPKfVH{>hGa+IV&dZT6CM zF)^;fL#KIrw)kJvlelV{)bAi%6dEQ`J~I@n}h`uFJdOQvgLp_!c6khVon|SBC+-9d{%A$qQP${ep&H zO7xV7cA!mjBILk|ZR%ZH7|T>$x@7BOMI_&HYw`4n{08Io!T;ZG~Q6w`iIVXh@dLZ zMF=W#Zi5?7xjtLik8a9;WrfQ!1U~ZyRNnRx9p8udS&>*0|o5M{MfS{WvtACC=oY^K}lRUX5*n94T)0lrDva)>kwdGO?2yPdu2LqF3pM^fao`8>HLi+ z-tOa!`x^};$a}9CuIu_sS9za#K@`UG%y^47a8^Sbicxbe-=Q&6F^&#x&<`ByGZ*30 zx&xjR?3|h&(9y@7V(4?K!lt5{o(4pcuJj>3@2UgaCwFD{Pfl7kcNr$iGa=~hSaUT> zb1bqgrmT;bxVwrz%7R}&9)lRn!XSj)4-Wp-d3Z!YW>a9`6A)oV_N+xp#k{a)B%rj7 zmSOxXx1G+?>?GMOkkh^BR2L&9miOmrm9lR7#$D$?<4kz74yABz7B1omo!o_6u!_FV zIz!UHh3DaG*$p-0Y3UGZ@^l^CQe1OvIzac5w;E31l*vmy`%009`cMvO!BF94y4lJh z)FNhSq&0qr$%*i3m?%DzLf}-|-aMyNn~i_&@j!1;_#km)*9T~2;mI0QQ)frHS~(PH zz&&11=P<1})n#}gI~GM$lahhIAmR>eIl0=%!NC?$-Q>SH{BR>3gAX=bsQq z+-gg*Dae@cdzhWgN36Mu_}4HkPs*z{@Qogyz1Kigla1IC`pIZkA3lj6R&;uVs=@FD z20&}KJFQ8U;nZ}KL;b|zHmm`E9lmsyGmd$HrhIer8Nn?#!Lh38+%4yC&8&}8Q_+38 zEwN2RWi3c($FghfX_1sJM5xi3C@^|4wXJ^f$f)XrlHj_wcl*Pdq7ZVsjWPlwpe47_ zGsPvQAnWg%5MZcaQFK14G<4iOqz9uWap$?HUoN!`b zn;AK&NGkVgRv$aw2ljgpoc*bC1pwvhlBOpbvCN z=dTn{12gBYoo0dt~_sZ0(l5o{+eCe*ip2bF=@uRi!)u}{aPn56yEra!~ySp^lLq?NU*jMf< zeSfFktiWw zDn>}=bO0!Sc=(rv^SR|gI|KfG=jZR4<$RI3^PcK%^+Tj?3-{JAq`i~qO4@cOMl7W5 zb8pJg6`uq*1NN>-Q83>ewR$iWKC(I0SC!*ATY25rE^4)2Rqq+MVo?lwG?{4keeNo?20ZWj0fcW&8BzetI+QPw#$(=#8c zaw+v&%|Lj+*wW`@{kfgGOI zEX8LOayhNyJnuwqXg2qbkJ;`3UI|MK7CGgGZ&$Hk3nNg-!}HF~>EDRe!;+4_m!Ed* zvqdhe4^>SZeyW`%lzIaPalIE%37^kSlI>8)7!+l4B?9Q8BtivX--Xn zx^HUq!Rzb>x7R$BE_^Lm-qgY0l>e4z{}rLdEx&c;@e3{QgWT>M(>8f7lJZ-&O74=g z(Bohf4{Cedg0!)5%kpmsD6`(t0Ifd}hHU(;K0p!Z>^a_gdU0@GnKQpe!u@9-(1Ylt zfN~7#H4mAMH8ZCWp6J#Hd$d3f{RPEDy)jqdU4B<<{^O*c7K|b4t#Jfs;i&rsMpwB3 zsO6XDpZCY|5oYfd_?znBO;h7UbRMR)ak?r_@!@dIJ2yY?)G%bsR20ev4|MZWpKj5T zE7H^*T@W7q$48lkDc~*VZQ^%~8P6Uh|K7367R?ao$bB6mU9h~=q(I|TR=ogH~NHmwse0G00e1GXAOp)q7h zXZ?%3rx>^7@fUbRVqM9)mylbXq*Zb6h>Bk9iT&5dw-eg0eNp`2d|tLL&uF)?EdKO% zGmr%vC?IM_gF828!}8867-s7F#8QrN534p>;2yq}2O2{gtzns_ZI4~XXP3LdsckP? zxGNE^C3IxVHFT#>IEu*Wd@A0LKh-h+Zil^u_wTon;7NL_`JQdtw1lm48b+n@gz8K? zPGONX(WED{G3&qVR-fe#Jvrv2{8=VMKCDAEslb*7AadgC3g#pws6j0c_fmgqBKORx z_#CgXf$hGm7slJpdf#qSjP<4+QAitq2~LNW&6T#?_pVI?Kj=AJY9f-le+&WDKmf2s znIAUmc3!ZT_e1#qlpi`mX8TifU*M7(z})xT{i|DEltS{^SE7Qh4^9D0Zm;&~Pu(bJ zr-#>xw+H$m%G*^ceF}q**FOpE1{NNHkypi*BGRZS_3^K|CKw%2sAg-3xxL+@Iq)5q zIn~cui{~!V70tyCFOoOPyP8#o<`qVfyJh8yZK( zbSUS+e-hZ43>g&z(0+jLX59g7|5xi|ORv8fPY76Qs!@1P+a zFQo)t6sxe_X`<{o#c(vg*N0IqasrvF(qg|D!n!&~<`)8OPB z?`1|=b);+_6_{0m#@}bJhsvL|MHX8K!HjP>oVdt3lI~)YK4*c(2st_JvDCvqti;Gq zjdw^Q^>(~#@=p|WDuSD$JALP2(Uqhkl z6XlK;%-Fdrrg>>?H}RkPa`-DZiV&h37_PPA%7SJe;4JTskVrQ^dCe>Nv-Q_B@J*ja z>X`82d7C*OZXGd+ZU5r2psf7^Kg^%D+kYew6`vFiF-R*Pi@w94aen; z4gKB*|3$|=q7pEa4})d30c?oL6z;ks#Q4pTb2|s}d-nzYDK+meUffaSAn^%?@d)VR0XXK);Xl_NK=3+T0BjYdPN*!A860*fbSbLeI4< zSuKqD-r`GJ+NP%tvf%||Wtu$r*|*Tj!1t_3&4aL!p(_IoOJX_gO!Sn`Crj&#g_ueN z>1>j>vGJR5!^oLBFYnzg@8)syl+eRNEj#s61ojC!i>opz{9fIiIr}!5{Q8h!X7IiD zR8a@>&h_X2JAXvDHj+-DbH?RyRR}q7{Dbk}+C-d>v2Sd1pi|SAynd{%2R+UykK#z* zDvoFA*;-`r533^s09;@$0;7JjPNv$t;X9qufnS@ z{JOc4OEjyScR;SAK~N7_3drj68M>BoA80EEU->Vkp^Bsf{mAr=a`gvQHv0o1Kg~9D ztpS}3Q`7Cc+`At~>5;-F2rcikHn}5wCShd(!P#%StKtaUriw|wWGHfCF$lPV(R^}J z$@&2g1BEW^ZTA|{z*E9g?r2G^Is6gDE!l_zN@PXq=&XMDY!aY+l9Rtr>lrwNgCAl% zrR#G-ZBwH%-a(7xl+`(ud_L%%1lae7AG?x#q7#BU^5*>ejwgExL;%1o(4W}ufJf`q z(sp7V0XygCEn=9nUM+n^8PsJhuR6r#2FLT6*qqJRwlv_YOZ%zlABbH3<)O*gDPKkH zV^iTQ$Y+6*RPKTL$z})oxY~zTN*s%%LbembHCHDY>0Ff0o(0@p%~=v{%)*7|PXSIY z!B@PwE!OwFaaTlSq6S`p0Ox3xG)BGkxYJv}<7TeF^9=17kl9I+MHqs=fqV;aZiweC zPFE3=Y_sAZV00%v(FOzCD**F!RN$`~5b%&%C+zqe#M(65z&$XgWuMTkL(%Ext}$rN zd9|2AzqbE5U{W~_w(t!C7S1iT_fAHVU#0gpqJ1}VR~0|)W0|SC?#002yQw%Ii^jw! zZ5M`_`(p9!!llmBlXG2PpahNZOWJMnfht^VhnU~}lqh8=0EIWVMtI31kvl3o&K6dkAB+~vJ$Q5n}XYs{x<~15;DSx6VD{7dsrBOcb6_SAqjc>xz|TSWZDAy&M27 zo9G!F+j(obM9@*F=!1D}5A51Us#|Q3&Sdv2k_?Q2zO3~0@+D$b2v^q9Trl=Qm17fv z_uRNu3^smCK^8qg4Z>Qau8}z^X2C0|O99K_Q!VcJSnMls^@Iym7`Hy<4Ap2ZFH(ku>gwd*8~jS?R$jS<9w*62k1 z-i;^a%ujyq1_v`vuQxLP2zVEwn|r|0s2WjNk_h_9y_+e1FiTS$rur@>vNk8D?2RT<1 z2|9~#UU|EK#K~Tp>u9-hsHd&)VtWc1D{$-0O#!PHPsnJSlxm~Q#AfkiC&xq#$1AC0 zX(i7M@^-`6jg3_hEp` zVt>bGp8^vj!@roG;my^4Cu$B|JW38m(_XD2=oDE#0`T$qFc0mdtUgK}$Pv_fae3_# zf&RtV)pq$D$N{s|<4cKJSJ8*!b6u4^t7Iup8Z%LGuJ1h$7c@J>v%+^gz2L2GSH78x z&(QxH|L=ljYjaVm8gq{enXR}xS*KO1Zn^2qHG5C5)&9u`NKv5mGWR>>-QFMq`oj1gMg?K{V#y{g8n~H zN9KQ^2jsagVm7H{h8qpp_wP;>Wuq{VqEwS93d4;w>`7*3u`KMeFpI1s5D8{byfDnv z`E3oG%U*9UFYRYuQAm-Iec!)#bY50$ec!66Gen>bf-ghA6;yK7cv@T1FxdFaKty~$ zOqqZxke`{5){Aqh?6kE4R=LF;`urvK=c&!q&oQY zEojfY3VFRre1Vi_Hq%de0Syc%5C{FUtCzx!m^SipAVJZ@A2L2fV*;2iz#ywwwbA4| zl95GI(xUJ~QNhiQvn&}UX{X72Kpn?>Mf?8xxX;pGh7&SHK~0=9G*Ko6F#v9|;r;rX zwROKquz4nAlD*-&&!Ub2(VP@AVX3$RU$X^2T@0(`+-XbLuKUt8-2kOE5YbOU0%{;H z-*dxbleAx=U0_o>nBpC>iNConWd6PS7bM7zDXzjxCR;v_Kc5CIqB7HMtWxZ)yC$w) zpbY-*NsGa?JaKcuOLNXj>E|(NRjHi-=0>zpyX{M)7$#oy68tKIMMvpO+f*hfE8J) zM4{7c<>0I7M7(we7ta9w#5ud0;X`X+@4z90Du&5r?GyL9Zx^; z?o%#X;f?o}3OEuQK`}K;l~ypZk}MBWn3vkzB+#-Yd`QzyYBe>fm<=~(VFQ4u2AIKnb^}CRu;8@R;f#^0q3=^>px-zZ3E#a zcv$>+v+Cr!pa-tm=mxvf+3p zbu6A`A(tqJkmmLuG$K1N#EZReuX zk5p1YkV&iLAZS`Ww^j!(iQ_``l({J$bzJ)BhFIB_T`fR6h3aK+#%xt|^xP(bMJRnY z0d5GlXocpE;NVCy1LWTAQ`WEJw&h$HWfUtGzR?Zsh}Es|ahivBmR}xt2Sol@(}=Y_b=ueV@!^;u3ERsVJR`n7wp_OvpI zzNP(TU^gAk-jf`yeBrSMYiDs%Xns*PE?r zkFEQDYcke|%-m55z*R2l&|9-I8i1x!tv;GTpH~k^pAEdi4Gu(;78Ll#8 zs_b+}k}(LOuafvlZ)WXv_4A}hEGt`r{?6|iDNVu+%7VanNpLCF{hRrph8F(D1=>14CjDJw}dqm(Ga~R)0qzzM1-q-lJ;acMGoGnS8(V9l+h% zPc5JBJtYV(Uti_Xvwc6pLNR?91?^gejzBzO1F0x+3%OJ+IGie|k{s7MyqiU`;uQSMiZu zi|VYL+*@k3~0pr8tmK)u>RTo!c~L1oltyf0;;16!WaOSx`>_-;(m| z)1#>@Ln#0RfeP8OCf_I8$IU)5bXu-rOhP0|rdmrUSgbFr3grvaUSr&U`d4Dgwro=a z)DGv-*#T7#&S6tOcv;7uSuIohj?iURamShItVo4npH;Z1n}Oql?|{xmO&U!yV)8?@ ziN2oB9Q;5DYOdsHVfmBW9I4&4WIt-@>5x1ul6Rtt6IdLI!FSe!2($k%m*lJURv#`P z77vzk0RI-|dUt)FHy=k@s0|q{T5g6l6t2-;A6T3H zybt0Q55n488S6kBx#4UD%L(NngW7R57-m}d6ZV@r#w||u2L((`4&bc?brM|s8V#K1`jHZ__pf%@x>8lBv zOLPfI7v3LjYrq<1MXlDt>c;=tKqQ~&wD}7_%uG%tcR5~B{5`Mqp2k)EX6=Y@ zz1^g+m}5{uP|U)={P~9>hAEgJM$j5bV;a13$A+&9FabA631tqj&IkCqHTczCYMw=@ z6UxP!5LK)nA6Mkz6rdWZKde2<^3Z;^_q9FKWxdj5>--=a;h9fSY?x;px7a{NEFGg$ zoitMQ&@aDloH(Krx&7S-PwBz4p zi}|!NM5Z^m3>4n#Vl~=pQ7Ah76Ot|rgpLog!OC0vf&NEJqy4`oUda5$)o$XcIHlMo`Ia~?3?sZGCr8Nd$QH^xwUus*(5MGV5yee+nT0Cn?kt! zS&ioK7V?V5jevgp=#4@fVO0L-o+j*%KqEQh*w=me;i^!$Z--`SqDg9-^CXJvvaSOS z%D+vs{@l!Z%lUJy@>kS*wl$pdyIM+8Dg-}dw4ARPMn!C^074~hA{liJT1hgED_Sk8 zQk|Nf)a?aHH0CU)BEOb!K6q(n)YNJQs|)&4KAfs3R9dsmxd;-L{<4zJ?a35Sw>yXo zY|+JbG~ifT13kRI>VFK#>smX~kyflW%Jp)7a9S7Ad9Cq<$t}sZ5EhOOqQPTszGSTM zG~0S({W!$k_2PwS(HlH3Uf|R3treGF4p-YRLUdOZjkST$B<1@HPgunWVf76ALrG_!%-6phKO$iO9 zX%$3R+wr4AMkp^*>i}!7-=QqUTLWAzatP;xGFcpK0a-hL>VNxERt`_ND0e;c&J77Q zxK#POxX#S?gL8X&=D_v!bKnCKPe$E%)<}}8jEC5mL#i~xut2GXw>~yM-6#{RtfmBc z3qw#+#89Y}6Yv8E=}^sb97-%R^}O4Z_tDJ52m4r}C?27u(ESeR_?#v_?cJ0O8{T*d z0F@P5v}Q*SiYY0kd&>Qm+2JI*ol{h=xB*;DnYL3;9NnCen=yMXL=k2l zy3x^y^|E-dClNep`!RCW^%LO()g)!C7<_}&ihdy|D?#JzIn-DMX9^4xu*2Nd zuH~JRvhhGaaQ3^LuRCnjU|&08(GIR%jzDE|q^cEmR5t-{rS|)uR}F>=t)W7=isLFR zNegHVm&MA$qQLL(;QjNcN4Sb>{`|(s7PyLSo{F8O>H`f2AZx5*>A_gtaNF#)u41J} zMcfyZS|iB^$AXG7UM4K1f5^^*o{F!2+4oK5+Pd@*U(+ToTVMU@{ z=p)%MkIyovaI~rb;@uC^S@NDrAKrcR-a-CB;@z}GoGk-sA9vTA(;(b|3J_vc77USA z;8Gz+TzNjIj}sf_kXYy*K>cT5y-j%DugWKubFB3ddZ7t;Eg{$4hp~aP-sSb zY*n#^vtM(8zyHqSwq03C*(rm|J=`XyASPP_6&xfq1(Xsm) z6T^{?<7h88??-LPf})DA;H?g~K$OzoBn4pU2B93X|11gQ;IQMNUu&P6CxSD$RBSnS za&MSBxHK!8*cg*~+ZbCKzxC`-y~xA!5T%`K(=nRUva&C%T+2E>eWdQ_=#Hqd``?!! zd;1py`dlK%B;TAXlbjC|#n{2vUDmko+J=>;R!uH@kFM6G)3-4kdR{X?lr~dl-p5Wk0=aqfopI!+^b#KzAXRK1WQ52@xIT3VyDKn;LZh57!Cqa)9Xq zF%5I8OS{66vgXl;UGI(t`+Z#Bz%4i_ZKq(%spamm0+NmEr+wadBR%JiA2Q!kWrR;y zAQQBJ9d5qbMx?y66;I3OoV=aKZ3P{+k|ws7>!Cfp1t(_o>k3#}x}84$6;D8)WCEEx z_ezW4MZgv>wChn|G#?`=@G)e$)f44ACmZth8&|FDfn9<+;@+&k9j7k7|23*Ev{{w8 zOm_0NmED<4ox`5)pYGKCNz0(i@1Wm`%O+x$cW%`jU8!;S1siKBPGQWr0r%C!ft#A0n?v}nRWuWeXbz`qwnJ$9~ zTmK@g2Q95~Ki5PWEljv-RN&9fWz7BT)YabNZYkX1VeBrN?}*dIt*&nO1{8c>VwaRml$RZ(}f0;#PjY!hDHK zGZjr4VMJv3_>Cg%%>p|R)kJ8UKvEX)9G^j_fVT=`$Y0-Ym-AKsHp|V%8yA1yN*2-t z(VUM^%hrtc)RaA3Q_GFB`|40QYeKGAD&?@*=9`zd&iKr>;fYNVqPp}}-GQ>>oAd3d z#~+G^r|E1dzP-i5oF%USd8+2Ae7DZgJx#g41+^WEiqO3K3gr&0{s$qFy@UgbT+2(6 zE??vhTqLLKzwooo7($2%4Zhf@uC0XT;4AgNJnQuCT5iWOuaPxtG-#kWMLV=g2sdcC zDp&FbtjSgt@RVF|9rw(7|Mi=Y6XFZcx+8TWtAo8=_x&Qru3L=TvnU30IOFlCgW_k?JB`_Kx9Eqah1H#@3^0938-}%S4N|M zd!lRr9n@Lhxsn$8i+?GcEd*}PS|ml5DrQONI4*{w6YROMj8PCnIBN<5Go3XF zxxW>=(@UCwU-`GZALIS`#lBvWQ*cC{D z(0`JC&%tZ~4TamS)UbA#Nq2p?B4Z&$83ti$*UaZ}Q6ZV1%sf0nQQTQ;)O?PrA)L45 z=70N3YbZHM6Og38Ws4!p!dp z4$(~J-YpkLrTJ&Y>{jE{=6 z>QI4qb!08E7Qx40L63q8@kwu4*NebzsNZRfZ=2rkN(()FgGb~lT5gy|Q(IYXzd(os z^fjmO*_h7-%-)khJX3;b#3q~KRo~e|vaT{{z(Bs2AyHxz!^E`)pVvz$=BIa;@b)5$ zyJ?QzUg@jee7os1zQJ{bbSs0H+qZLPG?#siWK>q}P{QhgYvS$t5reWQuGs=jVK8== zKpy_4d;-e@=icEuoO^hd-|T&`)cdw^daVP0r32%&#U$^#$0GTmv^A-XkQX}dKmWXfFI#oXi(6IHz<4sY`_XOCuTv#JS!Np*R@3HY|29I?Ce7A%cbG>Wh1PK zKCrxa_T^*!J|8o~ND?L^bIR`imJ-#xDY%ziO{FI{1~@4v$rmY1XIA+&NedrA;an=$ zeC*?Aup^TbfVqwa&*Y)f|1nWvLHux1TqwIul#AfU(-oD0ktngBXF1fQ2;K-tu{FPe6c)xGaWZs|M&Wu9rw zmKDqX*isTd^rJjv(9r3yMMUBA7S+tv6wDtl4^A7g^*}3@DFpRoVdzWdr*GCnl= z(VxC)I9wyxGQu!y_MwyHKZJ>Hq*bm8c&@c&?zsY5^ZjnSFog99_IQ(yrIOj-g^TeU z1NAW$JZHpxuw%o>KCFlalX_t})SJCgV!0`MFtsI1jjIM`T0#X*|kwkFw^? za{Cz??DvSbe+BtL7k-mQ0x!liLO8;D62QU1wF$GiwY|OngBN~#yE(o*%8kwHbs#Lk z;thE-x!t6L@MLfkVw0QV@b}UV?ejOR66U&h)!CYn8=T^?JLMlocxJhu)#*o!;VXiq zFw>^zdbd7stN{HWj+^YP^dsIVXB+O@a1$cr8@Bgszr0s>999QbtG8d#j~5HCS9G19 z=xZqKCl=RigQwh4*fC(21>}P(I{uJTt5>z=8;&nvGauO(bboY{hbYoq=jpA62co1j zEgInC&)B1)n_juY2g_iYfnGw?tA$(IHJIF1h3)o{V$T}RldudJ6M>l=pg$&FZlywe z9^3T^{n^3G{}@xyxw-DMr7i#~^VoC3M{FyNPe#|_ns=)|ZKu~HlmC$ph_Eh&dW zLp24*@&67ZpYD{q9_^BO0Jeid3NUO*~{Y;(GkgA45gR0o%qT3TV_zp$1F0kF( zyWc{_Oo8V-0gOx2kC2mg@F6`((G4`B{P9tyMtS29>{B9?yYd`i#3_+K(%CGuI7Czu z{i0%!N4M9$b1K!er^@hx?D<-Vt6oSh(oW2ea00V7N$4^ zLUN+F{I6nOb3&@HY|+5jeBn04)k>)-1t)pp|TeKe5OP{ z*RnIq4&Zp$hP6k#U0>Ojy~qlGL7m)ld>)46hHA_5gl7?kMHoBYa%`fUanX6!!gAB> zOBwr#&)tR^n5~B{tU2+#x zO7Srhz7-N27wHEH{}vUMA-uiKa|m_rWefl9X}k_Xu9x6-zSMWbTiK5{R`h3EeZSjg zWIADLt*8&vZY$X)F#Yk30rishQ*bwp9L%~qv)zV%5`|$D;z7V#Xo)rADzg=|2ZK;D z1BPiL?YBz`Z+{jfyy?WatJwQRRF(JfLPw+~Q#&*lw&%Xx-#S+G3H=&{;g8G8?fRE| z3U)0HJ?RQ=PT*?ouLGI-1 zd>)j_gja!BK%WtCPo#PTshGMBmG4L1MgoV{&B;5$jZ4 zau|PI2tBg<&vJjtpx^pqFP@|k6g38kee}3+hGS)|TI61tq~6q`m#|+4f8u$Z_D=yO zA04rIYW*Pcv^%ZdV%fQs6n3^C75;Swz&0o692`oBMGcWc4uzy|6tk(38gci-tPKgN zFzP(dn-+S)PijpohH)3bMqHv;^K;vz>vt75JKyZOy}P+E8g>?YHxzkayk1ht^C71m zn*DsYBsyzVuwCnMy%;XPSi`Yfs{`Y?4ApE1MBT9bW8!+2TBXu5lb4S}285{!E%@han!elO$v@^ekVs*9?DyIiJ)bUVg8K%rqdCW9 zxRqznmGeHFTJ}xqV22EHqPQ4zdfTdP$96BrE>Us}te0!8Z4DON@gf;lXE%_-kyP`7 zMOXh-uZx?{mJRBzgQl{S2Ld|yZ}Cn zWRWjL!31wYzGKUlIy#?JLeeLa2IJ#@E}SP6Kz(OLCcZ*~R^?U9)7eR^BHccZfDgby zK@VM{X_4!+I*n0xK}+(@%d0&#o3CH$6XIo(1gpPG_}#%1jt`KJ>Z74ZIrYdFQ*!uy zu0OGxvG3EXVJK7U_uXx|Y)^LbV5;OsLj#+TyUx6O8qe9Dq7GJf!z_;6?M*!~gZy|@ z*NaoDB0z{87Z6e79meE5*W)W{?)q7~r6l{=0Hi&PL;aiXI>C4s)6g(@cH868N` zV^zUrR~A&x8W~Iv<15xS!83LRPJcE3jtI5y)1Qs}d&i6j15$D4e`<(6FWM@0rjETQ z@VU2LfGpQ0PP4vb_%z`?abK>5#_ix0k!iZgj;}0CvDs#o7$o;{_=>#+6A)%YW}5y! z!Tgb@jAJ>SfHIvhMld88OTZ}q7xMu?eOC4A6LY${Zw&(F3Qzd7R#i==jX(cq_TUms zPjB5MG!|BFPJrg6FyQuU^T)W|LDnEE$8J`qrjAqhJj29!;&ap*(^P|?McRILkzF;e zkCx%nT2E(fGL`9FSl5e@rIG4ki)&+*74F(mmQcO@ONh7aohT=6+eG3c=R`8>AgII& zw^ivq(;jQ^vY~s-++rQxR>134$H7SFgDd$WZA%#N&Ldy%8^P2){f71wmushY^`m3- zjx?qsIFn}lo?$O5s-b=Mw}N?NRnOJ5WbaJqWU>8HV`sgi$KdYzC{1wG-Gu%z8+*o` z{JqN-yM0qn!wp&OMftxrgIoY0=2Uf9OLJ>eUGp!nopvn?1QwYEFuhtbnWe6F*eIl> zOoisMCzTrpMobL`Yfm0r-mOfYuC)SyZ;wY9x;)m844v?QErmzB(g$s)}oUI5dH^*9|#K-dGd;zXKZ@-80jOSJ3Y3l(g-+24u zGk4-IUa4aYd-CIcr#41xc5%)v**7NU#St8}G>N>%FI@~4IXyztceu4wx9`h5XEXaxSg-suM%k1V z$hg1S zFVE#siTd*+5bSt{JKw8g=;w>wp!8SR?(ORApx|+)Nx&>GV?56q{HFJ*{K3w+<@`u5 zB%dhU8_m@u%(nb0JbU0Q%&?02mV5V}oYHyJd;Y$8DBuq`Aop{B=`sC0%Xyr*H`;Ad z(!8|$RJg$1MO(_7VkT9+*c0CAW_-aFoZ~GfyQbEe4EZG{7zDCq1gN~VeN`bVOEIPs zyTFu}5LIjTN=111)$t8W`x#OT*z^+G5`s-nmYX)J02Ae+88sIi>KKghzzBaUo}PHa z!$?boN10TEP7q%|2_z|bVJ|$HpQ4@Lk}Hx=ugP1vY>txsj&dBJl2o{;CrFCBk(Wq0>xtWg|#^ zsYtf1fNTDCWV%01TvQDID0d~Yl*?KmgX*Pqs}l;67dR`*;0hhMQ1m9%^~#2nXtmGp z^8>r8AC?=)Xw}QXtaB;CA8VHzd(S>IrEcZ^1z6UIb9k0{EtW<3zQct%NFWPFklZX& zqa|g&?{pzb@S|rG7Ys-T=Rz>ww#o7e>VhlE4aQQq-f|?!tw>T20yM(_V$JvMFGLA) zYnSAQZK7uY-HE&vN)kd`$m7_;T59Pw9pwA27BWI#(Bo8-HZ3rKq(${i=Y-%u-=iK8 zXNMU;r6T-Gg}!i27*THcmf|=UclkLcb4>6TtvD#3Ih;Zxh25A4bif;!LkgV1TM3J^GRhH^oHx4A+7=3IYc@^x{>1KyW7BYe@jPPgDOP~fm6@70 zhilf4&pHz%U3eQ}w8Hkx8>zpiYm*AK>y~ve!&N}GM?$D#$@sQ>tkS0H0pE#2E=iQM z&km)nA%pR*g@jC35b!JlMJtM>y&h7E6xM!iC@}WIt5RL@?HrZf7TS0yxuVAGr#+og z0QjH8qB^80N+jY3AC(IOn~m%;)P7GvBtHT>`6x!{&uXTtw$Nd0?GBiUFP7?6YIl(a zwwSN5UwEHqT5lOb6AX@lVt08|A%3v$Xe5=DDDy#rHO;o5Y^A%J4HH6IfxJf~(%}B0 z<2REa+oi1VavFLVkso3Wy2Iy{Vg}x$I&1IJxgBhOHpt*-69jf-QmN!_%(doaIEFTN zL7~2H-IDcnBr&mY+P%O#+!p7XrHnhJ zT3?hT*PxqB@)cvvI?Vm}CgHoLvM<`#;*!sw)&zfxSoUzV3EJ?L+v-)&b$Oz&x!95R z4$wP`grvzEUbY5MV1wrU=-R}MP2RlqjuW^F9NwB|c^;Iwib?DTn{5^2MiQkdN?fJs zl512X%TJ}$gbUTKhbVG5x3o8yiz1YP^3^0Q(rre6*fPUAG|}{`R+$^^7wY~Q>uuoF z{9&xa^mhPQ_Ne~QQW;0~;%57HAvi>7kfNKp2Kf<3Nl1UCUL`A2l3B7Hkly^8wBABs zRUiBLf#u9q*o2D%WsS1RN;^()&-5_p5Zt1@LSihjVzT3`?!ghli1YZTu7rMt{9kdr zzioJRZzzZv=Y)^#PY=mu+NwwhBNt&a2Y{Ble8==Hb_h6#VCljUqUWt!hjX|6H8AEB zBlq_tTtaVZ9Z4-!BWk^@M4jjf31q$KbfxTKaz!9AFFEw6wj)fJ*dD6J{R45 z0gblOlf!oQKClFh!#Do~`Uk1yYhTYkv_2ZA_E&*L>YB${e zE_TV-@n(E9rk$HCObwr*IfyO@RICNK?E$|`tv5QJM*qcSqoK29h9Q@>VpiruN}h%S zs022&iJZvMbwRc?!Jnp&bCNFrduG@EMkwKa135C6KPCHJ)~op9Jmv5e+c`$OlZ{%L zzN!b`R4m#p{8VlDZi%IOi$YDc_4EG*)+~9P7i$fsot92=;~a9b8FF-V3v^Tfx!=VK zmC4EFDT!>|mLn|RkWh>bb~)OWK94A$;O1l^6CtvkSc6~gl1991{_PVl3r>v zkhNGzCpE(uF9yfiH0Eo_6t;ZcHRO*vjtfkZe2ml*-@;GMKl4p9ryom0n_9(_zs^Y{ z$D3qjGY(W$OH|~>tI`tLs#R4tgVegK4Q9AEl-$!#FZ#pe8dSKK_<{O-rzNja*Qz#b zB`de(;2o-JVE(f-JNR2~i7?GiSL%QwuU|h5H#5Ohr^4TzW*dm^QZbY`(;j6GSjMjv z8VI(lqW<+7VG4kPNq={YKd4ZnnOOZoGACZ+&hw^tsj5@k-wNX}@IUCg9xNOx3HakC zw7g$P8zx6;MlA;yaeB@|^}DP_u1t>8Onu_g zc4Me0)Y75~yR1+*)v|IY^mf%)s9Y>i(@F8Nl%?uURiCdcO6&%Ge{j<)*O? zMv32>mlb9aLn&1qZHZJkTE9%SsJt0#I4p5B#5I2H6{=oL!6@@#5-4+4e}8cGE@x0P zE~kb5Zkv}uiKB6wDVg$GH(<@+=NdUNb)%M6%|RpeFqdYX__k3@jEbCAU?ORfPb~z6 zUZcElm<5HI7oa+hr_(YSf8O>5y=P&$zHYC0i7~j+J4|ZWDV=A+7ADpDFlqj+y8yzcSzH}V@w)iOJAQ5mwH^rgOW9(jtSSIhlJErFW{i0DLl#N2&xwl#L0 zn(JgeV`XQ;t2hpsP`bXf)K~$grksikS;d8kEc?JqGbYYVy?8LhF;_aeuNMyeT{45I zP??Gu@e40pN5>*|VJ;Dnh!9AG3nW65@eDSEYKHhexhBuGc( zmcX?97*rRp1sAGMgyUqTj9}#8zKUXoc_~T(!3#qTXfJL zDv*vrZrKO;R{C0e=L>-f?dW0VQf5*2+uh9apzr6*bAW$hJ2X+C&}R`mMYIPu87e#B z1NtW{LPf5T=@3+)Y8pjhswYV}x>GU`IkpO8QU6vpfal0QkdFYbCI^#05=x*u_dwSL zKy@|?OEBNUVi0KYE_<5J0%Me}F?=`9vso0uviTKFqHF<0#*=}gdIoC1lpUB-L^&bt4Ftjg(^`L?sX{o9Sp-LYmYUggS+vz! zG%;(@vdxr-dowvOJz*BM5zZ`&Xg)^Apj2Jj`iQOHVwB9h#&K?BlZZ9SQa^m`1A;T<4Yn!SrpsDJmoaoqde!FeUA1XW%oEsWv)j> zMvGQLMvjY%euymDPkgrYl>y1%1u32I{~pvaFeQ-zhF|Z1k7f2*6{Iy|YV|oc=ehan zGNJ8Xjz=quuhRdF^yf53O%HPqL8~G5NEq7Z_8m>0v2JG_Kj2*(KX@TO^LYybaRYX) z2X~FV*Ms)n@Zetn%y~Wz4@EDAGcqtx^XfD>8viA2U{ zLb8Iwr*?jo1c|kHh77K<92)%8iW;(9$EZ5`EfRtwd1S3%KV#{y=_}+4$?`r($Q&D1 zcYQw{%nTi`o5m1rh#Fpmxmz`?1)*Z!{w8No-ED?B?4`k3AQtmQP>fx&=!C_LhAfw} z6y3#9dv*)DP?Mwt%?l1*36sJ}_8l|obekI@TG$$cd4D5FHH{f*)Yf=355m!<3%!A# zA>=Dmg#Z*fpRe@vWWW8M%8q9zCNKRyebJcV;P0o&sHBL|{`fC&Q$YA`b#_ilGLu&Zf z!+9#tP(<3y;mP-Z*pX*MA5V!6>Ip0%klUk(Z{h&E*pXlI;VG(adj-*rQ~Ym5B%*|n z5R}ZsKYXDpC-)|0+sn>oNJI;3IwAUvBIwsIFcKF_{63)&xRD%m{tEM*_ZoiEJ1llo zHv=p~U!H!6DJH%XD)T%&&jdU{1PJR{%vE@+oI44sEHkyD2ezRh%* zSKhDLvg_V3`gUr!Wedkg^0V!%JrmLYC_!|FAdK$)iKiTh9|@sroqK8%2< z;{~%{%!y<}NI1TgTC@R{u2;svn}Go64_`)8=m~l1X`HwQR*ADZk+WUy3pD{TiD1Qh z5e2I7JOW^ser2K{2IaHHZ3;nx;FtxaA-04*f#yEF@u&ugOg7y;=YJBJRv7tm2>CM9 zE*^2AKX`~z!t%`(?cWf?vfsh7pB0d7{l*xFUU2acaLq=yQH1&H@bb}rgi?OH_b4#@ z)DIaUZ+WxDYG81c|1aX9K7boyIK%BC_CgPdr{5*4R0@*!t3?xZyuGzi+cA&m3{@UP z{6I3)odj9g^R>)GLtww3N}@aoES}4Eg?=a({kodH0kPb#Kmio=Ujlxh@j%1R#OvaG zzAv1G8*f#hF;NM6bjVHyR3uM%?NL3>;w69jTfqP#*v{)qp-a>I*hjPnw74f^#b>*3 zBRWUj+*SQ=0_dy}K1NPj6r< zZz=l(oCxoSj?eQy3VM2P8=KWK& z=?R;UT*Fgsu0Qi5+?=qWa^$%kc2t$e5{>rrs!YFlL|TH z5R`w5Cd*4Go0~_?%;P@s_X3kp+a4#RDki_9Vxn&jYd+ca@fER)-t7`qh~xnID3Boj z0ZGIoDM|@~fs{xm5?*Eab!5cR$Z?YH>mc`bfbGrjnszVRogTi#kP;k59ukERv4js?c)(N*qIeGm@EtGy7H$h2$4L$ER@iuyF+e$` zf_ImT%(C_I{DO19X19}LuqV%S9Ak?Z_|A#^qKDV;CEn@mF7Psd@_LHD z6}=Ig6%7H2&NIkQh%BAHI!YWpI{G6!fCu`#mfmq?Y1D(3jHnUdE=6*QK}lUI?MbFQ z96|}X)AUxg!>E=t!>W$`MN|nc@~CIYgTcbV>;o7xi3kDeB1lRXR-KXg~4&8vdg~Vm<0ld<4t> zknyOlh(~j*r1FeI?I&HHE4Jeq{VtdK;1A8g99Sae^g6m@`@vQ0P+J&96sYxp>4)sm zu41UeY$QA%>k-cM-Kq-b4Fp#H>{5)?FNgT^gM%)gJ!c=Y;40~jXO)QBn{P5Z0PaIOIrswL*Oo^y1REh26*Vz1ccdrnH&W~Vt zisTQEx?H7@P@iFFj33}CcnbBGAb1J_7f(DVNxWN06gr(uf8zMtq_k-Qk zekl&m1fQmwLIV?7=NIx&kNB*MQp@8ve_W80VJn-(K$44NXG*-WW|H{X#9k#v-8fnFej3#0L2GTI^deCB;Y++DAz11^+Vs~B#AgLRyiKii_; zbdv2plI=c;4ibwF=7uN zHXi*Hul2Fa4I&>B-TYf$*9@=$fZZZJSOWFxeKcyjKk%bc1&SIQe*?;nno|EtD%o4v zPYGqgi!dQm9HlVD^T!`<+B=zg?M}vIQQy^`4dOp9%Q$V0GkZ7Q9-9}h9-pg){QGdY z8mOIc+P!zCu5sw<>~N&M_ROU(K*sp6#=9jFA^P}suY-f*L__8fVL8-yi(UAtpU4~` z_*Hsl`?&%jjyXU%@X>zD(l-CR zZMnjawhh~-N;Xc{)|?%`rH#jpb`2mu!;9xn|C2x&T#S}2Y>bu7&UdxM@N18scGhlmEK27$WnfNz^cQygy$?cmoIigb9Z35R<5!5z1*Q-ZMjnt}CkUj+58_K@u)8u`Z?3a-w6>PEUfZpy`5QY;b>i;$VmzEx zeeXFsAfXYYR_JX*QEYS+=^rP`wz^hD->Uw_>da}@b)W0$$p zU5PX>-HONi-6O#q8#o=qnREzCws72FWmv=#LqBWfcl@WgedgD^K2#P*9s$>hc!IeH z45~Q4W@$oPocOCM5ow3}6USYnXI=Fni_?!yY(j+`o^K<`VPD=N@*|Ga5-ttWrLU%e(U$h7T(sBm*tMV=nwrbE!Ly)?mXY|h_ooD*mjwgPmHXHZs6Rj znJ7P>V2JHq==^fS>_jrzP*9F?@T{3NXaKCt6RbxP_7FL&wqxl>l~N|-_8~KiPw-P{ z_;P6fE1(Bo`07b{>~qG3-e`Q6B_B`IHzb#~pNT#==QmU@+s!^c0dW@&)0ba|KJ*`! zy&Gw~*coH(q52uuq-oikk@oSeN7*{?7+=FqZOZpyqAquyM& zU!?0`{+CFT_Ep4qF-~EAqG?w;XrC9f8#V4SLHjw<95WnStdo9aY(_e5wp7!Ny$%8W zU7%}UV}GRwZX2X&Nq42WX2ce9WxPvB9{PPylyP8Uy;Hv9cBmp0|?nkw>feoJwD zL#PT5G5T61u8OyDyhO5Tc3$enYZR7));yXv@cO`$;3xN&kNkfC00960cmbqWdu$X% z7@q|SE#+0-&stC`g(3x&(g%gPy}7+Dw-0vrkRy>*DNrye5Ns?7ii9A6mu8R746y2M=nwY$XTgr2-tfLA)rDysfbY4v8lD>qhmSJ0_FulIj zogb52e@n^Sb#=`&`X%#$n9SOn%H(Rw=Z=;|iN2S!MQ=WRupa_3My^mj-7@>Ox`HiK z7~62c*$&FFaiN9}>zSm=ouqGYlWW4kIW_>=Xx0I*>)+1*30ASG&~P_SoB6iq>n7xx z3DMLII|H-9^AKABKm0Az>2oynxXR=P;LMce8(OdfPA_1OLVuw7r33gi9vWgXIUwcYiIdTL4bX=70qKSx1ByzZ)LVepvbLF_QhzI^eI;qU@WLfu`>jB9~*E@Vrf z|9$91sw$}kkFc)i_+BD!;T$#*@K>(C(+Mv&0UG%whEH;j<1AYVZ2+r){^*%T!^0cTDIB6m~4!_^nU40H0 zp)#~6t=q~pip0#Hzd4IjrQuY-D@p^afCwC&-DbdeWN^=oltyL)AoXAN1q7o1af49* zjGl0~lk;t^=W}Zjp;oQj_7<)f5w1`(mb%net$Z|xunRD^ao48L;1zkM8&BadnN-Zs zd9QZP{{>Yg%+f;{b?*H3-~2$^nf4l^?> zD2$&nf^gQ_T*D)PlgVIOj!^(CV`%_5sjDZ}!Fv)k^o=2mgm4O=BT44pokfQ+7`>D< zX|Nbd1G3@7{#JP9Q^7J-M|fDy-PIk=iUBn8Tuu&19Fi)<3kgef37ZN1+XpXSL!2}-?g~y-S<>`8iIr$EEfSm=lo`3ebMq<{qYd@D!1S>n?rxKI$&{FTaLRDEw~n@MER#HRI42 zXy{?$czGTN#|+AtMP&Iyd);JooYWhbgA-#1#0@iyA@|KB^kU`dd8mV|cu$7|FF-1@ zBLotMl!xg~`4yrnBUD#6IdriL5%)%jRe+GW2gj3cYI3it2!q;4Ow!6G;7|?a+!tXI zfRSF);Ytz$?uh{BL5egfVL_dRh$JDd9IvJ_kmX`?2bjwfjv}Z`+OB88y%H53{;&Ecj-gn@%>DY<=jRV^L` zED3Fy2U(!{ZU*SH5f?-xS#86YE41KtE8wESJ<*7RK`=Bw!Clc*k^dW#A#Ydh=va%= zv93vaq8S3`s~qlice;ZS(;ut9hyLAyi-dIhR+up>Ou0v)6?eSPUBq^Ev-TBZX2{dq zfOE}C<;kDIq3VDLGIR>9+VfOv39?V`t6aq*f1Um9;A&hikC&V6q1aTN9s6r$AT-9Q zs%3gyH^WlK>1BP#q2OS;{Wv)Ni^Co7GnEW~@zY&zehikOj|^AyJ+Z>`qeCJ%T+woN o9-2$$pPP3mq5xb{fL6+hD8{$^Ngl!QZfb5v!9k&^NTFkCMj>Ni>-O>FHZ06XHBeCF68|Hh zP%!_u^pgKS68gd)IfqoH*^_<;rY4YrVh1X?0Q+cKnKKGy(ZVVzc2aq7k_JnCgc&E2 z24@t8Aw$~0Wyt?oQ!Kyd_;us4{Wna_%sXSx3_O;FyUs?S9|Yj8^L%<$QFA85rMI)} z^s2p74$(VXEI+$C>+$rsTx&jeB*B{ZcaD8Pb$<}U4C@rHa#jC}#c#FShSQZ!4bfrN zZT@+=lScmi_HWd3bV!!VjoOa)2Ep_j;?MPrIVCnYm1Fr(&|va(DM4dCmT{(=g84io7Y0w9E*8^kDm4P!Ix~_F}ras2iZInB_q0! z7`rRAsANk%xt^gLT-QQ*F1Inu6HdO%Sp5~s+n$ER*4CpMQT)@Ud~O{_yT-X#a)UeC z?mrVvc&DX~3)Wut?Fk8&ba1J-^f$rl;#%GC~25#mFiKYXYyiq)D6&t0!pSFKw8(}!J*!s@HT8UmN5PL*8 zHcl&BJNof$0{iBiI3`*)yX_D~1`O35(Kpc79I994oEB9d)|E*}a6X>%cKe9kh~8 zjb5gdle2j>*^YSq!$;DH0|?01Rlb;^ zc4rWioVwRi7RMc=yEE#{N11b8a`GM1OT{Fvmi^8awi{C{jcXV9_ha1MbsuNInme;& z%+Rf6f>$rCtHGYVXX8emR5VZf?ZLa?@cH!qaEoGWvaGu=Ewia_@Y3yQ*mEIwrkMPS zu|ceRJH}e(jXd(I%FzGpmBns4_g|ahHQEhP*A``)R!*2z;Yx~_CgNpo^gC~7)@_iA zDp!*kz+&5Cb;n+?Vl5VRKxeRF+QQjo>YdT0;o@ktl`zjt71uVLwH?*)sMK&?ay+~? zwN-fbPJ9BE1*7Tzx_Cyw@MH8rc!GYZ5b;2w({aejNQHcc-Ja{USva~Me8xtNs=XEJ z$)})fcaq5nKN`9X3n(sP^XcKOY8*m`Xsc!!j78Jorm|1z@j02$AbZj-L5ze>Inl)!?#mfjF^s^dynxE zbBn&CBX?T%2$ktKU<~a^J;mToP5SE(j?z)2#c?Q-tMdLGaNF!lSRV)yYwp zZYKF8%M|^V5DHWqE`f+s0Sukq)G32)dY~^+^*Ssv>rdO8k8ccSr#A-rR0M8mcYf8p zZN-P_<6gfbl8VjU@OwS8UM9IIbYf@t>rzwf? znDZS5Ptthg`u+XBekID%aiPI2c~KMdOKy(#l?$jodaY_fUku9eX86Mfu05IlFxZDI zYrR%Vzmb3V&qzQ{0XV$PZ@teug$1zbaqQEvk2&|q)IrIV@i&N)dcXq~=MM2Ylonx> zAv{rDC4d+G6V5$)M_US6Qa!f(`BVu~h-7MG!ZOrIE9>0LqBOmYp#PX?;@H0AOE?^LJDFZM1} zp<03E-xLO3bEnDsCidKJ)g}4F;iG@`cGVL0 znnD)gYk~Q;`~e4BpOi^HEQS< zPHXu5C5!FKS0Ih7Smr*Yq$)hR@X#eE^-WbVXWSvv($fDTp9JxgpoXu0Mz=$4Xf1jB zjrCP|oVjI)_gqax#|(g-O8NEc-03R#qLN3rRlibv&6a=foLO_hq@@ho+;`&=QnX*W zm^YrFzj2wc^hN2i98CWG;2df08HU-CIy`!u=HmsocaSmGxqJ&DXH3&OSl@Ke4*LDWmf(-a97oBp(9Jvh@c`!Na5H&W?ngy_D@n% zGRa0d=nnV5)%cxz^p}W?%*`GAtIj9@=5DWxHq0+aA5A;7?CaO?q(?!f zu$Bd}6bLm5FGQ1y14KJv^%x>j-(`{iM4s;$(DysP!+S7?xkTZ2Q?eUaex!gd{g7A@ zWIwpbt$Uk{jWKkqmk`kNYI?60>F;N|ukcNqOl%V(S8(__0p#W1{0v#yA+4KO`Mi3b z(YOe>6dN}2yLNIq-njVlOKr8q6+*cDt{HJT zr20LbQbOaS@G>EvKK;e>^Cyay+f9Wi;k{e7iQ^?fZ{s4Mo>H<|)Lk699*o{@qz%D4 zgjw57h2Z7)pG*gX-n^>A#xy#aDUhBMIPKh%JF}UaF)el|-VS?n+TXP&Cgr!g%x!WD z7cc*a{Sz?+({A5dNZ0f9JbCdmnl|X+wsE9sci}sr9>O7Zn6GMz4kYQ!Sn!ex!4r!c zd{JCoZ16apBVQ3C7!!~ z54b{(q(X6^e&qb$PQLX0+XLp>nHVg<2QUQu%0ET?-cxRyv{zRDVxq#yWB3(BLtA7; z`wK5VSJsS#yfi#bx;J5a;c7u_=_yxpQA`U=@#gLClH*}J29W?^KwYyvybu8QUyfcI zXV=Ies61NRRgdc<>5E?eOydb2KV=){a? zpD^rfHJE`1_pw7f3`T&VBOuuywD59sdwqGiPX+;A03Jm)#CpK{rcfHO^DwKU`7^ii*&)XxQpeE+J0vB|!=&h>qQ|JApll`KJC2;GC@%6yT*I5wfP$jJkfM_1mn8RvsKA` z(9&iw@NX@N6^Tqd-?;Em`3Y+Z4)n&=V=ThWuK}Bx4F>ia!ClYLKX2QOG6%0 zw3cGAIyn|;2%xf|S;x58@-f`j;bz4LnIe_68pt*Gxh)oUUB4JI7AyK1zO%bUYJFGy zsPynx+<}~MkHb3$p@9hFf~onJ&#|@wWu*zM37Vg4on&*j8JJ>d(9$DPi~4*o6H`%i zRPwB`-toATE(AH5pg+`!zg4Ws5SNpGTZq2I&{i9U!GO&bBY=~d^> zig9H!Rl(NRi9L;-`D8M}riV#paqBJf(Q}RKK_v|a8dZru>Ncj8@M9Z={wC_F(j@I6 zhL+9K$_dXj1-U-c2RV&=*(5XjR$-R6Kvfy-tyWuW<|u;anyo&k zr6!|;^F{Ey{KQ@|Oen9)d~PoElPA=7E$%G!KJRbTHtUsI&S4xu(*=iPb;DiqJI%q` z_n|W}a7M;5FI}@=eoUiG;fS(fd%YWl&Y)Bm9(BzM@7_}dtz7^Ai!Ip>+)}MG*ja?v zABM|>-@ef!9#&~&7>VN2bSoStu2dTDP{mrnh^EB~q^Mo*Byq-y#BCl(DP@5z3uMNJl z>>aP*_ZGyz!0+xo%EFdv{R@NPv*xcA);XOQk(Ky2$n#0~9DTc=1I5%Y`bb-0Xq=G+ z8`ZIh1oi5%?<2_Dk041r*xRg2Li$8zKD3$CL|zU1@w2N}nI>VK)cXC&5mf$Ki9bdn z;#}y&>?67S{vla=JwrkCq_qU$6Mqk0tNpzoG{v0;0=}|7h%-p= z&tbu*IX#JUt}C@DK6td9e1y43W(9}-)c&x^TGW=p0~vE^Z0jvSJt^l%SfxRN$Ctmz7GHiHJ*ilqf|u3iLQt}F7&(gZhzm0 zPgZ(-xO_e$s>(n~w3ML5KtdiBJu83Wi;{pRLK zsf64O>4!PMJ>tx2fG?W7^8ze&pP1MEriTN%aL>uJXdK;f!(E)0{^rCyLkL(tox+JE z$TV;b-jn!sl}+TUGSFC_l{Wf_-*(tU>YCktxi&$&NW!c#(oTC?a0mZAI=S)RvuIo( z*FzpKIlN-nG&AUzf!{(I)`UJ*6G2ce^g$&oHbL-!Wg~T}}hnq19!c`Is*A2zH2#l?mNFDrO-=0Q8xQE}ttjjCpVJ)G% zt#L~=cu=Iz+w_8NBc;pFnVnazr?IAvP}^3(W+g_7O}9}{+4;BT79R~CAAvgEnEfFT zrXOcvMx>w&5XQq5*Xzp@*k<4d>J`Ll5lFJH_`O$4CMMieE&aEQcRaeTWbY_%rcr)) zqtoPd(m8kIu=NV|2f>qNzdConZ!id@{;gNQFkajBjLa6VDH-EQ>FhM{RpvZ0PbRx+ zV*2$&C=7!`0P7*#oA%>CDI_NmWD+8)itvh4zv?Xb?YjjbW-eNp(qUdaH*({UKLWS5 zi|rorlzYR4bZR)I$BL%wdP5)0x z^F-dsU3(~bjX8P}TtkFcu|Acg5}V4^;gnC5Neu$UW0_Ol-_yKUa?__IP~`1VYQ{rm zSE1b7o5{X zsXtgxsH#g_JD0gV&C#so3*#RNx8`T<8`DJUSww`;S)9$))M4$EGoAm!6hUq84W3piriXHT_#nxFV;=SWTxd4UO>z zN)JWwJ8eS>=$l5eHF6fd-oLZM2H}Hwnl#!FS2_i{F-&d_8mZY+X-@v@djq;9gDJs{ zXCGFyd#P~MC+KtmaQ)FDZ+e{R`l3Mm&d%)dk5Ym;$@;xIS3Rf`O%p_O&iP-8<5qR~Hr}vV!wb9)9hj%_j`Xzu zoh7noT3b09D=b7SOB5MdG@y4a_Ww*|v|&-e-?ESro5b*OSb26jiG`3b$>AKw`=Iiy zgd?;HTKxGz&lr%V7Wlf^{{nYnyxgdXSR0xPOSLcB{Vh<%Vup4ot0~Hnli=I5%y%Po zyb+<3Z{l(51Q^dUpyy6t@!itPGrDPFeB9+e=<|D46dG}%jUATmo-i(w3nQJ^r8)H` z0Mo0z5{};UX^-hP?w0idm35MSs3J^l8-d{3i|1a)_x;Z`QMpWm=l~Qy`Ov6{7$L)B;U*_ zo-L@)<$AAsFm&z||5SBg)H`QzLb%KvOv9S(jWMbtKPx5r`7Z&wAyY)of&m+_YIvjw zks7!oy@a9jgX@+uCPBex?g4r0`9Yy$*4b355}8%GjjoN|K*ia#fu}F5d>M|Qg-gWX zr&_X0-|xfyI`4H9{||N7&1&YWiNy?9@;ZBqlARKr zo$v*@v@uF2_O~x@gjOC{b)}9dc}-xjh&jJ`j=TIN5AS`SHWVUjF)khy%^xo{HK3B+ zkgqhCaGX-;Mkz-Rzdp$?oN=}-R2_}%*tpZX;L0c8;dRFZ{ZPdnj(gy$^-eDKsys|? zZf!CTU<4N-nhUc99-+ItHRtmz+c;eh89FgCYK#u#!|bK1&yn|riJ?<6s7B9#&Yqsx zUor)w8vwAY4^Byo@C=KooBa_(z7uLJVx~Ujv-~@LhZya6#}_OajT`s!Nqd@u>Y1V& zyYcRO2qy<>T67AxXGOzjNcu6?fUm$OSz{)rY6m35rRWfC5^fyC5tHtI z^Tzq^zijnK&ifn+(Y+piPBHboF9_){LN7D=X+&yuci5T|HCqTUIJReH3KBY~S~>?t z9g4=ga26vgvNDe zi<@%t9yufdU%tjKj)dB8nw@pP1l8k=E&N!86(u~vt0jSthfAK%9~(w*Wd4&f5b?9YQwgyqg$S#eJRkp zYO%ZVU{?BM$NgbNJH8I1u+Op0O}mMVPoBaVRW$A!iwBS&T#p2$yEdte1S0TsrtNuA z+oX$M$B6%n2LX9p?SEhR7*M5i+UjrStP=tHB|2GU(76h!oz<7W^0&dy@RjQZV6wA` zPm8+P#q5LFNth%6*}JYZQIlUeaTL& z!{^^!EVcl?as97gffI5WZVoq*)=_#0jK@YV+Eq|vVLWT0bBO?=?~FT`VX7p03_ zx(5b`_-mmxHouGtf^lF?1@SzvrYxJ8kp&wah(SZlDI%VUFa|jgn+iq2`QbW9LcM4t z?wg2iX4~{@sMqnuaZf~R?SH`tBT{{k?+39_BfmeEL_bILxfig9zLMIQEB^|hACLGw zi@1fjPly=TmO9FYFBr))lKeG*IbO*5Cg9SGdn5eT42-!5h~2kpx&<^lM;&GCu!*#V z`BI;FxoD3tt*bly=;?y)iZ!iCOBwLqN=?x_CSmUYgoC@#+)r^9j>Z|&>mNQHbR7y| z^*!PfzL_o>$G-A88wA~%^2egp!d!|k_O+a=?*L#xv*azZ%yx=6W3z>0i)lBiMo^(0aop$9C>vuDhlAM zyAU-sro8^61@6J*C|Xh}khsPx4!Q8QL6hyhcPZ<&1?uHi&W!A_Q|!v4O*KREmc5S> z)iqc$5HzNcm#n-898K}=;jtWxNuODux!&+n(oPb?284PEXXa`gFz^vX(I$Wc7?cq&wqP_Mk@;B(n%mO9` zXN+cLPh-h$e6Mh1ADW-=uis4gqulNeLdYu8PT?dPv?Js;|8D?7w6DuexE}+@p7uwJ zU;dyYw@I2gc|&{gmYiRJ_qf@nnMe1|;GM^(eYNOhR$@NQIcV6_KEiF9ld>7n_bL%) z#cp7=++uyb>n~Pje*0@cCc0MtR}7xhYhiRMCxQBy5P%IN8WoGnF@MxMh)D#X3-IX> zip`grt=j8;>{$lTT5P}(ZPbzV1(~T+{n`%zkZfcWk=71y5V$+`+!nv99Dsge@R1p~ zhGk>LUW-B9`vHL3K=zy1+9*B*E-GIem>6+nA8(Y8>X<8(oD#r%mrrS(qe*TK`ZDT`{Y5VLiJX7!E11mY6R`dd zgq$Ssbg6LR zI7k`Ub`-#ePm_uVB5$t*K?p!^nv2hJ?Pw!2>azHxj0f*9O>cB=Uw#Sl=lRu%lf@qw zC2=0t_q~4-42a}iCbvE)xKQdv2y{&&NZPP}+||EaI1dUy{dfF5Am6C$O2QTp;aJny zXgAp>V&k|_u@Z70cd}1&w?c93Y4&1b%JkES{HEji=RrCZ0Q!z}G(Q5wd9a??iwUG1 zHI4>J9@L7n;;eAA2T`u?X~kPN3ok8BX4#wpbE>;4>gikV)@C-xnIt{WVdn<#y>h%F z1_wLo-XD!3xB4_cA^&7cqM#f2yFf@5x*z*nawlT&=2nZi#ZkZ2#Sa^jZu$Ww;QEt# zQA*nWRPK|&Iz%2lP!WB169azQX6{cJHEHX_q_C8Uoi1iMwti>u8;C#b{o8OK#< z{dg*$ueH9$cd5hOu2XPLsIy~P+0FCw#YM4r*?rg>Pc~hv{btvX_k(2?*On?nSCa6( z8bjgpd-ig)u%*i5+PqFHt+&CmrOk7EW#y`?6NBag|HqACknD4Zx8Z5_FI`>tLYS@J z!)Zo^j7rW(YbHsic;>fBV!m%5rS?Sz)A^}DU6)}G?sQ3Q|NYjefs*#E8P z-9CA0@X9PO`Z??dKOQew3IBi#ieMpfN=h^>*!C{k1sDS}!5C1i8Y* zybR_@6MEaVhePE>4n7W1{)Wa!kTcPLz&-GLlA-IvF8?|Ipx=tt4XOTK5zZ>2j#%kh zUR+dnEmsNOpyL+aP2`M?w{dd5`N8KhOrTc4BXG!4XIKv9j<<2j^7`AFfw^?1%Vhnx zmXeC8ZL!YDHb7S`)4A)af|{jyy`$_=Ae(l@n!mZ`q1=jBcr^dGd<0rIem#==bx``Ni*K_kJeUhI+Eff zciZ^=yr5T;yM~Il0 zZLatxv)qB?%IFkoakMOZ!mH%nbHZEz4d03lmSW8+7x4do|TEdXE^Yh(J?cBX` z;p^-BTvwF$EWw)fUcTy<6>5?5OIBi*Yl zUNt0+n@WGOl0gEE4}X9PX2;e%XsXifqfiMx70rt^op-_!qj^{E}vj| zH{mc9>#!uSBZK$8?^Jy7SU=K4+j6{t&9;8Zw?cRfoOs6e1~XBzXn^FC##^6LhK06` zjHo5o+GRVI74F{F{NFL0AZ#A`x6}$9kW2`HT0P|HcItvSrx0`m%0JB~0#5?Cr~##y z`4xV~5dBLl|HcuyHo#WDZDmq><%EG4C)l;>p2;r10(|sR_Sv}S@pfxVR10!-4$_Rf z_V0%5KDjnC)ROOlo`Bc;&r@pTfMrpwH{d#p)_ZS%M}XDq#9LR>C;^}ctkx{jSStW| zxtKXv9|63+&{ypzj{&l+{Vtw>7f#JO+r~gpa$LeD@d)G+=yq}QQe52-afQT51KFja>&tHF^QPb=6f1jAXMmq;G**)K`uRoJQ00U>e zlVG4W#NzS^thNLY4af)E`+JvNkwcVj9d3J(qF*MOvyc|>P&!aXwfH5jTeKTaJ^&*O zSP!YDw3632O44H*{*TO9ua&1s>SntM)tn{WERRD@y2!T&x>n4(DO@bW=)4tlSg)-l zqfQ8CH`3~g?5cEzv~hw;SPP~Rr=qk>ETX_azYhuftkZvA+sB#&O?No?=xbzSzjTHu zGw%Lr%fpHl(-@4!R5sRNv4&g!J*74)sANf~^o%b(#x7h9ONA(gTsWSQuh+33jg(c@Ki!^8nX)WYc!UirsSBpYX3K8_fPKY z9Hd3tp=;f7Lzj<5tDy%Ty9yi>QYXi`;TZ>lt`iKoi5R?~n-sIvNyRigG3B2qhu8I7 zC6V(c>ERN++7x;(GZvW|M4()Q(i9V)Z0z_rXrjFXs^7&e$icUA70aWu!?d5>wPC*s zX1|J9Ev7ZKA=4(@=0mf$>*aL2HV6dHrI@^c?fI0csAA{Pg^jT%(K&9X17q*7CtpS2R_BXT=LKM z=oj#azexn~A~szU*pvl7^}t*z&w{(feZSa1z7|x&UB1JweVP?jFJM8u41r%Gv?2d8 zD=YEl9K;Lr-8ksUdlnzx#u!co&qgQM<9pB(-fW(3fg$|bK1!3Fc(!qYKWURU{2HIc zn`Mv(v&{^{>=xQ*Fzg*VoY`l)S~xU_gn*o{fXxi^>=yFc8O$YVK{dcRy3I$?vv{^ zT7ra7xp*gj6Anrf1paaber>M6P_saUtf}%-6Me9URFDU*%?g3dNZ?a9Of_j!B}x;v%^~55u5kgtgjFO>)8E?%alDY^wN6DLZ08BuU~LY4ZZQ`6U=wS&vivsz!`4 z%h7Rp!q5;~#uY~JTb3|Pw!Qb@9#v4Xj_S@y7S(Q)5q=KVJ!g*w4XA@5L`*JGb6wkl zkupHX0%(`0o^)GFRk)}hUt3?~R(8{|XF{$+6R1`84FQr0qp8S1xkU#11(OzEXjdJJ zef@fHz0?bfMXNIPWx!NnPNJk>U`xa3q!$mlB&c7@Ca;8BeIj&C=wBxh2WjxVN6Oyf zc6v);?0VSg+E~eSs_qd|T3KS*$J$Ty_dFWirZntA?nb2n^|OF<%vXV%ZQrgMK6$0z zo8Q&$mFmQj-p@~Qq+?Gl&*|#_w$Nuso>na)4SL34GOD_U{!w**r<8h=owhIT-~=)M zln@A}CmG|+=P5qbS;rQ{%TIf{e9jeExv>93z|K!}o+9WiySQbr$Vq))!u_uVt*^e2 z^i7rjVjyi=UjA|b%#dmHX#=}Z`bO-5wZ6+^8!doT;zYee(Z2y~n+I8mV@%xzOdkS> zB(}Mg2FQq*#+pt{e<5jgdHgNSQ7t#klKI|2j66Tjhs*M~{`I?y60?dhDuc*B24t4p zA;oN>65vmDmjY2)%7(Y?Az1j}c^AeoDO*!t+pi~BGLomacIB?G`S$WcMnS&oIiwi$ z3DOtx2#Q76A9+fJFRcU1Fjy_>=d%C4duuGdq!8<4$2K|G<#xh`^2}%Rx_-G$xmWGo z$cgePbY*IZ-kr%o{4TM^yn3}jB!QJfMv7s0 zdy5;jhZ;?GCrm5pk(j4fO!|IoKr=J#^cA;dcqGRD?nt;ku_VFO8LWV`Ja;I#>7i z$rvR#Ub_fDDkrhDBTImgk@V5WZhPcT2)8Kf{S_flq@|T&Qk6_7>oE=QiO~DN7^Q6A zXA%x8Oeo9FXC%e6n0 ztx43i&uxGOc(B!kHbde}2;Vtoql5ez5xhhe75~G4I?vpTKL{wbQ;C_$?bwKB`GkLns|>zRR^?n31=Lo_m=PnmB53aQiN+n+i&WyO<)DJhvL zNu1dl8dl#F$Ey?-GW8f48)E_tS}Y1oLE|ojyMH%mHxMMLiNAEjrA%IgmP?QNBvLep z(u7taB2zYsQCck1hfY3)vWpQ&*V#+t&C>hT=)Fp#(u4+=_*21POZUx-a)6NwO>B)# zA9PaWjFUNQUA(v%8|i2*^OO`aWf&RnjsC~7H-_p{i>KHUs@Q;OLo1>fd(u?L*w)v@ zc|E?>)+X}$-^aMVqN`%Uy_=Za5cy&96`iMJxT;Y&XKl5b$qD^cF=GgUBG-AnBhf9l zt7!r^dL!z!i=FwO7|ls;9O3y842O=I$FFo4W~VpKQzi^x|2DgByZ& zZ`<#cVZ$zkHx~;TA{33mbc%FQXJ_0jsei60zWo}WW?u}(jB{nGw)UGf7VsphpDdyN zEMdhnS*v#H!aUCTiAlzVYPLeQGoEYw&(C+5`|1wGZ`POlxNS`m@B^vPIyxk>!J>G|?qXdJR zDQtc+Bi&2S+IS**n;HGmIfnlb>g1gvARacG`DrvM_(vDVl1D4(KL*HX43iZF^;dsV zEOE7?ISaWhlg}q36jEP}!u0@S=jU zKP^$ty_F|E^Dh zNomP6zO-y~LGZI&XVfx)GTk@q16pez0J~ZY_`MTE5}Ij#TF*&Ucw#~!EUAhR+SmJ$ z6Se}UGa5Ap*h<%-vCLnD5v47oSzX0Br62snnRD@dM9&EB(8LaUFMUGmx9h1xtG6Gi zdNAP9>KM9@xHiPpR0YMLb}%s`(&JGdQCl8aNx$>5|KsA_F|q(dq0eMMR-v&}LBtgJ ze8l&^Af!lHdA-9LFhSbcl#&z7h|)-XP6t02LzHwr{iun|?{2Q$h6&5(SrRI!Czp}B z^AF6JKWatneXF{(om8m(>pHJ4FpVKVh6$2UC8DR}jMf?K_r52^G@XVKuaLtMQCIMh9}0le972;gL`Vdjz=(@d!VD=Nq8-%d$LB%;t^m6zK=t zdYX8d*!!7y*`M0fo+#GvU!=DzV)Uu)=sRd+)_g^zk&-qKBnc8MJ)J)^pGZq+evCt{ z+8NXrZg2zExvK7tyj8Cane6jHM3j)hn&RE=Fc8NAGFY&+gh+Vf8Rt$f@8ZG@H@h(N z)5~9WQc+$`=TB*M^#Ns#`Cs!byK3}iswV0xdcIbkpZ!$>^0^rO6(gz}E=78?22vhF zYxvV`?8w+ZRAxSWTHV7eYyjUd);aiNH@al&%!G;SI{n}hnUg^-ZM7~o{^dFp6SO>@a|8Zsj*H#*6YAzt_DLNC|)*h>Ckr5uaTX>#_oTsiQ+{dIjN-4LzZPd!yA~vZW&Hj;;fpi=bL9->C<# z-W~x^a+SoF4pK_f*f2kb05D;$YNo_W;$V0va5Qg>(PosD{s}Ur=V}w?Ze(;4VFg}P(e;AMW7{u@0leo8-HXLV9{q5SD90tQ>a&W(f!_Z$Idn!u2Yde*A~X)R6r#>< zXqQxlbN?|$Wj}H+`6m*vVj|5qvT%=Z2g;;SOt5`}N@O6(RRqay1j%WHFbpQEG?2w~ zH?s)J#B;-XO%BEd8}sloKX13?aG+@7)fW*T?cupO4xPD1mw%mP_)Dbfe0>y)zus1ej3lKp={7m6#*gn1D?Mb2ZyfX3(`ea^gMQZyEy_3|q(<(sL4M5qY8% zFq#@Lnhsq*iOwNv?1F@~9Iyn9wQO`4VQ?8?04v|zZ0!1<5*BlduEf`^e{y31>?CM` z4Duw!{C)LZ{H5n94b5(3X0zox`*F%UE_+Q=WsAe+w|?YOF@Ek(qgeb!n*@)tBRTE# zNIo?$NP)~XxEV!(1-k=E>-cD>Pcdl*w>E!GG;NXb3vjwRR3A{ho5=5U#cgi?WlkXY zY^H~nc}-;|QwZioj;i9MMtTUNjVm(Q8;Xqfp!>{y}GU&|l_iv1mfWU|YZW<7#39RA2ws(36q1 z`xP6(0m0LK21A<5*q2*PhJ$kC=e+b`(BIc*VyAFbHMgy+2+%S{`w~VUr2l?({_iY# zn5r4e_xWwEaHaHJuDx!=3s*GsmPgcBB!UC{Wi*?chX;B2mFGxZ_I1@!WbD)>2K{hb zQv|(`LY34EBs)^fR0RP7nY?^DR#CvhQT4uEIiHf`vX8pM8K*OM6sKiwrxnRIo)Cc8 zIH92wMf`EJ0}fO4V2>Co1~Hv=FovP%-Q3)(hW`g1^4^5Y-`1Dmb(>z4`v7j|MnIFb ze6JpRlOLvjy7g1X3_m&48YiY?A7XbThBp@Z6O|}T=!mt>E2R#Qas@_Xb2tAr%-}cz zG>*{@kC`<;x?K=xHeb!~joJ}5IN*G=r`sw+B|r{_QFMmmaujkD0UE#nmXZG?pjg^E zM%m00-{HHyket*WWTs#!-a~s`4K%4{-XIA!vAD2?|ASfwC>-3=q23P&be`50ArkmY zDa)*i@l)I+RzVJ`-|{(XAe)X}mFrtBio^))kn8rRt~9PTw_E-~I!KP9Wez>m#G&I* zQcvIQa4>wX9*&~qNix)f`<f9%IoClaxuUr>_NFqw4A9IOJ@;h8H3ph+; z;W!vg5lQm^879!LR3j0!N2=)us_8r8pB^wo23K9W{+}swHoS!DoV?k#vQNp0utSoW z?jpnpb@2A`b|YfnC}$p|w4wVu-3{O#QJ*`u@AOC`^>HHgi6gU-26JIaoHFVdPp$^r zgZh_Y%jluW#E)fFzvbZt3a~+iY|}TW(503Oe)wED0FO-d7VJGtn$AlfIX$1fiqJk3 z^Y3h_fknb%8+vIzbq-60U=6E~jR3k&DWvPS(Ls+73bp~%19LI8WO1(JjqbhLk#YX!v-YZ2f`o%Tiy zaga|TAk#!K{qGkXw_KEDi9%!Aqi?YbXvl*H0DnLg}o%4Mdn9loYW-wg^K0B z2#w_k%z&lB_5Xya6cPGMO(+yeQ*{5M|MT6&LsMp;`y+^%5MPJWVvk#mLq+nRm0n>e zdj5e5QK)0VNhs7+J@cmVbuSaF9Fj{qf#qZowI2 z)pHu*hk_Zi9jb#a*9cGP2M8sll)aG`k{tc_G3pxAKR#JJGtVgAQLt3X-qjx-b$?7o z9p5l1;=7rGUY#+|s3rbDP$m7jksj+Q7DqzV^PP)ASHwWdtq+m}L36;b`4w<=zOTxb)sduByK4Ck>!|+*>o4Rx=>pReb!&OfKZ5rlzIk zcOKXJ+OcR&b&<&1sxZ_~TTGrZx1f!1&gX!A#D)FyWv7f$fT5h_&UV45I}>0vyIGF! z_oS+|m<+?m?}MY?nlQbp*tv7W{>GA4=3jcDtI&^$y@S{Rw>a}Y&4u0p!JV8qCiYxX z(2!E-t3jeMwl76|USIuTO!#m_I{NeRn%0xwFSb!l5^CxQi6LPMKa6qjPJ)m^=ZtT% z28J(>#hftjqa{|DYNHB~g;o~q;}%~gUiP^k!obPpRV610t$*txf`_`a7CZec;MiP< zC`q_8g>Uw}ymolv%8}X7*U5NFOD~5^{uQ+ln0s2q?V>9Mkp454r5LxA=C~SsH~opA3>)b=AzOec(}?p!2qCP*nya%%axUZz-QH*}zV|NO|v8^5=Yqc6cwSFs)dw zth#_622N&hkKIbVRAOVSiH=+}rxu|g-?DNhUm&yjsK*UinB_*$)eeSX2IsS$N@n`s z(#h6v6`)BiaCtg z8$;)Ip118MDfH`p?onurYD-&{SoA{H*5*GBrrf~gou0SP7W29te5uA>I?JA*@Y$v} zlek|H?NKrM^4|9Fn!_C+<_(=^+{~xn6DH1pnzx6i&#$OXHe1OjL*<;uV$2I2V2R(p zEOpo7xd8=Y(Sq6`VAWYRd5b-l;{K+L`oV=ay8=5R`)<5*v1cwv$@oVD((rvHhQ_!y zHM&D$9Fkjv=fmPS&^Df%Uy;)Nz3_u(3gg18^VZk4r+Gof828n99(o^vlgU%1BVl8n zWX%9BKiTbk?10f(k6G36{R}R?2dn%46_^KS_&W;n@iu)^a_Rf2*n@L^Q+nBNj`2yz zyK$JY{3`5W{IKm!DC3Kr3fCTboN-T@w!I2v7vCDRtp<;YHSE-;eD6ltC395;-v&n; z<{E-B#u`Besx(@irMYT5`>4m3skn+IU*D=^uxg z^eG?LgviJFEyeL0LLofFB=;&R6ko*g7O6-p)}?K{M`1f?t)t}wXAB&JIN4J%^8Wz< z0RR7Z0i;)ZY!pQpp9KmnH=I*xKKG@wujzm_aK*6MiU}H&8 zBm@b@L{NwlqrpT=6Era@icx|Q1rlPw#~&L0AW?%4RAS;E1~B@4-|p;nuh5pT$;{r) z{N|hQ`I|Y1-OGkB#y0=D^-FlM8ffW6+)D|=6Gm3|k{Oa2Y-GB9a!uSZ_%~C!(+k!6&%Oah9!u zHi*TbKQgxg6OE0Ah6_v!qvov;Dvq)}&}Nb*RcpIXqQ|9VCvDoU??JS-r?cxQMJhTb zm7oYISqr_5qx94hTMRvTy&FHJ=O7C;H%A`vVbH_QgBd%$ahgCKra?nxkyUy}o)%RA zCrxI@;P>mhtIy&hRE8F%HA|U6k(mASH)n9FG??;vMQLC$h`^CKZ90sH2KU}bX=D}v zlK*9&M?jp%tvz=I}da1yEc6a zuh26+cnXKfq+*87d!=i^FW{ii5?=?zup($pr0C-Iao2G~IyxbcN4%_|xyl#{@{E68 zJMj@9WV$&y%*>#mFn-D?!kO!G4XXhslff`;y#QFo(g3iNSB|&CdkQr4jV|=KunVB0 zN#@^OMTamLeUvn5umnm2vf=o?R(R!8!8BA`xLD3T)g8%-0W|t->tO`Tii_kfP7t%q zBJ3BhPTw&UMr3TL?vW!1huv`YH1zLZs&7Gc%b}rVL2N?0$8dUaGm(RN{~5<(@MB8d z(NnlidW2h~vR_G#u<>LgJftAR0b}*`He(A8NtL37geAI^&4&K11DCEMPMR5Y1*fVk zX?l*t%g9AAbXKDTdL|GLUi*0a=T-1M6&l)^vdyfoGO?`Ba>{s$_PnP~*E|HqN!!pF z`G5q_I7OT7-AsCn55$Ig1gH`UMtQ||kM(rcjhsxnVmFkH0c=@U*|T^bkq1fP5~Z5* zr)2llT3jUe&!4HFa1iX15CWC-xY)4~b0Ty?0oqGj+{JYFpCYnw{lyR7L)|6+<<}4j zh2KdYex&r>W*iy^4LwX8FVAEDm_Zp;M3z5v)J;XlNxgwNI5DxR!wCf%f;e0FqbQAMNpZv zUHuAe3Ar*@Jc%ORZEVFjdz zNc7P?>UkWI{`L(Guu7WjZ7F-S6EQOWlzfihwwm^qi9C6gl0b>-bVhrwIMklQxT(Z!Nbco1vEp(k3~|HUDBG^04BnWT>@-Lx5}hC@TA+1yAG zVc;NJMsD9-S&K&jOF&!hLKdi=lL7i{#04QqR$K7ph?IZ36>w4Eu4u$TKNu-5&K=Pd z%l{3@khd#$bgo0`Sl1*y-VA~BR1WvL+ucEr=#SOkOaC6hMMAoDD~yO0hTNmjire1j z4r05ys=dXS8S?bj;aoG5dGe=ls2U*r44p=+_B_#Ag6z}xDn~KNUuS+hum;!5B*`=f0X*2#s*6Y8o!rjG&ZpdU^kGC^(pIJr4H3;&9vhOee!%_+-}`pMhoQBi%`P zu2|`M;UVE4u4p+s2hAb#&(1#>QUER~Kr7`$6cbziB#&ZIBT9=BBXZdP00030|JgUz A$^ZZW diff --git a/cpld/db/RAM2E.cmp.idb b/cpld/db/RAM2E.cmp.idb index f64c41a090462b7beca69399985a56808ce09731..7efc5156903938a588b58be648dd4979bb680e44 100755 GIT binary patch delta 13412 zcmaKS1za4@vu6^51&82nA;H}p5-eBl3&kr+D zwLiGqBaB*H#aBWum2BX-sUxdtF{!42hTnJ5(_-leK*4DGv=}bW>Na%AEuM zVeiazcy!+>$N0v3k_P27+7=%@O>^EJ8cJ#6sd1lc85)}3T{Z{sjrH&X!;^f;_ZkR3 z(ovXU`Zv0G2GZi_=zEDiK;#U;q)~!_v`8EN)KgboS)T>P3`a3wpTC^-=To2kEZd0F z>(Lw3?klQ{Rj}R~wb~jr+j6fxw@iE#R6l9x?0I$bp>eh|0WV>meIh8SLD4>O>1~st za|V>Ge>yfVNGb*Z3NSg6^qHHqony=(Q15-bVS9i8*+A$RTbVzWjl zdOuqKWNb|A4g-h0VQecaDVwJr$LGO zm1D^nWEf`^A6ZEmuCS9R-jOmlDGroH>%VD|J!k3_h6)h5n=u$Mf)6Coy$hrP>9i!&2aP}|9f*IoEo7%WrjV26x zcM|XRm73*`qn$+<-4zOxVBGQ+@hqe}lZcY7X` zrvFbYEMK3Gl!|VTZWBZCZqUQBU!J@`yLjd733!s^Ki)+*WL8?@fW+qVwuX4l$=jDbW^0*cpxr&MGEkJQCtL+Yc72-+^ z&i%U1jPcJ7U1`f4f$?%rCYr2B<)ev1;1w~LzO-YG+)utw_=nhp&N^L?2nWTrJaJGL z)jLJO!^+C?9_#G6x%Mv)QMRWI3 zHtYK?0j|%BTvf?Sbcp!#ablXg7qUj*cad;?p5@|5UOtD2KO0A-xqH^i94anJ@-O2Y zW#Ez29Myj$%TjaX-Bf7av_f*{DftocT~w%mGizuYRws(Wp*3!ACdx;;(6(2d4vbY= zQi6u(1yB}+L#gP;5BOk|Kp;+(hV)I7o^uq`P@(kbua=SE*n8)YAAP!!w+69P+>|!l z8&yOBM~othCXZ60+w{0L?2vnsZZZrLJLD6^m85RbklVCaZ5F(CwopEN<5IpgoLFtZ z2DkSCtykV8Qs4x)%m?i=MDJcf?xsRE#l1puTJ>u@kz8`8ITpdaLRANFZ_qt7@qGoS zsAb_&Pf459(8(G|VT!Z!jC1;pq&iv=KFn}$+8Qd0!7Vp2;8n&YQZ5|DdpQTot( z>h+7*Mg(3j94FUcO;ceBfwtL>>KbxS=vzxH3;shHyUP(cZjTE`$^|5Asl92@MQDCp z2UtB7M-QZwT*ls&n0kKwY+Qoo4mD6ratm!=-B6GKHj>9T>5mzI3mdkLj-q2jNH+vaYtyDXlPM& zMe?cfv9HMKCZxJE;#=86#d!8hMe@6V_Y>-cn>^F%cp+|B1b31)A>L^8)DUl)^B{~) zYRPw408a7EfLmLf&T<-YkUp-HcEdg7A-hh- zH?RWT5Fh)%OM(`a4(s4K$~>-Wt!Qbw>Fp;*6_|El6P-|6L~X zy?l8H2hN@RnK@Mw3Y@`N4gc>6R9CfA8%{CPc>5FV~R9EQdHy{I<&1 zzf!{G>yn=r$%WVhk~u{$(;YT!%pF)mTdy?y4$G(ZQbU1=k#7Ts^PC9F*G|a@(=v$b zGviXEwRZ}oNN8CJq8y&;oN*92ak&(ZnxUlkxUlM1bVmGA&_Q;Hck*N&LxqwFTvl|3 z=kQ$&)l);oy;-MP|BBQ2tFuE(@aYr5hdn)a$2oy5BK9^jQiZ=XRQJNTz$mvQhk|I0 zB*`e18u7BR&v)trB#0&Mntl%IgVec}LMZ_|9Tm(CBDidvg@Isy$|_AVsx2w1@mw7T zcT_Z5&iyZ{#JS&J)Qx!zh$RP2X4Uuh*s*}tW*Ohx7;0H@+)*n@C{hegwG}GGAzETI zD+`2=^o(XhYA7oE3BG|R{00Tu=WjluIw1mj%$mb7O;;mtTVih*s~qG+uaDN)6b={h zJ|O%(>XGT=cUNfl5I`u)cEO9uNs?2ajV1s#DE<#O3~r(+R93gK<&Dv2nKotN?IK zVT;rR4jdBD9_imKBm5wb8k4CcIE7=qJ1R9NjEO*>w;M^D}OqTOQ%4LQ+l3*v&%NdDDhGHhe#n~FOUeWa&A6Qk*+gutG6 z!;v@IUvdEwk|^iN1TMMWf$HM_nR!4?cmUNPPzBfZg&rDeVyy9xS^dr^9yZ*q?~NS4 ztGSnzs{QjTXv}D$z^GRP^iWHMed8bRyJb)U#c_{Na&Q9MDf|Tkkh%gzAyr5wKfVi? zd0W4W^29nzHj)&*KsJ{9D?O@3m;O=Eu>lk4Vn^#@UsEQ<@j;WJhQP1`4RJ?*NX_AS zx?v<~1Ztq69>*9@kw0-OpInJKqGhO zpG=xwfxD*$NdfWlBLRv3J(C1zTb_qkkFGdf?`FD}ku_3&~0>5w~onOCe}gn9}!v6Y2`+;2IlCDN%HGb|!KT zbeU)LHH&uZ?a0jucesih%;-{^5rmW-U@XrV$J|)qgT?HX^&*Jro*c$}1rU<{Gzo8A5QNr_J z)c>X)Cm1&g0s|qt@f9I_wRztY9Ad6pk`f}L28=(iWfh7ue}5@pEb{q&)zL>q?~K}l zL?x@aaOi9;WFmxG{N>C6_o8h>mG}#wsj2gc5dW4qIVh|vEydDq!#o< z*-^}J?HA(BKG%f!!%GwWbdkzu5_@;)^^YBQ$tB_uk8!~}JF|QlxIPcahwPJKUfm2O z04mk`x=vMcIf<;;A5{P1n+w^q=JZT#pJ;GAWGt_*D9Nj=D_TzU?diOhGi~Tyj=s(Q zRdu8)Jv8%`kj=ic@YVIcafa8!k%V@~sQq8+*Hib{b{{CO`1g!6n$uO_c%0Zoml$>d zM-J!~xV45FvaeSOu7sXRc3J>?4iNY{c5WCI4>kpZi{$Mch;y(z%j?s!B?bIPz18%LS&e6*fw9#b5 zS4fTSq&7N^CwE`E^530}mwbBU#rV;dIo}xA@+k)Y-sGrnQPB%TTP`N{LZ$DHxI560h?z%pOisq!(E=W0F9gS>-kG{J9%$0E>0v;al zFuy5+iZivHW$+kAsC+EwLJAu%h<;JqoNcKc1e&=^9ZD{XH9%~f#;&4Ev4IHH`U4M$ zupGohzfW(b*5Mo&yzX}oPI3ryhKcuyCtDVdqBtNj_y~qHWLBt7sZ6wlrP2)z+h_HD zOvh4kDQ`Y+;fVrP_FWm-MGV9;mem|}7I5})NUC~l7Th)67sXY#DYMQK3Tvc;{8vQW zW!sAoEfa*Ta#VB1kri!IB=N`mp0h5SsaVX~F78*~Y$-rqs0Cgg?z6)II6tl&W^)5v zeU-8gw!dc>&WGH4JL+ds#t?gvV{DNZ?LC|w)b+X*!8?IO1Noh0hSj{6UNrYPHmygv zo4TnZo+ss7@An36wxIT@OD(?(LiB0DZm;fOIfa%A!-O9en?lWJZGhd+xpSy-bPI*ZTr%sG$H4krnq29^dwRvJ^}&?}q2Y_2L*h*t*h%fyR@%UL z6q4R}kO7n6#vhhQF``l8#jLGO;_$!%W`UW-oo=UnT_cF4GnvuGxQrs6(ATo$ z%w)adU5Ns!;v`#e%XWJf%_t)W&Pi)a)EkIQJAinib zchcAA9gQlzuJu_f9X{x;@S>@8`Yh5j&U>=B2$`X9KWMZ!8cvR21#R%>78%=EN?Z7~ z)4Q|6QK}I)DSLsikUA`(v379!=td#i&imPVR~7JZcOMLXjf1BygWtQE)piPjM|yk) zusfs#j|M6v;%Dh!Cm_coKlTujm^>g>ZVj!APP>_w*@iz-)H8Tb5ts;iy144AM`8K% zDbuJ!9Lu_I_$1E?QDYv*51H4)tH0ZEkjv!kZoJ-ek=5e^N}aQcF8gk{^4#%-?tgeZ z$h5i!Q4jGt^^TytShO3I|C?qODbc3|piiHt^HbdnG*84$BzX5k;iM32-@3xbw#c?` z)ZxCqq`Vo?Ayj>vWqiv;5jLAL=`CuAD!fBM+O#hPb~V`54n?14rY9emaM)@NpIwTQ zQk>bN>r>ln?PyD+CYZ4$jp(FTzi!Fhl7r>lzb@>L2xqd&vai5S(fvkt;wIkv3Ob-dKhs1QE;h$ z&}~CB635mwL%xWm`W=Hs_;qW$W@^cTSZL+(iJD_^&f%X}e%e*LNC&X%Vr2x@6q03h^MEY5WgPWXY<#{TnShHF9O<;l@srWv^p4@UGPx#>E%>6oYsMo zKZ0tOq!Z(YLm?WQ(#zoDVV?x?ZRw@rN(-@^W~)t2+xbHy^P--OT~*C0khzvAmB~M% zlHOGj|3{+7Wke8dHI2xq>9unnbwchcGxeJDqLRkRYiriKm`Ayai3lIIEq;s&C4*7- zN^70%MUTAaYSdSlm-+d-$a5EhBU90AEh0^Gy++EkVZeo9xBSTkA;* z-i&poIE}>Wn_J1=hNT>jR@(Vi%t8n0avO8jrMRI_#Nn|wqO`%)RUsSqE_1zgWH)bA zv*OVAEo*p1j%-qn0^CLty}w(;>2ZWG$>Wr13bv2jp^>X`U>h&v0J=ryMq>eCmR6=3 zM~-o~Y>bHu52DAe4?$r#p1<2vMCm432a@g*zZC6V@l38%8O+su7T)*jz@Yuug6cTj z0xQ#GI=yLg{;mb3l3$g@+N>HW_-QH8^72UUoTe$K#~$(0Rixuk(|c&5Z>jiJCz9&B zJ9BbHV~pR~&z)d3cL4E~YEnckyx|v!S=>J3*L##$2vWd(^8|88Wa7Cab;?Lp&l`Sr zGal0!4W&kiAGRDN+FFu^K#-=1W;m`_g9GoXrAJgC?AuHMJ4a@uyZJ+v zP3L+^V)M%cV9rFN>U6e{60ZnL*wt=kodDyZ&ea;+##CE&i0&^6wQZP=9F8 zo7h z%nkBYZ0L;JS7{x+h%2loxpYPNF7ZuefK-lT);G40gOK{p;%DF3FXZ5`rSN>wBlb$% zbx0QG37&NUDx?@G4)jLOmP$yh915T{T72FCxfuK(mO23nn9QN94-4Q z2JEtqONF9lT5x>gtd;o4Lh<3AEZ;Jx-cyfb?%%<`GhIz@txr0km5kquE`9#4^52Kj zF=y>44~BixY}skOvQtd0UC4ana2pifs`9=$^7K{Ho=Z~CA%&$$NW#y4o+Yg~7A|MX zhLl+#dD~JryI*EBVNPvCx1G?T29TsogJwTJj)L9eZDhUgAv6-mw3}tnKHvkhw|j;< z6uixW#T1n|aoleo4Yn0&=I4XGt)L}eBMp|rGiA4FL;RCSYReu83)-vWLOPraLoax~ zc~(RzDbROPzLnW0qyX*kf@|G!dUw2keh2{4TCFwtQ=9r8q&Hu~w=V|A%j!gDfCn*C zm}0l;;dK{#xrlL)pdNu`yK&uau2b6=0DSf-EwV(Rs0XSa7`uJLR)wRMm22{RWl_r5M~T?CHG@kiM6PNQnKS;FP0$oTEKS4DtD zeU`zF4OU$rdSqHmiE?q%`f=nFhug*KCacGFGG38;=VJ{C{-si>2fi;L>e-`NcPBdU zRnupdsoK|qW-}7U&@U}2ysaCpUNwm)d-2-~6sd*xbTw2vm&aQo`MK}v^Q<0^*_Q6S zsY*0#^1l>~UH$pIvGG-Tq~in6P4zEe5`Tb)<@JsQX!M&keC4)zk#Kor^Ml*iN?6$C zjHf-QN2Cw-xvu>4{vXCk-z@q4+59(rZgc$yS*%-mb_eQ*#Vq=)dP2IHEu3PWltb9p z^zRTWI_aI`A(%1K#oeu5L~x~}Z)4k~9gbIe30C#AKR_0qgXQkhbuP8mXS+MV(&?gM zV!d1i%dWV_64K*_A>@?X85$oq<)Qz)?2$)K&IWer_C0fDbGc|lY#>rDEEdkCI^yCY z81c?Fzm*s?F&?dX$eH_+ZzU;--YpE=Q-nWkfUhWHAZ$}FZ=#`F?Pl-Hg9lB!fFh8LX@$gP7U#fOzx`P2~Li@85abF%^ zkD`7!hMv5d&EXH}RMr$(%@y8anW%GJ^i&w!7QI=qSP*K)hpl)G(6blj;~S!!9bl>6 z`Uab(mulG$jCr%SFk)m^b#)5*+Ooa!CCZx~72B=s)fDqJO{&4+1&YX5txOFoF0Lju z_#DD~4{9&h-DEu07XJV)?EOOQxCgo8B=>|zD$Wr_W#>ht)1#+osl!5vNBKtNZnNi8 zOY`X_zZS>O(?=fsi_{hOdslBq3O^b8)w-_YJY1zR$4j)qZf~W(iBzwiCl0n^Z|MSo{`eTGZ!lljR9458tv144-T(M)s@N zxQ_E=>CHr++dAbVH)(->(Z|)+ZtZwoYzq(Gi5?!51t9Ij3hym##5A& z0~L{8?R)(F>plUPD5>$yAkcz4@xHfwC##WorxsR#mwxW3;Y>j>_)cJWhul{4E} zy4cCv%k^5W?FN#be9z&gX5Jl^N#Yp37}H%GHfzTgT>B#{Cj~$$f|jogprw1|lxwnGnWa$arp${Vm}{-zLlsMO0(Jv< zWH^ADd&UTmnQ2L9?bK2-GO$Lu;V0SqRpI?p6&9dhUg9aC`%OQ;fTL2pLnkIOb~$w8 zQ#h4VOx7=(`aS1so4elO$OjCg9W#+?D<`=X3MkXw!KbOU&O-yCOdl1|&5ZXN*5*em z_J&4hqZnehn9GJdKZvht3;u{l#&1iNep!jQ*4bMDY+DoldSkV0_sy0ZK^MyMc4Y(H z^mo6Qdy(>Fq+2Y;IsDS0(07R^YN)f5U~io6UvtT$zxkyTP~DTCPu zZ6r@Loz>2(MTlU2CtZ1@OiO!%ir7}VzXhh(nX!M#K;EI5SZQsCv=1tH^Zu}<-=>$b zpnA9$a10OUL)+w3o$wDH?lbv_`l&Vdglu`o5=*9OyW~YJ!kO4P6L-K5lP?Kq5-Fbs4)+(P;sBGS5a0Kyr_BRt{Y=92 zWd;BN1k|q3a~IaOdaY`VQmm|DXpD+jpKJi39IjC7>sB zM(I{?|1E`~G-k)vWVG<`^kLrPKV{G`iYN75Q|}#G@TLIka^YdkqNeuaeO|4M?UH{^ z{89l;|6_(X9QkX4{~472cX}ixQY&imlK45PzlHx{!&NaTrb-|>p;-}0mRm%xd^BZQd{j;y^V zUvt6lzU7D}{*VZ7UYJOCz>MeELeY4Y$3%H{pD;lb3cUmkuWF?^7rqP9`0{GxTQ++~ zhQ*{tu5x+6?Z$#Xlwdk}z>r#&5hsOw#tmP+Z)@9qj}FC4AUL_vLaF8vDveOjk(m9X z?k(zIqzG`tF0w{U0xoWm+w_`|nqxE2+q13RM7`k7FC zq`>>oYcY}4+26ka74?|Up_y7!F$Dwqr!ec@)Yqdvrz?`n#?6-NOT}{+0rqHi8 z;NZ5(0^;1|B-xae&cds1a4T)rEqCkJNA(9uu*YU3^vk1MIdQ`@-ML*H;lt$eF0owu zG65gg0gB8?fZM35-jEG*6BWAiC@>7mY$rM95_9myPFx2e^An{_nzK5{kH??S0Fnu9 zS{GH~Sf1rJ407Odx>?kU$XwBieR?q!eZ8Kh8$Kvuue>kVu7Hv4Vt1TsSgU;PwI7zJ z<{x=OO>;svn-;(O4zrcYQeoxex?~xnsF@P6wzN<{ZghW?AhIyGii*h!R;^8?&4WSS zM&)=|y~R6a$GBRvIK)R$Kbg{-R#z<$LAE`9YHg7z&WV)JE}VR4@BOFgq%imAW~9yD zFLs=Z;zmIpCcrZ-E{dvno=&D_zUM^^alsBdf4uM>6?-OoB8FT~OqVkM9(Ob4ALC%B zo-k}+Np&aEv;?{cQ z#Ldjz9P*`5=9Du^Bk0Iurp=7EBu!|f`9}e0DcLS8x6 zn?*e0#-4^eAqP!Rdkvp-xU$}umt4T7)*yVUgGSf|*5_atScRc8$H-qg< zEZ8HPTn2$aNF!A*@pb`|oFj~Bd-jECImc|>7;0akVZ~~@L>_o`v-)}?16LYURmX3# z!g;28j~e4kZHe97ZNrkqolv%6`MZr=*i|rYhb5EHd0$Ly22VR6U8Qv6q6z10T#pTS zyUL=)MhcQ@m}R-OX!M(jx6dAaFaKA8z13&l8vCN7GlA$!{xGWO+pWQA-Avjz{oC=d z$wP4lVsiz)z-EfGbz$-d-VK4Xdvw^BIAHL_gIc~;T4i)B#p$}T-mvvmgFaM*Fm`PC zsOpfeJp|l#bCW9m@aOhWcv$itl#Bp=POQ3}qMj05-&$MEfyI#(OtfmP%u#`&9|fCp1Pl+o&Ag7;r(!j zuygh^kyj|A6Z&T^Ub=wh;y>4Z@=C$ZNr<;~CI8*hcmn*&$=)Sphw8n`3Qng1u8 znzEf%hzx6n)FbAqN|?)8PmA<^SMsWX`x)AcqKXvXK6~s=$_(}pHSR=udy6wI+Jf<* zTJbkgIU-1!D5S@5-r}PsbD-%7EBd{vD_j$n?p4b#yz0@@qQ!>A!*6Bs63-oQJ@+mv zKdo52qWuNeAjfFTx|KR;Kz!&k%9!=?hEJQrHSZAb?B1q$fykCoLbASu`x!+k zQS@RY)XW)T-HVZm;h2fLFwWSqU4X4;oZUB^$*#P#`+xz&J9y~icu2|)-TUl z)&Z<^uptpKr~REuEXoz^;seYGUkVyf)v7c^KK(d^i8I}3CtN&- zH!d{83y(98I9vChy-a+lC(b$`_vv0hso{)g89a20pokGWuS4;wL=w1Lc?P=@50t19 z-EfrZJtXMJpx+@D!`z3t&|#b64YBtIEY7Yv#h^1a){@{vW^qwP<0QE*X#M(4xpdOv7?6z z{15F$)qI>#+-9D?*Ls6=Vj9gfBOqVYDK+(13a~3;(~+s#05aL% z3;lT@FPd0-FX2QbR+^L(LIAo6EaP3p$*dM#*Sg>WT`?stAsu2muQ#nU~xp;z(j-<|7 zmaU(Hn2k+^T;^h@-#Lfn3eZT$*fi~uFLf>F`JI-&iH#HS(( z-d#$CbF~=Cz{)mzOHz9ea-op5g?8p6*^LkB9~`j~(9`4`TlpPXP2?w`m2)pWB+b=t z8;3nA8rfB;iS6bOq{qozlOjA`?CY-ASDrmG+f>)vS@)^u3*D>vOn@}S%bL1#fvJug z2oIH_(ow5)?dp5kRz1QR(TBUO8FSj8Df^MgM-JE&3wU48l8Z6aYCy*Ti+AW`ImeDr z70GVZbi?igQo`wvo#zKw(7Qt#WCNq2*;F}DeK_IXmldPBa}c|8?w6knYJY1ybv-QS z@5!#X2|M&Lrt~GU3W2OBXgLgHA|o%U@pgg2#d!M}RZYpeE1SyXxNVA*?DG-6j}&d{ z50PnW5$g(dt$PC>91X-vwn(=%0-Z5Ey5OmDXUXrI>RL}_I(*wcuI}XB9Yrqc>?4Vp zT9!?_6nO}K2@g?;zi%R52o|*>I2YBO51SCsKOJ|=@rF+<<^T;io}kZft$t>)-+&)J z>#2V*0q3@RwT$DK)7^WY`s$`zBZ(ZAEAu=^Tkk}G^mijYBc*(*J)k*3nnf4aj0Ux> zO-Mx=DwSF2rsNA+WiYDv>`{%hP_@N zc zOha^)qNqsiMegf*xj83$!Bv|Rl?ICrGl4E4!|7~7fO5V@dNRt*oRYl9VIk}5ebf7& zHsw&>s)^^vaY8`__Ek+Pj;m`aiEd?4poy7R@d~3Q)mo2yyxYxsFZ8Ue&qvc9be83C zR{BCNv~qvW6MeH2x|yaLh$|5>Qr1aL&!k>cQkP2Px3|0d@m0=j_6UnSCkoecSru(l zn$&_C4;YZn5*|(KN<)p$-|xb4>X5Sj%X?oovrVg%w?v~?s*Wrc9`sW<`4$$qpQ>!U zh>b;MZJn|3!{jen}+n zUD0F4*_N8jU!$diVCPbrih~HjwW%*9Z9S6Yi2zZquJVXu!AgQq_+~}z%vQwAHaEEB z;&83#v%MiJ@$Ma8#{FY05kkrDMeY8E&~akbGb{`65=KskdjVkmw72fnBabPh9Vj=5yk8I|Cnp9ZM=+5Fu8o8bMnSjgYS zF3gi-lG6?EfE&`m>qVK66(4IKHjAK3H)wR3-9Fo_SkO*JDDdp#T5s_AgziTek9hB- zztL-~_v4Rmq`uR z5;ie-zvzrg**jU)Ot8%vyMbkFUWg6~%n5nut?Xp?$py-TY^ZFMC@@L*zr>#b5-oAShu%VKSh#tcfUmo@N+Sd!RI~vXSFK-6sY6a4N zVSf7{ex)p0(qldHH0g%?qiMtr(9eh|HL&N~An|0T^6atWFUT=G80x?X??%1Y}Y`P&550Tvvfj+EPh=uscFNVsYt2;3in{{j>iLM-mo3Ag@@CCTRXEK zSGMDR%_Pgtsl|K!1`hO6*yub&_Bq@sZk6H^2c4>VW!bzKWIE7MR_;Y$%`QpckSMM* zmin9|E328)P2U|^uUiEi1@#u|jayE3^wk^7h?NbtF_*$VBVSap=xF^={f^zxnyoxu z*>-n4ikQ?n`km3m@=5o};@YpR>4c)zwn#&>pQfRMY2emEV7Z6W&8e;5i^Qw+C~pUK z(xPcNT8>gBoU5(UmPP_N<3{vW)}c=aV{}CX*#dxS;&BnGv=)eoU{bJ2#J!R6B{0Ai$C7cA|^q+sq=z#?GLdO3O zcG`$}{`yZ04gCi{f!exE;sm27Z2Z4z?WFFza4j5sRHmpwq(*e>|IdY{u0+Cqq zgv>Q5(>Y~#h5s`WNmW l^vL~7|3Lj$1W>kvyruP2um78F!EC1vPxY>m;5T^wzW|pw;2Qt{ literal 14236 zcmc(`byyrtw=ar?A;Cj}1ew7hxC9^EH9&#{w*bL{%ix~i1a}DT?vmi{?(Q(?3_geV z{r1_6GuJS4aJ00u1#xh(va@o4 z#GNgzO+lPooFH}{HV#fUPIeA1kh+H#^gvcnWqWXKK}Qa|QXV{V^h*JciQaiK)yD ziHj8JHUAE$1}E!zP_;Ng$nUSZT?FKgH!V*#4~{nvPBuwQg{0>nH3E65S_wDeG17xL zAd>B&7pw#1u}d@!vbM3ypeAL9lY?;stsr-~i=W${2QUU02G(foWLINbsohmB{I)?0r-lQcVl8Q=o0O<- z&6TSz&Mh!~JMbfx=0^zGmPdQ8)J4{?2y?O`XN;-wz{dfaSUK7;S-M0;%4D^R>g~4! z>;omS_^~musp+AVLRWB;9F7XGB)!6)o5t)jvan-+uMOddg;x8)HMk#kbGVaA)ykrzq zxXq1ol)H_Kb5yvkjZ+_ViIQ{g*hU@*h_%dJrDO!gGt(Sd(H&5cFkiyuf@QzX-80^n znJUY{SxFS+xWxl+Wl^(ikRr99V@l^9>-g!{-rrOzyE$8$qHl51Bskq>5H;$ ztAPZyiU?Dkf%9s_N#3$pb`0&Bq#}S68Flh@?2zBj` zwh25{z^q~EkN^j)Qdk1LLS9#{s`#n1gcWGh50&@L6}Ro=1uh8Z1~gM`CXGi z%GmMCL-ANqU|&EN)Gw;`n(4&jFtp};2#}BNu<bc<@)<|A>ERW07MU>1F%C$o49t%LI6EwC?{FQM%iZ>~a6d$}OYzHv&MX$e|FV zO$y)thj=E4u@-O!{!i2qaKvNEgG|QI^5Ya4 z4j3$>|KlS6I!I;hRwxaOxDe4u1A84UL0jeO>#6n{@}j?hHcIX^Q3&Mz@gkZAhQ=_b zh&C?8sg)1?g%#tJcpFbUBzGrkd?F1_>JTlR0cH5$S#gDaAn~NT6@Pt6JqeUEwh4NW zd~NmKwDj}3xLoPyXpRbhj@TpvN)sCtjt>8d+;DfI3(|pCRFPHwd#}13k&KrEh~c1K zrqJ_O#%cZ@d<9z%IkYM`YE=Vj6%lF`FG^io zcxb10xbr-Ar|nq^&2cbRix~KiZMP9G21INgQ(FET#Fo+uVk=go7_u@x1sn8Vq|pAj zRP(A+f7=SeFJ?1FhtYDb*lGJcj%F9of5wZ^A~uW*+I{g6*DV8IMnv=qH4yQaUG9dQ z^B7=4Vi!B52TmmXd0}*2XJnp|T&+%LP?+rAw)csmff68K0X{#tG$&c{&X^#Ht zlX7OLf6q33HbLw@(=5yPi0$`sr8=^G%`c2sP!eU)F^orNQT3-N-XdReh2D~MKcw}u z2L8N3Nd9?v`lS^hcJKROAoZtJL}oicR^l=A2>C(|KlUfP%Dx{A`L;mH*9%ipIF!4! z9^FpCI0`HE+SjwYLT>W|p=qmz0DY)8%j@gsiaVg+WT6hAK|Q=cAYqt8mX|1hKLa4= zIj_Hq4~-*=I?@ZJmnOpq?-CVdl0&-6=MVABk3B-p40<6)Ss9&uAMuv&3cVZ|6=CZP zor*?m7Qif5b+j9T?zR{9xMIsdJUB|T1t9Pd^bk>}joUA?%#f_JyH)po@_Z3Zz-^voiFznTcJ0f6n*+v!iM$PLQR9tnWQuUcc)>H2#2I& z{HBeGWY=&A2kk1RQ%jOL(wpMle|f&x6*+Y|)n4CgZ<~l;hym2+Jl(R#VrV7zKXEZYcOd0_WMcs!V1ypfOr%`h#*wrpl zXb!~$4((sVvdG+Y@sKYX#HGO?SRO{SBL>N}|9k2~3 z7;OXy^8#zcD{-k>ik-l;fM2U z&RIDku{caZOOJW_Ey`H8C>-~;AXY7@K{vLSoX5!L8MuRol#;!w6qe6w6$*uCh_=Gf zHo^3jku-;x_|^ZIt`Hyz1`P%<;BL0P$JZlxF%@3r3Jjm4T<3bmc{g%@r&b)~a{;vOBRo7fxiuroy#DJ;&~^ru zAAi4 zX;gzhcA#0vxKwon&7lOvpx+tq?zjGbJRV_aE;qkL?R3Si+^Z@w*&F6N_tQgEc(Wf6 zW1!V-1ibie5CbrV{=CQB6!w>p{PV6Nw?I*S^GdpbSjBF{02tf^JV_@_m!Y@gFeC z-~S923!vmZXb~_W9NL5$Xk!S#|MN<%myd;Eb1f7pha7JVHPjvZ*=&164tiqyqQDCB z%3WVAYSGe{pjjk5N8wO@itaQVW1K#&5bw8y*Q4>%X5o6d5`aK3=mEWx0uQ#0uSko6 zf$$}^0`IUdY^pEuM+bRLsDZj5aki^`W606KobVfUX3&wUEx z!NiDmIQoppjBrsq{LcW-b8#)eI4yu!#!y3Ud8~*^Uv4^TT(NtCX#Pbf4&D>Wgm4D- zvN_tmUnQ=j@SX2@sIT)2VPyQuj7{xgdX!%DLm6MJ%xrSB{{0r^W%_Pa3gMt|-#zqh zfgoScK*I^P@0q5dpxN(WZhUvGA<6)cKiaQmU2+3t0nc+GTAU09p*v_1SpqHf<>n)E z>s(M3TKj8CX|!c7JSfEPD}IWCSMEmg%%$jd1KzEciD)TTRt3$jPi+tfayve%?#t#0Ai??AiIw%fu!I*K`&1s9G~YITPw*g zQ9|2qLY;=wL3b}Vwb3`|@vi;$aWQjMtaYJ3!1D;3puph=as;WpROsp35+14k% z763^>Eyv1oUuLr~(5&$lZZ{a!2!J6ddV?fsAU2Ny?99aX_X-N$5`qSJ6ZQw8BI__@+@eY~?&ZPK6*zWg~{%>scP&$sK$)vtK)*PV{nJMTrV zEDFB0FV>wXQh?7Y+dO)HaXDiA*;MeR*?F-ZI$x7mINXw#zXvZG#1x2!u9UQ>$Fr{J z)E#er(yXOiKUr$Ca!pblFEVT3QI21%KVTUCwj^ErRt$3`dwykZpvG)WU%5W;XJ^`| z@=WvX)#=XmN|la^gv*4RI6j#qUdFipZ;(gX&`Zf>VW2&P7FPAAZPKo;7W4@25uu)jbU=iuVdctZoQy6thi zCl8M54%?wzN(`|!SQRdZ8&qHhdNp2g&xa@^en5^742wq1T#8Qq5-e4?F|LW>mLL04 z!I)V>?J1g-&ts-7(8^&P)~xxVN9CcaWNYh-a%Yb05#U_7m|Nlo=PP2aob9mTJH^)R z#3|`f6>)JY9Wk|C)p@ zl`p%!pT72)MRl9pnZB$zp+=_>rhia5A9ERATZs}`^8UQ$GlgnT80@N>F9SXK9u4Zq{zoj{sehVmrSySz4Q@qR+> zs>8G)MST9^hFs^Y9e=gUn`TmM{?#f`;K|sk+}!n*=QN-#1d4aPe^6xB2IIVn!Flyy zsY#_ua2%osn?9*mQDMExzyL!IJp`P6_N$e_kF%N92%vMIx7~#Kd?8#e(fPUPx}n@2 zlw+_@dOD)?m)jq96f3*gLY39N=T8juX!7xITi5PW3EeT}k8?WG298aZ; zk|fvtg550^uq`aF-p3xV*(iSfL65UTVuT!P7V8f3f03WawjbOe73=Nw!&HT@O$A!W zPZe*h$D5X3g9*w5pS!nb;?~;Jc|vEr9_z=^QakS&+Km_u@mWWn8`zQ&_(s`1BSS|(iA0p2kLV^U&G+s9s+avoAIXcI z^3XeM_T*HBHT^Su)@I^Nw`$jMxz8(9UJ1wjp*Cn?N!w8DVXI&BlKz657%>{x+=Bxf z+*S@iJ#swYw6rt~5dT%!T_uNMEo5Z(QFuSIG+&9L;{~LL zgy=*c2un)MGEL)dt7uvi@X&fcy7k90fYHWpZJvKMH7nxieUkV)?z|%9ikpMxrQ;;t zay?q2Rc><2XOHFvW9b5dN`U@wuKYu>g_H{5O6o(eiGCRD41 zSg)*dS1O1+$!WG~e`)JRGhUk``D;C^a3Mc0v0$q6r@6KBiH=C0Y4HrJn~Oj7DY<^) zO&0EWgN_J{$LtHIeho)-a7xNWbkriI*Zq;~V0Qjnwt~y;0Koiyf_disBpL;!k^z8a z%&BYp)HARqaQbDicS9AIqLEy}#60-A@NAKShcsrc?npN3U& za`U-$v|x-LTe|&mrp}WEegK(+GR+G2Ki*hJ;ld97uKvyE-U)eu%M1^*%(dtkyxYhp zuS0)Pp~N>G$Is5FqO)tk*I0!TFS{J(oz@YL&3`dfg1&cil|3aSXiSegS{s)`SGxfO z?BsepmB*RhIt$z9eg8hmxg|81DfBlrgC)vD z*-dM4>$DKF)uj`a1v;7p1#{lmcviG}0ew`2b^_@rxQJ{v6@+3#GLOg}AcODqo;}g8 zk)m*cp-pDML7~Ym>}l)xv?cdKh1BRr&Mvr_2z zr=bl;_`Li4URM$*V6p#9mFqPW#NX~p2Mu!YK)dCHH+^j#56wEQzycA0#o5u8YL>0v zF-ToSTUN$D->lH=n<4)&?C`Fu1nDKd>9EE1)MPKCZ1iS5%xohxPo7+zO;)ZeQ9Za$ zw(bKQ(T{T88|YZ*qO>l2T^bECaA>z`(Dmd|oBmfJrEq~UD-W7+&W~fMXmmOx(_Sa;2Je=( z-~6^|dvMNN5E5|kB3p`a%^LiC`9}!b#$&vy)$^}(pJd9(xR@8E-04DZv#n2k1fhNh zLu{XIwYTp_C~)u(+bHDx2kOyVji;bl=?d%H+Q3-nifnAhaep#pC-JzYCww__b&)}nIL z313xK-!mBY(>&|AtxC*X<6P33RHt{pb1;GDr!>yeoCz@KSG0 zPb^YSwyrC=uwdIX<}dZy-fBAB-l~Md+&vyULS6!{s~0p|=D74@9_Y7vCaNq8nV7BQ z%H%8PoNZhdj?$DqhaT4TA+9=nz{H^00dsQ<^UickAyF zw(k9IqvvE^3@R#^+{cNk_s*O=8DpX4{jY zEyKJ}!AXX0D`&5#kDbT+rTRRM%EeUTfGCre`zuC33Ga83={xt@oI zI7hJLXUtg--ncMUEQ>^t#+}G7aB=@WxB6+{-ArF!pZ5})y|7}MP><7L-xSBlz2fFd zlr(big`@^6seGn{s8P6j2;xkhX*><%+OwjWnAxmMc1-7U(53wI5v0dih8?1?we@vD zPJ->Jmzwj$#Ic(0ipSMX*tH?jCcR4i*9216UM5Lt6#@Yn{B#a;bW&V^E8WNrCLSUv zz}(lgJX-MTrjt8zLk8mNULnL6&i4zs)#tM7%3Ou1k$dF_8h|C;f`TJ&M_$3uJ>0c0 zBd_|BgjT~IqCUkU!^lwhw+L1L0R;T=b$k`(pOx2==8aT7>EJb*>GLk&C4D#ONGW=iZ zK~uY-IWG@!*yA3oup*$x3jumi%csMb3ow_0g0C&Jn8 zTvJpQaxUTkaeyaB3O-)XIwk?~sy*gR&iLPpH9lM4;j+R)1;p_do@rewI(sMMBM01T zPNQy#EQznx#%SdFrw)(}Jf1$K&bcN!|8COnIOClVadZhF7->4_Z1>tyO$x(xCgo0^ zL%Ys;snmBaea50vgAuFN7rcAqX!p|k&Oa8~{ z)y4!^<~%v^rKQJKTCiY9Cv$SQ3NaR(a7~`oTR%s>G6>u=X92~kI>R}yAzX{+a?IX6 z+W&jB)LQ7NF0jn(E0O>9_aUmXm2;{Phe((~rM6Zk#mG`$K4+E9Hm(uXo2AS~pvaeq(OESkyrygw(x55h{ssB_s@U**CA;vW&IN?mIFl&ew1 zdfBV+q90)>f5Ax3(#~E|l+SCuqxNlug_Nab@mGlpi!`9UNOUfOL+HbB3?b3t0Nkg} zyMBA1^}gOia0iyFo#;}zmm~e@SPuDBTeZee7zU&0?@`6NgAu5fA7>PPNA6`K3U;Mw z{jJF7b)(Z`_gH!Zro24t3U5)SsYY4sMO}+ugixJa1~K!s8%u63Ar)jgk1Pw~wNNmqtLhg^%BEWFbRaY9zcYGR7ZIV-C|!UUY!PF<-{ zKSMS@JOjttq1EZAS~wtP1G{@IX)u{6#KpY2FUy>2f__w1aR0Pf ztz-wiw!E^+5oo19a$y-M(9jd$I!UIZj%4z2G>8~zVS714bz@SzE6{#qd#VnP_@LAe z|B18h^h-Wd6gFU1qN}^hEcxl-s#8O$!0@xk+`?Mvdi5nW_ia!6RXLYTQd7;oPKABE zZ*LYG8rOX|8-;i>nNHK<&5LLGCTbtU|T2FA8> zK2#vcZ1-K_+iv;#6h6hx!m#qa$&V_R4&T3-A4$AiD^*M<*v{kdJ0E}+k%EO%Vo`Sc z&W;LUp1bEr#q4$!8fKe!W0JXr(7iMqKx|@fxw2g9>DxS18TuNDlcu!kH?qG4m(Ae#vvzq+m1i`2R@&X8 zY8-UMQCpTeqZXFPQw`b)X$E4rUFPF8Q*eG~jiVINrn1bALP5b4T==OhFOY5Sc${f) zBQv;pB&jsdjLB-(YL?mntg~fSK>ZH4E#)tuvso<}+;@9WuW-CnPT58%ybxQ8mI!Io zoN~WpPHJ)gntN)guDXRz>B*tVRm@Hu2#v=vj#|F-sk4IbgcA79)@eml6Wo#y?l$AL1;26` zBz=y-!p^w3xsqubOW%CM&y}{l-L%usnM_vMxi^E!{>Vpf^ zQ0E?JCuG4|n&w8HOSnlOEeANfm3GABaQ_QkV&lPl{)3cpRbNxj5M5o~)|P?QjzT_T z@4;wqId4~uV(yW4&A00n{=1E_m_{Y9P93H%BDl$0JzTxI4y`LDqmI{TDmL6v1n~M( z%nm4?MGZ%!pE%##Z@SMuvxzyoahnSC399n}DYZ-nE=nv0gWPf3wtT?GBiW;R>up|Y z7SFb|o2c0!@!PPv+%IAz{kLD478Bt-sjQ}1a#6ojg996GM@z8Y)vW3KjUu?8BuAur z3N2{6Sf|IU(L`)~MOEZ}+GjjfZ)JZZI~Y9*PGT4uDo$Ym3$UA8x@GVZmX?7vA%clh zNwY}_>`=DlmLONtewCNX(^>jG8l1EHh?APLA2Yb_y4ls!^lP6p?i8e(wY=WRBu1&k zn61=#R0w03??v?NyJUpNoo})zCvG6Gl?{6CM>fok)h|%Z?-ZzQgWX6gfM4r&OhY7i zfN#pHQasX^I(sd>b*&F*%0{=8y!f*kFqJ6y(qZKX-ER*^bN_6y)jitJ+?rVEb+vxF z-^272o>Fetu&L0P>z!=q?@&;E_f8~<`ZB~p@yK>NGYik$n>|RJj*w<=;w_1l=*C67 z#f)$87r_L~cnmB0z8ZI`c~L?uz4pJ7%UNpwEWG|tY8S>W{N-XlwtBCG@!aY~zHPE3He={4owKu%@^p?=lz>!rL|2NWSNiN$ zyQI~Lk}t+ta%$Tx-t5pnYM&Kl^|Ej^4j8j8!Fk`?`{)I z1g=_SGADDBuiYXU1Rk$l6z&a6gsQcXc}3JEx(0p4mrXP4mVfP0|FkWB$5c?VJZEDL zGikk+w_NP_JAro!jxY$h|K18tba$CB+rC}jv7bNC_xd!kT2bH-ZiQQ3zrh)@j9I&5 zM$DDJ|FELo7{)+k5O*&ZmwLDo={1LwKTsE9e@z8o)QD=qoH-fE-<>Dna&av8V*iZ#2(jPxem?1xoco=|!$UARoxquL#mt-O zY%qeo9xJ`EJ`x(Srjoj6J+s()%F${OA%HU+&*CZI>QlB7_ZUL7lCb6%)}%d8nfXDf z0hMfJ|L0PrE&4b25+tQ3OFlrdzS>U$+S`3Tk>=J70pN*txB!jd=-$KB)Kxxxol9f} zP{YZ6P3;&8l__uHQr*s4IsV`P0<<3%)HUS}eQB_CN56PwCzHbPw03thDXjaHXlG&Y z!e?;O3HqYW((gx17traESXntszn+d-bag^GYqddE{f1)W~*Eh`fEG z!B@+o;4H_4oV`vredMDKPGj;vpN$ikAdsZnRH9+tZactwb4$1AflsZ)b@M5iGA{3} zmqRPhsWFp zPO@sWG~3c^?8}hV^4S}}Q&t%XxyJc$&AnUKDlBeOS4`6-k6wNjeNwQsvql}+4I+mbr7A~juL^^BlHT*r?$ z5B~dCLy`)wzLL3GvqSd&$8TDH`}5Um>O9|2*d8c6S*m=$BeY~(ZRPcx;HRid<^Nnl zJm~1$SmxJ3x z^6(IQPp}F0)z)mhGHpRWy?{)IiV%8BA6#Bx-7H|dhs8#s_wU>7&z@8zGbKxnChlRgvC`Y(+PgzcW~C+~+4?ao6h(?V9|1f+{-Ja?`g> z=5%Ym6S*P*RkS`n!%t1Ov6BYH2*}m=>0XA&J{hAPwo?qdzGl2^+|dMN&1C zp0R+b2*6A&N=)LDB*_8x6(kC*Z%dB>P^f&bbs621K;>v^FczKU!qPo7`jhiCKxy>iPQMhhs zwv+Rx<7PB><&g$o<^X~$Z?cdBs|*&-5;SK>2c0i_3m!Jb!?8Lk8#C!fvy!ownS_cZ4F_BFj~fE>+IXfGvc(dre6u#oK4KOfxb2 zw*k!MXy-~O-9sl@WICf9aA-3>cVEPPU;TW9IwkT3@i86d0lS4g)kG~F9i5Nu9C@r4 zy&(ZtD!1w6Pv^H%^ou+I<|N~0DqdH!mR5wCjDV@O#>JYwAhdZ;EdsFXeP;wkj=oph zT5TIWQJ7D(yCWUvbmPF?BE0BMn5X{fm@~5&>gWz#?HfBdy7k~smqk!N&K%u?B?ZUl zpnhI0p}_RUkZW_8!f`<4YP-I2qKLGYb#nPvZhAZTFnhswGlvn?f_~SCu1Y z<5KK2c3-_@Xej{bT#$ zn$^9N9ztSzC~jYyaWa%#sP%4{n!N5pC*B>h{blAr!WBoWknJ9KW8b3Qoyw9-x8=l zVhrag%1PIYsP&H34Ga5P@9eN;edgaH&9xVZC-I_oO#OdKXPnP<3k~#qd>lS94x;{l z$sirjzOSfFUg+Zt|4dRUlqGaVh8%k{YQjg?dj~^iVteiC5x{U@-CiaDeHylMT^c zdV=1O^o(A~&hE|RYzhb+F?*+782Q!-_U%ZcgtW9(^ z6llS_&fQG-tUu}K-})}lXJ2D-KN@H^ihZNX6=QP@Qx1+fu>GFff?8)#xo}5aPqosc zj_6csn^%2t zPWc+!@*C!(mM1_rhuN=Cf%o!^@^%daRV*d*bNhageRt#jFD zFI;%n-+LNDiIrqDEs_Na=mHvH_0CylG3HHjFcj{apA{Omjh8emCr&!l5li?w@ZM{- zTem0V`ep;yZ@)Da%mnx+{wCvNZ5C?}sZt-dUw!o}3)knh21e^WA+?S>;eh)p2UcA^ zol)M`{pi>v^FSpg!h|ew2sK}SQBT$l&R;=eYPaNY36K^wE7&y<wrEv{Ot{N!IglOkkpH^x=(z{R%qwc#59pOY0^ zvr9X-`@M&wY(o6@la7~?xm90XoYNF(@Up|Y_BXtk2t&>NM!IQ3hd2#r%j3ie8ad(QDR*1rvseJsS&Q;KGJDwfumoVa-okqa6uvq_18&Q7(w6y{} zAGy@?)6ls_vDXiKm+Wk<9=NZeU*}*%y%cgh=Q&(1eZQt$pJH3iSA5&B6>Kv-YZOH| zcl`^toFnx+p}XK%q`j`ruRHpum=HuvgvICd@KbnmfX>bM&!fF2v#LR-Dl3`>IZs8V z8r!fSD@`9C@J~%MmOiVD&m~(XJ}8S3Gl%b-TY+}K_Ag=gx%)j<8pqkY39>lW+yQn# zpvsJ+w7tbok~FBUqf&?~;M~U1faR29%RGaxK9$gCoiE9NqDZFWbAQ1HOYhVWuWzRj zQ>}>L3!(K5?8SxY=H7bN*lWw9f?WxKpT z)QJN5e~ngk-F{3}rU=n+8ik|v0Z9k*Py{EQxI$`$`p12EftJlUo8u78K4HXQoc;s* zm}TL&xd*%>+<5~bY3}D8vH}q{(NMP140T9(R@_({7Oni|2wP^^)ZjEMQs!v3XWn}D zbx$VdJx-{-elSdUQ0%Rm?#zX%@|esm+8SM+|D5sOmi7;wUyygZD+oyM=jxeBCs)Zb z`tEEfq21g2wW5jx$)1+Mpmru@^GNcUeNXFzgpfPvo^!sR4eNQods9)xlvFR*CZBCx znav71WOKopp*3?gSj5cZ%jcDbLCo*jXf5cL&ia?4rNqe~u! z7(dvX%1HjQ(Y$n#`{5+ia{K3HBLlp4`HdQay`_R#PCxJT{HE-n|6x-0oNVM+R~)tepu$vgx=58T73LsczN{YfFcdq{K)?fX-USqw^PK|&t}vwb>sIm)71#1p za!Zr41Yn1W;z8HOr_rlvrhU#e1B=~sLDbrZ@R}$`I6nnIk&VouzUnK-sPx{xDUL#F?~BqcA&t>xjK0$LxJU&-sq&0?x;AvsO>|Wbor+B zuS&D^@7DwgXInZXAKGvq<#Z{jZ5EzYrP+o4>1)s1Re_R74WFqN{WnRrzsgiRZo5CQ z9jJO#QNkg&9(10DvZ(>@?3ffLY@Hdr4^tNf^7fei=To+XPN^E>6s`p=@8rk%Wz+ISCi2@(#)c}W8TYrV~ai&k&j3S<48Sa(y zKkfxRf&c9z1Cq_GO7W56=9N&^KbU=|$*zddAov%&`+U{^f$#rxGyLLElw3cw?cXPf zBFDBjITQt#i-3y$|L)?Zf}#*1^88B|0^x?dX}pdkjvm}NQ)Uz{`sH;fSTAieoh$&H zz7-(x5J0w~XEW(=L7;H#tA>S@CORCMBZb1?Uqs6&BGMNb6Y&>`0kTqcD$@V`ZJ|8S z#|Jv@dxIxK51hNf(_BLW_Tg!gx@vaZfHe2;1f?}9%fkd;HHtOMpB?^m9T*;B9f@FL zm8+_jmuDVTMCT0SyqvU&<$4|F^f6bA>YP^UM>1`Xp|&8ofu=zgz1JqWm*+z|xnFxw zDAPRSJV$lbjT+hEt7+eXVWuh*Q*=Ss-=kPXHoJVEez@9W*`opSm_DZX ziD8E(6O;LxvhPKSa)7Wqv+&T0V7TIHWBmglq&O?{^3@l)#5dW8c<7v*oQg=VUe#|m z_`Q*(WJD5}{vZtM=fWbcH>4JOc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IDE0RR91003V(00000 z0071U00000000C#00000004La?0s8|WJ!8fHDJT`8kpVL^@X)@?9R??k9VrNGV4Cl zTAQ7f)m^)Fo673$>9wrXsjO4g$DNf~o0smXWyOM!EFO4;2MZwq4@mHU78ww-%>xKt zka$2mAY=(6%;mxOvIZMphwqEaiT~t@yi`?J_H<8%x+~+v`Qwj2{`ljMKmLdl@!^Lb ze)z}u|3~;ggK*=<%%|~FZk?Vbji{Tnnrc5jYqh(73aRf^-mefyh8uqtPk)Hqe}MnL z-}nFb@cjSm|KG(g|Ffk3^Wlfz!OwS4+K(XnL2??u*NZz{g;>%&QKe?oIR94M)`eCN zO0q!4Z}89e;s1VVyIA>?h;+IU{(cn*e*nK*@k!KBJFRX~kMWobH@^2LSjV&Tc5?E# zt8SIwR)w{-!fbKAu%JqfZrqMkxz$F!H4AP&YW3Qx*NIi@IPm&Q9d#6Ul9Q(DwpxwO zJF3w-N$Tpj*Q}F)9d$Em9;sIMaokp&)^Yb))Q%OCEI6Y{x1&nidwc3EYImCeG&pXi zNZ!#oqUO13^}1)ht~yQ{aYr4uTc>z~)MJGL)N!jFpR~xso41l?z0o^j{3w13PN=hX z>!cl>Y8JOZOLE$?ZLE>K?aR0sbvmtj5_RJvb=0c&PUB|RNCWaEO_XwK9$A(6gR^$r z=`_w&r+4@>alNZ)pC#SLU@gE- z6I0iBP=~0i&RydtU^ftx&7Bqyp7kIhMksDd)|#d>JnyK}sM$M?>fK%&ygWR2^&{O&U#Xo=`Ql+)jpTo}q zR0hTSZqz%8JNixEpBsM#3D7pwIO+dBnCauI&jAWO2Pz}dk-fEqg)a>6IH(?Tfvj^L$ z*QuRGXKHq~9Y;sCj_q(YZQy(b*6i%;vv$&rb8n81l{O~TY~4g|I&{ZLcMv*cx;Vb5 zbNXEI!SwAXT{DL*{TalxG2Maj@|LQeH(?PVZVc;3J6SHGxjDYllBd=F+kyZ6*8Kl6 zRy?JCBk984)0j6l4|15cJ;2dmggwY2xQC;%J`XGDF#w^Pt?RnI;-`8Xb!RR+X^Z zI6G;enqps}BKe<2VNlKOMeV53h#Q!=r@jl8`?K<0-M>@MBFx*94(EnP?UmisBe%vus-=~UqifjrQ4>`Uy7e=>cH)$l_GR`4*J1Wg?(mj{0x{kgJ&s_Yj+MqK<_ar!Y+6lZ_^ueg^ zvzBW1P7h;rx(56o?49(vxHJsv=y}KjO9puoIF{;gR0$Y5!{Cw9;?;4Uv6JL!3=iG; zSxir!_PY>k=Pa%#$8gsHAt+T*qt!$gKM(~PBItMPBuCl@II#R~tBYygrOSp-2pWYH z(+>o1+N`FEtU;|w?&Ac$$#(tmd8$oxm!`!eCr9JPwfA;hUB-O>rc!g? zJnfta%lXzItkt|FJ$?Zk^+7(l$9wNpk< zp;I@Z?mmIJ^iKfe4JrYtv$CBb_ja0)<<^uR9|eAxu0iqtm`vfgI=z=PXLm8(t@xNMi5rP2b8A={s~R& z$PU90p=>k8P@pNiF$70&zcKU|fG@RGa*o77`a6%3Twp+3ag`QkSFNqLUFg)lKU&iR z_gA^?;+IF*dZSz^v?8ZtiCN+1aGK}Ebfowwj1<#$=`;6U8nJ|e!SWD?Ax>xa;}iOH z+N#P;HrT&WA3h^?Ly%n>`az)Bw%*wfGFb2;7f_~|5!>9HyY68#8{7oBN%gzx3->p+ z-^Kr0((H7h|M+0^Mb_($5_T5()05g0LGlS`bo+c6~_vok)@0V65B!`Vr|gof2= zp)Y826dD|XGDD+bv&n6NFfIp~T0aD!8?-(8MR(liX=WG*<1$O@gekYD3>zgd(_Z!Q z=v7d>2-Mc}62k#7LS55Xxk0zcC;__Y`zg{XPcPWzMv2%|q^2S@6{%6ZLnL>|MK)G%%TvSr|eF0l(dI=%JkNGx|%P-jf=qEm?96c zYs!|Od-3IEi|%&1-_aAgd3#sgiJDK8Ud|Y>jKSn9F0lAgx$&Wd9kqK={RwxO_j$)3 z;kDU|j2HMApl!BBbQHdwhj->3_pLoPDj!m3ysf`6I>a~F_?{mb%m#@b7I3v@iT$0=v6rXZw5NBliluMEugW^*z4dRSUpK?iZ zV^Dm`r9qsL=~FIAZVZaQ3S5Fq4S`O5Zk6&Is+2o*ZL1X>=~D*G5vFk39h7&4+wrd< zn{of~Lo05 z{y}?Qfc;|M(`8P=^otMX@Pp1AYr&vDX(oBH(O#bK9&{aabMOQG+z$58xe%f)rpH1I zK9XX3EX3el#9r$7b;)|%hH)muua23rESqREZjcKK0S2#8@ZXd`}Q3?GDEE5jazT(R}bt^f^TBVZU#w zUD%CnwGrW9`{B*S5A}v0%NA+{U+Rw|%HxJ@$@g%oJ&HA_3=A)shwkLR-(zXNMFAjT z(}yV!rgAXt3sZeC)rr$_VLBX6O@gUeG&K#U=J6{tMey?|UPgu+CU6=suV>oFxoW&# zXvBeOINU~`MgE%@9Q*&tTSKr|P?F$?d z#gp^$4h-kXdcJSGqTqcTn@uba@`AjN5Vbt-ighPb3|oH^QF&k%j+E-Qd%TWLtG4tI zE_EyWW-o5@mcRIjhg;_Y&x0O$K=wxg0CeKZ6DMEBA?Nh^IEQMz81dp2oLi+k%8W&o zhQ0!EsIQj}nw-$5HVWTN@`2J}|C9Cn3J2Ahea5x);r>W{yxJuy(^n$b0?9yIn5ycd zPmczy1(MxiO)*KPr4(Fqp?$34sbB$CDePMqz%E)dDX|o-^x>K*AG4gk3OZR>=V^nu zl2z%0(gyV=eMs6R1aM5+UNtbsYo1edpfA5Lx1YvVXCZlJ<~Q;Gml)wObp{IO6L*+0 zQ-l2cb^O=Y4x4vR6I|m4Duo=58~L?mfdMeRoi`SLba;$GwJ{ zRS#jgRO2&MSXRaPcMFT}E*20e&Kn#&*!VqjpPt&>RLhIAcW@2f0~|+>rD^}rzGYjYg6dFFj7OWVc8 z`EMK)=I6^xCY6NQ8>qMO1!u#uj<_}wthO2`o^U--(DWInh1E56TLHVerB>F|o66cy zT$MFBQ_JeP z0ZOWDZ0znIn5-0Tv|;loYGeCj(lx0()1ZWlqb-QB9~l(sD=$kfu- z0nf+X+1;^;GTWdy8`GhCP}#3;?(QH3;rsBP3PeB549YIkIXI(N*UGzK>DTV>ln;Qc zc2L>g^Eg&*XO{T}#WlXooq_m7HyeaPrt{?9-Mxd&?agmgYTNg>4mOE-2hGE@ox7e$ zCq+5&a$+RWQW5Nx_93X1ty=Zb&cVG(b+c-aiU#sqJ-Dis# zY@9>ywA8&AcdS}YH^2!j5H1^VqUvo&U=F1~pDlUeQT6o%KWYl}uaNZ@$=*iK1`BrSZO}=iSLW-e6 zEbrLYb-U!KUN9+AT4Y=lZ6SlQ#0#~zqxxgq)2r^aI^AY!2eP4XF|`*vj@(Gd7@yn< zx95aS-|B}1gyACc8X(py)vq7y?#`bvy_zQhBzQF&kgq&a`W8E8hl~#h2|s+tFP{yWcWNn_t!OR46+CGPaN3i zme-6pa+EUfpWjr0Wu`r>W6EJS*wI&WnrHg#%`-lZ>EiGl%qgL~PffozFtnj;H7H%% z(Fe(C@01F@^~{YBgt2<(^N8|dB;Ehbg`oU6XBPH+jEi+~l26wTk;KM9hF4?m#9e-M zgA@ezA&ueymwoCKd#6GMYw57lYVF~MAf_|zn@RnB9}4O)++ zjhZQe+e6)3--30GQVcM4$M}~xWy+yf!vt2wygE(nG$ca=`K5_4gDRX`NjHhoD_8|N zR9zP(CoR(x#DM{mfVmJy*y}Yh4{_;b`)KyAUeBRa2s-93M29HK)4(yt-Hm}Lf~JZW z37f|Y^sTp$Lm|x0;#QE;L(KDeT@~C<`ZC%kuX8pxmKeMP)Nv1vQQp#J$kml(J0S?@g~8B^cAyl{#lg@EmMsFh5Qe5^SkQDxLgohp4`Cbo zYldwda*StnnF2hAUPprEP!o$_5C#vW74xdK1BlWBMr}-APGekXBY?@sf`$E*hyCX9 z;S&MHN?LAn3TY8Kxl{G1Q;)E6;%N{W-tZYZ=gs=#cB|RybyU3(NA24UegK)xX8H3) zpDh|IpQX?9$j4p-E;IT#lC2nNR?S;`>I*59@etSJMnhj~T#wrAb5-XzTSEK_OMpjU zSLeBL-eX3dx`B^_RKN3!%(1m(2XzC^G4{h_4yc-Gdw(C^mJ5s9_(5PT`e1dsnMJr- z(B)$4YVmoPRoBqO98Rhm{8op29z-?zyX-)lNzxO=lyrWwHxT2%Ls(mD6Qs zo9b9`$NR>$hh;BiEAzQ2ST+^9Vs;mbOKp`cwoe1r>9oFAlw)ML?Oyv#taw?sruhOd z#infu9KnZyAWi!WfH!)W=81Zza{ygMGZKr50L_nY3l}lR#=_dFx`jV)BaV;}IUxyB zXhiSf0KWz==~}_&XF_pV-6}4NgjGPSN{GS|%3QJ#9D3mCowtwuM6%JJwc1bcgFwEb ze}5Z7n_e~bZT&_MVFHh!Qq-d_bv~H2kD?1bvRMp|Gc=lVvUPbEiPTl5I<=_L?H!-i znz%o`7B9AS_4?9k_15Vb-Z#4+sXA|j>r4h*tX3f|Q63(|m|C{YTZ*>5s9cCP6tl==fHru=ccb+unzKp*bU#U8d_;bP&-kwEZz% z$D;HER)#3|!`1;gOw7!D0sps?rkyRAU@THz4qF+BME)%4I-W2&T~iP zXMt|T!Xu#F0{4bbe~1oI@rKk zaq4i@yLbnBO4X6s1D7jJR#L_}yR~I8PlT-`=8|4>kjE%eKMw$IJxf`txDpF~>x!x^ zu5%k4l+qnN?+8DWkiAA!*W1FB;kRkCvj&VvlZk9fo!^-U&blli7tYI~OO0pIc}H1R zm}>{`^p20QIlvd4wos>lb5@G$ga>=;CiP-)oO#^E zCWZ*Uqgb&|(AU#Z_oAn<`bONw;*%vIR#=46AL<&RH|5C2mvQ!$J$SF$XoPd6W0|%z zxnIYRX~n!i4|~T!JLQpN&#+9x-m$&=5Gr(0RVnXw45^tm$~@c#01SQW$AO;!2KzBI zlNEg1g@DP{(fP(QNHPy!meg$S`gx4msb*PgI~92i&V1JM83`ODI)1VO6yeKU4dujhSh!%ma$*O}EFw4Ai-y`<~BvKjcy17}xyU=ioQ zSjNi!E90}FEV_HRujuJCFiOr(nlq(l9?HOH_fD(5$LAdiimIMBd4yEb(TK|#H=~)} zQ{rtlZ@y;`XC6qkDt-~$Aikdn;oFBZOB<0FMD`_ttR$UFW;ZSl;XjKT zFt3^?07S^tEc2l7Ho!zXYF%K&-WkoK zBZV1qyF1%EgOe1DSGUSrU%y}7x9*!v)xPLan7ba#7Ix_JxT|ihS02>LTl>vWPIf3; zxXcM%!dpLMW3g%syOmIWZmO{PH+MF%p#@v6>}?cygOH(2u$TFao<2KT{-=fz9pN@p5m4-v9<1WECY9~)Qrk=E0z2=cY z{T6=i&_x0FtoE)RbNP$q!>>;UTp z9I0SA-0V^3u%jNwn0M$!3@w^Avy=-Nry9a{4|;yQdlomfH)5}W1)|4TpM3^#Q87=h z)Jg-e2pP%AJaA&-mb!DkO8cT#oOipgIQJir%7yX_272-zAhlLlTU$UJA&|t@$aE`Z z$DP!a$nrn?#Mx!#gKnGic1u^blaAn}p8mW1tU-p95t-={+C0HxVw{!@3y3TAm^i^_ z%TMwwiVV*)51cw}(rK$zd_zxoXq#yanB=CY8?Dxv#YP@1&Ck;fi;#Wd*Tc?h8utmR8yDGS1F!JOU+l)lGPSPN1`C@!~5Le9JPj zNy%R615gKX{c$t-ru+8b6L^0oYH+r8geXEjg`$Wt8D_Oz5RIEBZu=6cU9o*g#?#q> z$T)@s>G=p%{Oo!=!j33ESCwp$aoTQf4*Bp=b@Sn)yU?dpD%wvGW9DWit$;(wXrj!6 z!dqQ@^>K3CO~oFOGdGzag5h&2a-zgNVX6jytI`G}uRr17nnzBkh`$YeU zrc6(GX zGla|Rw06?j(OuOkP@scSPvfN0S2iN=@hN3i;Ne6 z)OJc>YIfkQk87>tW9@QJB}b>&YxSPG2Fu7!=7H)3jf2$#3?Q65(069%bR)We$ZEdz zrq$c9zh{u2$$tgQbb{I{D0=UB%oR7Hr2Whm2~) zLO0~+$jw1U>gF||wEIrcrOd$V&tYIGY)&BLG0;wp*;Hgj$QnY~rv<$C*sIUtv#zz; zuZH*C4Bp)F5#x|evB3v@_=}Kv&@KS&&)B~IKJ8*|$4#9hQr=~pW2@dUgb50}3wI=> zeLt&9vrpUv`*Az(E4eIHPS#P#{4iiR=in0y6$4-eI0JyB(du9dUy8 z1|I8omExw64AHc7+`CCr+6~<>RJz14q&@8^DWm-IWZ7Q2g32eq(}N~P6h4g?$Fw`vmUc6V>2JOmlAf@E9a=b1i1T z@e!5>J)BnE`of087z@P+Bdvrm4jc|_c02#nbb`84a@D$97XM- zm}i!caVC&q+vWESuPgx<0#RBCxZ=KH4uuFD{Vl?_opwIIeZy3;ZgcAweL;~_(*rjg z50S^$fGfCh_;nUIzmk4Lzlcvz^B>XU)Dmkca5O3wH8{R_Un_cOJrC3;y1AZBo1i{) z%dvJ`^`Ai@cim8{WcMVKfWcq!+lDcd5DZNn1#kq83k#rn5k#+uDpDR5{v(Jexn-As zI8@{CcSQ&TW5t(iG5!0YnJ;)406o|ipF*Sl*>3dv5l1E~9FJ~h8n zjiV!`sm964Wvi1WC^@wD4v8ow&7Ye8X@i5!vb1dCVm8F6{pyTS+dUYy%@a-~wx%_X z2E{2k>Fy?0EWfRejfyFE8$Sq+6a$CC`ewk65Gc) z!F$2lFsucTqz#H+n&bsZvhCkvkt|p%qLUzbQIaeKLy!^X@{1FUB|);&ihW!bA|M1q z)Ja4q!R2L1vNfCfNVe7)Qw7PQA`>QgMUcEC$Pn!w)))eILL^h=mD7)@*sBYINgp;pjFd@xyFj*933-*Sk*|v&q zAptC^a&0tcMw75~glQJUO`6Y{;Y9FDM8h--03lMMCfCO1%y1&g78Het6Cp-o;G6`> zayVHG>*hQ~)DJ?6@&JPrg-pMcoxu*68BPR01((B0Ly#;QXA)eN!^wh>T0yc<$wC@L zbV4L^IN7_mX(?laxs&GlhU_qpht^0o|DgDV#ey@pW_f<)ef%O2?~-U~5fvO1WQux- z)a#TAO>t#sRg_T-(S5#LTs$+ z2Zol3Houg+OLTp`7T)T0Q{6{=E5aHOjV@AOq4rNXAl2WBQ2!%2VD*A*iHV9prm(BX zfOIyj;r02q|JNIdUa3^@t40vuLARQqq!o(JxAS?nex)aFWca=UM z-6|`h)*>2afCZ3rWLibO7$?rmBi76a^4yHTR1ZoojXSihuM-IEC`JM+F0yhjCkLd{ z$DK6N#{p4o5q;U!04Dm~qU$e3?-ELYtInu?K`xXRgsg`vEi}W+ zt^*tcoGC=17Xx0n{$iA{0J0fgDh*)e-&LRWaJRdV72*1e)R(>eZT+PkxM2HqU;Ra+ z3xJn>1hDm&W?(Ta|Dw~2`oHM*mmTjC`p;Hh+JNpf-oEA!SN|1herW<0!z*jy`oHMm zFQaQO-~Q47EDG&5GWv?Nzcl{};r1WO3fT)YO(I{66KCd0P62HHUlm(u+^+h*{x9k; zy22~c{%f-S!nzlg7Ew`un<|@jqU|pWzC`!u4DdnD7nmR3C<|g%ApAf!D(RRg12TnO zg#*$8Tox99Xl%g&EBEA!1$bc`kOg0b0n!9?Cx?sr^@)IBz>6LOpBDq94Y(|tT||Wh zh}0L&falf+q#3vpR(OH~LJPd?!$7JBr6IU1>MB}Wq>4`Pstg3u5?m5h4KqNb+NkV| z8M%}%#)&iYBrTy-1f(svG;$yi9C+C~0SAv}xm3ju0`+}fzL_c%gHQo4x&vf{?Goc4 z^Eb<)@G*`|Mx zk$W-u5mgrBKpsF6aiz8=qRGnrB)2jCAZqstU}p6Uk?A}aRfMv%p{jr{_tU|S8qe;J)XuQ=%tu4+pol)bC^Vp8jL;Xa zBx9t9U`=>QMP)_wW5gKgIam!dCM+>xED@Z0b-I#tD7gC|$LdOg8zTDZFh=?r)9V#OkBLGD773fO&b3ZtMi({*J00|D;!eu!R zT8(ac?JZ}N5B4h?*;J|}D?^_~6nARhR`_#EVQ;)YDTlTLPO^A_9|W2lv*P0l%im8S zc|jiz!((4omdDA?%DB&75Jlj(I(xX8)Eu&>lhS)W{ZS`8hlXdN(2&0^>P|w}llGj+ z$13N~5HT(hX%ExHrrAMmq;lv%9?_qpQ@;jU= zA^HXyp*d|klSj>cH}&OCC@h8H<^eJ0%xDEw&?;`*%tU&y zrpl@k9nTNwq(koJo*Z3PqBUKXM?$$!c0iZgWi>gntUPJ5ME}dSqTBmEIkK!kX|nQT zyXBUf@Jx&>x9?k%B|2r6TNCezZa=0bOZ3VtS#I7uIc`~b(q!dFcq;Ta4z{cKLFiNH zUNQO@@i?^@PL&Xh7p>@M3c^Fm8wcx~EdRPFuu`Ha$m?#ygYws}lL9Lcnu5HZHWaMy z8VdSl!?W4Pi0FdNAat_pLV4ZiJc0c*j2hcH3of@Bz0>B!CR(hA+sLou1GTbq-cFP< zp_q$d#oY9V&h+)3Yh{^G&8~mt8`F6&C&@DRRf=xRf!f(EQB0IFD_Xu2367DFiOee& ztQdJJeC)bydv{)sZkzBBg%gR~2|p7QXLsnPCM-Wlv2l1zu9N3UQYI9)(^Gw7!}HUe z5j084q(09NYUG(b*=>@P2`fABl@13xe;V2(=@Q!9si{C)Iy-xklnHgdkRJh^{5#`u zf|R+_IN39u>I(48S=*DO%<@T7mYAtp{h}p1cdE%+?vFkP)7KJ_!99-$7=0)^0snG|IfiFU8 zq(;wA_?&in0TzwB>XdrZX?G#f9G#o#R!h=(k;S$POaocI+eFFulF;Xi`7w|}o*tJu z!S3(QJ=N?IQ$QOfCE}#i3leszwMoq^p~&S`29_$KD^C5=;f$1t zNyoC))V>}*=7i(g*DjZZUUuWG5K=0@Yj2>O8SVLS?eZy9tsBoLU45Ze1DBtq+RF`% zlao)OR+sWac1oDDR3}H6u%H89xut};$?C)illPnlF6&AOb0hcU2oq{J@I`D&n41nw zjxei*wQ>#*-RnHvV&XF9&e7C_EOBnN4p+Yz~0>}JJ zNG9DOyP6k62CmD_IYSJhJcqMw*P8>dCjJGp8p?*${Wfb&uj}R(f9SDDJ+7)beaK zP`+*4h%wQ&ky<%Fnpt^viZCgjaSY3UT1i^`J!L*WsK807vaNE81nO?)2a@200tMcOr-#e$+NZVdie-a=0w9g=I zqt|FCQEz-7jZ_5on62ax`~Wx7RH}<_@0YfV6~fHSkoAStHFXPr-qtDl&b`dF+ro^K z2d3IpBKp!+i|W>D(dK7DVFeghM8evg2;N6M>dP$afU`iVzw^tA`x{# zAZRUWbbH6AwPvds*W$&tu3leStqys|`{qZh&Ku!6U%XZ!E|F(mgg=2N9tGgP-shOX zBLuoFRc^IoWt)_PX=cldP^d%+IZ^mQU~Bh%h%gYAIkVeu`#}p1EkUzid-j87Dah^} z9|+6t!R_4Ao*M})Cx9b?rP(tQSWbFJ0?XOnNMJbu8wo6D1S5f^kv-mJVtWj(eGNOojnwj;9y|sHGu2I(AqC zYsk#GF2ixkQ)vc4QX%vmI5Gls9O)ufe5^i>9iDDdNHlpS% zS`sk?ViRYEvF+|QT8B}CFK1>xi~r`C9;;TbU5^zG13S_$XE;~4Z?}?WEauXGHFcB| zWw&R8_EbU zN_>4+boD@sWb0uY=kk(uVYPEvz48O8cn#GntjS2-ktI5(8Gkq}>I;V1`1_4C_6O

    G-;;dqiL*~(vl|4{4F0%WB(wHiAW&tzY?!qG*00>^*-jH zFzN;B@mpzL5BDfgH|xd*kjETAH}kIp_$YS$;d5zbkMF+)%Ki2JjCl5v<6nL;P_D=9 z;X-NONniW7)HD5|5h&x|1=9Xee?~lqI zUz%0Wc^pXm+W~xk7{FH#&{(>(qjeTd#azpj~xj^2KZ2U|*9r4urSW3#wUV!(1Gf+k-?~6+N zX93wb4b)>U02iV;)E7efDwKEJv``y`@`m(th`vzXki1+4?s?}8;R~gO>Ko$A&j;E( zls8nyxM`fE^oMczTnfnFLloSP@9*~~;Q6PI+cfC8o(EV3)SPF2lCzvlo1+xLU18{^&K>@mmU-Q-9TD8bPM2+ zY}^s=T{KXQ8SnmdP~rJoh%b{!3-Kyc?znK@_0>#cA-;^87AiM1KM%Fri%R?cK;=Td zjI}Z4??u()Uk2z4$p*LjRlC?I+36;A)9PGkcn~#uv3YzAKL@QY_BOV&8zVF88Senf zT-Ksm#~xDkI!W_H(S7^4-D*`e7>ox$Z>1jFgi zAP5rhrJ)3v<(Ep|@{9Y<{qsZf?zQ7iY+a+G#@z6@*?bzeyV(5cwu~YT!8&wR%Pnep@e>fK)3E&6dMdo_AWfeya^B;LE0aCx{Hx$;4D3bK@>PcA64BQ3sbI|7Zj zMRk~TE%EG)t7@w<_;KF9C8kHQ1?8fL-Emq?_gv-5TIefl*<)kT3j-fBWpUZTmQ}8% zyTR!2EiB8Lt%}lE(^WxyUrqUXrRa;#lt<~eM@ z3jLHQ^x<~Yd4htGgz%&IzuSEbx!XslqkyDq#(qO8>n9eRNoRS{w$#nfP7(HBGB>}PnhMABF0$(v%q17?Q}v!lP#h)=N-x}(&s67(akK7*QA zom+An$$t#U{ZNIf6Vm9Kuc)Hiur4&Dw;`Emms-7@z{Cao&jcneW>WCLM7yk=#c7pT z7N=dC%tG3Q*DR#?4Am6LRSS354wb(NZ{P>joqd)Z#j2W|G^0kANrkzEWxM%)JSK6Z zDPTlT_c1WR1S4){;FJ3fyt9--Ry3LII=Gb#@6XSU%8ul!-i>jTY2UTEdAGrTJkr?~ zb}-Cx*y>?h4g3)D8XG-ZkZtsA``Sj&LJnM;|vDA+pvU({5j<|?IOttfk@=+vAn z>r^Yut}L_Bwvdx%^4a&e{$|8bm}$!8x#iUhN#XNr$}HP+br${CSDA^n#@R&Ml-Wev zlzu6c*=(mK`F$(OHFZFc}J8+2+;v+Gn_tv(Dn-L7GC71OCX z>CmZGJbcx3s;!%6H&fmAm@KTjFo#w6{{R30|NnRaRFb<+0znjp&&pLmZV^Q)E2D{p zL_(w?4(zZZy9+Ff7lf>dg_V^iT3DHAXQRC@ppBXsV`D|(8)#yojfD?jW8<0G84ShA zWM;nqpL3ZcC=dsLPPVXv3xqK&wd7c|QMYV%0wWkcSW*Cr-R7JJcT*S)YPAi6SeuSb zN;-AwrBzyXB^?C95J$=R-u5q(B!xsHZH=}Bt3+TK&-1rW&$vJugV_p3B{p%WP7P|h zt}(nH<%uM-H~9eW#)X0!C90}amA-LK?e|jeJ|rduRbC;MJ(R2xg=xIJ|9E%}VX`s- zx1Op=3_6@Z3LwT6Gr6nXA}S_?wN%)WS3;cYJ$`=QMgKeIaxL4cn%V$O@Q06I4i3?6 z&?y%c^(%f@z~}O3r(G{Hmc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*H-70RR91002rk00000 z0071U00000008eg00000004La?0su+WXYA58Zf)=^)53WdyQEyq&=Q-k3CYWswA~E z;0;%oO5O74H7d1w+Qd?ERk~8&?NXIokM5Bf)&xXA5b%2=0RPw^V*kJ|6Z`=JB7g|< z*dNOef=2|8C4$!v9^PHpw|DryeB3;@D(fM2cWKm9H(FhJ^PbE+dGh2rCr`dU_~3&N zeh+^?z~2m>Yu9EziJwyQ?FU8MdU{QY*% z-*4glH|^hV;Fo_a>HmK4!ME}AZIt#|B;QL;;&-}nyQ2_F8po>Gi0WtGid(wS%3e_x z$nZ7(_c8qK7B}T0XmNop}(`<`pR`ukYN)3a7`{IH{L zl-^Lem6hCVem+-F#d;@hMXJ;yE!Azus(BRn{H3-!jN8d^Lv@*93 zD>~66ZUUF&q-)z)XZD6K<9gI?H)~1Mi4WCbv(`O{8y&+9NS8EF%87YpRpR$fTXDNx zKU3}Q!Oz6Cj=J7yYHUErleR{@)@&Rmh8h-AOXeMeliC1+4N&-crF+n>wUSfKd&T2c z9JBB@9o;w4v}l@Gty%K=lmZx78hS^kr}d;39n@omUtL1v^Gqr9rn>$l={y8!0d|s@ zy1t1zL>+bJ8b1NKkuk~KZX(0eE;z(6#dS$q({zUBZFLegx<^s1(`|v42WPGxY;@$J zqpn+8khb81s0&P5$+t-Rq}^#H2i;Dy)t*yoryfTruoWMHLoAK2iz=p7(}*5*Tbkz% z<4%;++jG}-KX83yt=@d1D&3QlsCCxYK@jmH_`8N~GV|-`sr?n zH5;?5@ln*RchsGF^8gyDGNj~}STc2*>u>UR`az4JNs|PvfrqX7GJZAllb{KCok4S z$@ObV2lk%Md2M~KFK0F(b9T9MEZ|CUV}oBdxuueN6J}*JK(*gwk+fkPkfJTxXm%8A zO&B~4bkd}uBK6K@<&L(N=g81_NSHs2U!x4Vr`mc2w97~X_562%(pX_UEbZg?Ews0;(WE=Jp#SXc{l#Kt}J zS&Z4yOcIKb*AWa$0Sr-5;+l2|>_YJkA{^r72<{T}9u8XD)tAt^ONG!$Ws= z8q<@f{VvS4eHz!2Be?63Auv@@z1ct)-xCEIBo1+N{Qkq(QBT?!yGW$yV**St?C*m!`!e2S?+EwfA;hUHmT z6-1SqjRt(G$zyV7>0w-Z)TZ)Sy?q=1XC7c;wBmm;sVOsqXgNc75Pj%d(}STo45jA2 zdD1=>mh+84xmNlu>G2Do)(85eCQCPC3D=H@=zC~ke#j&+Fq+!EeGN5@7)=4Py>`mz zA#~~{)ZHgAmi{twyhb4)WmdK`^nIO1WT`c!$A^I)rfZP>KO#do&Q9+njoEDsH#uA* z8wPs#*AQKL>*l>SjNqH!h#K+DRXYpYzIi9<-0dFR)C&4$?X-S))657PAE$|*J53ri zaPW}0oLhkTVa8h(S`*F2fReRg=X`YI{?csux5nuBG>Wp?d)3T3(+I*W_<-`X;-ApK zj^r=|5yCcO3w&E-;%&uxnFT2pOJ^yJ* zkG#LeWf%W`gsnHql|n0Ws!PlaH`QrBEvA~{!=Ndq?b2iJT^Y87fWh<-)ewiXyYVr7 zIxSVbwbPdUNjOXb3cB39JyM72j;W!~c* ze}Kf9yS>u3a6A5a zBs1ZrY$E{om_w& zjJ%?m!(GeRxz=elag;1fm8d>ws}HxCk37Ie1N^E$PTCy|PXUbHd3rkdHv9<$?P^+J##}EuJnFp)(Z}4Mjzd{Zn zV$+u?4W@iB?F&DBhGZg`8)c!^zTDG_x{yHm&d4v}-dM-O~T2eK#>~=ffG_ z=QziThx_%rP@J>v*?#eYf>(yDH!y?AJN!OG*z!m&)}2H#Wc?`w<(XbM^{UhA^2R(Z z+R}5v)Q#+yow&t|2;)PZzuoun$m;Q2pspoCzZ1v-cw%#m<1gb9baH*1bH6T!y?9IL zM)9^Xx~N>&w=@oNN9&nwx`-T!Q>IU?Bz~FX3%SGok@VasgzC&b;dK9SkElKY?gEwR zDUs8aB%m!!RrKkshXbZ7N$!+rq*eMdNuo`v&>m9p;IaUz6qZ&DAQ$gLNRj=pldGq; zjxknwIwG!+Rr+AJL0wB9>UIHcOsVrC^3UMPIa>2vr9FLFhq%Gc(`E-#=x5 z$LbjP=kRpwl~{qYu0u zhyfCR@JoabV8G}ubEixgfB|8i4uk)L9KOeF0vJ?L`ZJ*c^PkZ-Hk-THpz6DZO!}^) zO1H+_myWu1HLLE!jH$$@Dz}8Yn%^ocytS~R^7Hw5lLrrCe#hMZr`Ffi(!%U*T-&#g z1Hl}Q{Ves*-<%F`8o(5~{MfO=ci859eNsPLjI4dzYDQ7T;C-CNq9E z$7h>&%Cp7I{KEV<_Hy&{r9~4<#O#gPo7iz$x1?iUYYAqD4HQr09>{3=Ojg`|t!^sh zu5PI1f_hC^gNka~q)b^`Uxc|t@LMZ{zU84`Num2X1P$mJ+fvN5r%!0@n?s&CWQqFu zJV^(0emDYnXzSP$t@XR^12{<*N4g&J4xrZ+E?nV;TRiOI^D8%VOK9HwykicJi!+2_hYU4p*a#4Sz~(HwjCF%0QshxKax z(4^BLP&sZ}?q_&**2lXr*|xO3 zxwF23{3`2rwu*cAcFWcERRgNNd%jLb@gnSOnzy0^W(QQazT zT1t?p#f?3l=exDNWg}&>L18wg%Wl8CTUp=ULJXdd;g14Dzsw9uF5{`3(W|SaZIJZq z_qIxV$gH|o-rVtdEL)D0=>~;$e(PHU^Ap`{P!=+tCk=1!?5%IEf1_O8ytlEp&YZW< zJdE4AFgOkos+e7CPMTN(bNX%RcSE4cGHhl{rBJd1nk(U6mTCTjkx4koaXDNSo>m4gb*-rOy^I`Kz zTis$lGn#pp=4`u@Gu~TXsxB?)Z#-H)!zs@!;{d}vv%JUPn`c(m;0u<|HzrwpamOTN z)xqNk_SgLUvSBy&fZl-v``prs`A#imzCXL60?SN$Slg6Cw%^iMiJEsh@Xb3m^K@|7 z55|-b-Y2GC8yMP9wi@KF&FH=4qXZfw#r#-sX^r8I!TmX87t7C=sGVtX_+1&Dh3P!=0X%*re%%P_yA&5QHpPeL;(Pa3N8kjAh#&e5HNpP2^_g39Oq9o9AeK;eKFqsV{H5 zg{h660h8Bqt55z}kIaGrQAb@kMtQ3kKH<@ow6aA&7Y0KYmP|?kogV_d*aw{pLsK$X zYKyGr2Llfw8~ba9Y;97EXNwtZJcV9Cgl(<{X2ie@9!eWhE4E#kr3H*y7`~juxPV6h zlaM(JON+mH2x);F->F*Eu0@ze@i>SCulfY-vqtSUDmRIJTA)15V)_VR=5rfU1$U_s8(Hlv~)u4<6Q{ z2Uf?MQG`Q>E*Dc*o1ceSUbGF(oZNsY1H}U2@300Ym8bBJsk`nfYPFT3NYmM{Y>DK; z8y*hBHcIInw2gJ7xb1!8+QYJwl9lP)5G)%DSuwi}!KF4zHn&d#*2%PXeZiJ1bZs5N+ z5XO^XIl&2%X+-a#f?p}98!H8yo)Luwb)&E#B9`GtUCxP!+@iXXTeJ~WJ@E9-+t*$s z+2~K2tw;F5L%O1WzYnHOuf}>`f6*#TY_2`M64<_xw=v=RC7DM9IM<>+=?t8Ds3oTu}p15kQd2)*Hjn0Rv&THX1lK|(dRftODhy55s%a-{{ z*0vX`3)UvzWd^te+l6|M+Ob-NE8ZvGNNRBdvEI66zq9`CbzHR;HEI@|A5hkMqgL-i zfzSvu`4FjM9Ts(D-YIALoV#po@1JGQ+PYaZ@R^sPEJF?*-|7_Bo_22A` zy+NeY+i~uQ^eoWLSojQRx4;ppik%J$#PJ!Jwy8WyP7#eql*xWL?>wCBhxU$OT1;*V zh)ImmP?#&s?yHUc(&l!>#QGxj+y!nHK1se6E4_Mb7N}!wo^>!9c%mD0d5hj!KEf)p zj)A8Xb9g}f*w~LF)=fqIKm&5t3r)7T1ryI znOM+US5$3qp4;R>F5S}Oj_^AX*{Mf0y+%wKdYd*ot;2{kiAbi@`JH*;K*}O=?zAkr zSbq|owUs4>xhC;;_vi?V1ANhG3uT&%jBRU8w=WhA827bF$6dAS+u9*x&USH~aBpYT z#GVh1hV~sSVu;{7iWU0=eLZb;H+meaZ^SK3K3NoEg-IyAZ`d%sDMvQG470E7zJ0O}~8sdTeUOYZ-Vc|^zwqwVO z4w8D(Im__Og^DnE>msb*PgI~12i&XF9d>ZcDU=GFu&PpzpQzo`#>})<=7mP;PHCMz z2_Zb0ubCGL^!uFi+X2VS-aEx;wG9WYGlDa)nHNq{Sjlp`+n&`Ow3M`J-K68ZvKjcy z3rAO4v50ePEMaB;mEqY?65T!ArhYmNjF9t#=1i%XmlE=`3#rx4!?QLSMODrkJn|}O z>x@eoH=`NeljChNZ@y|xxSO;gK1?>Qehl3xo zl78uVBadn}3z0sQAe&jrrS|lQ5(pGoZ~2e0C-94pwbf_>wZgpn6r*M*j@ajfs zb?R}e*=-z}tY62^ZMrDnp4HyfBTn71e7N$CTKLai>S4e$NRMnl>eUO3;Y?9fKz{is zJWf=&evtuvV#1S{zOvKV6|{OaX-^Wdq?Fi|&cH%2H`e#nop*Igz5cTj9f8ttT3{q4 zr$S|q&XD32q}_4DmsDAp3^RZ-2M+hN?Md~z+H8EOqe_t*mkvzzDpD0Oy#>wG#`4az zFtrH-g_B4^s#0u)mLz?2#%y}1ly0B01LM9o}%zODy6w9Rx5804l|*PG2#n;U7cIPX>!XWuxu z3*`qF{_Lo8>d<0y?0m(apUgUWV zu3^|V#!aYO#c=WN_I-7}#G;-XrSitug;LL1Y%AMqds$p%!^t%EaqVFv`KH@@@Ns;<9o0EnJ46sqe+*d>IvHlQoe+&1 z$8Px&vAt#ckPN4z1CekH4$|Whiul>pR)iH%eyl3VBEz)Z+!*rygUb5-2X`P(DO9wd zBF4zg3|aw)CnJe6FA86E@s)?kQ72`41Ww&xf*A}SQ;`xyt_f2;xopNQtv91utJ#L{ z&d!o2&eN0?^4T}~M>J-7h^4GS-G`T?iVRa|Tb#tw3K-h*#7My4k;!D3V_`GoqI(<5 za$sTHHknVr@SI@@|{D+q-(C!+_^3rNVqwK&`0hzB)*#sQaLX2E*! zFb*)88kSD8m35KfJTkSN5;-;6@YcuG=FyRMxu=|?W9&76k6nXhcqj8h@q)&|>;V)A z2M_d}*)iRSE+CScjlY})NbKq3$QF-8UxtnB)}?|l4PIIJn1hAV!`N*y9GLc1` z){eMiAp6SCR5kO$p6K|(O$RvT5s)18;gSA$MDY1Kr74vtNKfo2p`M+s(1Qkx6UTM( zojtpPu{SK(wigZ=(Ta&~@Xw(egN&5TE6CEWJ4Kf=iq{{?z*5+p$dJcYJ27TskrYqX z5X!z4@ZINLc@m#?tkwQ>`M#COx9=>8anL5)U_&4N$CG){E&%P%*u3{H?P4y+O`Ri> z-({G(RWBIA0EOL!D-zPapOvNAH!cG5l$BF{S-9-mSD9*{l7KVLNZTR=NKi;3IUZR7 z8Ga4lFix7?j85T>I7WLTAM1CO?4~mrB5CQkcY~(18#*;qynq_gp7s=#QGR)nY$u&T z<(psWK@+2bu7|aTX9(h<+iNxMM2TA+Mpp*Lc8PV1@l|`T@r#Gq!LGJ$O%Bm2oi0=Y zroZlNtrEgat~c9QWD=S8@w3=3l}r+{CbBRu+R>vOU*xP%9it(^en>6LG?7HFnq6VCK%|m8q4fNvV(7NFjD;)gH zz7LVH&7fwwZdfjNrWUnM3r{Q`;z%Gvwu`SDc1t~&rR9L9TsKTrh=Xa91j&k0caCz z>`Y7#3Lrx&{NWKnlFQEjP*>x$dPN8WWBHfV>0J=bbivaA=)$%c>ZuS*O=3lOVwD$I zQFMNNuXx9TG4(vac*uY_Vt^x_A~Y0z$rxe8t(q~a?H+|29i9?$^X|?4Hvou-*yRha zvGMZy{{n>`9&(!AHu_Y#Fned3XBJ~qMS;igKOT+&=;`K$N_xMJ>&*kW<}wM`3!GeL z-3=3FK$z#UbBwWMM~Dm*^MX^f$}dX=AyOM#5WTi#6!dGlR({F+qp zFq(brgTB~L;3LfWi3W%b}m)u$DhSgJ>iQ;nCC^H#?VU~*s$9wJdp8h>*Brwufl zWzo`742KxB+s+uY-Gjk-{Nd?=wXw{tVXdPNR)4#17%UC38TCqQ5K9LFbY#y z;3~lLbL8LBxKHC>;b7^#cqN6EglnwjBFkMwtkD3Y5blL!c}gXA)GF%A_E; zR-h~dvfu_0oDj-XCOdc6EoN-6f@oiXaG1sdpua}4`3Hs1%@!QDHA?fh-o-B-=DR3b zS_B0J1)8EBBKCQ5g~qtNwJgfWhjA0g3r-Md3gks@&wLogMrqtHlfIV10tXQkoFecQ z!Tt&j;sY6fF`l?zmJ}`sb`%(k*f5$C!kFD|lD>$SP~Ns#66<^pY+K_P3++u{f}qEN zelM6{ZUBa>1WtC+ArsM5htx}f7T_@cKE*&4aYWVb;1 z)A&BvtwGZBer^2VA*{{DE?O|N+WMnDP%ZoQ4pv&7nvd+)w~MKJgjzDJo`&*YFXNB2 zy=4L!9;4R~WZ|S}(C1597>VNDD6Cm-UY)KPNY-q&FKDYT&12`fW&%-Z5ggvVGAPNq zjT4@9{aIe=Z7U>MVCn-cTi=eQCF1!Ac z@E72HTm21I-e|}bq0vQ^MNrhYtPIWelP09RcD*!oK|FfRx$oO)f0|MEylqctP5KMb=-K_oC7wDC%!xuWb8s47lIt4HN=S)K!cNgeS-buRaB& z3AiNsK$rrS@o5fNfU8ddX$88o!$k!d6g(g(aMiV7+6$x|xFi~01O){|>uA;R?tmp+Vj4qJY;G(E&m;xf!2Cu#(l=k4_NL?T(aMgPO zhmeg@v4S5wl=%G&&R8KCgbcXs9v~U-0)t^%?v0YDyWo9MVG$I>x$3;HTYh!B3>x4e zB^QMuELeOfQDh4|)j)_Dxig5uyN8&4Iu|0#y+F(B%I`|H7j+lyErNpWEf+`}o~rIl zJV_^jsl8h>*kkdDDvO|~{Z%)8bnW*uj4#GNqRv7O^aDJz#-BXnPjW5ekAt~5C!M%7a7TUUP&lR8>$BQaW~a=6nS=$ zq;`m|W;PDF!ytH!dm@vl(T_Py=;&dhH zQCJCgk1$~bHAL{mA&hi1tcV(lpb)d7E4}C(BmEC6qTVA36XvcLhhwB$Vns~TjwFl# z5WyFKFf`M$M}JU(i=(S}1_=(_!i72e&3Y%j`j$h={oV3fHdc5xJ_&%rGR|AUe>W5s z$oq3=XglCAi+%jyp~*2Tc34>YzKrOCJ|BiBzua0HCpjzQ_P!v9hZ}Zwa673vXirD! z15~qB=20{}4uyvNO;L9ux|+1+OgdJ%{}>VD_7s$H6!picy@Z6^Um^~RG&zoIBMY+n z^P+r+MqYZX8efjx!TBD>ha|nju@a)kW)qUr$_mn`vFpaZTn&ZUFx)LAqmRVkimW-%l~q zbk1orF`R_l6%?~%qVy5_$|i=C7`q9YS)_;s7dg5a&WT|qT!n&af}>Jz>%>s9mbvf3 zLO2l#tt&)hp7I7W#N+X425SHhBc)JpIA`UMhxVc8DGiB7&Q{Ks=tIxFY#~AH9lv;>)32p94W@Nz4y zCWn^gCykcqf7w=a>)$7bmSrf7R=;ky)N%u!iJ|4zervQur_54o;yux=$<%0xUYSM9 zjhiP&Ez3_Dt$qzpf&S{=W(7ZZdIY)`j6TGCoLCIUN(hGYmUT1+VU_ag-s(Ebe_j|^ zF3}kD%Wgx1(%a7y1IrK^gMK+}Fj%z%lwRKOWcDFubk1fFGTC*Ze%a?dfxS44((N1t zmzwqNN#lG2E!M-W=GWnYQrS6gCyJR6%=xfjZupaDdV0@uC7BS-u7CA6rt@A-l4Ndc zif+t-(%DT>OcXQATD}triV=~Cj4KM3jXV}U^t^3*cW94po3M()u|)2ep9!+FJ9kqf z)~}@4I6NuW@$)1x6N1~xsUEiBA!^PDnj~gYp7$#?(o7!jHc8Bcl^xirLuKcWM4KdD zLYg}<6=+LmXHOC{AA2=HdYY8zgLU9#aE+Ac{R2KHoi4$makn~9ZaV2MIGWnIkuJ3)p68it zJI64P<-66x3@-|KzR<4&$>iyonG@{(?(kDhE-?hOL1H3~OFbuI7n+;Y#1evB-ezF2 za$WL*X6ePz5>k9Y4E}o1x}ddiakPXHzaYjv7A?*4=|aQvvi!JM_3^u~-<@=4KkT8^ z1+CF>v0}GM*RgBP62jgIet{PA)tgZrYdtS=^&=)^{eqD7mR>1h&PbjdVphC+g)Hbr zEVO}O(WHPFI6W0!vEuV(`#7gxZ+Phv=PQ?_=oZRO^e-$P;T7(9e04&hKECxptg$W6g2V6{?$J}9>8nJ%GMT%*}CQmUT zu$^WX5-UY)^2{$xX{Yu>h+S0Ao?`&nX9KwNfwjoDEEmGQ?y%Zj%j%%eo zMLR4DVeJejL5&GVu>!Jho zr@~Db+|FFO1RfGHH*ikQq`PoXK{|2X&h?tU||2OQ`(ZqT0tVp79f5yURrqowKB$08X5_P?g%llcdv8d#&X77<*CI z8|fy^@o;8NsOU4uNtZX5KVR*yqxg;e)k?KoE$kwUXFR-_aJO=Sgsd=*+BpTMXokC+ z#I2}Rdw8a{numhvHk!vtO_kz$y-lo)MYd4_gC2uHU5*J%EoTo+ne2P)X&sL$-;gTDO~|QpI*i%B)I85`$R9{ODSr#&Q$4Pg#FHG zZp3XTsy&JiecY!Ix7MxKm8duNMe3>vaGbDX(Wkub< ze{bj*b6Z+YjGu)WCyz|EvqbczEib4W%L_I=Bl3&tMt)I5tjwz$EAt{E2Z)@5Ae#UO zhe0orY=bAw)+7AjAzhVH@1v#O!e14Q(|KQi(d>qTTsf5IVlKwv~=});;J?9JH9tQTytP z^DO*vyzwXizk8oLgGUH-nyS=n#mY7*m1$&UJ3bJW-Gj@yr9C$iSPlS30!y=JB(NOxjs%vYy^+9j05%d>jtE8q zOCxp5=4y+%Qi=I+) z6=Y{HN8}-Zheoqo1E%O|WY%;I zBXZ_={bAX%MKtiZEuw+PZ4nJTZi{H(aa%+KkJ};|c-$7zz+<;aW?|`|7NOqDoMSQ! zFmpV`AVAFx>DjTv8dyVS&UG1{r#zKr5F`ac&w(Q&K*!-O=8DbgXItCjG zOA2O(1KAVBsASfn#w=PAAw0}YoEgTpyHjr-M0I|gnfWyS%sV|+&2Fm}D;x%Ps6Wnd ztZu(s!^F_10-Oo*P25^b$P^CO>{lXLC46x0wqNrnpnuc-xL+ ze#s=n|8$^jl&bG8RUhQ4Th?9A@od3>Fgu-(iW}Z{Mw7@b8<6Ia4FAjrAADf)5M>mq zTf(uNv*lg95MmlCMEDx4yZGW|Ja$E-#L8D6K6XZqas8+4YH(y@tvz)?}o7$|Bt-eP2q8 z`mClk|Fg0cA$+ug=kqCjecXRY+cixp7Qp+s@1?=tNb~cOz~}eB()BkwLi;wg9`M5?J^tt#@`f+A0rGbz8hbhiJ?h^sHWn}0fOlUI@_nlrKy#LKW zT&QlIx<0`V2g>-z{&O0NQ#b@HraqK{&D;yr?fYp85BGBcx_DXRbN*f+?GFNRUrx*Q zX+If&`)?kFG~_ix8a4BqfwW%^#QD;E+ECoL)6f1ZA6;18^Z+0@ctr{iKN5!0)Cx`!9!q z#HB#Fe=h(R;?ocfRG{4d=ut>R|2qKpuLE&E8i+$wpF9ZhZU`=f-@i+-@_}Cql=16< zxZg?Rd}%)9^Si9LB*pLYaS74j)T5P#LU7GMJst)4G?ez$K-zzkmgWP0BG4E9ZlH{i zjQ!<686lk#!tdt;Nemp)&G;w4rjxjpIPE_u+hD?-R-| zgx_Ba;P=~sxc38cH&n(?1=?dP&>nvyP{uzBq@53>4e?M2mk^wnIi6ynw4u0h(}s8` zgiEMBLgkJN7fO3saiRK#;=UVb^AOzA)PsYW-e+8z-VX5JK9K3n?-zO_@cv*-xX%RO z{&OI|5Dh|o_2oc*KNpDG4Akv!2ihZ4ZYVB9XAb;&pHSM%iW`^L{&;{^A)G^e^;-ZO zhXx^B{(7L?!$2GTd;soG1>n9DfU5@Jejxz&AOQEb0&v>_I7E#_gAm-fao-EzvJ}AO zpFIWKVj#bN9>^~Q7pliE2GTAB%J>&!!u^>5okO-%s?G&thWPom`0j!MRAwFp$_>@` zvf@HIIfTo&aNl*r5WgY1j2jm!_j3W7hT842;(jbpxsd-L_aU#xKM3F#;tei~taPxb zwB1Q+rrkLyvme#Fv3Y$7KYPs%)`7ONi%2tbKW_rcTx_G7M;=mj+ezbC(GC5u)oe7o zZB_ElXg0F-z<*`z^nMjKliQi2*`djc?ZM^S42I*M$smZpmxdx>rmD(4Q&nyY?dON4 z-D$<`xC0P8qQ+bty54vkw>nrT>z3DQpc(6!R!ui8$c2Ph{_wMCKwDiwd2&DlOCE-N z#WIH>Uvc5i;IEu=9{Tle_$%pYxUSJXIlvlma8=@}n=+#Ms%f-gT)Alcs*h}9AcKP|Y3a4W+KT@dsP65&8{Rjmk3eV^8x7~RN z#@j`&BZs6D?0)qw=_zLCiDzlSHr6Y6$u07Zv0GeYu5v5>k}(n&SAWH|jsm~B_4-6+ zaRCXImwBt1xRi{{ZRfITVu3$z7SorQ0UFEJUsHCGI@xxkcpI~Xelq}t{t_=t%lF`- zf$#098W-(b+=)q!nB>uX8B9LKteZ9Hj--Lz2eoFSfm(J78~pm1G3l<>#VdO&kW&@10bLZa9V5F5|9-fhQk`gOSt8};3d@!&#v>9{O%5tOUG%~JWFEtAM)S!< z8o!cOhfJ0?iS2~GFj%4Z!0RiRgw_jK>vT)J0jy#7rzEgC_}dMpTO`6(MYOfqKkw3N+84wH=8f-GgT$%jft zpllyWS)YN9?W>fIwYBPjwVk9Vwol^g9QrDzV=d2k_Rz7mYCW7_>y|Ce-Pq!3!yMe5 zLyZ0Z00030|9AmZlDkdhneYGSTqXqyBmkh3EAHR|5e!Q$ zITmfyEt{Qy2g47G3cymgIqSvUBnE?8ZNnherel+mPMvybm6lye2Z0DAP;$Pv{mUdN zA<;-%qbA&9#%p`b>Isw!2b zZ-P_%z4W^ui3vfKSBPZ~C9A|>3NPKr z_2GI(Ikpxk8d*e=0&}h0`6xcfpi_*HOEy@E=u{)RY+sNg{hu#_B>x_4EH>S+CErHD)5mpjXYBV>Jep2WF5uxt?n>AK5FGbfvDV)RJx?&MoMbtuS)(s|+(K2%*Wv RTR)1(;G2q?{r~^~|Nmb}W^e!i diff --git a/cpld/db/RAM2E.cmp0.ddb b/cpld/db/RAM2E.cmp0.ddb index 22996a707969b66e7ce35193770ba2b0b2e931d1..3aa51cb5caca5d0ddcce2dea9edc5154a8c638c2 100755 GIT binary patch literal 84578 zcmV)8K*qlj000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G_63jhEB005##0RR91 z005H(00000003f20RR91004La%zbry6j%56ObCJE?(RDQ?#12RU5W;`;94w;1$TFM zg1fs0r^P8w(1cPbKJWRSn>)Kn`~3cXr=MOnd*--YomtzqZSyBJVKEH4+-2~8cKU?x z{(t*Fh6x!BBa5Lvpf&k3DdBv^cZQ+9QJ>X4>a%z2jqClr`uuO2|Gr0k^KUxd+`X~> zmn7cz{4ZSZd;Ztw2VQniy#DX@2jB0@7E8&kqWsc}&S(Do3q!p>f9LO&w2DGYlIvf+`9zyy(Z~&)(lF3bFWmMM3HHlc}lCN*?t&4&V9F3##b*@b})* zKIeNBuEJ6_QSvJp6c_bb$>wcUC6AI#egE%ol>AB_MOodc?4vj)WZAqaN3d*)vcggl zzTxlHH%cS*IVn?6SaJFDUwo(1-P@k(ex+9`zSEngl3z)ck)aQnPA2}WuoQ)Vw@RKc zzF$R)x<}bf;VR0i_4aA{e@UY5@s1yF4=P(Jz5Zd2-X2tUe&g>IU+)N1ScZYJr|grA zxhtC58a@P7QT>YPC@mCCZ@Ve(N`4jpiep-)sVwl8DJUPQ{8AaGcq#76ZVFdfMsa`5 zxQefm+}jS`R#LnaRJAI`6iub6igtzN#}azyl%k+`DSImWE6XSwDr#SCSTB{~ORW$6 zr>m~wt1Ra2BgI$AptMupcymnS<%M^wwUSfaskkc&>U+cZK|R&?$p635za)m?hvq-7 z9um}CYSa=x7S@rzV@_B-VHR9{(Tt`4?F=qoS@A$?IipgzRRx3N(*eftZY3D=O zy*{58+a5{AK29F{0_=R>2yq*k#nc}AE9p+xu75~YTxY=cK3TzT(65BJyP%*R=j8)-~>c#Hkg<#k-0H<))L%tj{bLHMJmP z3p5APg^7YWYRZU=bF8jfv?GwJ4Q`G(__-(lvst{M^c$&HMZ_c!VqQaE50$d6 zrRQB2sjkmq;)^tKa8j2ho@P-`SEe|TUrwa^Ox$ctUp+$XZ$3$Me;eCM1rOuuPY$)) z!>hsW5b>r+LJp=-$HN3kpfFi*fNmw${A z)z3{~#nNiU{-7kX;Q^z2NQfxhBAQ~)z7S$h=>5z5)p@^sJ;$Pr*1TDAZZz+ax7xb$ zXvL~QXyW8HGWKx`{|%#~D)x{BH);YsAl6~gDX#LEzb1YHQHUJ(C>GQ0>aK|=qb#wn z!KnKKM~lJRCr2d?JfjrQtM51@W{h6I;qZlo;aIDOP#lDS(~{56-O;s46EA>@*9b|p zccfcX4jvPgcnGXUrNTCL#W^f?CRokHBgo23yaS46HoC|0_vZG0xNfm7Bv4m)W6xI- zzjeZ4BJ9*=(X~q(t9J}YOjlz{Hk0zuZCrkKMqD_WRZd^#wtlA!^+OV&pR#L^Xy&W- z*TmpH6*&~slhwW=iQb~p7a^nDTh=@f)vBhFr_Z-=t<=QZ(k*6JC>9)Jgs7Efg1Gu( zg=Ok+s7gB7kLey`MEF&4r9f$U@mYH7f-WAH=!01edJmX7Ni3b6NFqy0X>3W+VjqEa zS<`M3sm(-Dvjk+-RixqSyr=SCWuq?8{-zjqD@xiW3+~Kt<_4*vVDnGt z?VTmVHSg~Pwo^ki&3kZ$#jY%x_YS1j3t-Q#*FhASJA*Scv*u0X5w}quw)ydCgE%-R zT81VBZ`^BlJhwXUyALWuTWQ|AiLQMJ#5M0HnMSy3Yon$C@dhAXck*bFG3J!0MrEt9 zARiVV62GQVNiEBTkZv?6M22Ff;=bj#qZG>VB-tlCFNF-FjE}$?pVf;Iydx&rqie@#>4QZCG&O5|U z73?;e_ec6*oQ43JH|knVtyo-mY#NmR&$`CMSrG5K)GHf12a;gDJ@-@I7ghmrDJYb8fd27q^xW)#R*FS6umwP-Ygb(`~n?XCB8 zt@jRcmQ8~L+xSntdx0)F$S}S2CO0diQgv{fsA5i61EVh2#Jd5)gSP)9-U4(n+KXb; z&%}~rEhQ|{8UkpeKAM`qy5$1|x}L=(okB1YemQftn3MM$8+DsDYK?CcYtPY%k9)F2 z^mi+xmiAT1MqKg@PatU^x>wxU7tN?M5rt1-mIce!DF#KXdDx??qD=oW1?kToX2vi}+9QwZ|! zI7jre)wUu9a*>)&;aXC+qpPPT-T}jgS`;#N#CW%OFh1I_rX_4%(T{jr@eYfGk;59) z113Hgfn1(SjpLHg%?YiS+U9eRoY}}h_V*)8#qN4rMUDsAEN3R^f?};G;LPDS7mF+P zQ^-5%4!dG&mz;sC;e9(}nkk||LZ7H{9srAsf%3U_tM5S2Jf z&dt%(M?|`*ss|=3D&h))B-iGhhpBvBNLHhvlIZ`F5bkm9$Mv^qlvc%-MNHqjLq6ZbV(o0<|LzS zweMewg_T7=J<>y=z7dMZRkuc!yS8owr6-6^hieD&7q4?9CgBE!B!e@}PhIQ!x+N zTd}NBi1R&KF}P&fzlr~+OFYEAU4j87yM%1+HrAti*J%*8^>0_8?#H;KH9_^-JZ_>$ z8*)L+k6FpeuPgEagu*YtZvJt#sI(`&JUh6U6`-*{Q_R}mkp6eCY!lnoXW;aot--sH zUS%*k*w}5gNM1TdRGV`#zC}xJ#uMu1niWzu&!Hus4IwJi|IUyqUg{&RN!l#2A@ovs zHBa*XqDaz>QFE+1y4WF5zBuJrj$oBz+cfdF--zvYlF!MpXOri-D*Qt1_<{;|QTA?K z?jVXKT_&#hSF}1&>G8#MtvN)Cje8dNz93RRNY0AApy2zSmA>4BqFIM}3{zt-TYlUc zi=GQtN`Q0YQ|qa|@0qmV6w~2U55a)G=?Xa46mS9k{62yI= z_cPGYk=o`!GZ!r+sp|wqg3$?P$$r)?%KbTBw4bxoa{BLz1TcMQifj~3e_1piQHm9N zNW5EtcTG}38E7^w$2@7NM)xQeE5O@xY2H0^-F1!Cih00~D@_)oCvM^Ne@w&!KpZ1d zV?SzYa=BjkuTnh`!e<$XAEOUOWzxCiZwR)l zF@Vr1xa!UvSE#ny5dzrt=swfEX0%9CeXSUJ@qv{`hrc{O$$B4IlGJv7sDv9r*7#)dke$Wj?u zQ5{+|T`tZyQs5k94Hj(*Af3I(Oy_G>byeoc1Ysv9m)KZGFy*45V%WE_VosJJRtj*# z^%zp|z58mO6`kWtnXg%QYyaPo>*pEZ&;Ss>S>=MLUrCweHId`>^RmFM2IAT!#>G9J z(fvnoAl`-@c&LY1Uu=Vz5M0js2~*>`fL<^?>Q8y!b)xy_6mn5eT>r9K?+%CrzR@pD zGCBXvOu4pW5o@1z=s+55*|dB>Mvb#fq|TF4<_;ZW#nTSW3=OY@H6893;Wa7O@AGTUy#2F2JXm24>vEBO?SkH7I(+rgcgRt85 z-B(46JoV-4qw}mdq!`CqN`SE(V|?BCPK-{_fQiEx02me&JAl9ZQ@j;dC)Q_hEQV2& z8eHx`^7xb9iI*`H_8{6f;L#q<-fbfg;i`Fm`n2ELs0;Qj(4B&2LY`PM{mCIroc^|1 z_#B8eKZH!uim{}1S%DqiF+rCOBe;!LuVcyDN!*N09O=XaNyQ7w=}2$0cbwR=QCbCt zVodx8wPt+q@@*JPe*Z%epGQVz$)97*a5k{DQS%2~v|?$GH3wU&`L=zfub*6f_cvKR z$3`osjuslC+D1ic%)%F6i}3V=Su~Ao@R$yPfU$P6%s(`!OjD90l zG*RGh@9m)E=;o@ys20*R{(&rFa_DR(j#Bg&^v2}g7)vCKO2c1^ioOc_Mcl~zzT``g zQ6QnSq(_OW);WqbE*>;vp}_%?qFl4n;@yvYOguXUA6JJK1Tep0KTemPPBE8Q#LUe- za7_gAXn5?`i0xu?=4hpdkRz{>;oHR8qOq7b+`%px0;5*NM04Js+eO>t3Y#i)5WA!+ zZdwiknzs=*&>}Ord2j9$S-@C?rtpnS@5Z^YfP3QW{g3eLr#<2fWxci^?y+Ejt3#fmfwJZnsW`1?X4 zM7KQMWq7}p)_e9Q$=d;3fY|X zz5+-QZ|v_)=2$be-e~}-4OaiGyeQ_?E-goI2(*4`;)w`gLQOj)SE%UoK=orWsO&NE zo1~Ln4`$KWotJH~VvyB1Qo&kX$IHi%b04eH^9?L<{ea$>FdKUl$B>DSC@`$X;1~$A zyl5)<#*j~UDKJVd_Xd1V6EeK1&9bP(4r>od&iQ>GE*T11F?PHYwMM9_h=gX3Wra)f zVAY~~rFUX~7X|huqHOk!@FiAP&9^zjML?6$Y}ESH&2bW4q--;vQsV^j`EeI5-qMCx zrIC!Av8|an#8-wT50rj?owA;RI{5?lpSFmji{^KK-S&yOlFsv>{sY5F|NEH`|g#n?KQj1o}nHD*-xzh#!4W2l-6 z;*7|A58$t3S2N43pA!aE|r{!7Y(5Eoickg}RWyy}aw(Io2>iy@;&~F^xRva(_}Zh44EvPBf3B{niNQ7&iEoVRT}S2`A0j8539)>164_Xd6)@`3 zjJ~pDN|gpWe~tcdXf8AjZ^e+gU#eb^%NZp41TJSF$q(r(yJb<>=V^_LlPE3mHhpkU zbEBd(3x~+(4SfBb(LH*0qb3T>n_5}qFPFD-FpYDN;;j70+2M;m38arY^(GwNAKLff~&yXv4UvVT_v);2YY zk^*Hj+-&!<~V9Zas+2dwoZ8rRgPFls+)?8%{iazaN> zU1OW~gi&vzraoy$qrFC|aAB6pmYa@9bs?Aczw5 zlyO_Ej5?Czz$JwtrR9HFyz^-;ci&oW-O+kqgfAuWw}*cU7a`TVvr$s|o*Qx4 zdP{ER3jiCdHOBHuFU9gK0kT`U2Uc30p#Ym$F);?zPA*4IS49)ZTz08vH*6kIn{|@Q z8IfR^k!z|8h+h#haVsU4yQg?cF7qav2N@sX^zFNo%V(Pvn2?p^FX1u1apSe*^2Y9H z*eMYxjSv&l{z@*-9Z}$ogv4f<56D3f(}O`QLzUN6(E@};>9oE=$ zQTBeIHyC*EvRHK>EBiYak>jpLNq|<5k<&F>hB=%XhZuVny91yXkctY7 z+lZTJhq$y(jnCQ5Fe~@e6>xXsk~_riS_+$pE1Pend@+-wx0;!EhbUV~f&UT#qX*_;N0p(8}P$KyriMg2TR-G+XmHF}KHX}62UWwOapqgq>A zbWc@BU?mS^1|792{WGTYu8cbr()BC#xa|EecT_P~B?VU|2Q zUy9cLf%4<3RaSaEtl8&Szjv7k5xi7YMXn~`t%4Yznzr?kqOeL}2WK|f+6!ZJFO!Dhb1$I34ja0@AI>`QfJcTT9R)GiT zm7=LAdzmm^Yo92&tXM5bCVIEaTBB>r7D(|B!SwL)ThZoiYuSs2lB={!&VVk9pK;+O zv38RhkUb?2v%g!y)d$hcyPsGN@S7sbxMo}7+9fNIL>18lOuQ!M%&Q>H>(i}S+9iKM z@9Wf>Jn|P%X%U1h>+20`k1LpV@ zY;oAls8BwZY#XS+nDj7CDj48*#6*gkiDiwW3JjC8*~ul5Mt%KHEO}t10z*{B_B00? zCzkt9DR3G}EiOGDkeuI8_O#6}+z+;PX`|8#RFEALW$)naoucog{Bm5nRhFsa`~b}I z53v8q8zE}9?BOWJMlFuwOA8Bznc4^!C;b$-9Hk)hz6%*ALJ7^gVzpb=XYG%Q2&P*I z+>HJFWX_kr$s8kQTDP@Pvq7_rzC?W9ytkr!odD*ok&T_8TNOEV=FnTw?^gxhNwPBU zX9O|-pvLKm&VOEkRV(E&8mig$ZK7bV+qPX~tE4vmAP{@(0KBmmhA**VyVzPrfgRp- zTjaH^^>$GyrvlS7EQou-B$!Nv!D_k}tXQO=d=VMZT%(99KCyI%{Bk zO_It+O*p9HY9SunRD**f2%l{9<)v?=Q#>?!k-EjoI-WzI10XpK=O|Ja|0aGPJW?#l zUB>zcnnk@9Wua}PKUDwSK=Zzgf_Mc@@-TmqB)^(TI>-aTb}u!iH(1A#G0~S;RHDZA z20M|;WDZ8h<`{=)yFi@J?RjH6-8&C>3P&eCLz^WP-Du`P53IZQCiM@xGU=^5e@Jl> zE_rvapLjKPqxiIbf<>iNU2h@9OEgbEgo(a?tAiW`wOJA(L*pWtI&Ul>{8}yKP+UWC z&Mv{E$0$e)9OCm!{o+3tNVsSh&Nk>Mw_(<8kyR#0I7@cxv)3x3ySYAei}?%PV;5L^ zP1MR*UoNUM-6{^6xNz>?@FVSv&l6vW%fV^|Jd+mf8d=p&jvEsVDI#`epTnYQWCLUk zR?{1-)iyps##2zt8w^KrZ!`d(nt*sflNVxtpyG`Q7rrz8!G{a?@lC~P5(a4B; zB0Ev0$s*&y&0@=*an^FJ_$~A@BM}_^kDV7Yo|TrtLrYrUzztUqQrV!=pMv7)T7ckh_imd|PI8SbPgE@wPIl`CB-42p^gL|NB%0^$VKmt!kiO`}Q=vxAh zFsLod2>N8wa#4^GvTUrl6<3`aC`R0PhMI}HPj)DTTuEkoUL78zMtZ8$QY zEMuFak}MUMzbJ>2k<}dd0U&!|x|iLTh;m^Hj5PR!0#Jma#mNq%b4xcCD?@`++Fd8GBhRtzE z)jWP*WtP`_!RwO>~e6)W>_{F*u5kxeKQcEod$0$+V)!$Jfe-q zw1q}SK}PPgi^P%PYH)yhiYW8CV%1+FRvuPhjDqNBpJ5yV-QCngu^J%!MFr5UPE8ci zPE&laXn(`sFW_(eJP|O=)`~rvHO`~hQK}neA@F{> zeUZpq#G@EfD@#^WQVl({ScJCpD281-qX7cB4gxv)#fpW%-1LxERMF^GrI-gtintq0qTy`O%I`UYzmd&b0;vp)S`arbNq(FX%9ZXFyhc;Y!yI?d*M^QJ(&{bAFmA0>-Wv@n0OVI_r|$6y7KV zzdRUnRW#@mAeSd-X68boD;G9)pYHGUJAO8IC@7Nsi`G5 z8&$Lh>&YHH+Gd#H2;8yqg2*{B1=qDcWEL(x`C*Z)Fsd%O8+NRBC5QuQ=sJyhPRsvp z<5Qik;>*T0B6j5sRxlCgNL`A1eCQUV{mgKYc5yc*u5C(lHiA6$B>^&*j}WuZDKPSz zhwt%#ogN%YlzT50_^Cw=`cuVcJV^D4{xZKce=lOhSKxbk_zq+o#|m;bnKu<4@v(d}J6(v5IJ-UCL@tAR0{wJrz0sU+p}FD?LQ33BAjoo+-M$Qq=*^wr~&Z zA?aAH_9Bnfp3{BCO>j7pw!MgPbcR@6@~kydckg5t!%Rdp>{PR2%1lkw{M(7tTrg^C zNdELmOxduH0wYpAZ2437rhc-`dFpJp!UtK~2??58kud z6{1lG6RMdV2K0M0M&!!1K@_RG!@dZeqD#*SBw1Tv zw+p4GOFyVHMY)L^^QK4JY|{;+>hXER;g+t(y5`bQEixR|WEm++ZXYjx9{bCxMmb3Y zX_g3Q4W!0=)is&?C4aCCY=7VS42f_Z0NwF0U8jg}@h-5t!~?&-(6R(qhaJ#6HcDgr z-!6)i1y$i{sm(&q#nP!>(9vc&*eTQ%UsuJLK>Q2+&Bu#W?GH{U~N!rW%aau~yZmi}D#&|Lu(K*P&kifMvDSu(VIB_3FAyTnyURr9*6yOZ!j8 z{$pj-l0PH^7%fqHCLYc#Z`2$kN8jycrP7ioM>b)f$Sgejis)3KCR^=y@)1kUCqQ@| z%sbZ3=oG}0F~&V2Zt7T*TTWO@wP>_`MtvxCJoc39FGb#sZFqO>E~Oxkaxw9+2N5gt z=HS=DD5BQaoTc8oken;$nICUNf$Pd+2tKZBqlmRR%iAnp|9<=N>Mtme8p93k5F7x?AJidQ1=ascPpN#e~pMwxFL_ldZ%E%3ZZ8d#QX zK1c7x@}Z%DFtO&ne91^_EMcoT5fC>L)SQ|oOswmp78V?<(Xt*rHVei6%=l6yIHbUI zCQdn&imPE>g?2g=<>@sRVJqU@AvqqBH+`-x5P2HJWYM4(C(&&1u7G=*7T7J8H&Dlw zQABxgKxedU;|MkQCd$QM+y~o}uv!!tiN>S*%*cR4u$mU_VEhuEZf+Wav;qlgRBsq8 zR{d1#ir9K)kJWJ!SZB%cVRKv`wIn5wAheL=Hipk`Bl>(_CI(+UYz@^O`wpwsLb!G* z{Zcdws4IWgnZ)B%_ShmQmJL#$fBjroL8`ORSzru-q8+-`5pCYS zFmWVrU0HGMBx{g%=q*gR*djlAhlzXcXvFs+cYlR#p1*n_t|zF)E?G;F${E@dY}dlF zTCvXMWBEJJV0$d>yDOXgP+}^dolB{Cd%y$AUlUpSt8$OLvDPY`1{83r$$|K_XB1Y4QEkOKYtz9#eow>wh$)vVG+K zn?d~a_%$tgA0XyMXVJXORS`3WGE03O=N^G{Fm3gl%W=*f6`ibg8=cTBI1(c642^JR zR6N3-4~NbNhdM_#iO;9~*yhlg<9q}R)B+I|{bI9R1mY@mX5JUABr3D;mo?yY9jTe- z&2Z7$w7Qlz#}PAdDN2|*li%bCXRv0T1`As1p239 zg9{>ttp+Bmh#X_Tq7Q6Wd# z6t=%n2ysZ(I27G1h%jc`1vHdZ`ES)YUtEM)=uyU~vF3megE*bUiu6k)1qaO5i(*3D zfdjRE+33Sb{8gF$cTQ^Ddiul_uF-!(pu9s9BiAI+`pjuj{l_wExUQ-F5NiV=z}klw z#2VAZKs0Aa#eaM9=d8ujJS$vqgDpp zcF=Kum5ZY8?0j5PYmnq@)QO0rF=&QX3ll}!RAlU_8hZ!y2C@;}H&hhurLggq9LDy6 z_q#5H^c86b9>sVAsSO7F*3Hl9>2R5T}FIZbK~FE{cp*!#So2 zQM&^hdYkR`Y!}_8D=^&6z2Htr6%6GYHr_5Sc2r<^fqTIR-$*oUXwer@FLqQ9$`YIA z22m>$-KcuO7U%#kd3$VO|Lu6kmYOL#n3U>Z1-vBSi`0^Mc%v?11GN+M4Gp4jB6f~IBj7_x49bqMs|y_`|Ml&{S4 zLMcEnuEE*~%9Z1gAVTLB&7iL+CMh^~>H9X*YuZ{kYd}%anE>8Ri z5n1M3w&=lVb)_WYW=4FjGx3e6Ijx60n6kVzigHBv`8)I&V{;R3Oxk;+hC`kJR}Zg^}cn$3xK zivS}w8x=<51a`xoybtW5qdsZ7(kq_mo+y!;id@2@`vDO8E;Y_D7CU=8w7(}n=-)s{ z@9dRrv&;(9HfKJYkcWK}z7tEI)n(C~vbPRl#`jEH|5nUAtH9vRt2Ip>sdPB({AaD} zrcQ%L=z$r#;qP6=u}15K-`$6nsmntdN-w;ig$DoSlgh_4hx0-bmftu_=(w_N`l65T zp7X7EJV1?+L7RhaS~NW2c} zz|%=`(eq_iTrHXh{P4p&QSwdzTMg=XAp9vo!*H?ZvjU%@bNAf6$A-#xVcE`evP%C) zWB94s>_nVH@iSCjhDy_;>N7EUml`9}YcEtNRze*8g*xWF^yiH34OOB^3 zS@Ip24q?T_Y?Jt!@Xe(p@27c}!gy#XAv=|+_=i@mMLJSX06Q6)qRmE$OI5~;S$+#V zGb%cA@1{q%skzZEOniBwLXl)q-8*}LkI(BK7^@Z}xEgunhehI`NqH<-Y$>32?&(F7 zYSiN~i;fm|mujwsTD0x(SZ8X?Ek}Yx%En_w$HZ>yt1dk?2;Hnlk!~05@LB{5HG3DD zcntKwRlr*lFHxAqqZLW=Wt= z=4|yP-q#QlcgMNJpv=lFZz$H7cyA|KbcSB8qUHwN`v-z9TYvo5S)%bbQ7xvtrO29 z)>xf%64A*Vw=tV|8Gq9AxS~!?PNHy~M0EZ$$hZsJY^WYa8zO22JdWmlm2(%O=}**m52%z;w3bxg8PQy8R0TTq!Dfm3=1na35?N35fHveJo5L-@Xk?zgw z_yKQr$pTHrSefjdC~;M74B$xsPJf!VMD}-@_v313T(vdvoTQZf0-~MTJ477G86sjY z|7eZSdVj#cBsD~PbS$&187o*OD$vuibo$?eXt#j9B*$~n`&JFs8=GpJ{#_f4q$6wQblW##8TR`PQ-DTz0`#0AmPp(%Lavbav~)Bru2mnfHb zh>ef{+k4!FxCD> zAelbszc0kTCiY)!CWrKKTM2X^-$5V6Y}+orBF^@##ge1Ib0B*J(pLMn>$+>TCT?Kt zumti|3mq>;*4`pc*4$;a(^jLSH}q5+xz~*6|3<9s*h*HrIe{PP@jcJnG7=MZDT>U#>Tt^eJI=9BU+&w=S6rDm4c*hberqCmaADA%a_2> zZ0_0{ENXPRz)RdnqG-{gi^usJhh0zg$iu^X;k!Pf>Vk~|+lG!&8C)Av_%->gO)gX^BtW)HY#gL`j2l5j^3CJuQO!Q*q$gKW0mkYav`|udiV6WTisEMxuwlDH~ zNAK67Xks;#r0p|Byb-XY5N|tvldG{#gN+XF9cwy>-@b1YdmGoa7HjY$>MV@Y)C(F1 zLe`4A;pt_XJj<;MFcz+iNTT9cmN-@DmH4ryu6$a|-zuxsy#d7OaLLs1bH(10iDja8 zd#nQ5=DC5`0V%k5*d?*+bu)RTKrhQzE5;n+pa#S|8Y<=$F3bMbk}*^@ryE=pVI37X zBP9_VwHw&dAlP$eu+gnh&-}YOdLlk!vNqmHaqMX(CXO)YsbUR;*=ux#vG0Y5u5FVs znDkQ1j`U2U+ekzvHveuPA`X{RU`I5pFq;jDn0-V0uqF%^Dznnsg_{p4SRYK2(a0`bKmv)7{Qt$Onn;@_}O>1Sf^$BT<=VkJ=($ zU(U;tqxbT%7(TW;xmje$k;BoO!89Oqn+w6)f7wZKKeJ#khE&`U)61CReP&qv z-m=I$BLBIa@RImESxPKJ;mjcCSV8cvi!3=3XNUNiQsvk?U9jo&sA1E?RED9kN4(l- z#UX4ix%^Q55#&jineWAoufMV0_?s_&Yg8-P@X!xa_D-fwi8w`Z3;azBUAFO@9w%JT zMS-z-!v%Xk>1dJq&ApT4#u=0NnOt^>12sW?PKL9`rU7v)8r{X-xirVM zhba?>ZwRxql#s@h&!a`#_-n=Fll!eVIv$ddebf^J?!C{&jY_R~(Xuzi8V?TM6J7gv z5cj3Ni zzFiUTPLn<3sJG1v_xkDD;-ItCXs*8*Eab_pB6!XY>x;Iz12rD6qt^j!ZpPK2iLMT7 z;jA*yZi%%+Y&5cSIBcWf5wMQ*E9Uu9; z=L`F?R6T|L!~bOtG&IsO?3BB`+|Q{WOKL@u8J-=lr4KcBb`THqy}WZ z9$V{AFTRJ1@5MY|&hPQal1HSbnK*ugXjMvqzmcujr_X`RFH(83KSK2VO@W)~cjj~g zm}WJGsak$_N7P6HmS4+EklqTG;gZO{rlw3*s;OrdOApS_8xUZ+;GpxO)HStgafMc6*<+26M7YeaU$2VH zzHQ{z9J?$*(-!W5$6#5aH}1(uuk)!~N+pq@2ckoqMUCC}V#?72hs&^_AJRTx|rLYISO^Mt+;{;?Ex4?W7IJ!pE>9x}-%FsAI0XsFy;I+=eL z9WCuZCZ{h^YI7~0Y(4BC=NSIx%NL@x#>bIW^2wxC6_^B4yg4TL?EATZeDYm=1^%YN zoPo4>XB%5$M)p+jFT`W#Z%;6X#SW6i79z@fpCARylvC3-j zNxH>;j}CX0{Zdq>mEeNKV*Aru0Mu0p5WK|2D3U6xtdeyOpHRt7l5+ynUMy|&qFJ5m zviLJFAKM&1OT+~`E`l^U8jamK^t81>J9Hn+U4-N_4o`k1u7w52frlDdmo)JzF!wq5 zyZ48SV!%z+)uf{EVd6?aw7UOJ5xf*{ZHc}gx_rhqab%-<&B?zDlhJ$acJbC!&r$t{ z&ErkoE=v3`qQ*HbtkOy_x`f8=Z6e=FMZ6}tghfm6jjZ-Jh-sH#RT8gL=c^vcXgq*f z^s4059l_SQNT}C8eO_!Ad)j4@c|wj``L)#^!J&=d(6S4{#e;HzykMGDuQOdjou~vN z4-HgQZ$wt(P%I3of&kW5n^3=#=LyfWkcxguE651%I9q(rtX83&ioA{3DjUTw zI!DAGr@*vVqlni*41;!*lXu1R^4oX_T@d2)J&{dy9msUlf!?KuB-hnp|Lwl6lG*P8QhaJe(*#ne_(e(8S3qH~DqI*vg3ijWC@<|lpWJ)-!% zxe~gIEsf<4Kg4;2-x*Onfl4Cm|8OwFrHT_wm}rjI;gANI+#Ug^Cz^>uM_BKW zx47t4x%6Xk__V+H4pDq7?J}jTWX05ZPzPi-L(oSRmWrA`GRTo#_wWM+JY6#(l|O;a z-FJ8{& z6wjhYi@`_vu#{)nF*f|YAOZQVPu!#}*MYQFwY`c};`|Ju{^W%vrI7*=a{`RO{ zUt-KXrO?ch_qKYR#i@OVL6J&AM(Ufc;zeWXChS{4tg<5W>0@ZRb*lgEm$-jkCmX6WJc2YdN38_*H;$__@-}l0!;8S z+XjPkmIxg=-o(wYyG^AQEx_tZgv)=W5?-JvCe zahZYEoYOThl2(awp@T#X?hDQ6#-zTYoy`JUrS^>K19A?=rMFYpB4YY^>PUb4e$gre z^1)-55$T1Q01+OiT64GQv?+)OE@ku{RML}wjqB4IZW>#YeX^Qzx(zezlsGfy4W15X zkHul+F>ZyvWwOb!etA)Q+UE0C_}thf$np3jB+CM9naB*F{J(#HwUy!Jg(Rpgq2G>3 zO$$Giu3q=Cqu`dLmt~mJQgZSRJR^fU>oZg- z`+$b8sY@*G+3~s@vWHQ=!(+!o8cfR6-FzWammrxADj=B&Us?&e@G52q3qz+-k;eQD z5)61Twk$0qe=cRo@i@wY+#Gam`E=2$4a1SWOx?L9V`%g2p02zmpyv{s6PJ`FJ{eqE z4wdSba|J}T#}_C82jV*&#Kwb*xe?k?oDdHr#59b~Atb1H2EPLjLU&OKB3{a6;h|Q` z*v|4^e)*McBIOL0=bH z-eH7On-ts8-#@9u!m(Z-2bb}=3-(JgoEMtc?T?n>BWiZ5r!I9oa6ig1q;_4$h!Sz+ zl2c46gAUY}zWf4^EnMljOAuKbEz7gl3Q7^HjPaXT@?)dDf%1Oz?Yaf89_LjlI*dn+ zqC)_rR97lIVjq^j$vZlv} zG4=0WfTn1cryjX~*RLD_4MS75$fE$8%zbj|LHVw$fgd^P=9N^da>?&-JWD*k!cU~6 z7bG>ZH9HU<$fzo}u%t^oi9%&u-9vmBI?lRXa!S-?~?NPz(3go@56XTwg zPF8Z9D-}#0?}ppfjwppXv2pEO_>|%d{@pjk`Q`0c?p-e-*tsBio~+T`fly3d4t!(@ z5aAhu-uAbg^?huMLfA8B^!{P5y7yK2fbpue^Le$PZGV> z=U&U1??C`fix2mlSm+Ps!avd(JfBB_e*efpQ)1K6xR{rg4F)NpxE#V^U`fBUBd!Eb z*d+PPON)41N!|nhX~aG&F%-Lt?c}Jq-COCbcsjEZ_poGzc=FKnfJe7-lfrAW^A zoC`gFB|Q;R)gL%DK6W^>)Qd*)b|nv-Sy$Q+;LN32GXFiRB9*&eAd02d8Yj)_jfrfa zQ|6+pQ0audrzg?Oi49=)VSa%UyNBXswS;onM{t*+5j|laQLfvi>Mn*oDfy8b`7MWW z`$jd!%&43UyUTxbMnnN z++)_?4mX3*8e)lLVED&YO~!<{cFzZ>L?P5e_+zKyNcM#&4^L17Iu~^laeTxN0!asd zH8odphW~nZqb*o%>^0$wLMx4JTd#>=L^WeLDmK5F42{eFWz1(>^sIuje#E#gNH27@ z0GV+1sxB8NvJL^6nzVyli1F#cfyB@(YLal~2@j^gc1N`#Pti`>E}3MfV=A~zSs!7R zdjc8INc00M5q}YW&O^GmV&UI&%(+NGzgOv+oL1f}H0++L-XHY}WvTGh8n5Y6uc1Fn zAjxnknJzC@-MvRk;hxTQv-PH26~)-Y^evJ685wcOc;+1zkGTB%a0|TVe>ND+8)2Ur zv%Zg|V}QSYmWQ)lb1T^jIx+cmcK>4d{ZG78nc-nSD!y+wup8Zely#;jyJBu~v*c#? zavVw6VCweJopxSz;@i|=+x^(EC>NPR#Pdk5K75InZZ>*h$N|TXSTs2oSZSj$oL6?; zS3zRI+$6)U7r6wzI-)*rF75ABO)HcMB;IhH!ueHimqx68xN_m87!Qr#unlnPv9rLjo0p=Qi~I(Y@ja*y z2~ZD<*;F*SA1DQND;s`MJ~5YN5!CG?Ow0UW;W$%;=?WTpW`X<6iElr7PTMpeI_V^6 znC#VqE|k?*22}FS{(jxNtdm_ciRU{Zx~$9HH-6*oN!|y8ww)l^FUh63&Q7x@pED6h zdJ!A_J^Q)5gg>gOceG`Q4I5o>d>X!1Lo{I1mKEsQ4XTyg%b{^whW&Q7hT<0~-BBca zV?|e|f2~Mn)s<~LFo@H?mv(rUJZ>)VeJkGcK^e$RL0teocVdsk<@*DR;&v-2?g88L zs%Cr2SMmn!mneZ&Cl!%w~)6iffA+Pd9AW~T!qM&Yrl*BslCSOlr5B4 zDriijy&rSc=nHHQ~ZH|7y4~R)CmgCNw-Ln^Lhew_A4VQaO-)VjeIA zPJ#7=mnKU@Q22uy_Tr5%9OU3G+FMJd-!ux=teaTE?9=Jzh_>=nNkaG^w`A)`3jdD2 zB-G5_NRYBkJoTVcqtez!MjcYG+#}0r*Tn^I@;M9{qGqGeXV-vGLH}Or5$Ai8r%=a& zzHS8$hz_ghG-$=Vz8AmQHX;M+%D_N9oF=?*0VJU(aZliOM5 z1)t0}5~KXQZ$yR-{qSJ?S_4AaKjWZ26uPHq4Z^qYm-p}sI~Hq0{A_=XYW{h6Udq(0 zD4g5xO-Y!s+tL!0b+yiW=&l(sf{8MKa<;snVzeLtgQ2&Y^_e|Ctc(rEM=B2qytKsr zZE>=ch^F^&h2kb(tTcH0`>pO>zDW$$r2Hl8xlUXVFR!`>8TdZ-^Qjhz`7i`x^HpsI zYc5li8D@~EZ~Hh`5CbdWuaLgvd3g@x-ESCo`49Dd4@`$Vu{q8t%wRh_BWlyBzXPut zI8IsdqRbq89I(wecOS)E9J&bkzOacvB2l83q_EqfPuY03^m=Z7$%+EVQ0gcHX&=fZ z%x0StEqfrX{2B&q{V`n{)fJltKut{32ztPfuCUpw;f9&7-`t-yIFow{E4(oN+MZv+ z+%yx=yA`j^AyX6+qQ%F#H|u!qR+7&Yc|>5AZNYvyKl+% z95I_#^nbszi0wB&jbRVr1GCU1$ zL#vwciaU{Uv&kXhem}yK!y2c#8fG;=Tf?JyfnqSY0-i)EXNj?+^tI$2SRNvgu`(79 zi+>%{OUmyiXj$gu_|u-@SXYg3syLd2p}}_RY(>a?&v?`5#fXjwS$1n=LON({RXi@K zS$1N+x*y6GzU%zM95HC~izRjEioZc2+#=On>h3Cjg#SB{=RCxcv~BJd(8g0-?GmW@#%aD= zC-w@=uKLURQRrZ4E9!%M3(F-C2pzkZ5mDp{(ADRhcMUX1(tEZIc$&w z;!)dH4tt-i)oi`m5enMI6BIZ!S9`U|G+G~f<@N4@_HerX5*C)KqH7+<-J%s>0PQl& z1Slv5)eZHd|HJGu210q)ULe(JXW{4fLMTCI`gNo$7z;DorL=IC-0tx`lP@b1oHUBX zp)??U^7IiDd+dMfd0*)jdKg>Pt&=qQ{#q;NF&RunWfgmlqsAoYQC~(a;)o6oimFWD z;H!aYt5M*Ov=EN>5c^7GUFT+I&%~uoSw5Eopa6Xa#(BMlb}X#3$6$vLsoJdi{yobF zlhmN!;udqha5{&_M~ArwHguB~G-^FnSMTF&vaZ9>kqy}iDi1~!d-p}H(S;g<$Z9JY z_%&GE+;`dAd=81X)2|IzVKG9(>)t8Dx0XoGZOIm-cG0WdY#1Ycf@H_?2f<=wzQYwc`$r0*%%u=9bHrptB5o)~zZ@P6@woIKrO`%JOVvM8`RsKJBf_qj%j+)2fei;gDR zYm-@_W_mt8r@tysc!=O~F?b}m8ndpcM~zD9-yBv>Q+OutY0lb_sf6CSG-|4c8FI7H zjx0ULg#Bpc+B)uA+=V=02^DU1Y$?wtQF!;Ah3;D;2kN*x$uAA!k*Kf^xZWzZ4&`(< zUNLXkAuK}z&`2KXl{tG-0JQpwzXxlS8y)*f=E=~_nX+RrJ27((+#0s#;{)9c?>jk< zBV8aDS3O2?tI5pk%5R|zNHTKz1h;qjsR}sTX}V~IPd;lip2dGFO@$NAQ8uttmWWRn z*yE-WVpc)b7x77{MRsX*##a5rqCcfhtrShx5+cJ--9X$v(k}R+1Z(^O z9HrZ^{+1_t3tM|mDj7Q5PLYvQ@hh_$w$a}(v0O;PjgwZ+66h;cPh`|h7*0GgIHqiWEO`Rz zgr-&LVl`iiutrHm)-`Dh{!ke2B)N`iqul%bT&=t_w(&%F^iLYL+hhpGq^Fh1HBiue zK{zyf{Scstpaj5HfAW_M3jmY$yJkOrcm1`NI zDC%&@{tXCa%+UVb5?M~UXixLy7Yb1_Al2NKgpUcC6)@SKzY?iy;ZG9E;|n{DKePS! znJ65QiIUy@BD`U+AMI>X7=vJRr+`7P)u*COPs5d~GEm_m)7Rd`u3fp{E|{8Rc?y_g z!KeM_g-_EChJSVKA}y&#J5&pWXNk?~Z4sZfjjus4jVP(R zmw3LRWh=>*hvZf=>e=p^h&dJ7y2IYzoS(~pAOq-MtL9fv?4O*D(yX|DY16#r`r|?H zCcZm$S0(SMj!sZ0!=RtAhoi!*nMr=Jq^lvx-`E;SW)1baWoJ)+wUJA#UirP_6(H(P zHj5j4{;;TZFht*X=lyIb@U)L}$a3qlKOpuEZw=)eIO>EdA3PQME4-7IC8n6g9cfTm z{p8YDnOX&d8&l05uIEJ4CIe7#C_9T9{d`stIkf0uJt&@p?tmm6t=DwSCNQ&ei@kmS zHN-M&8Qwn}V!>8%3O^K3EVKzX2l|X#%*%E$4EIYXW^W5FrDqEuuMoa#5zr9dHgq!# zSLgYiMf9IB@??SDob?DAmi*PCxa9t6RfCd%0Z4dnWUImW;WRttK5=UG&q@aF{lk_< ze^X03{-SNtBiyZdgW8Yuq=z2g<0ms^#^f_P_4x?c4pRzzp1w@acIEZTY##JeFua!Z zI0*JN4?kLUtO}5WC6}-Z355dh&yZU}v{?2fuXyv;h;KbumHZ5_`0U3&R_%jH;DxVSi*ZgIODO`1B_I6Xb- zZ|j}Pb4hkiS1YmW>B@I+iu6XCAu z0g6Y{bBG{40O%mNEl!0cBuy!r{l=AW2&AZ?M7ulg)qv;0v#)Xt;| zoE#?$r(4hDwL_zt7xpGvyGPfS=_H#ii<^JPR@8)ca2LdF37!ew%E1J)&%f(k#}Lg* z8T)woy#g8ya-t9Sxm0NmOX|OAOK45!Wh3mAvknjaAZ9F6!EH&5`H(_)VhjiD>x|XS zTvMpGwu`{`v*tU!gpNRgJeu>ybzrx%Nx!9uDG9HmS>pUXN9`p8&9|Ykk%_ekKm+;r zy8CvDl;b?JHKB8Dr4l%omD!m@dnz8E&j|cU4$?%IX(-+%C?jwjFvk3hZbTO@oKpV7 zO`PW>6TK3<9ZxCIvMp>5%1&!|oh*!g-Ks7$mT~qyra@8cn++F}y?K;^JDlegY+`|> z2OEX;f|;#Y%#ISaSM#IDS9Z+l9cI-^3{jLf ztOm{|8WfPbgOA{Ev(NztD;Ay!MLQSJAC=*kM;hOIj+0NzXYah0l2cnILZ<_pytTu- zQ^p`UCzsQ9TGlcJXKFSTgnTykW8Cz@jkepi@2Ol3*qQ19Ru?J)YqzaaRL>(tU9cHU zD_TC+>KvQBugN!f*}j}5^Cym&=a>Sek%tt)=+qQ^g++hG%}_0KE5V)DXfaW6G&P@0 z`<_&S&Gz}?WpGzb`0SGwBaSMCy^?n?L4H?2>3G-%kE_vFz+8GeiqA!?_iE8*G`=_% zp5FDyGl7p0u%!bc$Z0Tu_W{3odBv@7`iYQyZnVah#MhTPOZuq%GjeM38zX&MY%LNs zzbapq_WVgPid)cwqlZJ~6ns!0ey7yR~G+ z13?)V1P*#gprS9H+<9h4O`WG&>ne%XPY+fya*f@mXh}>EbBLYPFt5$6TRRxe@&~14 zv^VKxG;ioA$&wyXZI)z(%9_E*xMj zp*2p_syIzCjyyBhU5>0aM>1wDF7%8cw3WbYc9RK;SI}j<{3o<19 zl$~$R71Mmn{L!SdJ5?OQK=nZOmYtJyP!1C5yTX@l7-o+Qd1e;vA-cpP&DEk4le3HU zKGt*E#$MRaUvTucRE5W!pJj**-R9(2svA?oqa{Dk2lMCUR( z)(GmA5{x=FMy$WcTVrEVfIXO&du(RdkvP8j>908RkdPh0J;^K=NpNWr7q8tK<#U7) zqy7cWqVx`3kEXf_= zAmq=#&T<|vSW4NSqt~352Cd&i1ccu%i4Yw;hv_ZG-v#gf7Oy=gU#U=^SoWnurcE2O z|7m;y-`O7>_^~#hxgYg>Z4IFr=l~~bXC3O#y@($@=TP5!5s2Kdm2XrtY^7U@hKox= z^I7&n*B}YY-#^;21%`>wP<%$t5kW<)(Gn}X*i;_|+83P=kDu~$>Va>5^(Nej|L9U^ zy5Zrk#<c?M{kxtM_ttF( z?b^-_LV5|o#7hd(Jg!ZDo{R$scIhZ@-VGI*N&B5i>n+8v)V{#afy2S{maUl5rI5q; zfHLlqTVKU;66V%r83*c^lo3n~N)%uL@tn?EFWl(_kI9)kJ`O~cY98-RgAN5ZaM{`7 zk9!%j+&k977dg#c0S83tFcS;hil-ZI;f_8$sol(9eHL=*C**es&u&?e1J*B;VuS=-m`Q$kYT%g>aWxb7#v`gyWz$`M!b z?h&}i`S2%`=sZ>xOGX^Q>b{XsO{1JJxfR)%q^n;Jk*f$_Lu}AZvAYo+hJQ3#MfD*y z=%9aW$@=x!QyMx1ZU}xC-dM+FehU5^X$i4J0&n9T#{@{u{w}S-i?CW_tREtr_bj_a zf>=D=jt-lBV?tId^%oqV8fdy-;?-PwCf21O^@Z zFGU)ytUvd3sxAg(-IexJQPQu-d0FmBl14~)yE(A_@Wb0WK)Yyj!7r;oG(Yzoj9wPk zh-b=w7g?nVe=}fVTKfNY8B&l_G=bwKU0_#EbwiI5l7jEYrPGn0?!%rad-VD&dW)W>=t1?UW zeXU8LY?wV|ma^}+y!pLYKipi8F?r#D^nQeTBIh0nJw%9;Ued&tYf5zGrv=lcN3@A> z$Ej~ZwsCgsP&}CW>sH25gp7c0ueaJwBCx(~wNb)UyB7_~Dx+pA%->S_)hLJC`UXU&>z2}d{=)YJYf*(*`GBy9a+w0(R!cBaOWHQ-@eJuby2Yvx zZVmtk&Ng*Lul>z3k~u&cR&M1`=c8GP^Qob>;g`TNxH}dXs@5KnpZsm_^!w5R@99Hk z?Y*D?#sC0Yp!r)T#w5e$QuNfj?|E zbIPO+tm_!^ubx?i8k$Q&*fc;L-;npWiR2xCk`7YZaT>POfy_db$r<{dJJH2F(!AtJ z`kp$=d1y7~6bGsACK)GQEBeuLCAyWZfxUxp_-g1$G40zF+~oXaMd zm6=~Ul?TV+17AX&{Z1i5i+EtGM1h~?Eyt{rG!95FCs^y3q)~=-DgR}fHxc4Ct+^kz zSTCa-;j5MgbP%*!_`3Ic^3BJTV=jie`9s;;F3dF`J!O{voIVgg<5al&kKo!+I{j5O zs_6XEL)Asv;tfBXkRPEm7d)MLYz1%G4|ZOwC?`TJww*N^n-vqCCT{C%wv;4^7lKYj zR?=^iG!dz1(qws(dP0G~Zt&YMA)VM?3ES^GFm}(9PC(X|u~duik;=s~f}z_*&B2e# z3EL6W%P;G$&$%_#z>D(K;uwSoT};?iN)7~#B6C(YqHm#Xd`h(oG}_y<+H6W6jhd^z zz)fqt!0jy^srWP#E_T{oycCiH;JBOZyoAN<{r=+sOxk&m3{dQw{a*4#2^xY#cTqTE zAu=t#ZrpHQlH3->@qFO@YEk}DAaXg4&Gw-lO9#(s7Rzq8_hDb(8u|qDov&F((2T3Z z4m7V=F&X>wNE%(9IG@oK@_ATD#1G4N!~x48>w0d|eVWF0fd?B2n168gV^y3_r|{zg z^QGGfu!t=vjT$_@@VQL?U_eQI--T|QN^+sReTLYF)#zo2@5AwD7O7szc~iU6#E!zG zg_2Zg=%{`D-68$a8QjBz9Qd9$6qASN^RlWqyxV(BPJ~>j|8pZ9iji)CdzD&$kcL9< z7P-Aoz)@CXkm^NVjZPz}&@dq`*l381Zi2W4nFWHrWn0(T8`VGdgyS><;ny?U8ek6h zE#i*&iE1S~4P3kO5|nTL`p!BzTH(~+Z}#o3e4feP#LYfs>Gy(34(vFHW0s5($zAIo zI2BgyBMlvdH;(5W|Z{9Ou;3}|APo7rqrev00%M~da z1(d!nNV_okZOqrx9zk@r6A-Au3-jE*Z7%*Rj=f@YO`l)XplRc<$viUR16T8?LFKkIUW~#azX+2+wl;JGjY1rs+mccIy&C_i)LgU2~q& zU5>_TdcCv-54@sTy=w_l;^vrv4C2fX!IkpB8=m}A3>&RpB;Jt^!GEmI%=v~4_gj)^ z#@!A(37kY-MoHXurPsFynkuOJFDSL~{fw3JsOqxL7`;31w0mk(GQcTi|0$*x51FfO zK@NmVy>$Df(pk);;I2!@Tt*212>7azO3#K!4iEFJ0*e4G$Uw!$!n2`4A&B%Z;kjxn z)rY(|_c(ZV%+PPS#A$Me_8%r?sN^kS^u!SdAtRS=mJtJnrJ>YjKpxd)_6}KY{W;S< zMPMdljK3W3CipyOo-Dg`nAu-?A==<(OJws;b~y*Ju~BRsN8t&hM4|Z8f7!|u6wrCR zl(klB46$0D@97loc}CCPLSlq^lyhmhQ(I3#j0T7&fx@&ON3U9}t*L`@a@g%^nSRVM zVyn0KP;H)fT8Uge*d6}OW0Ygd;K2ajs;q~mqnrDbgX4DB(4r|7;A1=j53;tpYH4lv zg!W2hmZ5+o^f55-0!BA>HazEm$4;)x_+1+Vhd}pz$)3)*WJ+NEfe2?WlJeiG%bp;K zo~D#>>2=R4r&t5PtQ`(*z7CHEkC{BxJ@$5T;y&z*z`=D@+CJCuyZZlO9g8TYj$^Q` znoKX@xzrP|QFiHQo9Udl%81>HYK#RDrz$4SXu#^_YRq?PmjkckT%k+kD0&tpn+5DQ zx-2>dJn!Tc0@F88NJG%O=^mmSR4;DdPp*w}F!v0Z4*+b-5+UUTArIP<=JAdlN_ zPo4I4B|C=VTIy%nnPB*VVK||F%oIs=V~23`fsm3^~&2n5LQo)zca2{ z+Om%jg$X@TP~7LwNr$)MEG1JmchJi0A`4`0osSN+WT#RZN}Rrr6xjazofB>qeEgaxF?HobPxlG*%|`42jL7M4fb0XBRMtjD|IUFmZ)<@WpT zUc}k>B}7Mye09R`M^Uc)QW0r~sa73BF2jv4sj|!1=M@qA z-ea|y{^{0cXx7*zdE}qAr(Nd4L5217tlS2pD+_V9o2T z#z1PHJ`ULoc#i?IOSD1savz6R8NMj#%snNz8Z~~KsL$yMAi$4G$29r`JBNH;Do!?hQuSu+NY+cA{46oj2so)J{HSQMF7GOcx3t=f}lFZ4isA zxkBee*fYWOo2}@ibN!7+m(_K5ZGP6VvKo@f2sYPh-7oSrOnw9jeDOr4 z?SZ%ZZ)IL$n@o268)W?nYbk<9LtFs~t{NqiNhwVJo`#Dejuv9xiNzMkO1pfOU9X zcf4D~Y|4^7w=>iGPTO!Yc;qM0Mi;fzr+q>{ z9D9I%Q0T_v*yH^sS`(bZ!DF*qy48`$B5cn67EXb#7p^V86!!{&xKqpMRqG zMr+o+QMf8lUSFh(OsM68ToXK!5fDx*!cb-kxEo$p40(?{Kzze)6@4V;o~i;4r{Rq> z-e4rfTfyKM`L7!V!Kg8s!5&B(SuQ?uL(v7>EPpmmaOjU`GfS~v6BCJkg&==>D) zGHqZ?bfrl3VK&gc7a|0R8ec33pDQyD+6rH%{sDs;yFsNlw3$L~SR~BEzxbJKF%~2` zDL-$=awG;;Cao#)kg^VG-90HI=`kU&T&%xd;qF^`&~~?bu?CE9)uZNZiHBq}?Nb*X(gUux zNtzE;Ja6J3x`XQox}H9XqQ!?USMj@>*miE*G%drT`CHWmhVvpNI;l6}lhQ_H~iG{!{uo89nG-pSi+n=?XA zg^?x??cr3o1OFdQ6jVj_baC@KyY7tAhzpqcac~FHE{C_XiB_4N;Et5y-A@DEhr%00a9con*p(D`_ z&#MP(|NeLyu)^k_wBbgrx1PJR9jpNnx45I$KTz+55+tQi7V>CWDA(C}xnx=p%CmX! z@t?4o&>A?M#5nP*;(f8?ajXrSci)B+#_u7AirORT`0QguCpw`e+aZ$9Umf zW$nMsvpVtwb_H#DecFJ8({+LubL*`IsL$H2poZjAE$D()SEsGoSk;)MSWv^yP`8cfM_Ps`tk6YiLSGC;X|oHc+@##B11iVJ~)PE8w z&nHO+&!xhby880s=|^?jWUJIoO$r~zyC3HCHQ$d<;6BTQHIv8q?QJ@_v6iu!Zv=0T zsqFRBOSY^lY;h-d)2QnLsybkfAi@_DzgcYwz>dp?g8MMro^|A5WoBz7zb{1lz~hcCR>NjcfpW!88BEuom`1Zi&=2N}0$4##s(!Hz-Q z^FHgDxKMKWY*499T=mMEMiN^sH0Q_VL?G&lHfy@4sp9}`>zpUH-#A99J*FS?k5*|4 zCq*7X?=lk+Z7DhrfCMARw=_Kwjkl6PitB9b5na`$;@9;>Mqe9a9l!J~SGwF;1#-#> zZ$a|HOH3Oz{uu5mWum8hzXSlr@4hzzWn}}u-j|)$%i}7uE}j3bKGH~fTDDpOMr)`{ zyJ$B(&s}nqi+_+nD!)h+v4_s3>NoK_ZAcoJV|dD~;w*2dRaU$zH|5p-tQ#v(C~Iro z!*)4&kmxot_38!GJvL-B@kshROaZncqvwCEbUr#^xg4C$c5|@}LV3z|B|S$m`Ze5R zejGqNUoVgT$jyr#!wSjCwa>^c^{C~C2-io2nDRD|zz$D9;G716ZXXi{0B#Qnpd0jy zQY^}72t3(L&s56uee5^vdoQ1Q%`eqKRAM1;P-k}5R2 z+s#XmGxYz?UHDZFC9TM)^na>lNdHYQYQZWiD=R2iCR$h!mM7r*`X7VN(Ckuwh3Dqs zl6a{+{*^XQu%*1He;ZFP%8>R31Vlv8(0G6T zGq|wOw~zF{-TGHLs0f#gze}j?f1I(VC^t{||8D;O(fohEHUqy!Jv^n26NOwg<1q6V zMQ)FVY3rSUMC#9+eSm1fP!BM&VlD^SA8*r+9qeW_)g zXi2J3%&{Un1R2$ci$}zmOyNhCX7B{>hCzowP%%izGxdx!50H0(55S?*W-g$eS^!}} zX$7!R3oRXQC0%@QVtZ2!JtOv7w$H*Diuc=e!+V9|#4Qu&Vwlj(GEdIDp+YFBV(f^l zy1A~1$;qIyYn|F6;x?7bmfcuJ|3+EkYq#pqmFcK|i2RO;grinIEJj}0AD5oBcY|Aw zI*3a~1^VAuy7)FoSL65x?Se&U4yyvhEOC@Ba5W9Y{7|7fyxP&^SypjN?YdFbSLp+F^2D}dEiPl1_S7SmVuVCh;#Pi2hrO=+qpLLA`S$w^5! z8cAZ8iD5i(!D38177xAdx@smS?CV80DEQL63g1{MW==&74MSo_ev*SnAi|}0{&^R;Qohi=WdCp=jsZeA zsZ`7&wuZ%QZZl$Zg2y8YN_}}@FQkMZxA!44)np@GkEOs{{%PC?6Jl=Tjq8ATNT1Q< z&~)^~QYpIOBM@mo?60b#F2mXN?%HIFX(zg8yuJPlqI1^1*jJaaPxyEN*d*}wen*a| z(799qMAuGk&?`T-Hde}Bg|!fpCCxZ~tYytGgn_>hPFF?y$Y^J7>U}HTRBZ0jeuoI| zU$S;K;xs?5BRkkTS`g?RFf^{&R4x8{BZXVb+y4x1UR;I8BA|>eFvv_g0GqZ=AFj_4 zJogT0cDMauTauS%h}VNP+|{QSZEbP)oGz%Em;Ou5Cb*=CSft?!e{{!y%{wBGSP1~% zO}_IM?;~oH!@iJ`T8NurjCbk{SMBgeLV->D-IIE#beoa0 zun1=$zk(X-4NTp+?q-*z0f*VKtIWn~je~9cbd_6dE1p&!7hv0M;MhbvU5Y4Ax`deK z;78K-CbW!1CG>U~3p_#{gYh6FoVv9s=f0i&Axc9VSgIMTTV+Z;2m46W>@ z>h5|MtNbpH)ud>{4a!G}_>jH|_u}`CG#qmyifHYq+IkWD_)sQN4r8cg5WM&b6gUl^ z+pq|rbb^F-T(aI*HKC36SIjKG;Mhni4lC#>=%<+%DD*$VVudg=bh#XXt)2B|1D(XK zTZdS}a&xf+Jws=aTiGUB);91egOg}c_bqZf%QDyb`8!1l)BiCUS}BG zdvtKB&D5F^jhy5vv}v}wDJ*|rX&_=G&81!0L`+ zA)3LhdLFpGk^E6D``7X^XObTJvyH*-+4Dmw@aaQsp&CE8gRq5V2thtGR@Jp2yK5vo zz?BG&Ob~8aAOKVv`S${8y-TODe~OC&JnYzU_hvo$Qz~sqgeS($)tG&#^tx*N3oFzk za`_>^ji3n4mspCMQT=(u&RdFWbN2_E4F8SAxb}d*<2kX%PTrTOdl0+q2kzHcA`&cS z2p}?F2%!oA$NHsk$+I;jz!h?6MzG@D!u83KnHNjvTH_h(^n7<5Om9qiLqUJQfsUIj zXAb&mVn1M*54~mH^nSjTj_R@jFz#_O%oZbdax5zPY2z-B1q>g=jv+p1>#95>GP{p0 zDo9ktX zYB^SN`K<(nVS}cA1C_qp}QtrBFxHV&WfzzIcAot`@l0zEVfkTym zrZUe$mAKL#>N^&vq+>IntrdIyS5S}F^yvn{MupE)ffJNqF@K=*FRQ{% zQrjc&+o2-kJyNf}PlFnB(pf_}CTN$D-Nsc*v*5#20`&~O{{r^8yul@`DyEY!_8bqB zvwkoUUJKO(wEu$k>~Jra?wc2g$g@#qgY%H;jdNQRS6vjEtvyC%_I6cv%8JWM=RAWh46op@UYBH@}7ss?Yac zo*yqj<)k`NMw}uY0p4!8I3u}-?*2{~5l)r)g8XPvH3TSoit`p!@5G6tyzCXYQP8K> z{(x4aIc+sBvd62jf$5pK!LX{RfIbwU|>4;E7QYOn)Xf?P8hjK`{O*k+HdM9G3WXAQ7 z_Q8;8rkaWrmFQEc78sS_y1_Zc(f`FI4DzEE>HeWZ2a<7D`4GY%1`O9wZl&9S+}w4z z>9Okz;>aX5U^THrL=r6ZtAI1POS7;BW0Ql%PJO}@CI157=P7vmc5f{_#JWbWvZlG! z19j%-I2=fx*t|_cR*4EH2Gkt747O|wc2+BSwiBuu02=Actq&W_FTHYpA?DE}JBk}_k*)ntQ1 zsJDMdO=QqabF*|fh1wk3afF0+N&bObykom%l2e#oQ<9abzA@ethX?t_Hxe##3K4B2 zXB}}qrF@vH?1{9*PGn8v=_b4qnAYyREMT$|o7ET7)sbCNxw^e`C=V5L%LSa^vSB?0AXqFou&>pR_tc@zk2>pSGfe)UzLReWE=O#9bS8jH|gR%Gn& zYkOnGGcx-wO>6AsUm70FmD;EQ+%TEBut(|Ebzyys|6&J*y}cSnUCk(nk5VY%U< zfN}OR?q~h||Ha-pb!P%BYdE%T+qP{RUu@g9GqEPNZQFJ-v29IoW}kKb#o2d#(Onn4 zs#mY>dfs}Qgy7}>7~{t zgE@*7-TflyZGgU3Kc8bLoJlI)rivY<=Tc>_e~DaDpZ5ASJ(FfLa06`k<-WslzY$_= z92pl@>K3xzMYVX&$6lxkWs8Q2+CX6-( zMDNsas)?{I=JPMC3(EL?J!hw=xT9NF2Y}4$3R6LKl$h-ae{XX6sGh2)G8`&Qp6iB3 zKIEI7>|N=xo$VWc`$pH0&v##@fl?bfD2h0nIa}eWn{0&#quW4FN-NcEL0o>QGjs*f zLcZ%7b2O>4TP0{t#{g}m6T_Rm&v{qjqTf*R*o4Rq=d zs^oJFJ6rGU=1qnPuCRZToj5K4oPax}D5&)8j)y`CNh<@b{pq zqUdRVt;hYPtjHnFf^A{G!751o@fFqUd$sS{_!fn9&^s0j=X(N6cb***<3i_ zV|S|!w#bWGc*zuZz}B^JmrSF|G>px7@xm*|xS{bAy08(9db7pO~asd>_>{R94S~`H}a!mtE1i-+f}(go01WXZqNKDP*wD zl={~ALz4`NUI(S)S(hTCX4x_cf0B*IT1h5LUR!2hCO`_yty>GxwZ`*gsZUqO64R#iKc;`dN`oWXr_EBiwLT^KJ^hF&Sbd(&AJh5G|z|7a`kSw6{sPXV2p`_ zSOZh5nrOT(l!$;iK>XaU?WHt$iIY z6dTL_M!~>t@YJh)dJNRyLTfB4q4JN*SW0Z&4O~^|*=*ArOd_k+Ze~ZIZ6V|Khpu zvlhlaONU^bLkO%r*@s{7J6{shz3T?dcM91KLQ|i@_f}wUA!lS;RCRHPm$Qu+^m?;c zA{Iv`(XvA28ciP})eW;6hn_xyeU3Xbtea;D} z4e)1yrO(&J;4`I^64K?SJ{3q!*z>mVAxb=L!bO{?ZNwdD1))=iQ-_*ojc!6unW>Mk zk`2XDEvpfLrTm^TjKM^UnRJwals&B3|KdP4i&oN~p|}0Gqj-QzPAx++_jOAl@XwLJ z$v>zRE*v}kyi%b`cz?Aa%V8!*9U}YRb>$pC?x%G7qI(!k3L2iF(1TH=%u;~Cxkiu7 zDZnt8K-T{IvWS}W*IbEZo2ZkOgJ4kY~X-Dcrt13MhX$iV80=Y8onPUR1Dm1jWp~{<~T4ZF;pmB7&2R&10|Qj z1$DBFUP@t&qN*Dw?`Y$K^KIpEVa^l*Lc8!@yY)JzEvY>oI=VzD|nniTD@ST>KRx!+)r0 zmm<_6athN`oM*Ag+C<(9KO!kTD-xYWATd^pq#|Y1i^w8EdleCUatNr`<4e~onV|Qy zlA9tCc-ob15W!0;wkuxvz{!i&n5Dn?YL4UOPltmhG9)++}lhkw{LgtVSO>izOsejU@X1 zWNR6+sWpb5-k2gMG}W>CBOF^g=Xj z@s_CAPLpt8=*(3>X?lTJ^8$%?7i){h!`R51PHj^uDs71+ZhozylW4C)+ArpUxx1h| zRUcS8l}c*+!;Phx#ficpM%l+%x9c1!YAbMA@#u`fgfD*#X~x+8p6s}GL$vG;T6W^x zisFMsx#Qu`UB_2$M!#sGH1cWi74yA8u-(^c+}Z^4Y4gon3OGN_ETr-?WO%58!uNrx zrsQq>SaZvpa3ML70p_JHfm!>utyk=ZsT|yy68eJg5?=jC+oq)HZIt#U240c<3t_%J z-lj;`r5#2n?da$Ud?*Pt6j8Stb#xx8CGWjKk1-TWy(hzV(E8Tpi|KL06y+;>m^!#G ze!aZlbthJ(g{DG;q-~bIDC&uvs~?EHp5IJ(g|yL#hCDvmF?q(aihP!(`cP4h($*wo z-LLL1+35@0$iBW3+#Q+oc zh#}VGHG~}&BtfzVRZGhd0ZKf5pvXe@FI^P5HznQ?!yscsm|)RcLkBsEI{uNs&j&Kr zF}TiBupI;CXaI2RH&8c`Fy<(M<$^#s_){~Jh=c0j;2_tSsC`ORdl@0R#y#)taCs@{ zA92d+N-M2|g{Ge;DKLX~4ZePKy!-qr*zWw<8_5I9O2B7v#+D6%>r8NF&*e1|tv@}b zKM6#wJFHp-yjFvKh$M=%HV(StJ_or{q=(bzF~`$kPMj_`b5^u%0r%3Qes@GNqpZT; zfCos93J$*`Z~72$v{uq5k^SUdg>m_=s{;PuJ{UZ0^>i9m zCrVA7WaxtvN@!Xd%YH+0M?iG-6c=tdSX0FyTb3YjQEh$^XALz_ryO!PFt8W{Y2W*= zq3HMQT~uwFjE1gpYUc*AU$SaAyE=3s#;0e{7FGVNTBh5a^J4ktkokOcgW)G<6Kcjz zz3dbpEUZQX)p3Ef<6adbm1Z_G8?L|Ij3^V>!@r;AB*UULT~<3lN#xarTIi78ue{2o z9%sCBH5_T{!kgfMtI#4T1-8lOA#@-=ip5KJh;_o#QDV+PUCbg_7a%$u?bhnW3m^J} z?Rmz`86@Ee7!1f7^%#epLN3{nght4*HO^TcEg?0D-EGa^2!vLGgy@W`&%eUcp)!s_ zsw>JLj&Coc?1>%Txsj94l*#G;gjBhh@-Mg^>b6l^0k8|MfiypSsKqm%o8-VqAZVat z>!29uoD5L^Y1GwF#%P$Pfo;lY^6mqnY%)`_WO~rG5EDLPIm0vxY4sSZ)vbN{b-Xfe zcB|kqw#YH-xE)`fH97y(%@GV+)?@$fAF&X`zo25Mjh(X}+RPdRw}R%=v)OiTp~~$o z6v{aC889W(Dy^Ki2Rg;I4JWhIdD){Nu}DR7UjV9gK;%GC^>25WE$k|?p?JrLQ($yn zGce{El?9=P2}o8*$!J>_doc2$u#~Kr^d4cK5VIVHLdEDTE;Q{<&YVjQMgT6dqMAIL z;%?gz)3fEXJ49R)5JeWCjC!lM13?wVg^dl(GTGx+Tu8h_?UBAjNV_v$e1O1#{K0M4U`oI9|v^dU`1?Bu48Se)oG;n6SNKbCypV8 z*{G;Y5&0vX{qV!Uf?&LYR5Or(dp;B%LqNt+Yx+_>#=}tpa-7UVm{e?m?Qfsh%(sA0sfs37-kVTsz<$B_fr4loO%c1JL9w2P!8E}T3fPpzaQ zwqtrGB3oV%!UIr*=}k7mK%NZGsJB^*c6n&18jm|JX%RA`!?KVb+-Pj|v>3^66k{;I zz3&zjO+m?^KVLPdv&R;8wle1Bner@8UgkLN92&r)!OS&S;zHW#z6s)EBRzlAe{rVx z>lzgC%5k+xH20{jl9zz5Njd8KVPE~QEeNe~-UMFaI*K=M4t2y8#VjjlMH@d!&luPZ zzZx@T;u2yu7NoX;`jcfxR6%xt%%SutI6zpXkqHwKcB2y^RMJU1>QIyn%5y8lX0K{3 zciMHBzy!DWW4gdf8%u)VDpd0d0g~|amBp40M7znjJ1v8>mZH7g{1h?QPpQKi)7#l~ zATS1!r#iLC`A3%7_y9{X7O`W{(}1MeSyx=WC%N^-QQuEi#%3OYxeiN!V;JST?0h_t zhk+TDju)+Oul__;;m^SdA5Xo5Yj~HS*gt~%esx#`>7~c%4@Uhx5|^WhsMFi0NwNp+ z9>^c#jlT`Jz8gw%TTkn*-sHE7&_nXPI_zk_Dfu{<^BR0WzyL*p_+PNebiJ`Y$%9;J zG@x{~NhtD{FtApBzc83TZjtK55G&>fe&3=$OEbl~nSbnwOjxo~IDS?34O6obhf&IIZz77%<(u(;Yo7 zNY1R-Zi|11+FZLLcyGL=m#>+5EelMUO{+2$%M3dKl96$#xnCS5`po6vD#HRxMDwUgZ z6so666dmvta}co2?J1HA=dpARIhPRCvgsvq#xFQzP&*|r!zV{bm?7)bq)^<&oC5p< z>!&hD{%i~!BtL)6u#!b!p9QpwD~=Df*kLbzRFUD%)lCfBvR?aVFMpf8k2S>{G<0%6 zVa&UWY#w&-#03mhICT?@IGFiEYWIPN()-~0<3E6$@0C^qha*tA-&)f7*WqgJA&baB zZ3Bf7+??pZ5e(YF`2Qw(!j-=_3vV(c1#E^Ld3jd@5Oh z*AWT?_IoL@VvaQSOud!xQCir5YB$#=lnVW<_igJFyGGP~{A+Lp)bSgD{o|$+kiMK8mWQPh z$Vx5Ux&wUZ^-qqs-aa|nHmvQ1Hd0%LB%Vcg%4vD0EgN>AiWL@n=5%I=iqJ2_9)X>F zp8W0d%3J6fGkfEY%VY(q+~F+h9?91f!< z8A>ho6|}xMw*SrqEAQYFqrpU8*&i^`N%DI<{LA)N9vr(M3C3D%G!hh{nAT`g{G~E4 zQT=BvKggEpuapMK1`&ou@oP*%v#&^x%*Ggw(CW%SQ=!zM?n;ADd()sPA{$nsf;H6P zKO3N4h;SZ|ntK;c|5#X>S}t*OriZ5!>(Cd}VhY6#5wH??XOE1#C-|!3)$c<$v0C*u zu4^NPETVZ)(=!@3hlDfj`s%KXlM5MVPej3k#<0lR$Qdjhx^e`(yPlpxrl5Rhdns#w5*6;yD++iDuPlYF+g!;-Ky( zZ}#p-ZnRNGd^3uvrCEIZ8&o}S5vmlju?2xJaGq_MB)mQ>P$HBh8Cnc#@Vis9ZY?tV z%qJn)q&FBk2XI&gp#n1g(!W9rqXRd6%7)ZmZ+A^6wj>4DE%tjd@r65_kY2P1!30#B z8fx#DEUI3sL3cTQ5w?N2cPeS~w^PU|%#7&uboYazy{ zQl0^!o++|4%=ek$kY%s+VP_y@Ap2TLJeU_s>n&ZmvZ^cP0Hlx|taiI~>??=tu5?Sp zY{#RpT39Fpc7j{X4MFOGx@4va`cZjaN}32xJ8Bh_hQd@rp#aa>F;02+zAh&(!Ggu!X{d4pWpD< z@eevMh()#im(Q>teR`fLrv`1;S^uCL;*F8kU4qUUI_NA4>Dgi~4rrSx;E1CGD@VC3 zvab-Ku_8T%iQ}iqbuv2j`;~(upiO+P-XmwQMX-TIhSfTV_&@39owG>?cGJ ze7GN7y&s~x0EvTC&^53eX|`_*424MQXjOhn=mdKm5FKZ`}P#KoVX zB1z%WNxIO|G*^Hmi=?NqfD`B3^4SPQRVwG1d`PvI1v~-#eYdM08CnaGR1HsFx>Xx& zY*?)XKwrVfEuxkjpx6@@m5~i2o0L;RlVMDlq$Uh-{E<~rwUV$+0$o8`^)dI=;ol7I|6a9JC@=cw66sTU7juGT0 zjEPqCxvQQ54?cJ49DDAwGk5sqhW$3Ze*AdYkrI>O0?Lo|TF1|tC=IN7UUZ%iRid2B zpM#K`#;F=9xU~tmMSUCCz$6j=t{ai+LOtO4)!F7tvM_?kgpFH}; zKbD9}dH}oz6sbsmrt89C5~8NFc5fV=3flNz2^3;C^HZNpWzJ8E66T?{>9QT93Tdvw zb4hirq>C}ugmp2W!(0Dl_X_$pe#D=@ZmA0F6m}w!~LYnRDm74zi=i=+h>(f&YUYg z`SxZ=rxzM4s5R+vGYWjQGSAI`ZdM)8{rOqS7dA$9y7%Q4sQg6#FeoLK{`~tA@4j_O zUMXh~gi&ku#S#^8aJA6Q8V4#y5IEcF+eaPsGdE1?wFXHVK#kldzk_;p8wX>$VL<<=X@~w&6~LoVNMcBP z1{p0W7~Fhg3F@R$t>QoxsK|vdn34gBCA8&bM2WRJsU7GI7BVgU zNZU*wdGN3CB2UC8!o|V~M8|iJwj>42a}QmH@Uv~tA6y(W{j|cztc$cdp@IQKlv~fp zk@;#_9j^CYCa<(I?&kB1Ke}hT2PZ7`JT_^Im&k>07h;|k-)7DdKMT^`qgK;+W5VX+ zLt61ew=DVsT%*9v_b-{F^Lo3;MkTz!dc#3YC6B+Wlji%XzM%H$PP-u}TMI@&stz8hr+i zn(Z}}aVNcv1qe*L0j2M}ZK5;ZS!cigvd`(Es2;@McC$^dGETcRUY zJp3m}m%DR>?0$aP)8AKf1(-!3P_6Fd_TXVZt+23r?JLiE&&n3 zsJ9|mlRH>WiI^YEZjt0|O9+aiBfRwm20&DK^F zix$Omot>6SnB5qI5f(;{#7QH;sF7DFkr_I2QB;3NBvCoeZb$biO`ZD_eFQ8Dd<-sH zP%y9LH1rINPNPr9hK|>5NX3SRL!;IykS|ga69$tCphLrffoI2kehY+2C16q`_`fyU zC6}Q8rfHS)O~!7P+0uiz`1(2(XgG zV<4l;6weh!9`5TJr*_gT&iGzM%KnJB8u#=bL}}L;le4O1F~74jH~WZ=%tlC$l=k#Y z`>SYbYR#v%SGQBrMj3<0XV8`~7&lhqKAk$UBSx)?7dzTL@zMya6G(>o@{jY0fh-Na z89f`(EpK1j|oQ5aVcaG(uc}Bkg}KfR>R@@{L|!%Z(;S> z{q;{K?7La)jfk90Qinc+!P)(u3mct<2UQ^_oTd=Cf}iwGsbTjIQWW@xM8u`PAusE# zrPpKAA98i-%ebT2pbav?8aAY}8c;Es2jaGp`B*LH?9I*7%-@tsLe$jSk}axo~l4vN3eEWWRPpgWr}pl6>AYjca^Bx0fk^G`BQYA9PEHR(iqLu;9V z*wGq2EspsBsBzm}aF3ezisSEY7pTaa zIZ28$y;W107UgB3{S8a=dFmn4^Mr(dwbZ2Bm!?H5yTeU(B~$1Wh;$5&GmQ2ea?#e) z#=R-A5CwhF)kfycR5i%EYWgB;*(VxCK1~dnAIq=mf4LTFiuOpX_E^^b6bEbTIG2=Z zw7M5Ulx-5QMEra5-~$z270q)d&v;5VWi6Td{K^wwMBJTz#PhRbN_9ealps@ED z0Ip8GiwlDv!v+8Jh@C4DYA;X22}8r8=_0taQq1Xm0`#%v{-`sHH@;3rVbJw9~M^JAGUW9 zCISxeM{9$~(j4X?$uLCl(XXm;t^F^cV~DX`fxU53`Q0vhZNj>R9%6` z7}^#=dt3!CACLs|66acOM@y9=^c!|C&UHIZ*1b;1z4hk}y2xWtcoYX(?U?EzP72O= z;P57^?KO>g3T#VGhh%U=fdg2rry{RYNIrN>Pcju)ZMI5#MgqF4`>?j*lKrVvI)c9vJR2B9VdHw1}{=U%;_-aJdEF(?J%FvLN}0q`n4wE*{6F z4xT*+ThbqEHwjP7Ap5)Z{c23b+!y**m*Dd?z`6tf#0q7AyWe>nCtT!Xlp<=Br}=;sEvl`W z>7S&^!{-=Jiwi64X@~MDXaNga8?@YU?+*>QKYVzvnpV$Y?LOeVc-NNrpIx3DJD}Ik z7Kx=*sJ9dLx14)KmB0kSTq|cK>$ODQl(edT} zCf`{S212Z2up?bqmg{|apinHrdx%~13v|1EUolALP(^F*bkJ=Ei2gN;JUi!C(w}1G z(bbV+1b}{1@%@7HxdGjweiEAiTewiE>|sDGJrhQEtq?RL3UPQkQ|x@2p=C0|rue8A z0(Kk{@eA9oLr(7U*4@;2EBs6bklvX=s$wdWu54}kk*)?>=T68Q=gi)O*-TVYGw=-n zm(+N_hIgj3Mz>QxA!Ku3Q%v&3tzC7?|HL%J#tU zfc!)rM$19ud=Le!5C+Z6ZGs)_7rrDWHraVkKw>`z()iQSXN70j$x=MXDD?d|RRK97%TCi1Z-SmiHe>`KWbYzt_2H|;o)gUC z7)XP_V#49Qu6iRH)BsoF;L}t{W%3WPcZu2v^ZTL^xd$a5IqhiRdmg{S_w=ceDlMD& zXp;p1p%&*%%-mEa6Sm4a2t8U)qv))z`NZP!44;}RhY6d(^9c~4)1r)?)qF|@;{_Wm zlgezhVR4u%UcdlI@9j_w?X)B(OoncrL>-~Icu{k>kcqVVg88?`BSX~Q^N{miB}5Pk zfU?RLqM}%~sr6OI?yCDf^B!S-pDTKS81{ol{*0?XE&2H(syN8OnwzNutE055L!4vM zB85$hO+I$MdK{JlhrGcy1+RQWdc1tGJRHipV?|7UM_AWdrE)E3xR}%?lBI<+8~;!e z*8majP*{h9HuJ2jI)+=OkQue529nj{5^-kF>GzBq3Orj*S|*I&#taQf%(}V1Fb6Bn z$Q~wJv8rPf$9K3ZM^?|gdcM<|uQ>zGTUcgdti`SrBueSxWbb1;)y+h(%89e9Xq(&*)We+ZpxA^vZ53=ObI{R|0w`o|}0Fn~C z(EGgpCLOk2T94bqPlE@(qt5cQ4`w`rIGvV~!T^IFhH?rCezAubIQl-7OtN(!=*SLk z;d%p+hMH1zd1PTAQDpw2m^kve_BP4BJGSi~p2fwX^p+pRfkCSRr;#RQow z@8qt?6?eXiy|9YK*2yZMWC$Z8Pv)q#&SB~9NrFQC{0ps-7{1jWA-A=pzxBQSSKGm3 z)Cm|=bn_&G=UJ_I1Qrb>(p*|@Ie6GL1<8-kt3$D(k?@^ApW0>pa<`+dsHi3Qjt%s( z7hWu#uV)$dkC7@UeLDFaU=}mi+*+NQ%D=T5{7MM=cSE<{;v+BXR~#$+6cw0aJ`=n6 zzMh0r^(%HG(uwqB#iWdC%eI<2j0RNWZ(89U?4SKI?C(-s)?!7oV0|)A#Hr`INA8n1 zvzci&AaWLigo?C-gKu|F+S^h6B{|ur+rdu@@~_~(+YwfC8sJgOnpG0If8H{S}VPHoX!Gx`MQdaHW4tWT;THlM3DTEJ`$ z51`@pU%6k2TEr3h?PaTRJgbsu9w~|hRQPP6r-rlq(>8gC4(p{}508)AV%6>v|6_$R zI9v?9SW9jH_?|`>Z6)1OIjRpxs8i=?Vozyv%_H;`C&|G@ig&I$*J3?i3|XD1JCz&f zc-l_)hcy{O1mqBG71E+iPp!yfZXz$+{R~8`{#5wm51}J;n0#8x_+W=ER-Lm~=#YE4 z>?0{P3!4dtbK9r#nvc_mk_*f{l2KQ#udLd>$)>k%ydE<3I_`!)S>@Z6Y>#wVHOn%` z15u|O&#_9~EjDdQ=G0P_sXspbqXs+UGr?suOCvB@UPbYMTFYd0?DB&(pIeox_ilSZ z+^g}(@rh(PV};DPYns8YahtzcMf$p497$|?+lmN0BQ|~?v=FK~!X=+VMDAm2Q54oo zMH_f%?_;eFW^#OmilaL@+s^QYwAM0}E(q^`)Y`jiu`9*@gp$=ZA7?5UB{Y$oQVr-v z!Tz-?JKbD?=-lb9g>h#~>bh-0o{_gXvg39ye8*TWp&>Ns2>s~#4eL{Ob7iCfp~pRY zi}Xfwa~*Z7s@5&HyBtUf^qVDB`Ve&w6;I=abAQkB$` zwUh|o8E{$)7;U#xCM5|K2wzNmmt}mJ<*|x@;)vu@pywDrPjq@6EX4HD6zt?rDu*$g zl@|^F?y6yUi7vj5^nSz~$oXM^r1ACAysb58mBu6j!wu$sr5@zHjjAQ6fjnVQ{;gE@ zO*grJU8a9Uuif&;5nm%QAPKtupRoto>RpiR41zi}0`sPjQ~r5-<11cBCXRv5@rsqy z9hm)>d=-z+E&GoinC|8S6boheOLjWvvqFcd{W2a+lT;fjpj_HPbEd;Q<${WtYm8`9 z9T*FdLrn5ZC_jAf;FWPf!`tNoo_Y-kq$QNc`Zck2r?LzaE8Z|8$c2#n0u83W(TDLa z{&y2qWl9pB2At`>HA6?gPse8ZFLRThW7Xi_Qy%(z=zTA;O<0=}Pka#P@sDIdi)qei z%k+dii(}}*DyJnmbMmLZ=;gpuTMt5C>71XQe{r9r??PlaH0wQT5eDO8j>)$Ea8*v` z7O#XqzXKjM05wL0*&~+VBoTg@`!i}!5vjS9f8whCvCO!ylqc+VcPyYQwyQ;1WOoH~ z%B`X$Ykxx!PAu)HW1YDl+`q!2@0A}t-+D+6hlY23cQ*{KwpxqlP+0hBW zEP+H_kE)wT$a#G{Qgl(Mds@T*SQnZFO`Ij8Nl@?3)qC+IZvYDiyESri5I`D9!v2yK z5g`uKv-(!fWSO0o4E`126vd=cw%ZRGZyK#+uX(AynHX|Zu3!$Bg|cmy+476GeTHde zRj^&c(RYV!s>~ffySRjE$yEVHPeH%a4*oG^MzXNTvU4J7oplp%T)$Ryq2>94%rA)H zF~MJ;Y-d6Pi_VGGB+-IRQt)pSkO}xx%L#$rdzvq_ZEQw+#SyPyn2V^svf#1DmC7)?>=qt{IgM|Mg=>od1J6^l*}-8chqMd`?y~ z!$-j$UHaDa-~l8?ufidV(|RZD1nau7EX;CHz8`nd2~aE!Dr{1#8EbX*-09{Y`uWvA zZvdXZE#AiVGCP~YSP{CcA>+Uk_zw@hI@0HQhF!v&kA!$j@?|8^(W&KZ9xq!e=YsiI z?eVauzFpyC=jarNbNH;T7YQl71+wQJ*(+hJR^kK_txC==vwPbwq-DIA5xuWBr&|I2W*?5olfMTN^O_tte;aG!d%WN4ps?JLG`lAl5K1;7W+U&~O9|I9$~& zul?Lja{O$N6PLOVtZCEMfIiw*cxs@$`CM#AZDK0~16rljaX)VyDChV{i7*Q1(j*Pk zN$w)c=x*>_vO09n3;!_L@&+eDB1BbC1qCVUDRtK%!;|1oHIlywL43s@ZKY%TafRJ? zGWMP}OPmMW;H(0&-Vu##lK~VsRhsd0dq0l*=QxG;45)=3sp84rj!Vv5eNGV3Xr2>( z0YgLPSGAO^=Jk`b`{y}$cRkRSTw$1kNdrFxnk!7sP^x5FwFhMT4o3paYF&F~s>NEY zOMpimDeVuPFDZSBxDMl!S0>Wkrk?%fYTecM1Dj}gCdcrq@&wW)hM{6xF|9z zf9kAgEU1vhUwNpMo_!eaOx;JhletnKj88`AopO#iE?<#%y&Og)e9X1ufz~jC-Y1=D z=|-s*k-GZq^v+hTDJW=x2vav<_|N}@CyAm#uXq&Y5~V47mc7$~nE}&J#5;a|irRJ4 zcF;U;&_qKwi;*tF-AU4Qcf z7bYD_@gy?B2~y!;P)6t@hJ0nM>vH%zYs=kQd5^Y>Dt(6{k?Lm}LKoMf z#IaWhQH3nu-?z}GsS{1%tWfHjW|UW`Y$U5a+AUzF5(k0_9Fdx#=|-V`d>747PW_|h z`tI*Bs$C>$)~vx%SdM~Dz`~gKXe`n0x)kd5XE;Vex`%Z{-YW1G!ns8GtD={7e<0ab zY-pV=6+VSl>su(|tQ~9Bf}8TYdq>ZCB=ZDMDV;zgL0x=-B?`!Y*!;Fatr>I+Gmd8QK1Gh3xHapS;_{-N50auqfSV&v`XM4X_4@ zBQc{g9+-!(p1D>YFpoC=Q^U zQU?N@3|7qdgBBf$%#62ePWVKWfp5*7i% z;_RyH^0W+aE`szMiVzCpd6F8PM5;!xKNaDMh^O&RQU^cfn$=(BPM(z|hP#pK;MqVB z00!?p)6L0VwMbmo)V4L$w)aHSeIoz*(dD8iKxZW64sRp|T}YaW9&jUVxcj>`6deuZ z;vMm1TP^@-{Wdp9BJ{B}BZPj>$nrt`p35}UkV3!DSb$pRif0TE172wTEY(=;lG7#p z`BH{8K60o-V+)*gUlwTZpy1!#{2PixY+WBhvDO5$MrJLVJC1VMSm; z6J8GS7N7ZIUfJ6c<2e(K$Q}`xpRXLb0e1|}GjxdZjfdaLXz>RV(o2)OTLKb_GbFz& z(rvj=DxA~z281RqfT!l)z&OikXGd`j*%`DdY&}r_8-?oDe8?7@E_j(Xk+fy`=b!Jw) zoLF1fu)3A7XyK8{^O?B0UI$L0ofuGI`P=Vh@Y1QK--_#~BO*jVXjHFwt$}Av=WCY`WUEO zh^yes9^pQqzyjm!Cdai&F~x^JiH#-3VbEcYP5R9V49%x&c^5{?!Qj~3#W#hjarS-& zdp5-`*TRdt>oM%T@pI-J`zhUjNfjzkC`l#_X|GiJ)ZBOr;#`V*6?i<$;5`RPsYRL~ z@gIa-u$(f1W5+Dw3Ug#BNm{W6h$riXkljFojT*dWu{}yI2M?sc(|OQD?EXdX-;|ei zY2$coqk2kbUO9Np_OTPlHB*3en}D_d?9GeTVP*W1dIPeut+jwLz6yKH^~jSUdx)g)5zLMR0_&vE{TsklbHW? z;s|jx{S(=3$%X40It1%@xJ<&hi8}Dg3uMh0)16*{R-QNnLgx%zs?&P-1^1?A8mobj zCtRB2KknXp)i=AndWWY9W*KR>l&bzrrRB)YpWWiF(LCv;j+6Uhy6=t1J!iAIN6HEf z(ZLj;yWV02a1D@$(R=E%Jx4!rosm7*r~P&9@9#sIpgLz!flsbHkEGqJlbVmYu8gSy ziB9&o?qU*uZ!Mv5{J1Ra6PZ@%6ov7wOXgAkoeYas%1lcYQ3ce!lsc1h36v8mD8kJb zi!$qFo8Cm?hdIj}6V&1g+{i;~Ud^$t*vvf-x}gmbTst|MJUM#mIrayNdl62?wBE!m(_YpFX~ zbo(m4Nn;V1+n0>x@I7)LzT+P94&@a!U9@6!4+Mt)Ch1d0=e^5UZNu4!B$!iA9nnUl zZfL?f05;qn0bg^X?{ZTN1us`*D2tF8U@p#nTM$Bt`xXS23SxHLZcqAXw2FC5qSYBF9g1U zCZWhxff8pD0sw7$1xY0b-=AXpv!BDAUI_M%VMMxExn0|_TD)gmPbdfW=g0Ci_7d&= zk601;NTo?8;!L-E%Sb%R(OA;E%uzfjVJ&J!C=X|1oXz-WH8T7#iMKfIaZ6$xGbcny zbyc%UTDVDsPghHN$7JGOm#rXX{kD37{Vl(~MXMJu3OT(QP?J}XWdnWkyQ88;==Pmr zT1Fb~X0~&dCR*x?sEg$ScVT3)L2uB8={*W!@QRdqNZ4>S(}_$!ZK^X1D%+_z?v>=^ zmkNbvHs;e$A&tR2gbydGVJ*1+FzrZ#L_m~wIUa&>FpXNhzGe#sW~6&LF|~7s4;4^~ zsPf6(-lV(t{lg5e-~@#3YO$7g&VcJ!BF#enA>kC6vnOb(D5i`spVY5Y<^e7mANY(4 z=x92`tfoaZ!r3)vetCq99m0?P$3}L!wDQbg{lLE%HOH*xcn9cNtn*i5zrwt*>N*a- zzs731{}Srs$iD?d$^D^}MyF-kK_*Sh_?1X?KBH(+X>Y0u-J1^TtXM}Q>Y}idQ)c8kktjnM9ZY;q5ymbs1UC2L zH>ZE_|FCzC&6Rv_xK1X?#F!X6wylY6+qRPlb~N#hxno-s+s4GUZ9AF#PX1NrBb=A# zRrjju>R!ES)zbYu*NtnbI%jDzPv2Ht0joEbg&?|KW_$uZ?3^aE%M%~6cu1eXC@1 zR3_vMsb|f?OA)*zyq~{39@T9=DdVFhXsP>Q!7R8;pye2eR~UKX9?3_~Md8(BN)vf& z_$}|>a>LqhgTXm$N)d}f)QseiHj40w0{iD9*m3itN%O*JC|a>{ zLMwW?nRc>Jc0Xn*C&K0}^MN-(^)7g-ZPRSbS2YJmGxtsU?g`1ip%acP@KST8Rr02& zs;(Fy11kir5^YdWV9NNkp9{Tg+LpMTw&w>o3d^_5+tNnM`D+7PEB*``4bxu0>bs0uEo}U?BKRrsH9&zR_EzCV!n_hXyv3d3 zA&&(O)t&OP>S(iX?SI=ke~Y%4;fxgKFs}UP{^?mSN0x;{si;UWb6?u?k&QcdnIOB; z8Y}!z$YR^393Kz^D~&egwK^4Wyfmh%lP}i&#)>t5D9FkeZt5;`&5{n-nCKUHTxi+D z9Q!7FedB<#vUBj0NL*xWn|SVg06T^Q!Vu0I#B_lx7)Ku0KIxuwK!WJ=R);sM<7f_t zF}v7NGMu`gb(pi;I?XPQm(PU_zJE=;{gpS<)+8TM$n373n ziCm$B)<#tdHHcQ1m3M5#!>@+vI*2E`xqy?&yVwqvJMwmr#8q6B-r4zm*F4c{e`hhW zhoGDn+$RXZqiIU|_gER_pv*I-&>#B;^Ga|Qbt6(q#g$f2u+9NBP^HqfA?SttY~Zxl z_1^qD&%VWlDzY**^dtttX$-bSn)fYiRy#*VR_|@}koi3O5Jf?N>hB{I*vnq3KMw z@ed2$n%ja)L54PF3@gKyp3qJz1 z{*0NJU+L+KVw@{f)vW&od^GLWrFI0}G?>y98JT9jJf^61uH$#xi6-BX4TCmFA-57gK>$m3HGdjA8}nCJ5E5F#-rp)A$6{O( zd3?nLc?+XyBivodDYlkQtkRVZW(U%+(US+DBV7@Wvq<1%lOUI5Y-QUlSM8-Yj50!V z_wd~FAL&-1F4**8@y$25E+t!_%!6xk?%2aE17!d4(8o zcT11x8=CHsbNPgazTuL9WoH4iVu6|JOcS0fvt!~~Q(#{nsA_Bu``BW0U|jxuo}K`( zhw*TvlF*bwtj0x!{<_sV+HDVzN~)8~1iDeUt&5`nyC2RyisAj#NXmystfvlAlHlMK zbD-b-(VVL`%D-Do*d$!&UHId5U`{xBoe5jeJu6T#Jk+tVfo_uixXR~@szKrLJM51s z4Rt3^2mWb3rw#Px8i*LuqR?_qArYr=%X4Y9LS)`fkJIC$zT%vG?BtSXi1iBdN?pt_ z8&?u#faV|7)o?@Y=aq9ym(_U%V0hVy*q=yJ<#dBGvyZ~v82AcvAi!ogty94 z0rL~36dhMbCa=~yViUSe!my~m4&C^t#tPlG(395uza4r7S_8=6?8rbyb3aA?PeGmV z|FG&wq2EDGe;8pX;XTfgNEm59QjM56(zsJ!Y5vCgCkv)9)Dyn>xd|K^*V23M%Tc&Q zC3SKYls3_g3r~Gml{dGcCY+0RfnPmoy2t||yjRNEiW^j5-uY#ZTNtIYJx;g)` z2wMX%sqq3Pz9Z&7<&D~-2+vexbEkVJ9EMr(L%&DuqQAIT|NiI4ew((&Z^H;T zk`$avTR@48{kStp<~BYDbI=_qr0-ew{0RyZ&{g#;lt4MID^$?I-)! zE0>&q*r{Pw!+walr8X)FG^`)a*`MS!tq$BI=q32Ez~_M=1F!|PO7Vsq<0(S))i}di z^St{Z+rcuS1(L?#HAJ838vXHYfq1WJ@x+Q>Tvw&DcPk$Wg=!aZ4Q5O(gv-1O)tK3E zGtN;9LU|vf9z}d4ojs|xHs+5XV$TJ%IA)6yVY8e21f>Zu(eT}P4qWu5UJkOBL#sy~ z!2CwK&@+3VQ@>CX7boY!258DHMJQ*PBWXAlkA z)|^xseKAsu8|~g%I%GA3_=hqEWKB)^4r~^>V~#_L6$e+Ubt++3Z@QkYH~2g+JIv)X zBZ^-!_P&l|)T8itO=fP#pZjrGPINcpJ@E%_qMEGlQImV}E?yZI2>pc~)B> zm=27GuG^Q1^`;3Wi-On@ZqZNf7Z5ZcPP4g!cJFg0-AyvBtgTv>ZHv#lwtP?A$64YU zJ1K|GwW{D~W}Z;>Vt3nONy|ro^DwV~4$4*+rw#!=3cODu31{85O8SkM47om_peXH_ zc(Q}U_`?t|FCqc1uD}HR%y~}qrX2Vg3oE`~*+*^KRy1KCmOg1NkSHgi{gH#y9Qc)l zwzJ~njZ)9&;g2d41yw6%)wZs05xsCNOc{Nef+seU#m8bazTT2AuV09(#6aW`8TJL) ziCOFsj>4(2o=CIW_u967!!3FrUNJ77_ajTulz%bPFJTV696NFOlHI|fW^HFiUMiUG zjC@*IL3k6U?o}J1a|A3xG_diLunF-%5{$G#Q2ao`0>rq|X3%DzLjf}855TFCeF~wi z$-Q^BF#m9;;!nrQT`oL)7JF$$f~7kb@YNErJy$N~DQ=7;vZly?GQe! zy@XT3YNB8^U|G(0jH}2IHJ2$GgjOJ(E|kwsm8I?6O--<}OMTUvG&2#3toZG%Wq+@m zt*rie71>F$a?TE3oX@oLBY$iw@yIDn;wRRGeo!7XUqb0%uOxW2Jslo3JiGvu-Kj`d z>_^v0F2uB(?Rh0UARze72^{G&*@~6DNGEoRK?6!v18eL!FnX{*)xx!wj&WouZIE}oH7j-NIS zD)mx%cdl24S_DK6**8Mmwm{BC8#OV-iLGwZzgDiK1rap{w4v9N67Heq9sU*#3O-tX zz+Ox`J zzF+ig1>}VX`5}QfQE4`1l|2v>SJ?A~J+cfY3N3x~0{7(ejG)vv3#O~F9o%RsWY5lN zo5yaY&uV~Qvm8TLbZ1dF*PV?FSM1U0P#634nXSJ@kdcaF>*HeR6|FiNB2RTL{ z$LtRL0A-6j$2tkHpu40&8W+>DLzYE3j3n6aj%Pp3)<-hMY08Ob#k{_AASk9+aiUmNNq9NyNL%W6NNGg`(c(660N{ zL`MLanf;Lg`)xdHz{=c=Xf@zH_`(+6ig35_=tVo}c4#(~KwNBB0(8d*3U!%AqBFS&rOzeHEB`Gu8l7#7yKRJT0iAo5jXqPC048h6IRS;y9?^! zjSjD&+0m^NNukVvrqTVs z{DH1S`i7(HFY+nrX>_?`;WR(RAY5e<_*YBK)FpTq?LU*J)Kk6s=P% z8RLvKrX1EapDc1?9Mv&Z=$F{gMu+SCi#u~AEix9z!dMytsyzNJ4|PUWZkr#GF`uH< zSaIE0_c{g{GFtpTRD+O(ldX{|bs&{SsnN+s&21!ue0OUSauh{DIE3@1=Z3PSdP1-*^=x&XDhWutd@Jb(O&ng3mG4Qy%Xr4Ac0jhdquG5HydqwtuhIo1(^x^Il+EBG{d{ZDca;*Wli z0^D}#pPD%>PRxM|IQ2F(Ov8f$F0K%6pukXvW6Vor5gi0CW+=gz>10vwy=p5T4|u@E zyc$8H9Niw$t4ZHk=qu}el7L>h_P_cpEC#khp7nbhRmm6NLiDnQr?ZBH88Uq_IsM^H zPWAInL3K&N6qN7S(`q;eOV?%B`+`pi`3zbeZ`4=Dx~z|z^N$y3A_WxZy`NL9!Ad(P zmQ4kZVD5MYWq*LSBg&P^I)gB2sIlD`-f8GAAp5N~W<$z?YPUKU6b>BH;2eeJXSOlqTIBx+3HbuNr?ydvFl5FX4k70Gxx)l+ZK0L zAvRv7SH`@JH|9$Vp|9Udv_;Yj!YKU{U+$Lq zX)Jg#C!Hla#Ii1eLIUEkx!ipsLN(!qYKs!gl0j(~73}Bqj)yPNv2D1DI}Eid=I|}b zuqiL6kmC6!1*H)##!^nNBB5i%?#aOYQ7gIvppa$a8>!~tDHT`?Vau(*MPcTJ`eMWC zu9Sv%>^S9Jr`XkMbqeBA5=s%H7iLD(K-Y2Lu0W9GIA28$i>Fq`26e!csI=~%W;NRE zS$-6o(oC2rXI*Zoxuj;f|K>(w?^7-ayY<$X4y(t-^mdV3fWL5SWJFb7wN}u@J%?_Z zXlAf7WQBRcc#VD8x*e5zlhRPDJC*z4AjK7>#jB+M6=@@E?eU%Q*;3j4gk{1_w=G+t z;!dKZJxo?^c_WQ}i_{<=uUSj~a9x%jW#`ZeCNcsR<|bbr7_9;xjF*?-Yw|K4b#L9R#$}1 zL7cRY@!&?FztU6;N-}^>BF}k(Hnw-umOp2s&kS5F#-GqNFd|<(7xji(^i1%C!kKo~ zE+UkEytWW=CijvuUgho&KBVKJgb@~i)yxj-91KL~szpO(CZyWG72%LLF=VpeVF+R< zMgE>D3dUg~kjhXXvg<)g2-g`$FSfpzQLJE#YRtirbQwlU#0im@I7c(|;vyKX3)73C zsNs}GBl%DLeaSUor3yl};%;S!SDHq-75NRkX;SRm`a09Ms9;uAlS>nnSjBb!o*Y9y z(EP{J_NU~ujLB9Mu4d=VmiakECw0?b%l`MpDJ~)@2m3JSB_P=Kd!gX?t}o_D-LDtS zqg}|f%LQXqPUEd*OB7}Y;!1M3j~B#EX+;mNGV#uibAMAtauq;JF zSgUAU(sXd_72FBz*eeAg$T8t8(2u=YQ3W>^=%o&@Wl zx`C^5=?pX0zzGb|xJYVpwQe%H2FT%G%a`@+y;8c3Aq>dWPi6sjl%8Jhyd%L|8ENQz z-bln8r0Y==BK}H`jg{gKJB2L!k^&@@Fl17q-*IUY&}&i%<>+)FFZfrOdrboZ-59Ex zbU}|`?(BX3tS`)x{b}guQ*sZJg>~^_Qx)ZPlgkb&EKGe{lReU!l^*nfbUN8YlsF1C z))|w8H1vN6rujuCVtAnn;JJ8bYgqWa*nw7;d1Uj+(9;={ifVdxzUW@*A}e4V_0u6W*y%p(dU~Ci;U0u+PU5uB_aLSF1dj!S3g=JXCK$c?&4dljH5^NRP3PRi=Z}+6 zZGPhrH|N>Cw8K13p_IlHy4|-{=aHgNah)wwmVhaTtC9TS9C0PAdnmKc@uJvFFn@*2 z0a58n!CX<7N#BVpQ7~=nIau!FA8H#!cYkI-e6j{ejl$jN^uVp8MM@Rr`nZC1;_A7^ zGe@Aqhl&_Z2fSq6Ty-7QAF{K#qR+e}yOybhM?g|$H-6Ep6_Ha?RJtWYM*@Bs8}Y^+ zsvgxfCj$fxUh8-tDyd4OY{5F+7`c3He*sk!QZ`XFZLsIw4eX*QUxAivI!rNSXF(tO zt|w~kr`S_4_#3cqH;k&{J%Cfg1ubKAtJ~A6Xy)Jl9Uqn9Nor0GZuP26=u8E*l9^T% z`2%l?Gz!974e6VZ;>d7;_Oxr~efOMj{8%%q5l9v+{Xbx-yC~xkpZU1li2AvTr)(s@ zOGoR;x}>Hu;c3gGL>=jrn5rB9<CC(!(@3#s22o6}Vc}nS%k(b`nwD3hTDgDx6=d9$l4_tgnKi%%rR&L$>ZE3tCO}v}1NVkc1T2B~n*LW#8XgOqE@N z+gzeOL8;N?@_k#|{HsL%88$~TB0nP|wYARG>YKQOnG^z*_`;`cDl{YFC ztgawkNyLm_y+GC@cVu~!m}m=NwMA3n6jxJ_fyzfL+fr3Exh6niG3^YCWTAsDqcp$L zF>D;=k>Z1U`Ki~u9uF)=`$(De#phj^QBLSVYy8H$^m*FQ`4QPRDcPboNFF5ZoCz=TjlziVu7^U~q@BWIp@ zU!V|Y7bCM9^0fc8Yat$$i`0D^-~S$KM`d5D^3{~ie=72!;%aXN$F3YnK?Kb9Wd5`R zED~t7flvDluk8S)$!ec`c$}PA3r23KDZyzh9lm>kY9<)M0v{2lc1jk%%J)Xp$70T>^&D-*g&9)Q7Q>R55azvK-#WJ ziwp<2J9Knu`1gOAfp}X*bWRfYT*2Y?0)}TsiMyMsdyH-fJkj7Sa!d#dqWQEs|2A&B z^d+3-`t4v9N@0ncGeSYXA99pR?iB8AOLxKf09(dX4VWl_*H}09y`hU;oH3SUw2;V` zeWlWm?z-j>PsDC}+@Fg2W8Dx^bv$MvC+)jVWe5)mKS4BV;1k(g10?t%yr7LQB3eGYVTjVrkr3v4*T5*lIkkSW2s zmhP=Fym|C({-M$J@ZizmNoY|XRisr_=z;KQ7=z$xYkvr9=m8qp>oY3pg#m_oSP3fa zCmTb$VB(dX!zQnHw^PTEm_BpGg2vHn>oyKfDL`MjW8C47CYPYTFvA9JSoZ|nlUErf zB&6M-fc=+xgE)zjBvS4pK5N>!ha4(`o2vmUM8oRSTf{#t-^$8P;UN!eqtT=9=>PMn zO#mVD(K_$nwAKquj?i(b@b~2O)*$W3xEj)NDZ8`6L@7B~b@Y5R&(2gLsML{di`EZx zII!`+aNZ4$8rzVPbPGL>lVAx}0yqkowbxELp7$^k=d{}8LnW^5TL$`b5BbP1YR%2w1O9at<1>LU9 zt2~*9)hHh~|dph&Rww*ou(jdvsPQ zf3)TXdelBA0q4OYxDzEB0rtv2@|(<&)J-~~6zslUS^X)_Zfk<&*3~?=G59i|hCy%s z#QEq>1hFkX<>0;1-jCI%Y|m8q_A>)$1IG78Ir;~XI`Zc@v+X@s@1Hk>_Tw%Vj*^EA z=UAsgdD8N27gZFg$&<)Yj2=U0B-HxMlW>ewZ6yd_s^KJ>?)|%l*U-e@abm(C)T%x- zP}7gm`H1D^zc-IZyz@)M3l4f0*ODCvuif*BnRMF|PKo?fuDh}hI<;p`mETH~`?I2v zx^^!4veBnGr1j5)*1XG))Be9qJR6w}EO@p*QA}-s-zqB0yM^gqi3Bis&+)cBP2A$7 zSc#E2{L8~j)6fV1B87-cb;DNvSP8aXC|+?Mm4V#x*S1L(N=Q*AWIf=hkv&~TUcyX7 zW)FR?jwhi!;}K;$?c4ELaT-5 z2RH^G4o@&i+x3_ZrVGt|>BE$TV161fwZxVY^U75=DU7P}g&Ny!8GgwgsiNcBlibJ- znhxd5!Tc#4C_5l#G=-Mo>tOJ@@|?eWM+W1G$1HmzrmzS-(bk|{ZK^;wc4ungOjDt1 z*>WC_bEzg;6W5@&fJ!=44qev)`Dq+{nf8hYQY?SqqPr zkdjF-N7sd~kaR&YG5q!8uw0F8m$?xBXg=CB7>09O$VXRX6-h&SHBG$kHBzGF%ZOad z$W)Ss;0Gu*drj0sCJO~EBqkSr5ZcgEBh%h6Q+ctMlo3uUJ|?zvMka><`Q$fEy-Kp{ z%TK$uv*Vbd!F~=|;Tt#8g)n<-a&&e9(?HKg2Ohp>`Tl)sYdB%Q^y{1&b4Tg$cBIhz zT*6MZmEKdRzk%!Dfgdl2JIY|9*zBQ_?}n|LX?*`Qg~cU~>{QZH?hED+`Jql7WZP{z zksL96%LAVYR>Et`t~-=ey+;7|F}PVH1*2qKP%1E=C}{BR%di+@o_N&;`>2*Ib#7)R+1-f>!Hw2r1)3xPMMX6Kue5F4O6ez*aE{h z3@!YhxZ;HWoXRXxb7KnU_E9)b>#6JMR!c($ic^zgzU57jPu;M#Zx}FQV7+3aA^yiR zHvDw&s&p+sD)(aZsDQ}(H=Y1QelPqrC<HT}sX? zkIMkF+wWm@xM7n?m?uf`%YwX+fDy;Xe$JMWy9F}n__k-yi(8N*%23ED!Z`op#1kY6 zjxfTH|B?!c)LZSz)$hw3IMZR6*Nq}DfBz+7JqS&%%_FenR6u4F0ngMoWY9P?^yX@( zCck^wS*C{a->rL936T<|4+^myA_KmUHfTyNv6EFX^m`S<}M&2~NbnA}ZGWA|laS zU{S>^87M=7ldagJYatlveKAK?e`}lZ4NJmL?}OjzY?)obj8me3Mu8KmoJ>u8Ps*a@ zZffH#Aw5#vG*Y~r4nQnliYiV=yNq|yL#O=g@AE~Hm8%UeAXxqRDJd8WfJ>lOBn zVo6p$^c;Ti({^l(uh{sj4q`Q&g_|Ujsg1p*1O4()s6ReWtvbp6bzBJ~q)#w?+A%KB z=laFw(3)b$50l5fe_Fy>JO#aP?MJ9^WN6-r;PJzORw$9zL@n-Ew*dOuj1GX8e5u~E ztNyAz?IMe|%{hKohsUa0(IMyxHC-n|n5|91rQ9{=z zS2{q%1tNa?MFAncwK-LUkA+a9ewcr&uBvdLILy!gK_`zT=_jTuw-4>6Zhr+?wIeVo z$LDq|O95vtS7_}oSG+NuNj@tFx(9KWLp;DTknvUf2fSnW26T+LvJKaU4S4^9cs@c` zd|KIO9Vb(H3Bx_|SmSjIPlEtDvI!@!i?-ydk+Sy56(0GSO?46<8{2te zUyIAS{)lhlCP`z?<)T)%V2`5pQ$Ul%ks_Oau4EDN>I#C`O^= z`1IR&T(i;ZPbo%GiP>$t3P@EzwRCP*KR5UP)2GVny8N5(MSV){8%IGyBZzjbL0j`piGV{&@rK;pm@t=y@%`YdXSc`gOO!(@kq8~GwlufEq2Z2Fj3eKWaBIf$ zxzl}qsXhbg1boX$^qyY+=x}qdiMWw+K9PsymU=jk6Hw})6zKg`?@F^h*}UZUpQ%G< z`O+_VO7I8N@f!yfiK$wHQs;YK5ZD82#srOk4jdT#gL1cae7LPk>31}#|5eRNzhr_e z=e>qxevf>XRBW*%{&RgHzYS{wzfgQgaP$*LaIYC+f<_5 zq6hpAn&Md)$*X{6%jJjmPk{ngV8mdhdu)D*KMM!h#87Bv z=_3>e`F)gLzW7@5_xuA_(x?w6fgd_o*9hG8N9#&R`NQUkleNE8+=C-A0$0A_3XVsv!C!nmBPEOTyZqF1$ z(gtOAd!TUrfbX_Y)*tr+<(!`Lt+(K5HU%|$M}Y2Y^;7|AsInUV^}``r#Nxj(hN!$_ zJCtj!TmmAPvCKiU{HvOx3W~>@;^*=M_*JrBEwBkoK#K1`%XQ5zhHSE6hnd+vp)7`5 zj9So>ADbbsTX{#Z0&bkUr+8t)$UC(FVZPJcIpXI$7LBPM9Mj$r%qq1ytA>lNbWcff z`4{sjo4nH@it*-9Swb;TnA`ER&!Ua_0-JLStOe3|wYRRPur&uSjL*aT zv)W3GNLpFplg^~wNUomDX1m}RmKtR&Yx$x=y0EwhDsW7$>H+lyVeEYBKo2Q?`S(R; z*-~|VEZH$-E-(2cwV-`WWYRDkjPOfbOuOi$3<=!ibb109=NaVKxC2UyPI)+ki_LJj z{sc~ln2#4T(wAzK`hGtLo{RxcOSHh;E85ep#pWJ7(2oN7GtNK>kaypIwQ|+ILz4Fcq&RKES^cFl82`+8OO=Fl;O(67~n2G{l}}jK~bbG>kK#$TN?*dMe zyn)VfroMZ%H|p}*HDSJf@LuLnMfoz*HclHLb25hKKnhTde-~xAYio6P*E|4dI^uRi zgYHi6Cxvnxr?f;m6Et4om)X6xFvknP6o0#!XZK{JJ@)Y+cHdiyf&D&poBB zb@V90p%%C}huev6^m>3!^h~0z>Q$(I1zGSqJ`k);%!A$Nqks`Tf zibL%E=J2(|RFNR7xjAytUVZEeZUZ;;NzSPv^1t){Y+q329c;d`hdus#$jaA})bxSH zu5Oqc#7OoGVp~L%FLrGeVf{!h_m0{N;bQ`TlVT+jb@Q(w^~QAIg&j-{Zw3#9jTgl-D&C}dSK_+^dDTG8&L9efb8bnHqTpvMXO2ddv3gEM60X-xX0E-4X z57^7!4e*61Yj<5q27HQ2t5)3UxO(xB&ax=70>obq%BM~h@(nFo`Jn&()0G;hK10as z8{;S$Q4e0}_KN?eBw`duT#v+^TYgpIvi|$%2T>2&rh@xIvb=JDy$3^G-%X}xl)FT7 z^~%-X!K2W1d~Y6)ZCnGO{=nZLsZlUGpj<7ghb_}hr`iYAA&6WfHBBm>PM2V)-nUur z=CQCdV>^cBA7?V=l}i1pgPU1gl756k*>|f1M2mJPfIM84&n zgX%p#h2zJTG%<{`bFQPIzte)L{>Dt-vWqy4BtGqwagMOnrlzQMiBakHEr{8(h?^1n zZNLq;44#Vve)pz_Dn|;&G`bHeC1-u=HQWfXQco)Ae=Dig{>er=J&b#SuzJ=mP!wS2 zHb=ACjsgn*$Wvkk7;-G1%w62u#efIC5=W6Hn?`6gwG3{=@L(;qnqr^3sPHmUA*SC@eq*xtAlnu0)$vew3Y+i3j${=Hlcz*&)bbvO9(^73b23__;IV7@EF>*(tI^Y*sOW%-?&Mj&E@3To9Sla6!BW|9D?w=F_0J5!Ko ztCxyyxJz1yq=cKol!ue<6Ef**ULNL(W+&%NW6+Dsww#&lst~-lwPE9#VUV#ZOVzve zWN$TJQM?C(W1AV55a)w+NyC)koU2YlfTLm`62n&-%hj{QGpw$>8ei%vlMtf;9zZcy z6Jxx5oRhh+sFz8-3Erv#ICL#|y5wv%2Ai`xooo|G%*r2129wd$)2!fQQu(4|TTvXN z54tFc@ML$beY3`-ZjjcQX87v7DjY->8eBtmju|ZrGco@igj96K*{eR!X^@DE!JA1j z|6)#!#}^S#N{Q!S{$(lBON;)phPT?uRG8Ot{;DT0<1k)(ev|BQa51G|N73Pqe!RLx zNw8r!66^*N@s>b|D!2&L{j{_exlUng$?zoYA|rS#^Ejp7wV$%3pt@CA%T%&79BJ6t zQ0#YlogL?pA)c#@qzi?8plkwHP77&sc$hjdNtom8Ou@whB3KeTe#UV=;A>`EVGG+! zE7L+fG(uDLZn&V36th(k8k_Z2L|Y-SqcdrW6jL~ zyO%?6rgHiAzTwY_=3?o&WlzK7MU;4gb}0AWn_g9?m8SE7jTDi`8(Zs?;}c7|DW!#^ z-c0Yz{&;(k;i=XT5ocnBV(^NJmuNx7-{oNAX7c_1!97a?`-; z<}Zv9ZEL?}I`zS?Ig~wgf*Y$!fC)`t*we$pQ|ubIKvyqa7XI z9_r-SAs7^a@ei;M(p^GvX+#hvEJ=iRI0Bq@HSXijN8bU$uG7KaST5f(CnD$wm=mti zIpSaZ1RTc+i-g_DU@d50%yW#Ccvzd334ZAVuk)TI)8?d;zxI2}qk!g0f3*ADQml}SZdu)Qw1&pjNzZGixyV-h#q$pnbMPPMWo_~J zd#=Tw49UrU2{AO04W0_-v7HaUVCuI{s%Q_Zg}k>DNeN zjSb~4s-9}uH~ypJ3s{9i3qm4%+f{!s{oVL9qEgI*EWa{1d8oAtz9$Vdq{(8ybDg zU#yoA^e@UMWK{C&pv56TWk#?grjm54!9aC!`3(a#H8@s`dY}w$L)H6cYpBGx!q~1N zr##3{&cq&=2~4*C^5Nr~83^IiQ|)us)L&Nob-ld9VslV=NEhfz`Qtz;CHLYnnHIS# z0bDWhDCPBqrn0ECSFOmdTKAbmH6pyci;Cp8(ebJIf&~GA8@D?pAe6VQXpk{`&9@{S zTJGCKQzDKp7zhr|MFHK4is8?K5m0Wh?i!@-mB1eh-DE$O5Jg)NEqH4$xH(McQuB5h4rO1ajaDhdAGFadt(>G1{%$_cV7AnPv^rYDO>4v44 zaYU1|^t>PF6Vx3#jM>6@tM+a8_A>)2R;XNCOP)aib11I^9;rdIH$KG>GHWaTl7CnZ z;htbrpNbhjgYLdqySgD=)*({~{Q89}u`g-AYM%Cw zm*+O;yC+GW+Us-Y_xJkrke>=?Y)=uLgNxZ(R4=U%H!&mk$oz)>UkM$-;O(_98kjW! z5#<}lt4W^Yeo2}nO?{f%cHp(|V2Nm&tWId0R{=m`9QuXjm+OK+CCdGm#6ESgcrd&D zn#_fdjkjcl)=lY4pgqpN1BWt3g)tHJ-v@k14TD|!RvMIHhNB}izKkCK%dK_Jcf5jI zg7G1Ci|iUhIFDGPBIu|({d6#)k%3UHE1SlaU2{?;}5Fi-N@9rXO z&4&LWjnK0MjVQ?b2ft(e7jc3^Ewcc&ek9hhD)vOjEYfTR7{@nqv5fu9M=Sbur87>E z4*x~^V^pz2AwOfa#7vYff-ZG_wBz5~vpg(TfCIzj3V)O@n%p|Mp}9PeGF8svlaldH zsz@V92QK+;tx77$|?>JXFaFNT1_Wq4&<&T>nu!jvU#UCw~7{RbybjpT{4i zpJs0#h0_N7e0)^2O-TJu9eq2owSQsBRlQP7_$q|8sBwX4mS9(3sL8_~M zG8{6=9&|>mS?3sX5H6u?V|RB|tLG$dDqa>|BA_+-`mQmd#NT$I97fLjfBdhOz}F%N z>lgmkRKJg`&gT5=pYYrG0~C@XzY1mQ`$(y$*aS>lkmx!Y{w&2M89n7z_*5>%*8a^H%F;HN_2vYuT?*^CO8}q+XvKS3iGjE8p z_8}UmzrbX+c8rt>3L7~ptO+2BS35}>@{Rj{B@L8(q?IEy(XZzL2vK1Y19YHPByA1` z{3Ta7i(W1e8p6i^N}9YOY{r>laG@F~E;J1I*vOTDJvQU7>S&LXf+5!d|F?2qAN&86 z`~NNX|F+K!8I=PNf?uw?ua6&dkpNNu^^kt%zD$4IBk=VqeE?&xtu)|>F?$K+4lxIQ zxA0u!x+b;8s^R?S26bKFxvvE55xO3p8$6*ytwvk+d~n+Oh5BK@IPJPq%O4w^sSZu6 zW_m?qk-yO5@&4C|7e;M+E%Qy22>zZVS9W|dc<}%M;`uLc(71q%{EiA6h8I}gzlRu0 zn(oP;dLtKLKusOt<9t>sD9gmPB`ddw7DRw2Dyi!09^dUB4|Y<0gotS)~iS zpYYfy+|cgepfZ<^^cA^wnRz$T-hF8Hl+_{v;YXQ_Ec?BJg2Ef%!&4>xMuuIlKlL{E z8m|xZNcoIbs?ANw{HOX)tx~N6M`E+s`j^}RbN_)+bE0cmEOyKs!Lr?KflVdVso2{? zVZ@9R#KpH}Hsqp0zlNoX&&dW!PDrCQX8Hg&5j>md9!D(wpGZV&J$gNYr^tF-io_|r z*3QIf)X8fWDCYwm_@~KpndW;+8fru8w-vY)(r}zN;{wR3DSqEQr!Ur9^!}TG6oa*U zHQxAjo(ex;QH#q)xaMiEQDdQoz5;>$lA2HT*&*g^vm9`w%s*7?82lD*nEOdG=O_H% zXZj|n2$g8dO8R-rm!?Ez+))cT*pKs%((?q}=_Nb+{5ndgLa6wqiMK_`GwzI^bbo#{2xcBczT4^@0`^HQvp?e^wij@pH1>k z8wEC*V=;P-j!9cogV|0)T+R|?&5MVdYTz6tRl3B8Q~Zy`9}WOb36#I45P z&)s4^<|vBS+>O`qf>7`M7uQREqY#aOq)>CPs38Wqf@Raafe6izW~t z1_VhUXt3ZA+y@Bm8e9T|46eatfT?fnZ?`#ruzd~w0|34D*2KXiX`Z8h=lDDFrKsZDxCLwm39 zz>s-`!s1Q9eER8fUfo=)k>5^a=SJ zPol#Qq~nIuE~oLqDA5aQziVFXVk3FTy;NX2L z^7M$izsf|3CO(wC4mm13$u542R5FhmkubPYH=2wZay*_vF%rFz;fd2pFnw<@=qth^ z2`=fw&f)*GkSDOo{gx`2M*nP9G<+Dek4^tZbVMU0Fby(j^`%vQ21~z{p2p$HOizL+ z-JdNIXIXy&;5Lb~jz7UoO#Hgx@K3=}*JiWuDlntAuR<>&ItaODJR3|I@czu9c5#(4 z;5%^RF}WhHQ3|3}i_GVxGb%CKo-zMx^x(y9UQw;LP5ZO#@I8m zYk#i(BwC z$ex<{r=D>5!zPLLcGsCg6Q_5-u5A5+cR^82hpIA`j^)Tg1B=Yt#V zwrA~H+XI6Dx`!Bkq{n6)knf=Czf*gC#(EIhgCF;8l$DN&#~cOBYz9E?HjKD?Ry4%X zigmE{GG2lxBHD8O9}>>PGv0tc3B{j3-6Ndw?DlVR+uCg^5?s1lFR6gmL{ajeV*f7OGwOXTgXYGxP4FnVs??S$^ML!6#w zc`~q#O?l(vOFz)3rEdQ@H-Gj-Wytg8NY@=f+iaGk?=0$VPOkM!JPu;g*Y(54NsGbS zF|KFK{fXz>LR=p3Z0YQCR%_8IlQ!?JZf&eg4TY_<`>%pyY}qhpmes|GYoe91XKy!% z)=3k2$&0QdX?_l7T}OGC|A|asB5`U}^j^PB(7b*d*ddb{vn3=kw|;P|!*56a@>~5B zd4Me5XgN|SCNwEpkW7bv-AZpRJlD*U?*B9b1hx|#J}b%~+!pm~bGRSp9_me#iz#{` zh{HG}b%YSu9`=$wrXQ)k<({Adw|vXIf}qMaKjkQKhkkl&NfF)hKpBQrl|~Ti>RkEl zj&ks9t$OF02zp~?#~q5o^}2beDJB|tI2NBd6wC~=93}gf6_l`jas_H(HW?^&^&f8x z+K&Qli<=EGv`gux0RFTwnpt)`v%r79rl~!h6Nebd$R#RUG2_&}-@0YjJyNj=s`9{z z@2}LL79O4kHLPz4MruxbEYHU#~s>oQQl9u#Yj*=F$LtI0S&RVwW$xOv)B|dL>3L>%*U!9scIBpm z_ObmFCauj0c>iir-g`ywwY(4*&e$?Ao}l%~;HFILUWnUqAX_g8^?+DrQV>T?+z*cl zMI6$`8`)#wG!D-SSz}E&*9X2WD{JH6oGH3J=j!*O95qQ52i}{Pt+;<$M}}-fh0TZO zt_k*xLEZy|1Y}~9RR5HLl4JIpFC<);(_gFlpGjVAx){feZ>;!(T>gNPhtC%y(&%DiK@4Jk$yt)|Jy|_dHGXbiIK09OR`F4AzJhXHB2#8s=kqL%drHeW946 zd?cOKla}9SL(3E9*U&Mm^xu#1q#f_{4=1k#j+B!JLGjd4#G|Skhn>zQGUQR}ihHO?`;No%LfzPo#I2=U$6{Q{EXCH#rFEJUF^pTW@VWnS$kR-WAdBo7MVAkyGG= z5^BxE6Bo0AHq(7SO6ljx5Bj;l64IFOWwmms^IjS(-zpUOqJAW5^OyY^4xFzb?Hwzx zB#`TZ$x`5=DT24euKWK#VgsCl`;waeNp40~$CwK@_p@G-p4<+VBjpNPY((tUlWPx zcCx5WmQ;O#i}jjk@8Y8`=;|1v4uZ7et~D=W##dL~T^&>?X52 zH(s~8M+MnrR_@!Wq~o02<7>(8b3#NFlEAy3VYAYfoN>2G;A{W$-~E+bJeGc*M&q!m zV_jIj@}BgvGl!}{nR^yV!gRGC7+M5X+7sNm%q7lT`3!(n?Kguz;-oPuM9K`(zL76T zs_08D|I1wpDxr$Z4X9x*zOiVDI%K75SreRY3?D7OOs#T-<(GQR`FcKV73iJa3VYAIg|lyo*qa=53h5RbICQIEw6GW8f0 zU&(pdHyr^PZ&a;3HrizG$re1Z=~FoEV7>6UFj>XzspET6G>H?d7R0z5)2$to&?w+H z)D!_(5Jq!mJEDyozPu~R?1h`RrBCsaaAxg#Z?!GW?#|;Z%CZ0b&CHy}v;6o<`Tm!_ z7&pN*R!MZ`qPYwLjCEg2TUvLG!8&fYL84Kcl~Z z3_Qu`vp80ThqUf$U{by7ch~;A#IO{4YeHy)=&m;5fGdSmq$@3%PWDDF{{r^L|1Gos ze;NZ&j9tCzZk~`Dv_W9k_Yt&#(lzO{;XRZYd^QYJ;K{^MgzJ=m-)~Q`S(;3$2<2}4 zCH5~>O$+j)$tR}!P@Ivft216wa=agLJNEL!j2Yh(*G|UwSs6JM9V@F@2Z^8XvzN(6 zmfX_wGg)l*X*)-!WsD4*dYkP@#{B~?5m&o~Ee|6k^n187Z$m6p)@wFyamnc0s_YET zL_%8CeAk5q-tRJHO2uNof*c6j$A3%QP!?kz7ko?>)D}H0cRryprKX}f^-TdyP9Bt& z`?MV7IP>raA-^k>i?QIatAhJL8{FV;?X?8sAZ*4VNBcA@bu2K?m(cp@`12i#`Vqt5 z7Y_bB;-FflvMn?5pr(eu;;&vlOQ+Gld7jmn-bR9*0kr68 zghGj>OZN2_bJ*tUt!;E;1N@do`=N~HLzs=4>EVS(6L&O=UWA^W| zwIQwGY+hAoO5dN%IjVjj#r@6ZhnX7%yN0Y?;g=d9l+k!T0s3VVtiWjl*cyFASeOUT=9h(A#}{N&5Uv$agY~Y1q2vZ(ir3-`JiK8r5;w?9+__ zO31{#*LHKT)?i~^J|+X*Zk_r0Ka#JqF#;bG6{_iD{P+$d(|8A2@oBwzNE32JiNo7a zOUFK7_@d8)o0WP~WxYz5T?IF}(04beVeb_BK8YZl$c*9dTD{olQx(>XY=D5mOvaS#D z+hV-H1Zk>hLXYldIpR#G#C;+;B3C9h7Lg5+!$yei`5R`$dgRF*trsNr{P;p)0$Vz3AN_){z?wxb?PY18+MrSIojJ<#&8E1!r*!bB`xE@tcqSuRm zpq<}U#@70tg2SB3G>4J55Z2-;$Hq#VfvdmQ%EOebt=xdMqp}O2?3}tmWIbTG<<~ln zz@m`85L8>%S;jdBPIClt^QU@1#%fN_72UCHLFPW$$)Ioc1?EcvnTB6R63+{*wX!78 zA$5)&IV|R1YUH-^^O33K!(%2-6xOb3d$8q7xcEuMo-TfVNuNY4>q7b|XhsE{es+Nq zxngoBQTK|uNty1)w9mCYr)85}sow|Dk#8KI&qJ0n#_Eq3rrt&yTFQ2L%lp9dqz)F5 zwmrIkyguR$U@Fd+4i8V}UBQl*hxKzVOsH>@k!-*I__wxlXJ`?RXxEQ9$!T935vMX% z#=5j}cyFMehHeir+`U}uW-1;y&{q9A1<1;BImO&y^?v_T5|I51B39nRU>MsAWu@qq zV=5M^xOybxoB)z5a<)sq{k+AVDs##hTd>8uc*|Y-pdT{s1Y*nI09^01xRg@HgoeI2kZjcWlJ1Sr40m)8W z+3|pXsLWkf-&e>mKOuFnQ+F&JEZ!E6c<4=~Oswg-kfAHfm<<<`H4pNZV&6CzwEe9@ z!yg`PGng}%{$)OKwm{wSzp&G17^lwoRkcO3g)4ixkVo~V`*>U^=J$1CvpaM26BhtO z?c^s)zEmz(w5lNQT$TX}NM0ODv{e!<@ejr#oS*xRr55M%$e99PD%rgvxA8cu5$ndT zkhII}Mv#voap#F+v;M;-)V zIern2NOi@(zSkTTb!ugLbM5h5PYKc>R+*)zgQ_*ayCJ$T(-8fMnCUEOYm5NHfry6s z-WZDRSOdR4nXav|&f(Lr>t~sehk*A5{@D2QmTgR?L<1x@QV)gH%jqEbyI0~`9co#p zJLf)GbqSOOtqM1qO%Lj-2)P1mq znX<}qzSk~6C7HsAIo)Zp{KNE&q={z0F2#C~%O@k%u0yul;sv<{f9I`e(fPvqOx&Iq zmS4Hq;V~tL19yflKk*p^Sb~yR4)(-LzkY^f#At@HoD%Inf&apn42UZ7B@pk9wL$dHa!%Y0Q?_L6+E(F(vHvwc z2(K*yK-o%n2wg-dQQYDcAO33gVeUeKtktW5(oSI)MY`o^DdGv{@3sIg<|Cy zO6dQN=vLJ|6Hhkt&o&C=*Kf*fVwfOg^%GW<>zApp-TD)H`#EHNvCiY8|NT$#O|{WA zqBR^(ji|$Hvl&gbtI(H}w-CX;v~ zc_vQUY_?|W`)&L!)=Nz!DEpM-*e?wWP2x2q7hufnAk@pqoQDxrZvI#y*}Lw=)oa%6 z&~>;jH+R?LJKn`geN)iHk`iYIwN~VMFZqdA^INwyLy~T zDo{+8U-{2#;e-P@3?g;rP1-hDQrY!onLeKOZh6bs?n#w(a9r3-)5vQ@gJI6DAwQ}M z({%W+l1x-MX)y!Jv=(!yh0wUXlW&9>ph>z%mlLf>HLdSeKtTt#aCk+|B@iB+O5QCy zh-*%_ja@SNF|KzglTk@;V9eF4#`tpppO?)G*Xc*r4(!|?fpmCaP z8@YqeZWu4sL$YFU^IGY$vdD{@>b9>sUzaiD;JWia}CrE)-yYwWSk=p92|Jl@%TjfD#Wtk$Mf^I2oADqn(ruJtJL?i9|8Puvi z1>TF}FK`&6MR?dBr^mF_|KZuj*C25Xdc(ghi!#*PYy5hTMdoGyz5 zSvDKOwyN_X!h6EMOK%HvOX>FZa$`oidabPCq&fqi+J+mt?hRq~Q$L%xl$TRdhc{5y zV;@q=#H=h=1@i4mDDoCQ$(%;RG6=|yKDSMb^};I1@;vI|+4)S^SDKAWVp~Rn?=&X! z!skixT<^Mx;T&=7brR3EqRtHN-Mz{$7|cs(3xH&5V@+;qE434cVuThq@%On8Vo8E< z14G*^3xp(UnkvB(zPvc@$}o^uH7>jYK0NlYs%=}5@&~m!U6JT4PaZCw>i6GTj&R?p z)F!NXko}-yZCERXWn0eLzmQ6m30_W^y7^(}QC274Z>_|5zL-1(ZNSo3nuBx{2tonT zVlC5~ys5?%fvRS%in982uIi+z|O&ZZYSs^?5YXM?V!c+}Ri z+jNw1VwTF(%+qN%q|yG4gWyw-lOxl%l*T&HH^-)4$br^nk#|E7sViw##mlWk7e%3( zy3FboIpNmz5dn&2OUzjI#eG;#_!ZSJhaJ5pGQ6e`2)o}`ru7!iJ_BzVegI_*z>m## zm!vAWQY}g0kIXH|7Y3jNEDZoG!5>k?J@1CWwFB9gD7^w>mwD8N)}?hx+}^eP!326W z=LI2Wn03^$u*KWGWzv?GEb;uK=rIwB#uVqg{!8W=++MPxy30gcNs9|S==|%;-7mM* zD8D>+gf%MGa=vCb2er|1xHmCY0ZE*gb=^7C3w0qgOk2}?0YkL39B7H>?}N($O4;+} zvBRG^MzY%tI7Sra7yX_%Spy=GO}Lpau0NJH`RauO~?CW|Ei$woKD3!1&ZFqo$c#D z!6=~>Rf=AVSMbZTjrZg#PHl;a;z1R4p=lqd4EPfq{9wjQ<`2A!5tX@=o|r6xI>ZaW ziohg3b1a_$gtgEW`hBfDt`eS@9xw`JzVc(V6w|LiC$sB5;UF2OZ7uiO zt9VlAFaAxgC@pAj6+UZR96nD^KEQT;Ws|)x@HgiDM?7+7BXPRXYEF~vXv;UCzv;jc zpkwowP4Yb z-RCN4OS|?jQ5Q?fiYllJkn(oBNO5gb-8r5B&Ksl^zJ+u}=5z$^rD0UziuqEa7J~8D zjbRpbUS_YR5WVX>J3 z-2rPIL1oBE8U+)$yWiLQ_$Gj>62oy?adv9cPL`a`S+;LylP<_(2{)Hdxk+D%@a%-b{_HU3t7mY{wOmVnU zpF;9=dFBUGLhb#FG;w#3`;^YykF*z+5IPfM3zH9x4e&eiswNOqhO@7Qdl_hfJ2CI? zwN)}Ow&XRq-^No%R*21-UxKaZmB8%dM}bkRze;?_++>J+ydJ#(WM zzsWi!qD!w4f$ApyC+o>DE&)<#OhXQevEagxA_M8P{s6m8M1I&NMWBm;PC||@-9Je! zj6kHWxhw0l6p-$gu4^+?H@-;SaKM9El-IpDm*uy+E{EZLf-s_74!P}})em(8nQ9SZ z@nx@81sS&0I7Ec{cmXzb?>39V zjY*L+YgYCnwa7*n1N+}%NbN}>3JncGXh)=MDy$cIcT|hcitu&*EFXWbZ%)^dBu{=a z_r3vW`!$MgmczT-?iVGBL7(cs+H9R1!4zCt@pN1#4=?pzuXUZ;tvX%*&OKM29ssrPIn&Y}Q0uYC3ep5Dbgqkh2sm+0Zaeui3wvi;0W<;;dJ`hi)913yl`NvisOBwyG-Gk|BCqezPtVIGkv7nb1@lXz{fms>hrKmv2E-_ zpF!ODt5otXn-%;abCRnsO?`O#f=3Yjg@qa^1BVq6k~(X93IU4y<#a#l7=K_h$Mnd> zKDwbe-4_;!5dlmT>jEv(%qXGv_WZ;4_RznQ6wnqX+uIknC1_bNI3aq)aAEDoJ=K22 z^ns56dgT17zKsc8{!llnWN*Nc=a!o>SLFkPR#KU=ilPZ1z zw{V!$H=P+0v~SzJap<+a1yP_)JvNcQEps2hDQ~wtjp5T8uEv&8Q+Fy8ZtLekf~aAC zVB0>7wKO*-PQoj;0p0EPZTs6q8Uwd1gjS7I{gh+v*~x(d2&TJedjH<^zq({Zw(yM3Pa+=w4?DwAiWiJ{`5YMtguqhEMWy00 zA8YKg;{ac=(w{B+da2U+-C&%$IS)yrj~bc(P5y*1a{>M8cYnKXV_N*$Eby92B7R_v0mZmG*Q*=^mOYI-ai^p}MkGg{9wy=HV_E!VU+Mj)&F#dz$I~T7GrW$4K_-rBiQue58CUsQk5N`0 zkf+Jl2^8-@mrLRVi_)grAnrQjHue@P`g=xq>;7pCCehtIS$)S;c<$W?#{wZlFb;mJ z4P-*R_E$U!m91-=Q-?-Ua}%J-zuy?#kiSlnj?!VCxgB51H{X|~xJqhs>MdudGvD}? zt*@CSqBaaky7|dMj!#0qX;jv%%1wLxhLFozP~Eu%!C-IASGlw)W4=C&BA5+^P}01e0xMVwtv|K0?}U zFqu8R>U%5bwDzSJ8Rk!%=}TB;sg1Q%*8a&^P&OUn9%Ccj?hPyTxjIz}>O{sVhC)29 z%zEo>A!l5Zw$|&zzBWb8wFptYZMo_Q>fxVQUXDq0>L~d_`6>K|xwm_o zZT9Y4H=4_vrP2-*BCwQcEX(@@B~~PqG-8G1vR-Oq-4o641q*&(KoxiRwO3vi1g@KDcgpc=POi*2RTQzXGH+j| z0^lb;EuMvYg6BJ`a2!uHWDd0qpwOM3x(~-H=v&V_1m;$ti)4fP%b)n0UENjHK~|iv zj9WDD?pkZLudJ|!TNyP5iZnDR6zh!@H4j$p>X0gR)O2drjrqW{G%x%r zv1-^hvFFT`@44r1uAf!xPLN=7*X509pj623+Q-&!=z3n8&GebIVYHywM~I>ryBr3y5R|C-D}33l z4pU>=8cEY?VHW7l-1_6|sj+I;I{BP=ZuQs%pN%VWm*a9EBeLa)Z}P{F??WIDYoxEePAXQ~Df=~LG3N((7IARa*;oC1l;k1=zrs?I zV|fM>gWbg#e*mMx#3x_rIHPWSM#y!-3WA+A;yc*yS{2}l=5GmB~ zUVZGgp3IM=5C@6+`6_>pvigarR9THAB~?P1L(QwJu7Io)^uR%NrV)dV3gP!Q;c@wr zk1q4kP%UazV&hu=GKycM<@3a5ZLwc z;l+0Q!kP&%+URloMe@yrLnbAQjz?NvQw1{-y+TBiWqPRh zIR8-YMZ%KAC<=4ilwy3Ox{osoBs- z>X@9i2KH!`f1rDOT#oBl-_n)hRjVeZHr!R?ft;L3F92+5i63ckRnGXVww9$-owzR! zgbM6}rRiC7H^4!7F`v>}S~~+dbkGa0Sg( zQ?HKM*Px9u&vy-s*>YV;X4(c*1{RXe;!%m-hG0t*K(L==w9bASn5`NKlIEcN9R=H)%Y~*qR3^*rT$>m%@su3;zS} zs#_5R=YPX7t1e{JasI!5j0nl}tl z-VImJb=$)A-XRC^uE=ItUJ8nmi0IMSq7f0Sx2DDi#KfqyP5RTJ> zd5?ESll)>mz%%^xgO#_-=!w#U>ZfJWI(59u_eZjKs;}FKpi+G+MQ16<03=Oz+1})x zr6Y`z7X%#L6R|>i{ImDm0VBlk z7J{p)wnmfJ?7}u*iaxcTZQ#q?;!^epPK=Tu?2?c7UErBF$fkA02U6vH=N{iqgjocqiz6k-mLUx=PIx3o&_ zxp^bcz;VKO!Ya;6&YjF7rd1lGGoF9z)PgjYwWLfMVTO z8C3sjRTd;5-6oe=#-$dGjQbA=NZTb*aa`XCF{8BFHAv5i1-CVqMp;p(^0Cl|Na2TG zIZBPH&vHl_s(BC}59_&OCr@-xU_A1do-x%XhnN(xPjj{Q$2tzzj|pp5)g?nte2NcA zlO%3)AMx0UwDSUgY(HUP63kXD^u%E<^wfN}Db}eqqE<+KFTZ3FGcB)Bw7eP z-+H>Wey{uM!R(`7pB9i|5-Q4f@^Z`IcDy$0Ju}l)f{V&dgg7DW^96*)iIz3iZ z<2wu?!U*RnzqWv<^yt(H7_t`a&nW&b@Wx0B67K%}FBLy6%;18Iok9fXhcT~`zH^BI zw-WJb(#O(fvHyPf4^FyA-W51+UqqdvOTkJ+8qLLt*VZmgR)d9wqYLcV$6yTfNXd3H z%^k(hqbA*md#XK`X?2V-;ck@Hp7d-Kyp-~m_5Y-gc46(NaV>(RU&Ov}Ty1aJZ?M-do#|gdW`@ zq~D>%T`Vzl-#b};oHgEfs_~3ez}4@w8+HTFsj-3CzgW|#?XXZYDYLLil`^HQ5- zX91p8oK%By-kO(<6Y-L3%ib5`0hm!bA1VIwm^AkIh_4?LZ-e7HDY>RN;Kv*v9Vr-y zs&?p(IE#$N?->Hfo#22mtIZhKT^P3uqw$c$QDL=&`FC6wxvO?u5vKs2&6u-a2xCx@ z2a|px+fJPOF+`>R_ZN*yCjGs(0Q{vnDA+sfKY)O<({pqR{u@+@0)b;+|99*vFAxwy z$rmA5R%6!x0~>WI_!}ZayT_v|Ic-v)um`+*N~Fkz$IkIP_ax+#U#p}KessII1!5yW zJ8im7aSZ-GN+j$eX_s|Ex1dbfG_94}&l2$0(E=L@OE^!eQ0qriu!pJ8WO4gk>|G51 z3kiyarM=s1?=K%)y0uU&IVPJ$xhAEDMDAfHbWSd%C(nINveZujPU@I_S`Im_w2-~uH-kvV#XS$KJHF@oW4A+U8C$$_^3b#Kk{zKvC z%h~E4c6*;r9DZc=JDr)VvEIi!+%ib-ZN|+Tr$LOqP2=%h%`lVPvyr5ZcpJDA$g(Be z#@QqW-^Q^}^YgFZQg7%S*EokAUml%A*K=Pl7(uv0A&WF<{_U;JVI0>J^(*ziY#23N zqP#)pfg@X#h#%FCTd+j&wFO|+y6AL)Wnup3S))kU#}d|=+YU}M(~IAng&u_->v2Ai z>8Ic77S~RmAthNoQWAo`Vq*VoT{=`*RR*bEtjgG!y=Wkn#l=28(6f@T@9l^i+h%uSpKdb_@W4GXTZI^(H@yc|(;%ay#pN z_d2aDG65~0R_sl#jA)-a7wlMmBNE>?!~l&no`LpK%Zl6NGg16q-RVtqD~EktLhbEZ@-+fAgU?sxPdBW+ z9A5QKu3c6zs5_KL-d|A3wE$Q|K?Lkh+e4m?N@Zdh$~P4;%_X{5U10Bm-DGV?#Ww^$ zi+;&p9-J&8a+b{`0RE(j!4$+>75sk6qt`vziJlxF_G>BcU%ZSX=(UC>gcU`r|MH9A zSyvuQnqR+&5`4jAmZ@{vDfrh$t=C$_BI_STPv3?VB=g%8M;+L;-t^H=`QeEdCR3mFL& z_Pw>m1bszvM!!y1j_n_9$A1IztKT}ticCIde{iZ!$aHz4eMFC5KxNi5)I;ub>#L^Y zIN-2K^(~bb|IJeZFWKx}j`S?!I0^LA?|yrih4$|`Q%atw0() zuIg>IWU^^nwWH0VCZXxM`wK?ej8#f@JoaHHJ&M|oP})t*oAy(gCa8YCIP0YgxuXEd zk5tL=

    -^QW%UR1bdfe?iYGCZu$kOWsw_`YwF%kMlvues+P43K{&38Naz_1_d-<4 zj5o@}W+2&MBikbQjdnHDg7@iGRt9?_cr~=WChP{Hw!Uc62(E zy;-K>Wm%+i<`pke?P0vt$}CVG_;|70cH;J75t2PyEu(fb8Ox{QHxHp&;{C)=&v9&9 zwCl%Ep?ohtVaru1ktMzfLKvt!*>RfwLvW$ZiqN;UIQT$iOtn4|^N$)5UcW@u19aeZNR^MB;kcaOB~b+WQbgi;2=;!mEtYktrG@JAjn?wB zv8fx%5NxpSzw#<}DB7*rwoQ#qC;;r18INDIqE8s(O+1v*i+WI*W&Iuy5`BbxI|(Q&<3)Ab3K+tmi43`!9sAaSaljsOFJiIOo+{=^ z`eJE>9ZtmblZLOS7++&5^5ZAHnW237EQ7(rY*sCgZ{6n0{D|5rC|m4`9w{W*Xi~iM zrZ05v^23<919i;P7rMxo0XO{MD%UZVepe-gnf{?}K`bKM)Xmd&N`C-ZI#KE(=)oIb zS-;7_(5Y)e5q8;{og3%o;~2-Mzfs|Z?Tv3uY3iw!a+qVs!-xwJtv8vs|5?ys9 z&!i_SxG0@Goxi;1@f~%O(0-4%`?dA@k`;#nk?|OQmap9tZ~FwsixC8jD9xhZo2*V+ zFnSmm*j1iLCaRz-BbWNN;N7s z0iwc6a)I_dgg}MI#?QWw2prK+UlS)Nr7AN_5g$f)C((7gy@}L#yn!Sn_i_7@CgYd% lTXi?MHvI~BB%#UUOBUWYzrUJ7P%kHrfIZ7Q%zx_d{{ki^6QuwE literal 85254 zcmV)CK*GNf000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IrD3jhEB002x%0RR91 z005H(00000000I}0RR91004La%zbrq6iF9vPZZZ6i$j7;!%~GTi#v-h?(Xikcm_*w zcL?qf9G1o13GRz6lHdgQe)o4P)icTN_s)6$y*`IxGPmxn>vgNTy2r9C%khJnC~t!< zS1J4pqEGnl|EGV69pKL}vKs0GS_gk70qoEC&M?$B>a*&jK6|#FxSrpu&;O?R?>_3A zf79_C-4pA7apKwMf8l!e`Cp&!dgwuo^?z@_bAKP!SZZz+ z{_M}6)hH?Wa}wn3mzE^aNdcG zLNrrQQoQHSO2e=Db4u>{ls~88&x)GTfEvY#fc|x zs;9E1(%Zjf@#Oy#kD@$8QC2!pta((ol1TUTBBQvWusrKY3`()1Q^blw8WD$~ua&f_if08EfjZXGExPlr20p zuQ*gbprliM6t}9U>Z71)+;0~2=4<#+o78}oJmX90M17+uCuJF*v5l0Mdd9o*E2Vig zmXccWtmIXas#f7D`d(x`%la1c?<@UJ%ou)X{^LqT-?b*zOVIzAVZKoR3-otVkG~(p z&;a~1eSV+BaK*z^N}q#O({MyJ@%)#{V)2Rb&Ta42kj7vy!)3IkdDqzJ$}6hg43dpL zpE7GeQMhIjpT=UM;n?9DEyCt>lV39U=kB1vA=Jf@i5hEX&LDaA+H_g^R0A{Uy%p^D zH+`Fj{uznUj0ik0KL1oo;&Y<}hU-fpeW(haZ=liG?aI5%5rmx@*ietL5g18lMTqhr zsxo#kVLt`-M-t4c4CyrX^rxfEVTA1u>;xo}4>4Vy zJ{ViZMTq+46?g$@?Hh(4+UNmg()_)e_VTXkL>?N(CuJk-z}qy@_l#eyewZ(_Fg*GJgH3*4X#?E+5P^!nAEwXoRw{`>8-)5 zV9=K|zQa5HIT0NpWuLIF=4j1YbAlM~H{-^=Goo6cvRDUl5@u~0z`dxkPL#eW{{9*u zQ~Wc@nKcm*=&Fc@c_xR>UV*wAlQUVNTPqP&OB zFTs|F(P-jtvhQ$a(!|kMT{%+q6!q(@iA`Js4Wrhci{j3;*-U(aCQeT3a+HDUH1Tu` zdN{L?m+nHJH6t0MfU7O+EH;o|wPN!em0-o^;_mb}G5 z>|4gwuoZbr_?}|cM(VL|6NJ2u`slF>W?bV;uLVni5y+b@Yu2#NqD=jju>}JU4rXLr zhD#!BoDyulCQd_JMx>|KiccQx7OVzgTN%Llx$mPzm6MZLu=HB62|#>^rdxQpDAY8L zVBkz$@#n6{`}xaBW^I%nTLTSSsUxnpcK)mdV{ln0K67uE$h~${Y{A+Q86%83SuOqd zi4F;sJLJ~H=l>wCvc?k3*X8UMi#U(4tLrE+Xv@Ud#2M1om&UHLb+4E@Zw#%jVL4Wc z#pRO6c4Q4Sj3&2NXe}e5J1C0< z8wE4%6aBoE*1BopVA1MHfo97MXDY6Vllm;<7`**Ioe6>LI0fRK&eT&A_W|+3a7E4e zgDk_HB{gxZH656!teO)?iOjx}V%r;ITi5BI@iuI)Xp~MlQ*%xH0F<8%jVJNynmA2l z!Nx%}|4FQuk~`R*3bh1j;-?{U2TV2psTSfX=JHfaS4~_4-JHXq zB{Xq2_&*Km274N`l_p*iGF?M`q16J;t7+nF@4j&4|K$821VbN(C+FQYaUMHA%&LiR zNH^bEP7`PFh_4g|or2m5|Ekks8_#OPB`^!C9bWWnEgFD@2`nd`~(}@Naz2}d24WW1SC9(7t+N4 zA&6r?NND0M16Mj-@xTpT%TcgBLCP(aq=(4*%W9r#68b2pF$$b-K<(Op>|t@>mLqoR zc@6MRG-t}M$Xhi~&7qW7m0FiE;%w*tSsY}% zvrnY2F_#l|dQJQWd6i=lg!d#qRTIw%;_Qn5n>fIRCvoIKHc5Z_;P^^{S)Rm~XyW7G zDE|gyT(Sh<{Rri%q+h zo2rJ5>7xFte)Xbwu&PI%YBuO(fwgTbB;9T{# ziHA)}vq5hsj+w`h2aJ*6T#t>ub}{&e4>A1|_TKM%KzwOApG`upsRWxvDcP6~wP?ZM zYoXfLrrBeA`dS&H$yZsM^Z)dAu0eqX3ry*)~Ftz1f){Z^XSw8fI3PCX65u2zW>zaOY2L;vV%jv|Zk zdh8$)!vg5sf72PUzKp8wf2IDs9t)?1qmh=n*pp;pgT7a1n2>D_k4 zff#!g&0}_qVK!K)iF0j!mwH?OnXp4p#ty>Ro;j7g+ru500qqXRYnwE2H*5bp^>820 z?2v^RW=C#G9~?Eoz9t^Hce!(xChjCmD+$m;1G|e(8RHm~L!TS-&^~d~rF2gHWAYkg zT7pIsH%4r9F4n|bVb}?1qL=g*jV8s($cumo;*QC8_lf3-RYsVH{94E>_5^($;f1?34&Qw% z@?J=%Cg@PjnlFvw*od^O-J#X)#?GR8>?d5J%-yjfNwzuWW-O#|)yJ@B zkd|}*en#9MBYD|!@1qsm^fp1R(AW;ze6frf2Um!7%hj^w9fj8NYy@6Oj%3lo(J=Ia zD>Q11Nq@S0vG4GJm^R9w8FFR5$W>ca9_2_+U&$wo4M5xjEoja^g|2ay)|{^tm)YK1Ki;|BPib_{Q%@EQPL*ikU3CGx(XGDlY=S#{K z(IMt?jr|F;OdX6}^}|;2>Rm}z>J?(GIapi3Oe3$w>%LCB{hW+h8%y6V1#5MnmM~~d zv(~QfcxN=e#kCop(BFp?-?3XW5mI`g`1{x@bG073B@Jt(#YA=W$azt(KzSbf4vpOs zW4o_PzTqPILN)eu8hf#=7Ve|RhBJNCW4p1nGhytddh8_-ER{bswW?eakC!XCFVonq zFg8yXOltW2Sz<|IHTD;BrbQV0nHSlc_TVhrnby__V`t(ylmodi+~M}yO`>FFf7Tj2 zm$_O>AFT55^E{6>i|}8fd8%nfzQ$85t-K6lD>ZhMti09SxlflhjRDR`p<;~NG*T=~ zGgdh2l{b&k?i`Pu9y))Fp?0J^E9ztq;<59PxJ-Nw#@+?64ugm$HJEcBguyfV=QxWI z!gq}qtzMsI*5Z)^@RnydWVnDnTIVv)0cTbOCa#GDb-bq!*3qne#mx8XMgNU`&1Tva z4?-qdTNZcH5M3S^C+p|ETC>uH3yJa{_} z_&l&X5!g}uqqofdS%LQ_jL9wJ(S}i-{@GePS#6cMS(ngAIz|}~|7WhZtdUV+)8A_B z6%a2e{Bgm0Z~56&;H;D`c&Er8UU-WdoA}BzH_aWOiHj1u%Q1{RkM3JXi?oxw%G8^W zn0>WDi%}P=0yv+)_L=y4r>nf%qldYhSYvOA2QREgV_T!%JQhWplw`HMq^M$VSqs*& zk8Bi5^IU}cc9WahN0_@V8j?u4A631$EQQdQ^_^a3QSO57#kT0 zVDa8W{-9K{-A4sptuqttFk41mbvzSHN5s>u|XoFJIPpbLnvLGsYVzs_1~lH6+c-%far!60<-)Eu$8ogWjob-n>! zSOsY#>YZzXXmR|QuoAp5$H4n> z)qmY&FCP+TMFNE;kS8ymlNSLrD&wF+Q?f za0}*~X@YnK)I%h9u(f)okBkgeU~Lif(AP;Hd1r+iTYnw%f4guWxoD;Wr=!_`b^Zj7 zGL$S}vli0hv`M9ix*id04&;1e*wN?W_ub89mMlZfVC|_-V$(`{B$xVLU%ndY$vZpS^^xh0wOEdhDNZ4IX7T4m(c@k|5 z3A|7PJ4=fv>Lm7`-bLMT|4-KZe}zSeu@y? zuS>=rp=bZEpnD&U=6uVpKISUIW?w4 zPuD_rnRLobp*=Mr^I%3J(3$Hj@bV-^|RdipWB{HwA8+c|Y1soYo! zv+Ze>T#iksz_zFQ!`FUAl~u92Z+`B4}&|t=7G_ieX<~b1}%l0m#oNEixlJ3@p7>{CP!zK@Cuk zt6ZNgB6W~IkG+n><>H_+DvzPitX`%j>9^FmM!RA!L`YiDy5kor9^_psdVUNr{WbU~ zJ}jnxjwWZvitKG2GS8YTW*H6UluL3LR>IW&^2@DZGVgB_cvq53i-nNkJy=3U zq%pIGFM(rxApJS6pk}&z{G~W~OM!pYK}59!LEsLWwJIsUnU8cBfP#?~M(@1XPo`fJ zBAZQFZXVG|b1yc(xli8wUh+hMO4!IX{3ZP#qrS^sqQ&joU70l`E(Z64srf4L$7^wE zu>xzcjZ5~HXPUrh5q?^Mhfz_)I#-xz7oYZ*`@KWu)4vax9W{D+xLH=1WNl9$x$$xr z4&ZPdz^xc&5LNKxR&v`SCo}jNH7|<(1us8vcCjV1;m5t{2*{0N z;%W+~SzCkQHjXM#U8?kx#pmCW$$Z!Mo24{(77UsI7Mrr?wfL~IoBW=1j5&z115Y(k zm_ykavo47g_jc6g7(i5AU62J_f(Cfgmki{V)LTAZtd~y2*-tc9=c1)($}d%Ry}6Q!kk~ zRde}h<1AjD^Qz`6ypYxg!N#MG&&0bXN-Y{$4^02hPxMTrEUdt{$j{lC+;C0&;F1-l zq18giEL@HXU@dXim!hem61J_9MZ}tQ3;fMJ^io9sq`;WJd7>N%*6xwnjLF$vi%z2z zcwDT^#0==M`+uKdE~CuEF?I&SzCly8W~3+^(27m6NH21+)nXh)qqWvP=P`Hr&bMiH zgSAqV{bZB0UFED9hs<;&7;7yjOg#zwjW$!r#a1vA_oE|btd@+}am+@IkvcND>~>m# zzeQWYsjyRY9chF&0IsesD>|H-#n{M9(eTxTpnDZ^A1<9j4vgoroeAuETz7{hmwWpw z;{TDE&NNCcmo`-3w^U)fEmjZ{srK{JOLv(&b%mV@3PTBC4W*jtb&sArww-dz!ZFCt zEu;QjZy8X(7lUnY!3LFD0W58lLbfTOz(h=i;AP}2w*IqI-ttg+1>QtMvLjbSKy-k7 zI(fX_*UXts7YAv8y%cI$nQeq9zGbY)5k1|Utp^_lY%l11iWMOOCKZ$+LyDWt*^`Lm zR~pwb4E%}mr$xJ$`Iz%Ebau{wNqmTmH3~!dKloWp$ugV4f0M5nLG)#FAbO+G#24l% zWuDT+9bh61Bc#f7R=h}>pRs?@#77gjs6jAx<1Q$`um5?kLvm2&L0QhB45GIQInGNs;?~g?T-}q$XwWdSH7P zIZrIGX59FdFpZ?3;fZZKGVWmW*s;Jzum?D%bP24s#8o*n2FH0e- zeeEe1oeML&>uK&5updMCsA8`~k#Ln2F&OX+Isj&=5taqN5?2o?@D!4Vr#VI}3zKMH zdvGYsnGV}pxXOa7{qVfVC#^;D1JlL0v?a_GT5Fe4*#8WVdc5?Q@ST>5Ij^O)b`Ddw z3;tMVkq|@QtGs5jmJfdJ0=6d@YT@fK5c|OuJ;7;D?96!+%{g!SbKfT0^NPP(E6z2tF%R}0 zPik>^g8RTpz#3nPh(CK1tM%CR&ib0QNCf9)bl$qMof!CSooIighPhD3_fH7UJQ$() zv{juojT4>{sfeYsHXaV@w~ChZniI2#OPjmT|7yBH{gwcQMtuav+wV ze`+Sr)@z~ocwd3R>Ie90T5=4>K3m814KDldS@g-Ohdv6RTKkW?7#3%OmD|Vkp$HB3 z3!*;;K%axrXwDBc8G@XkHZ9kYQXNy^u5;hc5x?(>32?_U8Z1bP+Y+pP=D6B8%LKG zMc+1emV?1@1tVea0rA3(M~aAwW5x27JykYu< z0^QLCW8Wi3`rc20!B#U6?+(EhgMIwvdAYx63HCifEn{(hllZf58;-u(%z0l&aAp{5 zAaP=4I5S*i_-CwGoq487Ye#jxAOK>4g8afc@orZ^`J~+>bA?{Lzk@AjP*+D0uPAZ- zP7BugJzZhbu~?UJ2V83G6V(Qr)iic-BJRKmQ)~8;Ga~=_YK*OiHu4dkRR~FNO5Zag z=zs#_OcBpS<)E;i;OyGhZcH1%-om+My6r6!-p>((E2{{l8@Otc%mVGx4xW1pEIcC2 zc}N@dcVw^=#EPS4@77}a+v(!tnl)x(;+%>1fxU}^^Tc7ka^sLLwy$x{o`~hpdqi>m zF|Wk@qVvUzAbUTs)81_zb;h6aGK&5+Zf^ZnDqz{g|WYAdNg2C9Cs=|+c z2k|(U3Im!aT*eGE|EY6dA0pEg*p>a~iaw2%&YNhRceNu_*AC5-9&+m(+mpsIG@V=b z5mhFy6Lot}G81aSD3#MjF?3@#dM185Sk~4#bKVL{^@U*Po7>c!lQsY3lZh#H~KFKBea#zBq~n))jw zE}G_o?SmY+>#&ko3MxX!wky!Fq3HCJ{pia-`O*%_gYhJ6uMy=IT`0IY+ZL9Ei8Tz{dT-B2E2J(Y;tD(~ouz zn6(-{lohjMgoqZW#hjF?5XFukv(_I)$9V9kiR1Vx&Pef<83yf#vGwuQ;-eOrD)yzlmYner~w5~(A(=g!gpGSTK9{; z5Y~-atTj6L5$xUpk0M6x;-FT|5R>X^kBvjB>4L2>r^7^W4pkiB?h!|5f3gOob{n+l z)Mf6ISY079ozkVW{JD!*K47(|5Hviu+oB-lQgFl5Oy# zdBlf(r)PJcVkTKxjr|KarwYn2wjB%=2WO~fxDsi()4*cc&}f6c>-5@LSI^&VuxzPL zIlw4WVTvdbwNCW%iZWeVZk(hx7DMjDjRWMNY{U47cq;8oRWNJ_X51F$Suwv*X|~ud zqRGzm3^A4#*qZqM7E8^xn)pn@vkn7$()1@{-QTt4+t+=}cRGlsLaCdvW{WyBziNu@2$@Dhb>}aK2(eD71^aRAOohNz3nE~bH8_D*0nFfP zjj=OOW9buU!#ajuFNCK;-S@}e4AE)+Hv20;a{RJ{D0&7~SEhA^Ti=qHR zw(bzrNPT3zsOeHQGS($Ld^G|)%3y?u588%g4$NcVd>s@Y z=ZafGYu1LXZReiHhTHR4i$pU-tz?gR$%Mx)nOd-2JET83L!=(7z?6|`!-AT5Fv%pi zjORsaQrPVgKVXs>)m>u!Vf3;`h=JQ+Iw;{XW>aH-gC6!DY*-FpO&I@sQGZ@Z9zZ@H zP2boLh-ExG+gVKK)B(`^Nif6(*DV|BI0f8QZ|!~~b< z7_m_t`+CR}T5i&(VGKg^dr}{{q-ArN%Gu8h&|}vCwiDoa%^r)&wUuDdGSlA=rmusz zPk5xr>{PiWiC%aVhTON%=&_R(=)s2yxJIUBSFrH_p8E9T1uo%FH;&R)1U{Ci{AiwME+qccT7X61@71b8;86N8Ro7$HyXnPSEzRgkqMWpRYI zf}7nX;&wr{{N^m@5u(o57fdyQUx}D&#4+K5s4%^@ z+;{PrDYVX+1RcwFIntL5kT3U+=C#32QYy<*c$&)tCMu(k=S7^Ve4Z8Ga_OVB)_BoKKIX;PbD{3pXc{D*C(`_;rgvLw zO!6BE?`T(Ho=8wffyn{XOvGR&uFKf9MIJc|XlG*Z4kB(%o8l5}N*@y=yG?PgVj0ZM zwS5=F>=#w}=K5OgYn9;0GRAjcHyY{yvrhmV}|wY`S`@|zE}ql!)v^V1v?1yY8(kC4)H#&n~FoXL1E&xwpJ z^T{R+T)c{9M@IBHD#KHE=e!^;>||gU%Cqg zbnwDJ`U|C+<2am2JMzFAOWd1S3ovYcU{4&oMZ8I=JQZK^VTv$}O;4@|Tkn@{5uGw9 zFbjo|M%vR{pO#xhSuX_!BfQtM6=|g)_=|fnue1>xY@NXfaV|B*QYGhdR06i<{AQJf z?%DqbxY!BoOUJf|Vn3@>K@`wR=ixNAl^Z0^$gLv7QZ@TyT-NzHAYK6jJu+++V{0pH zL?G|RGD9v~I{uUN81uhTA6yN@2>KiRkFoi0dTPmVjMZ+L|VFX-TiAU=` zRM-CMDKX@^l+*myn$fzP>qe_%<35e;cu?oLC|RZsKhl?u{G4N~30R}@-LiH>o)J%u zsry8WX-J+8GGK&S(1ISja?bvy{r;A*;5-tJd_3uCQT<^y`L+c;m7|Gw1o3p#IDX0I zB_Ahl$zfnCbq=r}Lv^DDM2pdD)e2fOj+c<|)5I=^cTz98?3)79u7xTkA+e)4B{w?^ z_9QOmuGf4BY~=-sDs@A|@*k=`FF-oyxrENW1{)XA=w%Cv;6wJ{kee@E1pk=1*0gH} z4WxUUkDrRy1*~by!FIuc11<@Nm#RGA zBh2(~P#B%0b2(Bhyd)AQP~bw86?yE67<(DqK?_!>n>tLvIhAgl^Yh=q#<$acHWE|lk_T-hb=_mebdB(fSsmY#=5cF40$2meQqu>StKH@v0w}y1+@ex+b-gd zSCz*dngn=?ElDytXtHpZk}E>Pom;g<+xQSZ9dV^cR3f{HgV)xGSqc6!`)Sr_F=bil zpqpUvTCDrhTxO`Ul8>12*eRhFx^Ee53{UY~)SMf~Te1iP53ex_6;)=d=LM;| z^3-7o=d*b4KNx>6~QBbSOTTb(xUUk>mzEbm%S9s1Os~|)?=@B&J%h3)D1A& zP*-VhIItUIdGVi>CMf*-DnW+gZHYk;neL&{4q43W9~#u&<6NLO(Aof%_L=DUm%sap zr=jZvPBVYf0X&tMw6KtHbRPdq)LpOEL}w`)AC&-OJgTI?8t5Ji2ez!4F&tZ zID_PXvJ++RYn9B*dPC(cKoF@k2B*?9mda71?lNeVf0psdA z?Kf4#d#zR$rwGifX`shDHQHWvrqhYb4Q`QisE9hcK};Ch&1|ou#0{?0;IzmSBqa`F zVpD_bb2s>I$y4In0#yX#gf#2?9HL|vq|?~}%a&A{cs@i)XGo`)Ev`kg&1pJ-AJ~5W zq|Y|dZA~>fxVOvvNMm!9WQ3p3fmL^QdL+_SQ%N%i$<31_v(}M_+m4*PTN~4dz#QK{ zfvbLK9u%7?N+ecVD@6=*Zixg}8Qu-xuV_#^(Z6O{#=Oi3A7t1il&vF zCq|r7ch9LfP`k11p-xt`%&WlsU?Ac1Gex%g@;fhsOL^|z>DT_eVHJwQ;*ET6h!O}w8QLjP?)-mj-9ef*-<^L zq z4&J*znlYOUT&BR74H!EQn681bU&ySoV?70~O4GaBQ@NuS4B6qKj&NDw)Jy?4_Ht&KdS^PqjvL7msqU5nqz8U{8$`z|C8F$$4i~ad4az z!2!%%apReC3z8^|9R_vx0DqoWYt334h&&bi=^(=W@45eGP2c~<{V`u{P&dhWk*CGV zC>2C_s|#!GKI(#HU_j@V4gJPC?Za`&p!{ZFVEqc0X!+Z8v8KfylO{iPy}^HocB4|V zC!%Am7W}l~4GqqSg6tr&_V~lkMDG_ZcdZ5hXhjB?~gn5&@es%C`mlbK}uAc83CB`WTCuHHUm!V+$9e zK17*w%OB8Max66356>#2W+^c0Hx94H1aZ6ocCD#d<(n`C)=AM2M4YzZs88x%S!JUs z3T$s$GW#6P&YRig?P3Z{-IZYdAy_GleQ`{7IW|avZBHBrjr<1olQqmL-;P$`@)V&Q z2FXzOAA+xGwa5t#%?CQ&zee3(64GJmwcil!+buqA1t;VoCns(Bc7*T zzy`III-anNVz7`WSUU^o8%8pW;0d-}I(KSi_R`MgL;Vz=2ZtXMB|iqrB=hQ+r!=_I zS7H)3%N;evaZ&r2+6hjg569htE%~(8T<0%%1tM$hJZ4RdeS?f;Bt&k}?tmpS4X5VN z-H>TIq^sOBL^MjQDr{Opt2wj+G|~;6Yi!&ABX3Y;4bhLe{GQPQ`=VN4W0}L*;SA`W zL!&ct-K7(q6LlO^B?%q*K>TIlR>IXgOyJ#6QpdMH!fy)r%hdg>*yW?-MjqrS`3HiP z#qgRq?w*g;#3y1{)~bFDLej#2nI&{i?So+@RD4JH$kf#avDT_+&byO@MnXcjr#amJ zwu#d_Olj$j)6Dw*cR_kNt4YM&HB$mu^|y8xM6JKGF>&O7cVY5@!oVgD&c|rZS)(a{ ztvLsAaL&Y20`d~r4?mt4!xO5BihLxPiQ~?mb(e;+Er#$XO{%%%6__sKLyB+NWr8^A zP~ktHl*Ja~v76UVyws?B)~nk}AgqKMkHLHt^CtNDV+@x?cB4??ql+&(A9 zu4*iCEFhaUC=Q!gxq!Xgxkb!xs!EHiboiAG%C8`+NnLE>nWvsJX?I6O=wnQhW8lao z7x>D~w}NHYwzo}(&P;d}i!mF-ujGCue%jwn;t5YYAIkOGBry0Fg0NqW<0kc;4$f@4J5`ulyg0Xbmq*xIt)(@!7x2O|o!RY-C^xzqq3r&xUe`YG; zgQ;X-!JYt<9zk=z2pC@=|MNUn3S5x|Vqd+99AjT4(>lT_QQ3ZuyU29ZKwUi7W`aNJ zyU0@yZ!>diwOFtv_Y6zA=Jz6#EsdTh%3F5?p9?mTIb=>92G9C*CnETqT&z#_w->3X~nUn|w-l|>pFn;khL zbbbZRrP}Aj?B>cHiV;nA)uvmwj?M03Y>%`JsH%)rz zBkpx!&1f$e7PwyhT^xkQf z(MqMW<-vwG6d#i5xd;re%}31eBvc%wwmP|4{F7U4pkZ;y&eR-tD;uHlWKC+;mLi|8 zLZewLJnf3vS+lkU1vouLN7L}U6teip9`a_xa^lKpleCT~1 z#9AD!ZFc&|j%i(-k>N{REA~WQW4nC5=p#F{P++WrI4gFg5H#{)O3)^8B^>9zXAwkd zvFNoI!A5cKMI!qfgNfUv#Y=m7UwW6`k@Sn&KqDJi?8_ z2eQr`jMXx1ptv$C}L_a2wqk1I`m28YBv?3>R%vE-;7d z<82#AWMcq0uU^he_N}Hi=b>pvr{}BbAt> z;^&xC`#5LJp4y1iZBdGFTkOwHQ=AF4#pnQl%V>`P{;S+*@wv@Z(cRq7FG=B9kY4RT zuj!?+EaPuq`Ke4Wj}42lL-j(7_huQ<&wS<7-xV0lFz3gh?r#|TRZc(owzmRB^lx;yRB8=_}ZYji){P>Y|YwlMdRll!J-m`51P~Kw_L{ky!qt9Ung_AAEpgT z1-NBhLSa&_|0&UWvO48CUmKK;su+%Bpw+imkPON+LpEPD&;5v31A?e`=4@CWC2~wt zm4_{uAAIdTbW^o$lo;1Tfe(_sS?4ps^g<*qZSQ~Xui)b^?prxUA$*B(+r_XnzN}Pu zF0m7H9Yc0#?7LkocdC?&(^H)8X~)=Qe8ohnH#NEje00)Nwd#5Xoo^ye9q*b>5s6!$ z5Y>yv&pi&T+gCjhPqH;o_Kln_8&vOV_R$e)cQL{qJrc`(RIRv5pGU3>Z`pt*Q<5C= zK<`;{)Y`6QJB_^_QBoVt?fs|3ks_s7u=W~zD@4u>Y|UEJunlIgw%G6Bir#}r*`c_< zT(US$c9?)LVE!sq@t1{HDX^W_NPDynMW28`f4Opo0_zFb=m(Q5r^d?L-A~p?rYwfd zT8?kG+}dJThtlk>ioxN?=OfTG`4S?2E~eI@IBC!J4n{s7hDMtt+4eimRW@%n^?}O< zh{MT`i#t7bn#p2;Q<6a))8CyF&jVXZ9A_M&Ek?go;xcZd^OJOEMfYGSlZn~pNLo5@ z>S2|vfnO18Yta-Sjv{cGh#^s8L0tuIOKRbI ztqVbvQ);!Gy}6zpnX|(Y>SrW?S}OI}F2?mynF%^)*;0UuQa;pNO1MM(8k5%+QGX^* z>ZL73V#Tf<7Pf!nbZSQ?H2QrNFi=TM6tm_Z6a5p-H#g`gX#}-oLinfI79qm#*Oup3 zN4ekjL$@pFw<N_C zg2ecpn?>e9s#YAV??LYc=hewMERDTx+5~eHVaKU7D{Xlqyxyu4Nsx=PB0V?kGEP$C zm{a+w*fm_;tATrSd{em_Z2StjwO|`&A2;u6Z@C5R7MNae$H+C~A4Ezk@rb5VTArodbNy*ckn z{!Aq8*NRurt+Xo=>6mNOqf?^Oy8KN1PdWw0_Vz=jzXtZTL1&!(Vp8R-F1r6jhb+;g z`a7!}Icl_=uxXNchOz_u`5Y3=u?Z##Y;Zxeo2givrFSLAA_As?QeWE8`1IZWp6-&scREFS$%rVmp#qa|{Itffv@Ky6@#sBdT`H;fJJ|Ou7SXU)D*ERb|HVsw z{-Ch$YqbQB2#x~CEt>OyE-7;7(`qRLYa~Fz-ts+2cDk{R)neDFK2Y}uEL(Dn&M!yb z+09@(anV_EdK~~_tZVM<^v*kl4TgwYTA8ESK%6^%|EYj{lVT5J1I$YY2Z+z5#>zM? zzr6a7n>edIDdHyynl-;>m%qPK#KAAflDpD}hKNw3ZIJvamm&_dLzf^MmWos2A_=;C`sK|RFRN(!J z>9i|`LFZT5UHx8*(~WD&Q42?y{j@WEhPqu~e`5Ivak^=F<{VmM*60|tW&8^8r{)o& zQwIg6GEK4ekw&pbqNmQOMZa$5hd9{J@g5+f9e4}<`A@MXrz*g0#s@>>@n~91*&!;t zNx@@7$t+F|xEa0amrDGEe~LO86!s9Ea&N-T$^%=gWk~2W=P)fdli()*;?^R;*M;JC z{>f(Ae}gl8IV{?ip3qSqdIdX2O_^BLf=Mu z?mTA(YcM@oU^wF8uco_RiGE()=bAFh*ShOH?+xw_k=bl5O;K)5e zyxs3RMAO7^s(TC&bKTe>HWqiU4ftDnk1{<7343?izg^t9sY*%mE>)P&@iEIFP7HgQ zT4nw+=K-yjQ5d!(HCE0K<3-ERHS}vccg&X>tOusw${GDa{E}vf{5!!hbBxwn6O6qL zV`n0JfBoJ?_U<>rv<(_b`f+fU>%8iTXtCpW_Ecz^>Gs7ZdK8s@glyy!QFOfmf7i*Q z3Rr82Mze-zG*jykbhEbX&b8?+eK^W=jICeQ z{m$>9iMf%L(GoiMMN_|jN_o6>hzu$eYSOQzsH+-?r^M$SbT)Ql&yswA#r`3^JMaX$ z&u17{mR}IrnyB#asi#o3m6I>(WYfgIhK#AV+wG$u=#znXUhgy)yc- zh(APaYAhzTFl!nA<>%P?`%~LH93oO$rbBEo?+Pk9@1z;3Ip<@$wCQ3v4(Ifj1-3Vn zd+v@homy+@5S%+9Sp9?-MENo*JAiha9Aqb_?b?Sww2oPe=?Ykg)Y+ z(Qo)Hv$qDv!`LsmAak4$Q^!}6?49G2r!mv-cXqU6TRXPx9ox2T+qP}nwr$(iZ)}~p z@8{I{3(m`@y7DreR4P|po#fk}?r5N4ID;;{yElj}Ll>QFzg3L1S4{A3YsrXVdQ$Vu z4cR#Zs_UG~m#a~)KSZ~Ae(S*c(Qi$iov#_nwqeZId^$G#8p9chgA3M#$EzvlrpbQZ zqZ;gmw=4?YDE-puV{ulx2caAK;NkD#_n1J9G zNyTVn+`FW^mRA$9)`lP3D9sObEKj7!b#T|xt-ZDWa*Nwf;E;C>ug7^`Cpq<`6+P`- z-e_7X#9y+~Z>JU+Ng{V+gXLW(TqluNf(s|0#^Uw?H&I`8ttnMg_fv{qPFDl7;Ze1! z5mi(4+e$s1hgN%6tMAg+HU=HGgcmtUZ)%okZd1GX&8p#FsrvNfZP%Tg-gC9dXCeqA zqTH$+Q!p{qR8pSVu++~ruOU0Cv0nq9*15Dwlrq20vp>o4D}q^~gI}>E%KRqWEfPsZ zK+>VeuS#t|U^%eTGb-9_f!4BL{{%cFw3pRfp@3nC6-s$F#B|TQiW}>1x6bJc0A%}g z2qd_xDaNVP3*Zf9|Hx}f!2=ijIs!6LxlK4(&C$)LtR=u89Dd(T;T!RNofwf8I&D)5 zbuH$?maE2%WK{9A{i$fBH8??P%97_of#L4-TL#rNJJi6Ef@wb{lh^Rw3}4@y6=lPm zigkyHN%9pK4d`#lqzeUR|5}gB?tII?UpT zt}bV$$>q-xf_mo}SdzDn!yvB5RY{(k;JJPo3v>po)n8+hVje5pKa(Lw4&~< zQTXYeat}I!ny1X4ooke2gj}T^WIZ*5#!fhsKGY-+r5C*(HtjL(IyNBekaoGqiu7*G zWvN`4WRyzf*;1TbVlI>X=(BFry%2#57?3jbD(Q3n`+;VuHhsRtB|Ir3mQ5c=2=qgcqMu^(nJGICxD z@|SC8us_`N3SL84P9+~UKs;tFK2#mY<+P`h1Mx3ltqTu5r z!%Au1)Ykj3BT7g6+zr3dbwPK6^7z`+A?tz(A9Jbm@1veCtq|S==vIPvkuM3n_Hor` z>2t%;Tqi*De7t>F0+(z~%Gh;frg7$jkqkLOEdgglC{HdP$r&T7cBGfcXTY}tOepll zK(W8M1qWQ$83y?Mz1n>&n!0^VA;~1QjoDd5e?1QLGNtxU4Y;({c;= z-J^x$(8T=^eDiS5p3&k#L5*f)RERyu%^f%3>ID{jOJlZ@v=tL2Ko~v`0CY&w|F430 zAWM&=OIt(h1p!DzUmQ$W`{%mny-ocAdBtF13w6Mre@J5n84;$0B5ULL*h;gzW)EUm zR}u#c3XGe}gq^DoL}^#dmNC<$o~y69?-#Qx>ll(`n1miKVhKr%TjRw@5V6rR-HPBM^VH6Wv}8SyV||*lR~mJSX)U*bEt4 z)&Ya}bDuCG5oZ!`qm>hd1J2NymM}O;KI|lq+R)^Ty9+s6N2q|-kc%Z_OE@lh zDfvj(5!|o9Y_UTSoGN0OFjQ-bK4LByD%ovr6!(vF0sT&KM5OiNMS(XWA?1K*3P^Az z9Bw~bcOz{W$nLkOtN+zq)>fYov}(4lNBoDdV`LuuB+?I;LRTyomB%*Eq%Y;!JoP7f z18vh32g*rZ@#r+=6is3-ooTDg3rS6qSyw~wXe($^pO)2^we3pjaI$x3NQ)-m{p0)# z!g$#CabL>sZ}*11#E#YL@2Es!e~3|gJ|c=zNF;YJUy-YSlN~t)<%?AfjN&S7j)m(- z@aTG5+$pN_1cJMfr}PBVkLrY@UOJeIW)2BWvj*>8=Ju2mPb4 zZ~>DVrcZcmyjDL{L4)I85Y{Mo&Pq6(o+-6{ib_0M;UVkk&LyC4{*=?{=^`%tV;?@P|0jTC=?F z2t9$ZhGc25;L%K8g8(ssw5ebK2lPaCH=;Udb(vkLH3Evsh1$&hgzUPv)oQ=7`tZe^ zBF9{JIPA+_DUa7+CSax&$Ru0BGBxL1Y>g3L-u~14t>WQyXoO{2XG4zz1d>9P*+tG z$R1JKyeDR;(8lnhjkZVW2(br=)Kwj<8qk8A#$p&Tw!2QQxO@OkQd%x$w|}XVBMDe# zwB!CwR2j(_&miW67A`!Xl+uzbGg+`?b3>Q<58-LZ!p*LQb{9)-%9Shx%o#@`H|<>~ zY9>y*S=+|%cNFF)snVo@poTb->6+h{5GE9vl>w&NhM}ffx{YC4P}AXUx|LwIA&9)w zVeu!4x{dFL^hip4xn|R7xR6e;E9wSmZ@pSrQ)VRo95nC)|C+~7~;uF#?Hgv(kB*rmNfx+3D^JDjQRg$w` zXUE8o$pPC-iC)*!E;4lmeQxCO8=~2a;+JLHSy>Px8EOFMLkO^}L}D>q;Rp+*`?2K& zVTY(mk~G-n7g2tR9nk%0n1H&m&G8u#e!!dHW_2wiG>r3gDzbp7Oz<=%RSu!1(Bgfs zN8@x1|5luxQ*=n|{$)$+r-?T_powSMj$wgPuUT!N)h^w(D5g8Ff{mW z(FMQIOsY%RCP_rw3RvIYYK58YE6S`Yr*pF)w@5K)vmNcm@p|z>X1I*DrlDW;rS^px zlM9_kN%C4o#jZ$GYKiWxnHqn1)q`(X@p|C5c1quO{u!sY)xuVRsbJGz2C3Rf`?*+{ zv`fD=Hv0uSlW^w)X5NjE?;nhl{2}wM8C=oG{Kwa&JS673E(_5)5Rb83BSn_|^K58{F zHmDXm8@ec97;_=ssYCThD$RdmQi5g!i<3vAKiB$)BCgMuJD&^+*oSE(yN#D7djvh( z3w!CtzEeMUid0SK_qD4=Pze~PgcDeol;!M$#$i=2>7QfA`mlaQ`>=$OkS}dyH3~s* zCercrmRro&AP~NX&P z9BK*kp7Cdu*4$*MAX!!%A!8Hcag8HMPS7=dbps`21{iaHc9uTfOO+ye|6|QY zOA~v26)+uyYM=8@d$zb4C@HHW$v5%Q9A*_wF_{FhV;t>r_rVn16&Q8piJgYkbq}sE zs_YL8S(>qJ!<}Z)ac9WqA=Pvd@3f>iIwpadl;+5J7E~XD25au*rtBQ3utUhyx`vkK zQ_owtc(}v-ZqT3Dj?Zj8jT$wN*My6c=_hip|JSAb&%<6TRFW4P$#TWQm1dbI=F*t{ z?_GW?7h`PL0jOUl4r-hZx#&Sz|#?~pM6Ar3@v|HHk* z1fz~SntM2TD2+=braSbh$@!R}p;SULw&Pw@jR;3|@Iht^4M0%Z^Mj^5-We12YG0L1 zILWibc!k198vjM++KI7r0$RSXGBebA%n%;%iAA34m87d2L|cvcDzM)eWrR-46bdzK z#f`|g?wa%*cmds|{6)W}+->FW13SItSCM`3Gnx1GLiK}8;zqs}-PJ5zUAgAueX|VE z-!-Zj!kG@9D&Sk^@Im#zc_Y(y=Z)-&F;m!{`C^-xs-q&X9JA?o z+F>H%Q3|2QnmE+k+=`sv|BmMggnzx+ET^duxL|=!moDvtS=fkmTz5Le<8FXUmgEh` zna{w-bzcf2WPa6}@seWviMr$TqL>avykB09S7TXp$=SFM`hDZ=TyeNyuzyxBZ+Tta zxHK{Ch%wwAEQ~Z#`>@+gVWA#i z{rjaLx^6IKOTtu5ii!0Di;ig^_^utyQ5}rekX)YjZc# z!|U*le(H5e?x`+^G4_mwq+INFCZgIwN!VK4z~L7f$b#PuL>AKq*wVo<}KQN`( zvO4AdxH#0Z_c*h#rcG&bxLVV)d@g*{ujXUVt7YbC91hM-LHu;QRXlS?)SQ(nWRLko zW{303UE{0e*@gYuBJa!d=4n{#T4QReFZgNL$#n`g@@H~S=WMon!KB$6?yq`;wtzQe zP4jH@Rz<%CGn-e|+Y$?l8-L2_^`865TLLdT=B|S#GVI<8J=yTI)euqf)A@XS=yfc6 z5AByD>Sh=3!BzMDK^oX~xSUhZTpP~Zai>LMYV@PxMnnwAps>ick<>np4_Y&bD3hI> zaMBLN^EnEY9uXyM!bZtw#Rv4lUPoM+C~nlDK7_zeVavG6)sd2f;U;(1!P2HlKws>i^yXORmibuEAU=B$Sg}3`5QV(G))8`-F{Ff z6&9SKlQ7FKLXa*RyTDPJy3e;eif0%b69aTe_W0cc%YM8*Zw!WQ79Hu7w4|n(;*9&t z^Zjq!YF?p@j3d z=+TlK_!82|nIOH+L7 z?QD{#q&1tb%7D;5qunWobDih8# zDMh=QU=d-6IftZP16D`PnS(c^%B&4rX{yaK$ohx6k4^^F4d%mC*KJwyB-sP7xM}L5 z6EwP+(=3g{Rb9(c zx>gjgT6tX%8Oy8EVMNFMhdl@q5vA44#?wAcO4MeUVZXm$C^f3O8LnRWRX?Fx0$WtE zGiwDsyRu5LX%?@^n+Ws`T=JcI0n8FLU(F zy?sRfPU7;Z8ymB;T;wOlSLsOedX^K|NIfeOTs6bLO80i{NlcqG2mK$YL(CIYd;+gj z?2=BU3!bQF(5`3j@ptWWvYaxu)`l$}`sUUa+`JYz3;=+s=}&5Eo6$$C>I=WA6=31} z7orb`pFj3*ACCW1N6nU^(@a`h&gM+VwvCrh`AT{^PnXB_y3>+UN8!aom>Na-o7eLaPPVuhKHNN46S?6=+zL1{kwt0G>q`YC6qBqPe2 z4Y>XxUXtGL!$l5HSLn&jLZf0w?}jwE>Z9Hnx$2n}o;4WFeAQX7l7U7I{q>nADKVCdo*x zI?lfGlp-m7XKGT>HfZfgPx3o$#o2U&QeJo`AL{-Q!=rcexr6b{O&mCZJxz=YQE-}X zQ?AEEj;gOq6L`m~|AY4O#w~Iv4ZMJY9?FWuY3yCxP!}hFlb9RBcOrk zuWq`9Jw|P3%;i%AcWn%tPVgMk-y0}bUpotlk$q~5Hibmy)<+% z;#G4Wp3)me(AizDP3!QyIG_CmAY>T9O|(ZEy2pINR-Z!IgRFm!x) zf?lrbYz&7UaMI;nDM~8=ckedzScd58*^LQw%dllQfq9X}80S-tjhyHALbJD!UFGsSopyA`1=w?yh@Mv5UjvIRo zO0UC}qpJ0GsM9w)Vl^_|fJ8%1E3!6LRrX%^w8q zI;>g*<$3}^*P-wDXU?;AoYkTnJZKxuHsKx?2_0<+7Mc#5GyKozV=om5M|@B*T9?{x)O58xFbpBlL#%kS-qFDsH3#N-5ws+I8ppX4o=> zmWmlF*aY0&0k-Nas_#1ERjCwJfg>L}jY`{b7Cj}~y(vpcb7?F0ckw3x9PK)PV*5J( zqi^vK+Tm}|WFIrI7-Ad(7pbOr!9U`jF)f?r7(~J`k34J~rQ~-g;jYf2wvMuO#CM%b zq6yB=BJs~gY6c&{38$?i7wQ^n28?l68iQIjs%@xl+mQEgf1q9O|FLn9(LXLeT;1-T z<_^YTsgw%Qe4$mK3;6Uo~hR^wy0BJ$jue?!eE^*T$r zHVJG_+)YwO8=CH+P^vortxke1)`v8!N95S?>QhwYN@)qQ`FeTOOy(j!=yv>L9e14d zi|ZSzZ;J9O#XdwN>giW7slDr!QJCZ%sJI2a;M-{)awTdu)J)oiyW zCCj+}o5!lVXwAyIuYcK`gZG#!`=#hP4G=XiI+5QJ-=DPW#Z1?#O8-}a6lP& z#2#Aj!pqN`iRIv$&*46?k6VAPNZ~g_kxOam=R4uCnUq9OzzL^}O1XZM0YX|?t2Bpd6B`W$5? zKf$dk&1|7QQ-llot1o<6ZG^zz;z7FV&Uxqkyn87ZQ&A;DXyU`;uy~2fUT|;YuWyg5 z{uNzAt~u}Qb|#$!#8G$AQgNZwP4rH&_}}{Io%b}(`s}6Ob^ghWM*KmVx)5dp_rM;! zqi_0D`0w@ka)(L=MwFGqO+`iPf+TTjN-C{CU{fQ?D)ljlk`mY$ggfpHmLnKNDrzKU z;F;Y}Z$oyGR1jfs^!{K#ztgA}x-pY4sO;jL&UH2a@opd(Lu;-~R`T?;DV6H@Z!VPL z)Vgx>uVd*NcqUA7IJnb)PmVAt*>*#S&3#Z#RW8)47dS&7;Aum;|4E8@+Hw&)s&wm2 z$fh(R^jhed0?LbSg{Ka?8Aydhpp)6$7p}fo>}eqIDhk$i0iUV)St!8f+$0BsagPr| z-|#-sVVf6db`8K|hw8-y>cVZ<&KnbjbA)2@9+!aXox1#6y>d)~bVFCwqEP1c@i?Tzw}trqXc^-~Sow?CUYY~P`3&Bnd; zQ+i*X_81f}nKU5J1u<<#)^FQDNwxNo9n~wjBSj4-ock2^7~?1sGa)GH8I)fgGV4XM z#jIpnZ(A@^K_1)nNR|RtWDiYkal}_@2m~!cehTRZKU;a%;}ai;DORD`6!O zXuX;T7t=-0`?xvgx5GEYU1rKxeLfaOR43d*c3*2m)`@|J>0a#0)7L*%hRB=St*(z* z$@u07C708bFUxof=q-zK8&01sjbn88BG~H0I79tgMuzI&XpqT*sF6dre9mgM$~z)s zQrBaVvO6>J8X*&s4dbl5=Q9LQ$*9>2tg-`1G2_!Ci&mRGjo6y$%4p6DATa9a$ z?Y(Mb)x<7DcH5bq>UV=wJ0(yy+3bXrizq#8_YG@$xdk{1Kj86S@4HsSWawT>!?&JD zuE;1;|4=Jc<4W6Rf+*3wPZw8qQu-k;7^XOE3-jL@GcsK$eb?V()E+$I)n1QeUbrK2 zvoIIVLRw39x{sFxJFVPN0NBdu1+HoHvuf%UqXExs1< z;iiI(ZR6ys%#M05h{e=cb64H;4htE_*te**)VPs0Fk{xtKHpi)Q*37?p9s@(2-Q*o zQCe?Lerg99G@YH^QJM!MMVx!7K z@Pg63Yp}CQdK{exT&aEA{Hh>a86U+goz|eT(p33zzh#xF^h=fU=GOF!)OeYzYPG3E z(b`+vK89lZczp)#J}&LN1Xp?N_IguCiWfHAfHJCPd7w~vUax@4(t8RWcG0@`BV!L= zIdXGaAk4YZ84sjj+x??+LOTK4Pw+DB%G~#rq&KJR zrfH!d-0NFz-*6fXxlX9bbX{WI+j6c`S*=WT?fF^0<;Yk+W9?G$?`dAugncA10N}Zs zA+ZlxY-^I;gjq`_^J?Eh>_zUshrUFs!Lw5(o;{7E@LpGIx>vK|!wcdDM-$fEQ>~G~ z;oim=Ptc{qyJ`P9>vR;~zMN+TyHQ~wCGEE?+aZs0p0eBSp@mhtf(mP>alF`9_;N!VmHW?Y zu_Z21%-bQVh#8-)!?Sh4dM+MBDd<~{G@*=<}XsPNR<^&u#{4RoQI z1O65VA9EQ4a?V}5Y2tI&I5V8sHxRvR3_aFDNd_E{#$3DrY4`S=Q+O4qlO=$g52l}w zH2C1^J=5y-F_e0f|C>k%oPP{8(j9V?CfU28ui2x&75mY#1D%$&t9OH)hadtz^En2w zR=+aaKW`!^@VgsA;yu}b#b_7=X& zRF6)}VP{Wrz;2T)*Pn0utqu!shbZsA54W|W*KN-@_bS(4W4J^ib%mPyY291JMzxe zfnkeoeegF{NeLfl-9qRbZl(j5+Y+`uw@P*S0(OlWWKz@O+3{1-TLRYg6io$kpROJb zj?0B%k86(5+y2yR1@+IQI_+Su)+Hrb?c#pjnZcu&xWLqwHe)z86`ZP`q+2)^AGC_>mc;VJ7Gqr5Two!)Y-26@ zvNP*u=FFm3V8M9OtRrH0dZbDawD!m3{PTUdoDmII(Dv@WOlYgbZ(nSxY@wTE_JKu^ zMjU6>LF#1&zVsw?tzn#(Fg^QQjwf_<{>6V#=tF}zPHUw&SyeZiI&I2aVK1Gxo2Z_$ zn-Wn2YviFenJ5R4gG$3;SzPJ4;`~}EUV=@qVV^#}z9d(X!79=az7X+|!-{j9L&U8U zZhu{5ysM#j)13zB96;r=+B=;^Hlh%i>fKhn%XWL@6wy=!lQOr=$q#tgoJNiJ=%QK` zSZ_F}wLZSHTuxR_sB~qlc}Wo@vXAKqtK?;Yu6^st2Jm9Ujh30=lRGe*{DoBX?_O9a z`_N)MAQ9ojsynXt45Hx762cWbgqkg8g=>`{SRE0HIm9kJ%K*<4mQ>8xY*lDC z^WT(7ywEfzvKcpOQf^GMPJ-9^C?duI5c~!3!P*7m&}X1JKrV|rGn2!^PY{Iogd4U< z^W+$8sW*qRwtx$T7ETZe6=4+RI*EDok?+|aQa@m`%< z7L1=|DwqxWqZBaOjwQr3CY-CA>|-oXWk}@|QZc)#7L2#-r`aBfK{>3y+7NENLr&y9 zX6Io58*kHuu9`)tCs5e?_HbZk8zCFUbA-f|rdrRf0Ret=-G9gu zy%J{MsMk$}qX?4jxyRz@x>FH5AU>l-BIoiT9lp3DuJ=%kgg&1G$ds49qR}f5GrdT6 z#dyJgo(?EtL$@KW&__teE1KO<6xWSTXr`VqsQdUT_g@WMyFtSuPta2<<8HYSxN3q0VI`9_ zCo`j^8=2__%(d@D@xwYneYNs- z_28<7G{`U5EpVTS;I=Sikvh%;EIUA3s{Au=^o2|5CKXBixiz>7S3BnM`q&Vc$xg zt-H)hM+-OFOo}<&tN5Sn5EfeK@Mm~ylP{j8pH;p#7c>4EsVMN*Ob~<>gWr*fG0iMy z#2cn1NQ-mNY$JOox;P9B1oRd%N1pK46B_h#%$u|?Wo>h&kP&FCFY{XRm>(#bs#B25 zxYNXGl>q5T$oGz?SXVP!f0*)iH7&B+$7_v5Bnw2RtB5DnQxHe(PlsvBCg@CA;&3n} zrKAzMsfi8?kWNXGWQkeIPu|6Qy|b*CB|d2KDo zT=lcFxwG`Yn&f<28q=gqVeU8vcZ_+E-AM-&>b!{@cdAgcnIfuXx`&HMv!P&eT<5s~ z3JI%;v^=W84DrMb8^pg^<{;VQ=kYZ1S+KN|gzWb^){IvLOmqP1VG^8%9pGbmUJ{en z6(NCet2vPWzLk}A(rb1!OmwU<*sbk`;!g}dsvNXNQaL_u+ofRdpRLkd+gapT2%eLX z-SSo8>=%zaJH~{Oqr^=egHGnz+yl1j5xNTOu@rXOE@AdfrT{7ji=DEa5r(6E%*U4! zjp_~F>AW@Az99tnW3?#Gm!)o&*5nsomwMKxWpEt@x3=8c0UF0PFgV5HMk{s&*i$ex zjdp{~MJFz?S6nqGs?m8Z!0fe!{!9P|z~v4-|lCRmwk<1$gDYbpz$Gel|I zy)<5sjM;cR*2Qp%`#U$5_ygL|t5GqBXAL=1j1XD5ZNBS49ULRpu8!WDcF)m$xYDh19WF@|r=+&WY>}-IrixwSVk8!I9Se^o~;%PZ`!* zhIlrd-xlp03|?nre`XVHmJ?v2&-?96;{+W{uv>r?yI`E;{>Hwq)G4vlt3Bdti^_Jf zQz&wQA|b|1Dm6%bnQ*| zJ28GEg>JtW1XQS`j7s(jlueDQW-XXtNN((VsWj?EPK!d-#KhD4ZGCQTf%ZeG za-3vU<+B5c5KDje?PVl;eM-aTde}J5AhVkFtY3HHe&Q41%?DOk;lLch&uQ1jgMFW@ zv@TK9J!eWkE1i2wT*I;|rx>=_a9 z;`VhPnrVG0b-HYw&<*Z<=Y*4zsSJlql$+rCOqw7CxWKJr6%W7QHey;;`7Md6 z6jspfOu0Q)L5o!&CKF1oN%1gu!wk@g1gEO?5zF!Mx4$-^+1x?Jd$k>3zwP>&+mIzY zDJO%ESIt^wL&I8Wr&=}HW4wW_?RxA@JA_Y!iKxliunsme%i4;nYeA+5?i$;PzT7pW z&^4vxc+{A>>dDIcVJ1<3#dQG^UwLbDy2V^a_+eA$we~e)FL3iRP(c`}_y4HPKvcwIn6)|G9l z+VQz+!(A-oSKr-h3+1#$6>CR~r0yQ4hsYVHht4ji#|1(KWp1~Ild6Sx$GjSS-pX#^ z)Rg2tN9a<)v?hx7P-=Z|J{(%Sp>mJCF9q0bjar*|JY= z&x2^A?F^~oMLQtpEA+Nyu<9$}W*NF}vMui@x9S?kwSp<{C&w>h4Ac9%*6*KUMl#y> zA1MSM&zi|4>XmwNnz`TUu>Y}kjMM4<1xO~G|JcLsKe)WEs(&IvI4E77vkKtcPB%Av z3;#8j`1XW{#@|xl|1s`_MMg0j%2_!%5g}TfitLnjw0W zoZovdM+KBO#%7&0f?}UI{>ve7?4elfffC`PoCtip@(BHhJ>o!cOU}jAhq(CO z(y-;dr5&}=lo<5G&ig%qaq{JM!3IqPnhiVIR;Q?o@WlH9sG>(W=x#yz@0hXW#dt=o zs#V$0h{Mgc_WcS(dQEBy-O}6NV-JTrs&)*no1vhre=_I}Rspxm7f85s7V@<@u1%1! z2G?@cX|k36$+HawoaXnzl?S}&T5=9AI zU$7y42yaEQ;I{{eWJQCC{7>wiLa;4Nwh2XdR`lm#j=Kta2#DfoDhKBSE+0%D|2KB@q z?r<)hr3!E28F3P_7P zoaEj(UoyRX9I;dPBdXj?z>&zjuSV0aeJ z(BhZ+cqijdunp{*E+u$8zD98n?cMdwE-R7OZ-pvWdah9ue9#;`b%fX+e#j?KQ!yrF zI0rs_*7z)m5>>KhM6JMnw=yL_fk+|}Bz?YYFhU~@-yRH#G=2^AF){UtKp??EJq`}o z54aegp^)-#X%?oF?JFFS8?QXh)f$KLB~_9}Y{&3;Od@h_#IP&}{TF7uX5_m?nGj$- zM?jA^whC4UED?)znsl1b7K=#uU)!zPv;+a)Q!|ymy@EsS6-ey$+Y^@DWlU%FGR&&G zc-WTL6C1seH?Ce>RgtX$tdQXW<39#tC1C`Y5p@g7yS_P8>yO_{j8F_=I+T?SvA_}I z1&u*@O%4xe`IZ}{{+ms_{A@t1ek|S1r2FBjNvImgU{+7Ffql}6t83dm@K|qfh{!tF zRTs5N@Ld9Bt#Cpyo4`US^+W0lXSjIQjX8mx$Y|Qoh`k9oXTr~`No2Q9*DsQV=WL>~ z5e{6IAqi`aCG_7JCj0A|eE$3~4wu3Gs^XXY4mn{U?_go_4}SL?p%qGgj$y|-;p+nX zbs-OPbdde8*rL-;pYoe&$TF3ARIQ|UKm*fceAiJig9|!fQ2P~AkIYEcvVR#O*Ao^% zq`9+b+xLN^@&4w^nqi%Vlt6eoTXn0(z_H!o=ee4_kQlj99!Fu1b>Y%rl8?#g5OCCG z9NGykKC^AIMcxEydZBFiAUkoyAi~(X5oq z=09VS!-}&i_im%b3OE|iiq@SezzRDp@&WZ?^(<=3-A=Us=5f$2%dG_->*MAes=jP$ z>%LhdllJNMqx+so&LXg=U-zHRA_yRYHH_N6MphzOK|Ni^5YVr=IyhHT_ozALuB(1g z*v{|+)QRi~Gvz;&{8VD%4)Taip%!w?=tI^DN%j@LeXLGRUthswwO-_-me*j7bj>M2 zorA2Z1N4D-cE(gN#ztP?B9^M@MdnKP*?s*rt-~4QiDh=seF`1YoVa8FxI}x1)q~d; zYZD~Lqo!04+?7KB40})sXAP%S{mKpDT=JK!0+(j+hW|KPM;ynu6kKw?a*{Y41IR&!BRGl73G#SVK6^H4l^)@u$CmnfFQoeB=~kE97FJ0a`$Y zEB9-_S7_Dtz?a~^`kwiaRhz_TOE=75=YT-)9+z>DVp3L+NPXd=yB+mgg0V1~+4ChG zlddB9S?=Y?vmkR&fpM91b5$b}^hRrS&lU*gM!@`s&vWX1E&tGQ`H%tM!?U-ldoCa8 zgBf3AAlhkb`3}RQM}F>h{b^fYxmq`swZ@VXo6>&R>q`ABtWo7xNe!vk)q`cq7XWPz zdpW4Et1t|(4kpjIMmz#$-W0bJa&iD5GSjM{onEYt*z5{R<<5Q0DciB?F}ED#uE7Y& z<@#w~n*aS&exXR4x>{qo z9CV_l`Ct#@+JA6E3{MIKC!Ker5|hB0z_pDw>DvB|$Jf#c*R}`_&lv?la|1^17WNmj zixDhngUaR0)N2Iy7(wh5e!Q$18Xpo!v*aSr4(fdSJ&>e_Clt0=5JZ5*lw}~;7(*~N zCr7jPlTv}p_5BwNfIbv?x6kL&$+IeyT7?7&8Qmu`Nvbsz1sS&aXBJo}e-9Y+-9T$- zcrt90Mi()>@sHRuZ%24JC}J2L#T}~MG+H=BN?_@@t@(rT*{YmorM*46s0<{WTbHBE{fss{!9#DLFKV!xB2iBngwIz+? zO5tpHsfO9f_-)ie*SqM^r=sv-UhK`2NGX6Kq)WDHYf#S`^17l>X`Cv^QA+yw;(?Vg zeu6Gpx6eOSTcU-cpkT}UXNky1Bv6?<^kj*^!(yZ)@Qi@!+U@bq;)B3sVao#H0}uk| zRY*!Ovo3!^Uf-;txKV*4A*4XM9kEsdCqW0KHI1u z*wr!t4UCi^>`utD&hdi5rI0nl@>RMoSBw5O zF44{rf<#N;H`i{8tPY}eXH4J|QG;Sdqw4%7}3Yao%)__w&3Dljim!l%cQ|A)PI?9QxfqJ`73dBwJE z+v%ud+qQMZwyjRbwr#s(vt#SzIrsZ3-f=$d4|9w)=cv8LMy**@gsmku!FO#^cH4T{ z$!VkxNXKeu(+yQNpZI%Tr>G+A7fdG3I56=@$9%7LlzFikypgA4`{C&(KuQ%Z4RV;!dD4eFGMRYiyIQq${7pfSWX`s?eVrclUkuYsw$+CcjZE=SWmcIU$ z--wI?VY(9kED>{doj=~E%Eng(se;66jI>2OTEabFnve<1+b|C~LUl=}PfPr6cm}O_ zr6q;Ca5!ZTg$Pfb*hj%;XU!Cz>-j(K? zcVqjSumQ#(R3Nq$@_T_>g6+9@GKRS4zuxS$mf7;d@ZdtZM^!xUHhs_V`EIe$5{zQN z0r`ktY?ub|h>W+#KgYJ}{ujXLtT$89QN>aGMOty8)bWrVXQV31@u%KRVnkXEhPFoX zei+=?&XZM%jJu=2xL2#fu0U{4g0QmI10bXe(!F5xTo~EYM}e~L#*i!H@uz46FZmjY zz!z^1ufRc}G7_FuGEb}KyC+HS!w`l{zRp+g+4iuSQiID6rJ$N$pl<7n;#k9@Dc*x= zthBf9Q3*2vHWoR(9Lq?58JWC<{_eigK$|p>Vz)RBa&AJp0-yNzk zruWuq_obDJ^W1n$Il;Zu*3ztE<3_*Q6{4(u`qlOV;`+Rz$~S;c<`te>gWI&0$lr%N zy|rRzSVnXD#_6~|><~d(99Vp5ypZC}bsKo;U9~pGio3EbZfRV<8lunNc}}K-`P`dC zjr`8a3vo6_>@Gw~MaO<_f0~62F2yc^^H8~U>=)K&@s5l9{t1pOFZ zHzFA#}E9dUKu_jx8X+ro<^%<;69OS1n4RRDTt%wynn{x3>uMTaSD?V60+%p1aI2Lt(vuUV zuM4@_+2Bp~&J?WE3IZdMz>KFSsPsZ|+sCF}ohdlvZ%xuFZY9Q)%kQ&jRE#0}r=9^G zlU|ib1{;LAkOmsFqH*Hm>2osG$8C=3kcmCbFC>+~88VtQM73kq{tuAEK&!;uy8?SD zOCZBf0nc#5u`1$%1l3*A$_-nor|>R8X0s-ZmxP5_fToX@Klik1#XJpreUK2dusXy+ z(0_)sU4yZi3FrRfg~%fnxx50Y5@Ti_$|EAc?#o3ip zv^-TrbtJY+qLN=bv#FIvGc)J*HYT?0c#Q%7xYnGf4k~Pe=w%b11h_)H zk%8bDyq3qdm*tJqDr3kt%Z0x-6$@g({aE2eb#!ixv#IvX33FvoXP_Dkyvrsg$Le*U zbVY$>q^^s)h^48X#IY)1E`Q5@^ZyX&E=zUH4lyiuhOiXC%J!k_)>!hxgW^{|hld}h zYM11hDL>3dClSCrm+fg@pCzxZal5{T+Ngb5B~bcQvvmAvg)dnuPF)A*OZZdHf+fh9 z(b_f;TAbO-eT&9#+5+ZaNT3w-c0{o?m(o4L(@-S2=x@;aZ4>uZRV_?W!} zV6+3or1HFD@H54ALzsyF6_)i3l*$X0Dwcqrd{O0MQE4bmT)4#(#`nce;eu}F(wPi~yf=CC+gGIn}2%jkgNBlNVqB*z~fyrotV;$wb3i}9_ zq&;u6Eik3-n{aQIfYK2F>iZy{TOv@mKNGaY)?rN{{+Xii-xeLi8Z5?ZS&MI+hyx>| zabqGb5hH?TCZa;$sdbc(&Z|gNhi{G8E5nko{vd^hf7VI1r|d(F%H$J=7cy_1J2~(_bFVN-=jY}yaseQ&3a#8i2kO^zzmv4scUo=hWm^s32$3# zbae^_p6HpTq=Z(pwACaCcQCwP;-2wUjY^Za0hgQ?bRS2yvc!5G4>v~$F_D$KhhzBn z>`*lk)0|=AG5w5}=KLBQlBn1_I%x|b7{XS&Nkus#z(2-2z)qDoy-iE2h>O%)3I6qwJ0e;!ZWbcWn1KewbMA%iUO_J zkF+7%BZcAmKp2IdtJ`#hIAWE%;IK$Za$GsQ#0RH%4-d=!E0@fGlX!{m7C!i76`4e~ zLn0_D+bR)JchyfZSFNUM*e~{6^xV5hGV<(-(HPWz(eouG{?|CWY5ZCSvXO~Jfxc#2 z^bH&8_`LFRlAus$GUi~A${W%5_M_`}!|JCh-@ga0nnEg;r$}mh7Yy=qZfBBZk(FWV z)@}jr9>6)`@c!?4oddVB!_;_l{aW$L?qAXeVXmzr74`*>Qi{)8ueOCROPtrQ)7kavACfMl%wQ1ViIck9ie4BOYoks*mnq+IW(5?1&$ zE;9<#!f$?&AnK2fV}T;s^%}H)>PLOXphgtAux|e2H=2s3v5F|b=*R33cIMa06%Sp| z>p?+dbV0E___z;WzTOm1xWPh1$~%&Ewm&H!pr`H^3g4}Q=ENa>v9+-w>Gh}pi^wJ+ zt@#H{5TY=I;Z3N}NRfoSJPsK`6L9|$cM1lSo!ZHj61GtxX~SeORQ32$^G=n*`27q`J3KV#<(1GH%#=*VmN1~^e&|94$^={Yz!`; ze|+^1)%dG&V4rHk-X}z>*xc5zo3g_l&PLaij3^UQYZk0Uu_K^KySVHkycJFZ(FSDjB>+QA17=-(StWSWg)W7$3qDV@>^2fSjiKki(P z$LP4t{#S?6?Xzms$q!Ue8AeaH0S1vK<9M5~p)^WHQocn)^54R-Tfh@ot>nCfNNsJ6 z@R?LSB*)l8-oBwYpf@#5zQMid{p~=u5*AhTL_c4TaibLZ|`DB7Pub#x(a`w zkj4r+_7@5LIzCS4mH?~}S2qlHYXJhA<7HJTUj3v<<%}D}`Vtg}P^mgnQ~55DdYvSy zJO~6gzsWZYt!|0Rlx=gT$k3*Y`T9cpyOpNk3PR?#b4T(UlQ7lC1s-fDtb_%4bPZc60r<3 z;%?PiVE>VOs<~`9D)IahozuX`SkCH?21=<>=#r1mQ0)v;X(g2U>K30?JiC>QcIW5w z-mF1{43gESEi<4$TiB!D2dr}2=@Eiw_@e~hWAZ6yFl58OmiXp(|`f>lY2s4`P!qP_avELE=qBf(~$Sy zgTF+p?F+vdW6T^LlLpT1F|>PVxYa$qq;qQ%Jpv=0f$%LoNGKEs0*}Rrb*e4f@bm!E zl?N(1aEEocKaK3>3hu%qwvYSQJjz2K;k?`CD6d>-5;lj_o3QO01N>2Ry%r#wLVP%*K@{7c2zGlfMW&c{q^wz&aqu zkA}>F%wu*bY5he~GZE9Jk|M$2w3X+Aezx!d8AnPIt`SA>E>So%ff61zP85lY@N^4u2nX`==!q&k6Iw<6n7J&~n#fOpD9p%pKy@vH~IU0?#$Xv!C{`F5g8i_$wnEnf1 zzqa#dR29Z>VfG4#LlKovn|nV-#PU7H_&|9t74ez%gt&I)a~-EW#c^jX(%1Leuho$-Kf1|uoenYsDq;XN;kyL3N}tI?vU$!S7I_TQaW+$YrH9U zd@;BKm-b_kUB$IURgmVfKAe><)``9CCFwc7xES|`h6{4}DvD3_x$jorkOdi3XT~Uk z{)DBhc(T|F_*8554>`)@X7JPXg=(>)8midl`Z*}OS~kO?%N&ROk2#CNvmBI-$u*+m zp|R3wRSSu)*>zb+RWjGX`cbkQmwV1#i44EGp~OZspx0d^G@`OfV05jXA64y8(J9Mq zk+}-2zL01`2dJ@)f>G%9J_qmA6R-xCwJPId(BKdwG2urjR6Iz^j z0+6?i>xX9tmT+9#8m4?^v)m*>yCxNOZh$|t1p+2FblD&BUlC3GIfms~nAY={qR$zN zh)(pm3B`qHG+I)^g#E#Cay;?-=<+Ht$S-H>cMbPSnWk-xx9auRmSaq$=5^{j2aZ8B#Ikfr&IYPw&EjxYF zZ4%qtXrCI{kPLyF465ZzRWFTKrZfMcEb0%)Xx>+L$cH#6BTUsiLB+8ge7R6C z90Gu}kNYG1#M~)b)*Rsb1TrrocYs`g>Lg#K+wFbf+U$q0&_)}hZ~ z@*-ps{=qm?A6sPu!iy;et)B? zpxo2LpcZf{I}#+3O65q>U%E-4t;S7}cLg}n3(ICKv|8k=ec_V`wU8fVC9sjMuQp)v zPW`~yz-tEEQl`>5%b5~eN2+V>tDk@vt>*{B-n)Scg<=}W{{3^QK!SnnD|wytOrMV7 zanAQ@XB}l#21YBS#M?GOX{!Ca*$zW7o{KnUaO!Q1EC0Eua! z)xT?R0X|9H! z1E(Lg0;T%i3^Y@cW}`yDuDo-OYCOB27sCamapR_?NW(@Le(2CsD{oqe&5=UEd3grH zdMV4!nf>nqP|->MU=$Ocd+k~DqTsiQ+7*Uyv1MVDgMUdE-G`|>4WUW6t%U%*imF;s z&yi3?hn55WG}9q`sUIo}ebh82m(8I%UP4!W3@5=n{_5W$KHbgwlDw4ldERuv!WNMc~;;-3ub>Q?W20m(+EUC&T#y<3tt*#(s&&a$l9p z&>tG|y8Q4>kV-gPH!Fg}iV}-VUj8zu#j-)T#u57(f(G2~-YVIq5*h^BNxhTOz0+_00RjYy>13sXTk|wKlax2rY2zxawP=jmARpbz{ zsEh`#P9t7WKT1#H!uj)XGwPFbs-Shz>1(1>r7bmZA*bu1WHz4|yo+aCTR&3i4h43t zoUKf(3P$vIH)%Kq=u7ohCm@tQ@Eu^U2OASUO5Qf!Mfij~j`P4SX*{6+Ql&TU9fNfx<*I>i=aS6_gz^m; z`cn5R1~Qhl{U-VQm0xnJOeqtE`P%@+ZWW<3M@4@+Jkb=ZWHt?KgJi)?dL+iZ*Mes~ z@19A_%9o&ERH1k|@=HhGmBfNNj}-LbONULAr-v^nOvA(R9C+2S$z(?K(1&6Kq)S`m zh&BQHZZ-KT5xI#X?qQ?#$4*~qsY^SziT_FB;<+QPmmx<1M0V~kJEK^Hs;{`KXWz%y zT`K0@dv&)F+$oh99&=S4L?#6RITMrfuCeCOSshrT#Nhc|=gt)l9Xcv$KnrU@2Mo(< zvrlCJ7t?%>X@?eTsHyLZm>}l&|-PRtY+9yjS z7?=cU*2#{Bw%>%`|&c?vYv!(IXt2uw9fn zF!E1*=pvNv_xF$!%T2ln$d35}pQZX`($WA)aL2uo)mzA)qa6o&Jugf38Z z*r*OTdA%?G%3_$FfF9C-Is)UP>&k}~z+bHXI4i)`TRg344}`vZ+s?~0Q1wt#+?MwZq(0~ z@3R1|2XvL4FdyO_LRchV2@HfSPj2vx=}lK6_3)-tkE~c1xY2ZM@g#l1cc&|d=A<+U zCpdAlr0UroR$Z00Th)FH1>aIZICO$wzZ*<4hP;mE?ot^G|NO%@o5^?!KK|7htY12K z*dF@h*e;n??>M{jL`Bo8M3hh;QLB-W{n|a|L*&7$lcCRg*t|j=vAC*MKRJcZC%oDg z4W5Df6mI9iePzr*G2vLBn^YOmb`TfG)GhDxiq1=EXM)(C#HrivA&O*c0HZKR#WO@p z4v~}i?9LnR6O`!bq)zGSX=h^0)Df(!BLHVP`<%_(RDm z+yEe2(rA?&SA^iPhr<^v^I~U{+uO%m;jx`oF;x0{X%e&dUq=6dgw*roq?QuseK<$` zR)Oh0UZAXC75a(cb_fz81f>oNlpeb|D0oOREaOa66na(^VE3-?H%{Diq?&71tNx_B z{hwM+ccQ+$3T_`qSx503%wvS;a=E`IV=qMYA6mrv2J$8BpbE4)Y#I-u+kAme$Ldk_ z_tc|1E2PGE6}`EMYVlNF$FzH9iKU?1M0=}m*i=$i*)XgDd>c}f{QJIF9_>c(3SU{P znvDsH#xeW(1}wD(X6+M&H)AtHBpYExAn+7GVKF723Pl9CIN@^v3(_W!Iqjq4cY7vc z`CbC1%s^O1=KA0v7?%?^Ex_;2WZG%|h|*^d8=zj?%B1dh7~aAkXN=*rmZ9}WLgyTu zlY0*>x=1Q&HvblZ*G>>tv6LzQdoxxxXUlF1qA4-ca6uHCg)Ow0(;tVzF<iCBFx8GNOWw??}|f50@4GVa4nL`)(G6ECBaXpe|iJ!snW&3#$lv6fSu&) ziSM|;**(oV{WJ)K8XFCwZ6GqTw`O9POWz(`3%Y!P*>vF(&i{D7@8@Lt_ZYg&@u@Si zn1Mk}bs|<&FgHst?kL0w1rdUXs7J+ygM)yN*NHc4VZnAkD*S%|h#U(xHXI%MW4 z{{b$AbF&sFJNaDsS->C>C@4n2{}%b?M*JU(*TH{4koZ73;_&_hW+VPnvKL^72n+iyT=74t9^Co=V@Ux12k^}OUnPoovs1#t-@-9r|C7oH z^*sZ*Y~61{Pjt1me>n@J!!7D7 zVwZ#?C3aKq@6842@f2)4c_+tT=MiH*p>)SY{kuKBhaP2AM7TA@YQz}h8rnC^hFFVaD_7hXPlyDeG!4?R$2pp>VVcg!-2p)+ z&@g-QsSDfd?hm&l4jD_x%Qy(CB@^iq10knSK-cJm4(CYcdR=Bl;#p9f%$!sOVq-O< zwo%Adl|hQf=h(|}nSs*+N4ZL_Av;0N91g=>yNG%Od2qobZH$Wm^CarNO~+c6>QTuo zNA=z4!U#5}9LMIy_Q@Y^Z5%R@wPAclobeZ}V$|#ut3-Cj;a_lIY7-?!_ ze&-_YK!T#?PPZT1q#~nch7~EN>=5Um0w2V`BzyC6CPx2TN#ITlnO<_gD> ziJ@Acc-XEpf|<87?5!|CI@IiHKjLDS;Wh$cD@;T+JdIt-X!nW`)e(FZQP7X9$ZgtJ zy^4?%(1@tmwiQqamH$A=PNIK*$13;!5F6WZx?>X?&p26@Ye}00XYy7KP6STw)+l0K zc^7e~v1IDY-7bZh%3~9AzQSGqiqmuMZEXHQ$apAN<24;xHl|}`Xk1r_^3z< z#Y&2;T?*(h@=sn^p{}KsO{!Lr6%v;e86;-R9UPMUN4qSLm`MY?F@Yd88B#Ej8u94y z+gdw-2I9Gvb}}>i%Lf)MKZLCn$-qp41YtQDl6p1_N02zepcW*c43x9D4||?yMaZ^X zW~i)ken$!L2dv*InB>=I9-`(!O|dYw13w)xLVF?fwHxa;U?k802a-Cf9bT$J%byF5J4$3*$$5?`IqSbS z(P{!2>V)MI`kLwSo(L(^$3-C4?qKmfY8vK8FfMMpAIan&N%%%Mme-s{LG~oDln8#b z2uA_Jr9TfKiIpKy^tmD*3(&TCowiaTF=c@JihW(A4-P~dWpJFuVJ7{Ci&Wzy(+Zj| z#eKHmT!b82&q5mbJr4nvg4lNLz$%3ntl^w`frLUQUF%TziJvHo8a|$H__StgTFYga zd3*25vpM4b%N;VS+cZ@Vz5$NsAY*o~6^}|FnZQIw+q}yd#j@5E^s>Z=M_&E_)}#MW z)w}pL9t;Cg(helB>jxw?4~g3^95$%nBoIPy6LBqYqu+^4&?3c}5A9H26x>d?_t_^% zEhnl9(a5mdf9^NS4G4^QE^A$f5+zLA$B%vm7+L`4S>`_*LP9n8C;3_8*2|LPicMW& zKKnASTkBnwNC*jyPl_A_E`wW^6F%vyP=fj5jv4cXr+Nys&Ek+B!@q60c4I-29~3ul8G0WlCNDgHjLIdJE4569(hecP+_WhTs=!SHMkMF`7ul0+~+yUxr73ES4`=-17*iln9bhqHy$1G*(g)GJ*aCmEFUQ9cz? zcg{c1urC~v+*U#w2)5(HNrn>64?FE2C5EB-fLM(?Z{V|bFW zhJ&Y%oaVF13|SNrx76+DWu0nsL@K>m{R1~F?ZX70VRP`Z7%}kQ%&hw3n^P$JjkuO| zBb_>1{e^biHAO{z*`zgJxGcU|dX0H0_fZyA$|M3-{Juq;O)PQe&+OJAuT%j8U2oek zjt&v^gtRz{nPx-52pWn*U6U@Na>g;aLQ|C&j-M67 zr%Hn~l864150;g{e!FC4FAXiP){N>`SmIDay|sE@Yv-7I2Y9b@QxGS&g`dlfvi z&gPykgP3itX)Tf_0%DRCQ9aKdADf?cx-&cqc8vkJ&iM`mj-<U zq6x>K2&copbo%N7t6w2Qk>hy_8Ch2VW%kwao=t@|7i9L-H8>CMnj_8Vb)UtZTHgtW z6KgR8K?GinFCr= z5x5(hvnQKO97=_&9g=Qqw!|`$fFlMFS#ZIHa_Hj}XsoT!mosKL;e91qB=nKfp7<5{ z@J@ozfWoKK8FxH2eLj9mUsbXm^dy?Nw7BK|m4*24om>#OlP-k1P0Le<`O%gk=`}@e z>ld{Bc9O&>eeIMAkXQ&Wb^IRZ$D{nOnMOGQ7GDFGDfZYic$BuOI+h%;|0A_KRb%6-H6$M7mxLaOBT8OmV+DC7F2NkNg)2!y%|N=Ak@7U! z@)lR#I!oO&lhu5lh%pdv+7XKu3}{PnjA_7>!|O>ej)EG%Ymae+34Hxm&!U8Oi0uo{ zbmT6R+*dUU3I#FDw2f>OsYEq{q6d9OPFsQ%aS40vaE2;MmOHn!E^hbThZ)=$M^Vo$ zi?#%u=*B*D^v#shnv(aY`beRCM}V53IULGSqMjNd%P5wBu%uuh zDzEy)842B;66V0Y2{zNbQD#s3==%r?ErK{IqSqX9H%5MWLBhQVxqDj;$U6ggw6?#Q zc8aW9i*omCGUkIAWK4s!N{bii%?xImE<7tyR-@D>lD9r+&99{of5eseKNk7IcI1B? zo)VI&Su-LO$B-kVGjNK%vt*OlX1ZcBC1U_0Nfa{U8Z=5G#BGkB+gCj9m(IDzZJoE) z&plTiGspWIBWvbWU61ZHO^@sQn!aAiVv6MM;P&C2((L<50)5(9X*g8CurDuy_W1&L z#_je}qqv=mD*ZwDG-gYXD4&xA{vci&f6Hs$@){VAZC;Qs5sI#b16i=&$SJbJNNd&) zdA2Xz>7FpqjB3ChZ}9?=;x7M}fA z{6UsQY2nj%stSeU;_pol<+;K)4QY|rBAVDpqNna#1ov>S*)J&>g#;i)_63~T2vJ@j z7GiAmE}+D?GCpfko6F4wyI^g8`RjD#cA3Gjlj!L9BB61!1}VggOjZx2d%pgB*eb7H zG1QNaQCQ#OPMCEww%S*b%zFLkr+ONNH{_Z$K&zKeearmzRm+E3lFNr3?GNs=9rUJE z7v=PH%fh$@dDNk&{tit^LGz9Ub# z-mNT8jUhJ$OylIh@4|=gDM{}3E&1CpaK$u3QSv3h`g@^udv=MK);fbHQuepd zv)52l7Z`G9Exi_MxyAZ2Xz5$W2L_ID3dWng4YOa_I^W(Br)!fOg(=SKutMB>8$$)B zrPs^?zkPlTn3K>?y>H*i6no9kI-G~IX7$GPDd#yv&DK|_l8bQR%6!c^M2cD$3T`C@ zQ6cEkS<4m^RBse)XoY4UT0j{(*p#h{FC4SatL)XPzFC%Jjh&&BYEid&pH3i%@=7>sBm~wqr$W(Blo+popMOuqissAFK<{U!j=cdEizDEByjue4 z%5*0j{_$(2^eFIPIS5;sKI;_eOV+W}g$(40N$w@%E6w#-o~pZ-{ z6!i3ON>K%1Y35=|$*88as`aW|Yj58*&3MR?U%jF&@PaD)HdtL zR^Qu?-H~Ksz7~@e$8drQ{LyIWFPiGSYhTJ{j0jyBiS7xA-buAxFgI&)RD;?b@tV@Q zTmEwAVQZyaSBk(hPK)Rrw&daAy?=t42f+(75%877U(IgS;bX%j{o?{ZU^ znt~~&cH`b|W}d3s{#M@5W|U@<{e!zLJg@B%W4cclU|A=fI_W7h&w}>f#IXgAn03+i z-|5CJ@O+v_{}|TuPZSdy`D0X6HHnbcK%*LMuPQEmir+88TW+zo^Zi1|T00A8x{9aF z?wWSihO7#mjN4?9Q306<-AEPQzY>fcP=QGeKL7ck1v#U8?`V?(cX2)UXFwa$d+KFX zCpc7(2>{wgudB+D{%In}Dm7;z+{6`pt+zOZw3W#=%~vA976V(Gz5X_tiWUU>G|58v z2W1H=(4SK}r98R(L}IA{&h z^OW|6p()JnGvoSL? zACHm7Tzp%%nUy(}e$Mar%w4_}K-L3z!{&Gr%B*^SB>~NTGsG@YM5(r=sAR=OCZ6CG zgY-1ey!BGNM@T*)dR6NXtgOHkdnJz&&X>76nBJft2>_Je-|3Vig3s_2hpAp8L%w{5 zC~iR5S{p0Gmd$Q(9e^kUT)MmhqK(~qWNhrHk=e!4akV|s-SWAdW}7WXT~V>jG3=)- zYU2cApZal_2=X;+6FMLjfR=auzW}*aukho)kO(7FF<_^88r7sQ2fgyPKw@_ym}=@} z(FE}!3mbkq!Y>I^lN0{8)aKGMwMgXTeCO5Hf?p0}mV{B$+Di#0SO3iTfv#~3;X~mB zcY6P#2!!Y8@5tps7RRDC$r)t1p!<4)U#B$t&Mi$Y>Iy*2f(x0Wg!l$+?kK(cDhZCI zR^$o~eXQV(=A>*_zmyBb%v$^x=+pgIpv;)>6#+!@zd~~{uontF{bVC=Jp4usUh<#z zvEBs2Q5Z_;E+9F)jy_uTJ*kH@emr++=bZrfa=!{P()WIliB6phdw|TncupRfZ`F5O zV(Kv8+dAjD`EVo{A=*cVBH){9ytqcreGHNGC7&8k{Xo{6FTp_MC9*QW&dq6_ptZ+3&_Igr<4xW`VRaB{2l6R=qFr^Nva=)5Ouaoi*tG@OSF0) zQlwvl#<%e@wd%`mTq1%bdR2cFiy%YD#9D1SnI5XN=y|I>5{U@`lv)xf(MLAbeLJn| zOPpezY#0?uu44at*gz#Pa{qj#Xp2mnc=iJ$Bd$$lheP#Gl$2^P)@zsoyLxK1u~&ur zsHDKBcrrwku-x(iaVhn3q(^WXy`P8RnxOwl3|5>MxjBr>Kt&|f?*s!?0lV>Qe$x}y z1@BSU8i9(bV9L-;;}l|v2>vVOPOQY;C3?N7m-yL)U1_#Y#^#+;*0-c49kR&k>o9XC z`Sv3JX;H1}LcHGcDT)A~ypD?TNOyC>O4$8f+IP2ZCXCB+BY0BekAwyVX1njkZLtPZ zd=j@SA({}VEMq*lo>1hFiV!L)94M7YSNn6}Yh>uNypdR!(pn{jxzOn5^<<1$Y*|Bp zB7YyVT3t-EG>$^ij1)aLa?JZ8;ya2U6v5BA5*Grlyh3SAc|L9YTEAGFgXmct1!4*g zH-q|=?7ie1KvuG?`_WTSLH zd)>(_$K2f(tN>{ zlrNR@CUFdMUx`~ST5E9trFfnUL$msl3`@3Yu2_crrkDmbDqe_!Ts7LCI{aK(oM4wb zjnB9=#~hwszFL}2SsKH0aG+u;ttQ)4{uhj?!>_Hk_QM#nnIioN)qDBnl>w^y8tEd< zHh^e#Ucqw#PuM@2VH%vV-#(5fH;mAVPvyRsj3_8=emw6`&huR(8ODHt!p3CgVk@UH zD`(T2?$R6?u59^B$jCAyDkYVudVpk7B}&)Syuk3673#3Mv$zLy;rffz$|?mMi>!|Z z{+08g+({iKyJJ6$&K~IJ?R-?>0JQFK9cY%nyb{@jY&jcO{Op8x@+<%TLne^IRycR~| zqe8f@n7|F=#HimEP<(}#JdPsP`;yOQBCuFaf<2b*!kB7qWRVcs1@9V9c27;Xg8R1> zm|q0D_P*{*(qCKcBZKutFfuxe`29=NR6_FekeEqWN0d45nG3DyLx8!Vx!jKBFU_*} zceRh}9Q6Wr!`1?CVw_7^#%dPDE7sqt>CvkY{mIQN;uK(4}E zPYt+=7upxILY?pT>ax(nKmJ6IX<=x0FD*M=f-zqx)pc{Di>HPbISJJFl}YpAG0W1H zfN^K0gB-7czJ$E&G{kESr7!QlsS`A;9xMnW^vwT~cx3ukd%4=mVYF+fcb`rD=4|T>I~X62 zwSeoXN4Si6Y*(u|P%BDH^9t?vys1dtoI0ih$j3kL2E(SPmJ;99zU$2Loan7J!59ro zlws!k$&6=1FCOMX7mD|Ib96gQ5n!DvQ*rGOf+c6%mbRtL>hWheDyTqeHBJb<=$icZ zBEoHt5Bc!5K!23ep;z2P1ui`AF}gD~Q*@Cj^|v@?I+1*WP^|8+b$b@3Ei|KWUt4q4 z9LUh!1H?;mMjl_s6jteghjmYwY*?m2Do4BUKgS|W(faa6R1dBlO9R{g^cWffyLxqv zE`X=7UqkTd14)Z$5iqGoIjnCw$VL-OiKs53M97FAJL-2vPiCcICa;YAv-uotHvp^=*B~-91pE_|*!y)-P7JGFwKUAXs#_!o1t6C>JbwoNc!(gzA zGPLyi$jCe1Ba25T)$iCMQm#A&kp{uMecu3G6lRGA$F9(NssU=FPmdN@T2s2a`I27= zo5ZJ>G;Zq6CHQJ#rE{#8a{N_?_25PXT~nRMJccG>hN_;9(4FTa^pvl zZ;8cM#L2eEYiAKgvZ#9r8;Y1;&L-M)rg-tDfA(bRXfZeF&fMYUc^?qgovIHWsDQot&^61LN#I+*q-HU& zU$f15-JFC33H#ni&OhZ3aB0x3sA*Q}l95pYk5%9Ns!mxCG=51;s3wdOj%TUKaN71r zu#)|Qf4i%_r#mhUyLGy4)M?U8d8*g(jq=!{FA&3V(J06g>)QWZeK$pdiCSikb%liETqws7AnKJ|C?2Y#L)+2lFAlJG|H*pV_GZieiu@L~``n=?E`Rg4XK z!bqnyS`dVFeejhhG~p79^$lw;;g*YdTK@PND?DhdR8eyh>|e3HA$V5w7dNS!zYQqH zB@W>R(V*-p{V`C5evbqS89cuinVzil4vuZZ$~`oyQ8)D8>4CG%^JT`=Prd-sQ-c!S zEhw>FmSThcSLX&3XTXz;+hxK5C;1AcohG@nvdu9$#?YQgD}aKWkvYCC`5Fc^qji3u ztr%rh}z`-I)r-`LuP$dAiY*y{MF$DOo7i?JEz_D$}L4Fa{` zdGs^>emB*c1qLnKbIZ1ME$tHN4<0i~!AKSKRuaJo{OiEP4cTI@J=lZNG0K=bwaVp% zq$&3TRh0~b)ix&nmai?yFUL3V>vs-bj<6-E>vzRo2Zr%2NY57584 z&VgRgll>Tqm4i}p0wS943UrIXR{(CHL3c_Z6Z2`)Ly{$8>LhB@dVehrmowf-(hwkfHcteU(bq6dnS8dH=0ZR=>==7LA?zxh0cMO81 z8PSB(BWTnsIH0a@Owq~MHF$BivS^CHjAp~vU3|fbT|p_p%Yf*pY^KXYSXnh+K|Anz zbNKJjf?B3s9_1`i!V|CFeV%UjJfD7Bg7Bd@fp%hW!FPKQngFsc@X+16l;6j6SN?Vz z9SLM@F(zC2ckR7q_3o~&scSQq zo`|L2Njz52+z+o|9NB|)+g&9zy#YL4PrW9`ac#deianmx(kTZ4chXJ5*-n7Bu0`O) z1Jg}~swMNLtAcR%fqU`ei0$kt0y2r&;#gwe@0vUZbBrY;was@zZhY@)`nh|6gK#ZD zexp6~UuCO9C3!jw36S!;B8s(>HEx}g-!BpMR(#M0(ZdMe)-|AbgduSnH;$I-*&3Sp zkA`Izk6&rOUYHn?B}E0l>N`;dC12yTA|Agzq;r0nRw#9PW1eb9vye5U#DcGP9R%)Y zwHF;8BFQ;ZR%(cK0Z=u^j_;XD=2w5MARg(?2 z86S$V1b8NvIfN7psz4WeGim-dc5CA{N^_%lrV%#Nu&z9qBWEn8pr|R-Rw8a}fmrb} zGIF*p=GUpkze@|m1%f{bhrb?2M55J*cJ0k)!Y5-S;t5CS|57oWnm9M=$pX|BHJW^H zNBjxqm|+P zfx&SZmQTVOMlf79y`(T!=nEpi&G8Nr3&qfb<>;?9mWFoWo+xG7Udz5gt1;rgFtpvL`C1*#FnDI8XtUyFFm)zB7WQD zR>#|vy0V?>A~n~ng6L;6iXdTGHoh}0f9W)sD7f$PG@twybEmC#Yu3@eZF~H3UmBX~ z5+E$oLhw?V^NNnU0) zLh&h=?cJXAe1qQ+##J^H7%p@XA?}~3gbR;FmF~(>>CGPVdqPU$35qHZ4pWs1iq&8m zS%*4i%glhrTkXZO4bAk1j$i~@CLO^dZ!ZFM{9Od@4N7xo_Ld18|7wQYP z{P&QP%L>>+wbjJZ^vDs|D1qI~E9h39OvW{ax6lK^30IRV^$k2?7iyF50R2H%1{DnU zpmZ3i<>Pd%45}kyvrX}&h(bg|q2;n^3Z-2Q_TW1%O`pAzPHB5yoO2t?71h)ScBL$D z@zd?XC=fwi85W9Y(cR`a!1}_{$O2!*XT}ndn>w#Xs(Jimr#60HUi_WuD#_U9=gH1l zO{R~n2jWs&mPu8iKEOX3Ke%s}Q4cq1sOJt31^C=^;yc+w;zTIU-f*B9MB$`6;c3G% zdhqo667I%mut8<@D~cl#AmhCpNqelZ$8eq3YQ%3}xUE(-uDkCfmJgcWRdu^J~uN8(P_Ahksbk3XEjv z)Uw9s2+jj*!B4QI_XbQ9Y19qn`x81AGpT+-MpmHMbkC&%=iChK!R{wmP53wKwL+U{ z9=T)92PciAwmI^07~bB$F_zz^%`U*i;m5wJgyvuR?8>b##un)v#rL#CY&EhlUq$#5 z4i6o$)$pTW8QKIfv@6aje2eL$J3(BFH&0D6%j&qA|Gsbz&pv`obs1cE(`P_9G4|K( zJ8_oZ_Ly2j(K*E(OwNK5x^>{nxd!P@6%)VFaU;#$a((>s&72OeNccLGR?||2BRS9M z&!@tjI@~zesD3nyM8bqB{k@S!FISc~D)?uSH3JX=umYbem7?6(4`s;|yZUR4*8Ek?4E9) zRhdsW%r8uV=WS;+3vgmQOm0+o2ao4Z_gvB*V^jqYkB+eWj;$lx=cs_|FF$$&Q=}RP z&7v)sYv>jUD_?Xj1T$i!Top@qi@uUl)pY6FhcQSdlb^dFYTe{6D}+I98kJu)dmgi> zNPnd6m1*Zgaf-6aW!iEmm)43iTmy9exw<uA{Uw! zlV#74v*C`BSJI}J>W6&93F*XJw&G~YH zDE>}~c4vpQ6_d#@Se?4#F)5WW|Gi1-q*#h8+dITzqCmHQ2+;}5og>3fT)JHr<`o0x z9LiLR@M?EeJnD^u8RUmw3Fm2Q*S;;#*u;)h+a0-=uBae!@06p#j$uq@WGG}8%23u$ zibC09z0R1#LLBU--*AO8PDBt){5w_d%+dr!oo@XRQiDt`(?JcQF|TkK&$KnlcpAGV z!eZeL6FAH3CbweI0n;Q4b4B9PS&O{hP`g!VA<_LDJSpic(T|3jfSVFyZcma+q+%4L zTIG~*5}JR!SaH^uw8&NmHUC3~{Ql%D-{|>~{AiGhQ$yk#_)j^}YtI|$lSNGHmCM)x z{7w2WriqJA-97i&MbKd>jAQbnW@tJ9e3o?Hn{Ag*WHMd>Sux5ys@<;r-CrAY^{FiT z(wb88;de>j{IiVVTe!daixB&j5INyJzf@qT3d>}8lRuKT?Jb6S_*_d4oKQxm_`bj| z_hwum*QxmCE^jeq_i6S?f=X1(R2Q7(n|uRl|8wdgL7jOTr5S5&fSiYa$st^Rq@p1+ z-t_i(rR$I6oK9Xg+)6s8&@Ue2=+@?plx(W4<3kXx&Gx{c>_WnTczU8Wx_?OpM+;rk zzq{^=beZX{h|hK^`8~KnK}mZvA>U{k8ZNO1;U3lM`2S|zuZ`m}+8S<@$#j0m6fu>} z`ag(tbB0vTi>b1+2RW~GH;VP@Tl$60zbnkhQ@OPo+3e^Kc7GUQ`f4fFCPV?TjsG^Q zLVmX#SSvcsr{OoH2>?fV^e8uoy?j@5FIb-L3<$nDR(&<`GpW-~`ReNQoaRuBq8(EX zeG3*oGye|r1puSrH0_%+kR4?*cz|$>PIjO;%&#}>mG_PO2jV_Z-+wxGldb}v#3og_ z$;ltGF`EJ=q{CXWv1b10o6!d}TIwC*E&j__62il3$MhwUKr_0H0L2YrJC|yE8gO5< zRLB@bY$|V4=lRT+KYqov9+TEr@^uJu$|lNnOT?3EEPHdtr*tB3i0~ttSH%~K1&>>o zi|Airv@*`u8VmyvXZFWxHODWrK7NY0WekB_*LjNw_J3XOkE8T$>n9$l_CIIHMok6( z3f72Nxau;|^fn#vhNjb_>X@d~B#XE(TD(g!o}E6Wqg=jP?0r&IR4YULeekVrZij*8T@wTK`QnBYK6jyy=+mxx&K%lCvt|LpWzUX%;Gk`jmIxIu4w?ib>%j=h1AaFD{f`3Std zI9Q5Un3!)ng@j}0Y2?&y>+;N1s6!d?r{LSZS2t5`r5p$*OAUR5Mf^K--m7m$6FMDa zLVu%BdQMitWk;4otWRea4DR1!jjUPU#LmS-JOcD=W#Zjy)rXdbi1Fk!O#R08w}|cJ z#ApuJ8Xv@d(^sp+PnS`JXiz)Mq*=d4Nfs61*_3YAH?j}S`qA5w07?4|bQv-@?_S3D z`wbVH=eliU7(g?`3-R_)Z4Ddpa3hxh`G4|WV=SxY(NTs9KfMs05V~52gm|jTcI4zL zLHbXF(OwIzN5xub6N#@BL*W8GrPOD!a+e)#x#y>1lBDIgruh^``T~b^!7QVPY9ybN zc17fR2UPu7+f<6I7lU`Cy?}co$RoL+o ziFT}I_QJS1B!#ZGpNN7R%xqVGk~vZj`)lN@Y3$0@;5}m^@($)#&vvq z9nMDR#R0CXybkqXgdKQ~Hs~|HxYiyFmk0(sX3B2tQsf1d3S7n`dO&04CS8e4-q_skCn$ot}2x*@pa;ZY*VVV`vQE-(R`pVBk_PLKbbK<>0$Vq94t*JpRa!T{MIFt6t;FJdX^wJjYbuc_>j-=u zUOewn4#e`L2?gAN7?~KOeu1tOr^@(&UvS;!f5;-6Q4U({w$|!MB|ps$IVFUvQngG*R@xtWGY zUCWwLy->-6?HKnYBNIg75SOX|Qr z%W8elewk(e<9#=g-NjhIjA+PL&d4KyMG3#i5T`)R2(%C@A80V2t$I&+V=VO`Vaqa@ zwS?I+$Gf3p=NnDM!RNtpYtfaAyrVzQ)3%Y4g{Z1~(WzX8;W>L)g;v&y4LBt7J}$_> zc4Dhz|M?RBUflZ>lqJxyO`RIIHy2xud^(*Qw2nWnHj*;#)o^t4Lo4EvW)D_dy;%fB zYwtF(KJ^$97SBp6b}^e1fzR1o(Z8Ph#hfTh} z#8Lnmv?B){k=zX17moX3IQq;QF*6n)%tOq_(mHjLU(e7_1 zQL2%qc~4Jtjp#0|Cqno6UV|Cwa@HiOo>~yaN%{C&Gam}dd%Cb;uTx*u)$KISS5&3` z2-cd4!9uZ@Db~rV34{@{FFGT-L(YvyuR=^OH zy|;9Tlm=^OL$B62daGJ97)%kRcGr@6b^SIm$(QPi(ncK#^O>!ql1ev`vw}%hl#G~# zy`4e5_zF~wiA{Eyga2_8(;whVZd*SkC2}ra4t7@DJvwdD&*1xL%NkM25_zgRvW=eQ z7hH)Yo$c3>wujgLuY9BBB3lTKQAtTGwA*;jmppkzhWeiy$uCylpN(GD=a0@3)Ursj4!YY8MJ9(|w{Qj`2 zEPMHD$y`U zfdhZW?5F3`tyFbw*qd{)N8PD%UCHl9aX6( zJ`2hu`ZgT+_5i1c8g7NI2DdH99T_`N>~sNus4#A0L#K+P%utBeZSh}Bt{w{OL>-Sb z4LJ+S{*FkHTr6`hYfA)E+PS*V6B2o+l99kN_C1w}jw4be<}av;ip84k_YI1hBGKl{ z&5S|<5WHuj%Sr@|_{~(gCrzW7uX6e*)lm-NR;jox z#iE?y6PKwqwD*aXEU{)oGzc*R>E}_IcP6f#C9vY^oNyfWZ9Y8%c23O$i~pgirZ;Mx zoeGU}eCGQSGuD9IL0Z!L9K(m^x!h-{oLG%0&li|5YvAXc15$xU~4pnl(uuux_!Wl!g>HQM~{U&zl-hc$#cKOBfh1phK+YlIWrSvX5%?z?k-?NC;X z)VHq)Y(o;fSa8QP3p!)gCV+0FQYJpd86txf2*%fj|E`jEaM5{EDt=0R7Orz0fYw2Y zq>|QvQ+`z>c2`4(a>9BX9LmSey`y6e~YAI54R~Lp0JmLNRsmR1zU*B$qD2uJC))( z8z3Pprhrdpx|E7;>_{)zto#S=rGSOYhmY0Y&42WD%)&iNEq^T2Xo2Qd`CpV;bT*gC z(LrO&_U`>ZA*s-uOjBVO_ieV(vDNqGLR^}lQvt>5quan$jV;p@j9{` z0-_}F7dVA?yDz(UJ5f8VN;ox^tIyKNT28DYN)hgS_|EPh&{wyUGU_?IYNT~ax7xj; zk-M22f=9$WkT96>(wRmwFObYKC5)YXB<&)%Yh6kRyYpfnHRcx~H1!bN2>105+RgwD z_VwkI-c&PUdM2SRD`v6quyIGdub{~M(f6x?=`fY3$&$Oz#wB3TxO!CuE`juw9e!}0nLz3jGJmPBUX1!_*bxFdGNbv!Yn#@zp|hT#2)J9a)-_4NX}$%nRdyCt9>Vj8L5eZosU9Lbp#n z$>SZmv9X)Ci>QS`EvR!+Rrz<`XK8BGB5Gj;^uj>*6J2K6BWdU!hb=y<*a0Tx1KuBd z)s0*IYmX)|4u%%{eaUsA7rq^f*PEv_zUb+e#dc#-iuCswj zThN&L=Rkc=LM3yYZr%=N_+)a)vEmF=L-wc69k@VJ6i&H?2GGK9Oq_B^{(QmmOQ(`j ztx-+!klyz-Lhj=We`~g4ot3L}YbFZwE|qJa*q-_uw26A~ZE1Efdeju0IKgk}m@{C0 z!l}sbYJ_MX#hvsn%AIHR88v+WY82&C^)Go^m2RtKjDy;;6jAx>1-bzQ-v&vTf<|NB70TjF3G{@+G8Biz2Je~cBQ9|Z zaDaMNcCre?jv=+d{XQnN5;eziA!DgxVz6(+BW<27P~#L?8lewo7x$zCA-aYVNv6wX z3ZP6YYE+rlfGf|=c1Nu?CjEZG zB7L-bw?gPWxNBGZk!#6ep}VGrYVNbJd4 zv*0?0+@_*H>hIwZ?G5kt`k_CgHnPlZlVg0c(c5=zK1kOw@)iy>$h0w~A5`WB#qIy@ zQ3>0nwLUeyFc*}XYfk}3SxsQ<;V$77H_2Rn<58;&ONhEQ@`wK|LwQ zsXUKTrIhlE#K{{qyi_K!7aZ>Byw{U^)uf&oVJFPG8*vAJwL$uL_4&K;RPB*wDf+Ls z6A-n+LE$lwniPg2`;0=O4NuE1;X=X^h!5o;d)v9J>+X~1RBG4{xLH55_caAw&`7PL20al8Z#okD5o)CA%= zYm_9!#=fcgfZ>05&ovto&9LvZ2iMAt$vZkVpW+j*TIKCT4e9WOHG-c2BUnYQsK$QI zZeJ_*pg@6g;~sp8KhqDECe&id!y>-#qGGdGRJ?moC4$D&WNtBxCDvzC6tQRALf@@) zC$x2Tum@SzR)0aEPz5g{w#YF+@+JTfA+K}0rm3lel!S6T@0AwvFEkz0O5;2jp|>I< zQ|Dd-GoLrJ>34;Sh7iXx`IFG@FipG|COjzd*Ip%<8;_+vWi6o&B|JyA+gN9r@`poe ztZ;?gDh4ZRP@)yX4m6% zGqC}CM?Lp71x+KnNKYv#FmzcH8W*}40+&<;!>v(`jksSX-_i@_Mo_>6-#d<%A9txR zh}*Nv_O@^28WDQSOyKlFR*(5CG7jWev~!xf{jLKV*zzPWRdY(fRJgIW1WbRfyO=79 z${w{Xe-C+c#xNk$ZI3QHCf{%Wg@>?*n>p-$0#OR62L zmgu>12#3e>@E$iFXH%Wv(0;M4@{VZW|Hmn}#yR!v2IKkjJJ=cxdVwyl zZREy!LGZ^R4p;@7IuX0l+9IkxK&x_xFIGl~h`8s7BsBYdsbs3LZD2{(>Cl7OooXL( z^92cKCsvp!Q#b5*R`T+t`v$7*lGk(go|A7J4aN<#<8=<33gmhtODmcFOSGOZfoJf~ zJ@M-yIB6g5gxxm*MboQ3>fb37AV-Pg(S{I>*_T1sD@aVO3CA0>eX`wMXd=1-Ck9Oo;sgL}l+84eobV|%UhWqG1Nu+|z&4A~9tO@rU zFXW!8s8rkU_n;L)l)Y7l#~to>N$&?#dA*PWt$mYm;1!EUP4P$pCA3N zd;aJels#6uk0b%-WRMu7$wfb&x=V@bm?psb;qM#`Ox^cJtG~@9&d>GwQ}EcRcW>s+ z9R>~;EVE&1Z|c>ls1OHrH`XX-u#<^R?_TXdR-9{+P&otK<6n2>4=1Up(l-vRiVFv& z`y1FwFD<0_v~g9GJ<986qnwl>V?T3mH-?=(ST!6dMqGjJYgt-M=H=#V?9bRnahDKw z=`g>Wpvw)AMYp2fFhpAhW2XqMHBoE)dh!s*5}XlRO~o1%VroP(b}F%?-HlK!U)l^K ze!0RW)4~^JZ}+Hau@a(Tmq~%QbVVLYXiQJ~OOS(caQ%|)2;8q2AzySh5*>lb_q38o zvnX3~jVhxO&%;&wk5)hk);i==hxDH(`1bJXhkWLTTIH^ec+?~VJ5e{Xp^cM0F*AU} z97sxPsw~s*x<2cZ+ZM09MPE(DzsW?J-DLXqwd%K1ZYMnce7`q-*XSt+(hcG8e!zaQ z_6%?GtbHUNi9C$L;a<*kkhm&sMI+*0Q*Kj!G3Pq>K6y$|vvH+UL)=vhEkKrwQNvYl zzDu0_IqhD-g2gqbgml|`iYa&xr26kZ_Gj+Rg{1Q2IPOy`P18&3yWA3 z$8l9L{+AQFLMc}&wFeo6Y0=+&bdBN_*GEW42^?{7sj>#-0$D$vf~D4C?#xSLOgox` z`;u2ndu*e>1$DD@?uT#ut%sf-MyzvJHoA2`55!}B z$&{i}N^kCW=CRgs{XCz0>L9EOhG&zA zK;u1c?P%darzGkfVVJO3RdfL%6V;>8S1jw&nS)&Ybu;lqtrTbYzl~Upr@Ah)kw4-~Lsa=dN-={!sedmjDrf%`&M|9jqNKbK&`51VuiTtOwP0BS zB^UyJhLb)i9y{>fF_JiYLf&j8UKA`J1h;na!a)x&fWZ|h+eiO3WsXg+8bLm^`#D`! zFyN<#7;8vc>y_fk3(+LxOg#>j^z=SS;YnT(h`D*s;J9LI&Lbd`^L%TLvBv@?$@^NM z0ga}ONCSeavG8}#o6}a*w3n@tjn!){@Crcp%e2LyV%gm8=X@z4_iQNvLf!K6|0RE& z9|TS-Vea`waU9B$84=oAp()G_nlX%Y)uc6M6K(uhw978=5!(L7dX_Zs#iomFdpi^{j)u1m07 zh{3Mm-{SQzW;Mi(m){o(QhU$3XiG2BK$6ie|Kf9N!w!a98WaKLAym1x@`_k$1HyBh zMNxm9t_n+%*nNaeIrj_Fji2wkUOe(Z-GQF2skhEw_Dl8FA9^B7)gNJgs{zw%`Zc-B zaF-;(>w_vN7qw||B8%{~{wgTX2C!ZwkrjH^FvM-GMvnpmj$TQAu4J()C7pXrj=m)) zDW!Cki@}#7Gu)LHyp*Fctr?sNgD@W!v#=u7t1VfS(rI z?_FaZOr>IOytrR|edl}v{^ghwetZFbMAv+nhUke9%|2}URn&z#^xd68>ZA$Z)J4{- zRne6Tn7w0X*aF%J{6jYQwlA4dEDUQi-72f5&SDJE%F~6d*GU_Z|B7)(0G#vWLW!e` zydJ0{d|83?KP;lGd_pw%2ZWb7nax8HMfoQdW=4Vvn^Tp)ih&RUcf&tF79NmVP^PQx zDM(Zg8#^WM;>dOV0P ze4-J2hL!veF*nbu$p$#54mw7OqJlw410IJjVNptc`tNAl?f}pW&Fp@fBGx&e?K>rr5hhX? zUYfAHWr^MAHPzWm4u${4xoa^JEW;iv$;#7{E%2o}Lf|tnG`fH92cWr+rRuX#AmQ3p zmTdnP_Nw}V-04ru3lrNI_*(6Mk~S}zHnZMm)F59RGaTTK9snq}|D6M}N^%2ALTM3h zz8DeJVj6r%d~1v@xcT;iN7zYZZNI^RqedB~dHl7bb`*Cs>x#~|d9^34`GI zd-V>Pf=o<$0HmGvrlas|n^v+W+fgIM;PK)~r@;$)aCp7_;tMj;e5d=ViLO^cT2?at z(>{?a**@`IWnKyYyafD3b#ynr)N&xh$1iM*^`X)JkO(ZJ-8%e~TjAR>Xq4;6Ew@9u^1?Ol~XckcnA|;n- z>Q-fZjK%sm_~AmS;zyFX=xzWF0nm`t$$Pa*DA>gMKO58^$I-Z6zN`74Nubv*$WtV| zn_Gy?)A715B3v5j?Q8$#%c9N>hqVnVZ`HVpytOB6&aFEQd+*R$vN}Elxk_3Wf3Fjd@N^788mDQykI?887fZ_VUbiu+ec7{+2K8k}{QmPky@*1G-gc}tn;mJ;q7^O$Et>tHd#395r|hjjJ4@t;3-89jIT zYC?!Dh=A3)UnpM{{mDlKW)$_KCxF;_*vhrh$IRyD-wie?) zt&GZ6ENCpvj4oIkq+v2rJuS{ z4jL^QE^1^2?ZyU?er8zOzU$^5wR%2l`0EVUWjztUHyw<}WNFdoZwZJehzV2_q+zjJ z{a9bM^i5}mULuyZZ}%Mgl9iC-@yCSrzWmkAG3-eWO?fW6hKf2l`V6a!$0ny^HElI& zcDD>C?32EbjF1CH9#J^(%De~3DYDDKZ+-lT_OwWSvi~F(aVyppB-?suR49pUc%SIT z^fnYH7W~+ngP=ofXhGroz!7@k^#|oKK*_~JExt**Ax-J>R(W~M`(M@ZTr}j*TuhkH z0z=x*x>({*Ni-X3jv08V@t=OI!tMMl*jNoQ0u3|zB8y{*w0IEdcmz40~!i?eJ>YIDFj8h{z2ige^ zqGflkl}HGL6GJ1li&ib>(*!qC>)C5qX4DQ9L9U+I#ZMmo2chB?b>{{F{Zz%3y3h=Y zIO60d+bTlMB(S`H(AxNM)WoVaY>jqupQ zZ_(>U+#A2B2mQh^VvDfF30P-cS?CDY>EU@%5rn{wY%I&Q)O`q>NOOZtvh2}(-Swta zfF6?Ux>^k)9!la40F7d4BTo5UU1y=a^PJ)*> zW%?bJB|N->tBEdjG4zGQ5-pzV;O=ZgTf(X>l@6hcfz{ei#5N9sh5DB|r6pF2c(|^{ zXrz*@iY2%9K5FN|kwQIJX8*GBXazbBo+_jRUpyM2)+klS7Pz%`v{_LeXeYL^- z^jw|otFo`+Ri!rG8BoWPzEWuP;MT(@z`*rb_#iCzJ

    p6x zS^SX{^3kJV@h`Uj2Hcr>k{!8}<3fO16`w~dWf}(AK++7Z5G-Tnp`*C)P=vcVnq6=E z+Wy~)w+ZZG%DLU}oTZnyv@vm6i3fFSQG_D;O-LIf+%VyOCgB>eZ=X2Jf^atpRWu9U z$iN<+Y0xI+SucwgeQkHS#lE693_vUqd^pD^B<4&G!*!?$J3%abL1db2BEi)^dremnFB=`xqxsZwn+SkbMm}0P zZ2pd4^Nbedm`MhTi?HGOE51)N{^QHU?=Ze8iIX((*AI3j8mg2WkRd} z*=buQ*{L?KMGi2_lZ^5^SF@T7}iDE3k5R|B{+MfFy) z9w+)kht^KCE1GceX=t?V3qTI z8agEBz@eO>{H@e8zfN}UpyT<8YE=)qgWxR$4v^UjO0hXp$F9*k_?!u=^o7=YxWnNz z0&FhMaF|!w7d52LT_bHt0$rcY!N~=N+HN}H@(gh(gL_YhL}5Do0er7T2-|^uROG(8 z$6&1G__a5=jcx-N@|Qq~;;EA9enb)@j$T`h@2RUB!viF@NqCSLI7bz^1$t7M-xncp zF4+L&>j|d0KnXYf7sU#p<vZD~G;93Fm5CM%_45sn=vRt0Q;5cCY zq_?v&GJ496d{uasz%*muou*?UW4Sjz9jb!{<+0CJ=HVgs{uaE?Psuji-H6#@#eL2A z)F1wprdJd(L>dDO8m)YV-L4oIq7Gx1z=ngolwSQCBYf(JdRtF(c6NCP}+j2b>zb#LGvID|(T_Rc=$^ z4EUrc4r1$)^#cL~t)^N=CQWIDhkO z6HiAG{?YiDwA=GcrQwOJQd&bE@j_Q!8{+lANBKxLw;AqtOKb`TJl8^U5KTWQX#OvoP}gD6wM34d{0la zclNgT5Mz89`co!=F;!Uph->W%uoyY>A=a%uA-PFJQ30Ut-uCg_`s})6985-&cW#*v zp0d{_F2T;&bB?(aV~}qf&gL#{#vlPQ`F-;8HxVhsKGIEVy=UX;aI&!?m9aVmj;Em1 z5k+x>`1ciOp6HND`ENLygT>3*NmAqRIpKkfYUGXu#`(ttn%M_wQKxF{O~1Y8#FGl16ZJEiG=<2bo=wyd#$eO`kV1u*8A2QremdR5U zo@tFT!;FVM?a*~4b{#?cyOeCkDr|;K*Kjvh#CC$;1fS?4lR`oH4(ksHFao5hoR{j2 ze=Q9q{`VbL15i4o5z`~(DYDg7tpy~Z$ruZR3+ElfL#?ozv(l&kX4VP1T;@hLygbVO zq7fr4+d}4%SN@jwG!N@WfFFflo?wgW@fO;&4rZm!Q~$;h)rDLobM#@C;#c{Oz_=?? z?EN5g{S?`@d~&}H-E>(s95-1FHB2ws?%U_# z&A-FcIj+ai^V2N0!|t`iWl$9Y|EA#3ZN*-xUB_U4&EpB*kl3kPJf0C1zRI~-KbkWl z8+&0(F+si+=q6Lm#&Ttz%3ikHj{3GReZH)Sc(4`%qD1>)ZTrOb)zbE`1o#e=%Z)HT?D%BlyS2G73Ka`sLu)w~do zbwyqM5WkJ%j0lvA!zT?@p!(x=l#bSzT-_4YFe}9nvs$J=W+%r$sH_?^-F10QfVcQ% zP^i@6eB3_oAa-;P0;_S#Xok`e5-+?}#(>&gVpU;{V|k6^Pv8dYk)vQ0 zgyNcUtcx?`e#{wpc=1#c$B@kHM+DT?@3?Usx?7(b{d%hwXm~{*_p?F!afsHx*W0j< zOa_WBSR7H#>{ytw04+|Crzi?J>1P1E!0R0nZJuXqe}c=?uwU^_xX=(SOB_OpK1n-Bjo#IkL+b=(psi;33foXxvei0~NcEA-q#W{h)goAN8HLk-XzMzy zA!vOzTFbuYkMqb8_wPrdh!>33DCyU`SPmmk_HgTY0f{%<)~E~r$EF-10hz!kU0xWdH8SR|(=A25N<=r!s@tm$tSkW9;uzl6T}PGnf@I zl<#sl|5BJar#B+GccIiCyDDL}?ch}BJxh>)d%#rp!_U17Y>r1p_h0M&W`0i%e|CU@ z57K={R8zFA92m7B7yy+-oy#KL>XxnPWqN~4>3f!z#c&Ka4aVgN=1X%>{EUNTpN3~f zTnsNBCrbpCQxN0YF98c|P5L>uJ3Rptnv!O%c81I(>>|XOvbQG1t*)HFdjt9_L*}!> zF-koggPA9tk?f`Aq7=$#W}fPFG~JEGW$r2FWt@CG#B-rtz;zhyfooOi7mm$5O+n-I zk$cLZ34ekOl_DfOagzY?8`BqQH)Wp z>%Qfc8ssrw5wct@#Lz`u@*V_T&Cl*}h0l2ag2Q|_y}ap0SI=ilbe^ajnE^L!KjT(Y z7=-vhm)0e=0kl(QPA?orHGtyuzj)ey#y48LDxbqHk+-ykWoI*>jxxdu&wn+H$_;5K z?5a*;xu-Q$S-@HfmvNAx29nCsh^UF5~Zcp}$1(KnmhKISKX(8kY z=+Ni5$%yUz2R%wygboiAAC&w*05Vwkv46V%B!CM6In)hJmY$dp4fTjPNpSn4ydC^M z0M4JEo0xD~K!?Qn(74cfngTIY{NunJaW#bhl;iy$(1-q?Qwtzef0`y{#-0|SF8sJ6 z_s6wQ0{&A@`G3H}|Ckti^koKz4hV!(WB-q;EXjY$_4oe=z=-~jNevpmTfNE$@sNT4 zQl+T;^q=x*;{O5L;s0e4{2ST|4HxNyxXS;iQhW6Mr#$ihfS3Ok%&QxQ$ zW60A*AUSDI3Gv26Q-j&2#v5ZaVqK|pSgHI?QQqSvQ}tm`#_@g36%q%H%1j|skGNT% zbsYbFz$cGOE4s1V-3x=ziHWwET&A7L;~%X$+gt_(OW$V2_0{%bS;SFfi(@)(GzJ&c z$zM_nyz%QxKP|i;Cq#u=#8C>keExQ@j)8?wA^eD>;Pv0pZu0?Lnh>!AS-_$?!?5pN z(f9oqXh|~L-)}D%A4m12DyF?=jpUbq-%g{XCeSm!fZ-UNS|8TcNB6?sJn;|@G?g)> zIAxG3qhld#Gq}awv$e_|Age8Zo3c>(v&nh2*nIzh=UZ59KfU0SF|imq?$e24!46NH zN)6_5PtgTA^2JgumMcw;y9@h=E}qY?qeAhZP7`@Lmo32sas+Y>8W)AZo0w;MsDob% zl~@} z!Nc)T+`zBT??%cWqp!_f0A(Tt8L#_W0t+yItgfwpo&@zBuVdWC4buf2t?{6+PV!_# zX>YQG@Yg3>x=~oOkRwr-&z`BZ&lBEHlO|06K6uP@6GtoPa+MZnuFo4P-zyj0vl(oD zgGVR&^SQS@aSwm-JO3dLHcRol)iEG94-s^6zBCk?IQcL-U)2hY~hHBYFj^dVvY1_PpoMt*zcYjtcdhKPx7dD{H ziQ6euD|&3AxJQz9eQGNbblf+WhfXLcg{TXbGx;0Oy!Xelb`_y{=WYP*RjJ&d+88SD z*|mxBj001WR;vm_1vRz}u5J~^?tGlUpxbw>{*@}S)yZ%x@B2J+EL@r_afgF(o^SH_ zvLvh3_i2PR!8}ZL@^Lb0+2VG`LkW+HL0uXJ&@f0G_aCNayWDX7 znv3MS^}8a@TG!1zr`WGMYXe_DfikB`GmXEXTn(Rc&(V9KT<7RvMy*myF6hZ?<-K6& z_mk$cRHzA>;98lU9TrpuK%aU+l8tDD9G-2nLcv>)Y2afxtT5@odmC-aG()jW94_62DTJjebfKfVG2Nm4FMh}~ZapM~f z`+A?GKDN$pOb-?dfd2Ymv=J?7=TrA)+-*=ztlWn%&%JVChps7d=-Y#kFIPBOXa&$; z&}=$tQ0FTj39ERO#pjdzjJ&&hO?lANABhYDP7QjICjfrC*ltEYbhuvsgW%8NH0@hZ zda<>2E<`0d0|b5ew)!&VNbr#4vlp60CPbKY;U#Nz-`1oBU$D|rKR=3QogPb-X2kdi zrzl?1_Pk6TP0PkG1ko?^N5dxqi|&=*a7J1&>ed&iG^7C>N}mQqGG-Wx&K+gKziI)z zKUaSlEAV-lbw8`h$LXEd@#0y%de%fAEhGG?!*!z3FhdJ=Hpx$QYkBO!1J?C^*hC{L!Y5g02*f*4`6N(&@Cq>*SYWpJ+>n)U(-Oxn*G znlfdx`kpa0LTgYlH6jU=r%AyddGgeg0&qtxdJ5e7yO=ErBYPk!pVMOZ;BQ)4Z-TO8 zg*j|shQbE}rBL7w3 zis*gIRpR2>4y$M33#f^vq&I5SqtBG`)!&+Pqa_R-7e`pmrk)Y#4tBz7;i^Am)_DHp4BaVO4RfVgijb$wU~t1ZYv zoJ4?~?_N9wZ2zW>2LzZRW3d$nTgKV)U9R{k^Wn3mtB|@zr%PuZ4c0koU9Cy@pXoH5yker^~M%_Di2Ptw6DGrGN2J zhiRF`(yCdDRM6-O%DD-q<>5jlco|$fUt=Un2C96WD#v}9Wo-F=@(G2gb=sNbxy$~%8f1$&rsqC3+3qUr#Bl=2(}CKzKmz?o zb6ZDRqO=U2Zp>{_)!)AUKhm=4(<`XFLjF%$kH@fQADE6Shsj)n)2g zX4ws-ej?&c>N1j^Cd7$$Kk=*pI#VASkME(T$fDH%rHxB+@cX)zGP;k^KYZ_4Vr@~h zhY)<6KScWXY^s&tEaqNamw(ZF&Dw$znjSpz>%8Yw(p^~9Fad?FplE&WX!TJuK6lo( ze~y%IR|}UwjcRwF^ie`d-%OeBD-#d1tRJ^FUiE_}eh}=OEY1sGbO5#-Hx7Iz{3Z|= zY=~=P&q3DK2>0XGlz2U2AU>2FdxK@_r?aw8op|Q+{KBW5LhEx1PDQ63tG|V=V+-7D zWDF0!FqL8dW56%=gF?wIzjce6D{uOxT3=l+HOtBq{{hIhOHtS;&7NK!!+dv_Ba_~w zl!SX-Aj{rPT)OD3Dk|ysEv0l@>lt>)9cn4Rn0Vo6Dr>VGZc0&QlepM(vl7=oc|G0Z zr-&_%XuBOL%6%~ngnt$id$SotLBG1BIRnf)ZQqkGcIPtMnO^Ro*0fyFQ2e32)Vpa| zs7ZRl1?149XzIs)tH=&3|N4VG5!h4n_)9b}++2H7O#KAZ&Nz05M{lB5w3jsi4sU*U zs7TS7^a@7tg*eeabCeK*zx(b>>$=0c({-q`44ue_-s|1hRBA$rHo|a){PizP5usBt z{}aMJUhBG#vWZC!Zy-ge>d!+qX%)Ek#Sj^7Q#^OhLmaq+pe)17 z`}@Hd@wmFK?Rz-ACptACW{x@YCLfSiDgR8%Or_~5Z7mQ3c;L^L) z&Ybet1c6t?s}IHh7Oc+j>H4mE`?f*}!gP!C7u(zlOKUGBni(hEBvunHxrWtBezo4M zYN13#5s+-dZ}<0eek1(%cR(Z#{wT09O5c6vg{PNswe@0j-mdGwI|CEYC*#h!ty{=n zpTk*+SV`;7&wlfkvU~o)yW*J52{OHBN_ncP0d5~c>6iE_JJALboyaTIzeP45#Zbee z=)c=ki4z;Z%uKZl*r|_&d102_vo@mP@9T<`mcY19e?;Zdl=9D`#-8JOfH3nT2wqI<$yh&hw{Bt<sjV-){vhuqCv!BH6u|FMiVM#12E8pmABv&t)*d{X`}}@%VE-6WEa21 z=Lypvhw zeX-}1BPU!YWPicFOvqMHbG0h?YLI^_G=$*Z2}Q*1f+w;I4_i)ZUsE|Xu*mhsT)swy zQXeYjuW!^&>kjmr*RHyp=uc`IiKW=FanUV-i(0%nBjTb4&G;WkdwTFIeN7?snbm5K zi|PP$1O+I{=G*i8%hA2jDCwY=FLHAiO={WS)Q6C@_q%F(2mxKix;gbnu|^~ra>nZ= z2}0WK`4naETEx1b5ChluwMgbQPg0#$4@T3(R}B2~3{S^j)&%eh^J|Do**wD?XJEZz zq}^ISTYr>);`bWnJG-!9Ht(7+YBdx=XlkWcxgfYV=u>_myYJYzx;S_ z+~}lpD2lXNb%%){Gu`jc0&X+w^=7I*HSU>6SN{5RyQ$Tfe5KpKnOw&h4-WB$C0&lOUfsV^fiCO_y#ch)vPpOXhyO1@F zJ>Va8GkNsq9D*8b{c;{2rK1^o*(S~{NbB;rCerBs3${qr`o#ODe8o&aXC30%KFwLY zzZzIdY|#8Ax&O~>Us`IsW?SaK zKg-XOSq8HJ${T^M%L8D?da?UzqPGr>nS7R9yd87F2GO+%hbt}tx;a1Uy>|o*I67Gg zC(n&bv>7vHO>YarY}j}B4+~CaY?En8Idwn+u(Ac*Czp%Sh!qzsE5G-3+)oG=$axGc zbvrWg#HW%6pDdV!f(O>WOar_sjXi!x?&)+?70i?K2pTqm4{PDXVD8LY!+z(# zV?Mwi-ZR)j1pCd8bcTpU-ny}|N=fH(zAd@9FlY140XwxcnywqqTsSC9014)NTyJ&F z^zD<+vJCykU2>``#9fXs(!18{YI}t^Br4qj*k+T)a${N$f(MF7dKk#u(`#1#`gvd# zn9iovCp4lNnR%C ze92!1sVmwPQa4kyZMm?FF;KB}B&jJD-U)BWoE4i7yT8L; za*SoW5MMw4#jU4H@3G2MXDmZN7SaJ^I-C-YL(Q#@Bjo5K5}UH-pWmp0Q9+@<%qBe+eTu&P8^){YrW!t%^LF1+P4_Xl8AMl5}c^xJ>_8cIC*HcnRv%7o|?6K-UM zs-9>Nwf$N@O3Bsm*U?yu;l7+mfuX8jEtec;cZ(1i{sYZz%59r=Xc+Gd%=b)gHAbUfL+l&)nxNk0;DzPRmq zBrT)C#Y!Ik#BNcWMg1X_gma@I_2SRe09E28<4&l11G$u@aHm3TH=%tro*cmwAqosd z=8yy$xtTQ&Ev`4AMt9mmb*$9owZis7flJB@yctDD1UYYG@~b7={M;YMnV4kZwCL?T zsR(QEf(nm9E4xzs%YU+L)rjR59_}5`$op2`9UW!@6%iNd^rekhIs!|M$v*M<-ivS&-@!F&%VKWy_n7Q8lS*Rp^29kjI?N;ox(IrCS|4gy=T3z^&( za^nhh-wLynR{wbIzI&6uqQ0+~VRlU6;GphYI$FLX`3*e~Pn)CzK9^;x&X^Aumoxk3 zEB$tJdelB&^_5^~FXjbiEf0-g>4QdDuaWAIa=;D4+ru;aHeU*L<@fXbgF7H3N4a`+ zKtFX|oqzSU-IF(l$i3=qEUvcee9qa!%3M4%Y4fdLej+(UA59;Mse^~+oL^G+Vb)5S z@L&Gii#9D2dQ-geptoR=z7I`TTBH4mYo#1sQXd*xtAW0uLzT2TQ_|)c{^4aV9jM|k z9g$8<-50tSBgUoVpz_c8Lw&@=)D3KhaRCC$5gA4H6tg5@y0aoGZmS=K#Pkoez127I?gRw< zMJngwJkj)!7=!%YLq1V-p`8EBo?RZHkda{0E1JD@s zraa?nL9iS~D&YBvA#i%HynUCc4SEuGg`HV~4t`S{h)J+$*~My-#C^f{@eCABzY%to zcTZfHyWaYjewGq6HZ)MO>v<4SBf2?tuM@FM){lG$?bvnzxF(KY_;s&x_nk1H{)*sQ z8vPr~=8cKRU9ZzdiP~LB#!dPirGexR$t{@v0Qos6Jg0W8Bo@7X*FS^?3SDbi-_6)> zPDPB;L*|BVz_F;G`d23-1a~wjb{}_D(Kt}~LAf*i%w2|sJF5JShX2$y8)o5?4TW6W zT!zNRS#OK&=n>BUd<>S-Rm-|LV79lN^i+jGY>jii#2zSu*||#An^MK|4+|W-@=tXM z9kq?1Kh0PuSBtjA;u`~kK~q?#d0ST72bvN60?S9bl-DyiV$5@2g*8RGAba&7U1)&n zNUcMQp2l5G5B+X}8avRrSL43aq7v{UZIW>ynn zx9%N}kZC(k&GGGDN5uTvt^gS}W;$nUzugru5LxxrZY+SqMJlYN17b8uytG$-%(zJY z__Bq4=<8e>+w6P)Guho+7YalL92i4s6>mvhNzwWc>kXFNs`YSsQoxKx?@~2GNgt3@ zvdsSwcCjwKNs@KX+h5i6H_!Ul<&(cW1h;2C<$NpFhx~0uND=%tHA@!<7+Wsq_E{w< zjo@w!vJ;f_6%BKot(|28xO(q!!A~9QJVm^K#mp%;Uy@=Iqs#^&I(T0GAsfpV zORu^Dhv-jP{v0P9W3;mlcn;Ty(zzP`h{_kfi=i9s4CS31-Rd~oJo=qOYq3nL?1bDL zIDtn!dEOic0c<{k5Nu=aO35{qBvmI|OJ7HJB(3CF`_KECSV=u-@55)P-Jsny$zHS4 z`}(ZtG4ohLNgg3#bR;bf#|)a8*RUi0TDL?bzKPZk|tG0k)-_XiuG>OS)1>v=Zrywgph1i>kXBE4F9 z!W*(Z$xdxSs%4{Q&te%%c6{WUe81EyIe=Nwuc8d+5?Vk>H-#Q(-Py<-o!O!X>)3}; zYspkVY-km}$n2a`I=ukSakQEHqa*7Ycktbj-|_FygN3A$x|?=Jzq}&7jxl%Ahw&oM zZHu5NVue;TGHq8&+oNgggrFmRKC0_SPr6uYk^M|aE19X8N-m1w^ zsF>^t-ZeRrTmx%GAMw(IO(SA&tkUKT>*ypofVTNPE*metB7+cSZN zj}!}S!BtPmTJ$|5H>#|A?@|moI54`{EFHHQ;8pCA2%Sqm=N4&HHlIDmpk4e5T&Zc= zyV3v5vsU6V1@ly1;|HUiqwwenu~ufArl8~#=A>SDxmnrP3Kfr#;p)d;{_K3OP1k@h zg=VkvsBLA>)9YRBjZFJFtv%q4S3(*m!0WxTeI>bqb&-d0)?tIEOw-h&R$xh^3o?rx zLGO9gP_fm)(N-&hymkPB=>ogelJjtxH?P`Cr>)9>d;sRi60YYvv|GFM001 zX;1c$G8y|Vw?XfSH}?&cg^QDg!$gh=STvCV8k4)PvMbx(C1XkD_M z12xV!GrijmY!SB|hlx`wIg*w+15{Gdy zyt*{E^^KuI-_L9Vb`**mGOs&3J*!(t%3()n_7N?TT|Xt8xlRFyk5}Bq9E)FtL|viUvh0)Y`bXud zOuCBZ4j5cZYcws0!gz#QMLHSq&7sZ2VJ8%QU^uE}SdMu4BhxkzbWmwptlpS1Hg1sP zhEqKdSS<20> z;bz8${m&+Dth+Y%)Aifk&R48~E8HGx`_gFExS<@;D-p{<_War50p5Bv_~&kuM|nX+ ze6QS#W=A5MMRMnn0bchmt+Dzg`#Iy&w{bdW2RVw6RZG_iJbMMCtU=$!vjnB`f~F1N zLI-{sPfEIsM5==}T_P-!ZCg%q;~F}86zz`BWppinOy1$jJWDofMtXWi2K_sTg?fp)lWo+`Ma6LTX)oDujW)j6&)>#fB zN&khb0*&b0;Yppt44v$?E~mIF0#A=K2b&##enio!n`|{;mFcWnt+bd!f`Dz*1F5BJ_0zLO}fDQ@gX={d+mT- zrH66yn3EbxS^$<=LAu)bVauz9?(X*B7v+8lP+b_gU2#Z1#5z%V*Wm_vML8&udGXA( z)PD-ih%ZoFwES>aJE+qSEayr@gQePN+`oB>?1ZA@*cwK7g#P?P2T{07-^Z!gjy}MB;2+VL#sN^`@C0M$$iwM!nPriNm>tx9;4Qzh#=lTC)s#Wl8TI zoaT>`2M8`P|JbK713yfS?8vokgdv8_;J1Wf2^ZSggPAP&=1%``Kp`0o&byZ{J~yPv zxN+G+LvE8ExTUx-9mRWSh8ldZMee<~)yK3-r~FoY0)e7bn!K3)AJ28;}J=W;QIVcO83S z>Z#H0dzw9^Xs6iJh~5N^RC|Sg`$fe~{LnA=>1~pY2R>XMr01IPFCR&wuGEX$Y2_VV z=;Jx(=ctP8nS+vFu$}KTm;Of=)i@0|dLi}$y7-8y%-6g7p9SRMP?!!OR6NT}#uZrN zJ@aMv^2^VAbdYND_6fYr8RbCGY+d(hdL=`ATZnt)Y|#N3N?s@S(ZaszY+?-Ju-lxz z;N%fi58qR(uKfs)F;Ko|_k*p5)loHZOkNxw7=I`|D0KZW&@0L@UkzEjC~+w1w5}7I zs=ujOH4hjHi~~#g#DO)et<@lYqda@p->Ik1m;uxGc>!^?35dQWk=wx7gED*i!>DE} zAm1kk$}PP!fA*0dw;tC=#;I0m^s9l+bCIz|FhtI^2cYR(Mt}Dv3*G+J@MPKWyBNaj z=8Q*ABsL1%?P~O(_&1Tv3%7-xS%+i-rJKll`-7z9xYf8JGx9jAHZS}=;UjW`rKLtb z9m&1x!1Mh@W(4ZboT`P7bLA?Q^vcJ5uK`H>wQ`XJ(-FUq;0 zsa{<&c6BwaF`(oj+5vR@WYEez%0I4sz>x{SG=%at>w``JxOr!or)tr|d=a=p) zu`_UWkxm1ZD))W%ht0t$qc6^geT+jYW3=s)XmB6 zlzcZTS=R7ws$<`})yuc|mB}c?Ah9y?I<7(@!SKQhB+B7~te|P)nCuX=bcYHByL>mVeVPj&rQ7T_SLTrI2VASJzv6cr&|hSPL)bW4{G z@?b_p7O@<4tVeD$&zuS_n+iEJ9}kaR{v=IRC$Z+bJ3gh>gB!Euae8Kn)K7}i_(4#9 zIsRLf$?cZeVY@n~#xd-isKEMmNs+R|=8A>98M4%EE1!~p&!>}7R?E6<$jJUv@L{+T znAzh5(=tkf%3GIYfbY1w6e_Uk+$%6bt@L|lU{z7tN2*^QF!#``&{Oe)_=|f1}}?Hkg0- zj=NCAujARN2g&TWjH=spco`9xM1O6B)m4cKk1uyg zo%JjtKbWywCF~3t{2sqQA;b2e3W&!NJZr71vg4M{nj0WA@@d~y2-tTr`tde!%RF%4 z?3AAF88l&Y_f()v4C7+VE!FOq8QFEJBKutg%LtJMhq}-YjQeK7U+7-SItE0C+tWRh z=cHvf-I4(5PkYT{RqfgkYIyQcj5+o7WNU7Pt1EyQu!{K`Mn$pFUT268Rr$8@4^3-O?Yg z2$&4SAUNC4XmSa(VA6%{k2o5*lgJ@akjI0`f^UKchk1C<3kT*73V`YHu! zG*MDa(S6TuQnJq9c7Hnmjgp{}&_iSSUEJic@|{KpUiRNO1+Zc9VZb2OzKW~}A+(zN zb}CXEe>!L3=Y0FKK$p+#HtIW4nbyQZCzZWTQmrLik*C_~+7rHGWozeB$&0ZP`nBewSlVD{ViEbwQhf+6y6K;4`n!v+2h_4OtZpr^1^ggA)enUhqjI*%OjwmC{SY6v?kBNv_Y}7WaOlP}@E6>Q&2gc;ocEH! z5*{?*g9^1E`O7-`>LDDmyEMG%VK=ghGr|uV;xYVDdK-~7QERy-!P!^AyVwJsrg3oS zjq80cl0a^#+bff$QOcx2^uvI{?7+^|OhTnQQXy}VR~?w_w~6#~L)3&Z-Ek zs5{QeB&#A<;4!4*k_(sM1uIo485KuQ1`(e>{)I>~AB{}-Ju!lH!Tx|>a&&zaN%h#7 zIM3_fWSRz-NOip6D%22BcX3&HVf5e;OE5b8F8)SuSO;1Y{&NEtN6%o~5F6{A^KVkA zny4Dw?p69_@S_Ie!%u(pN)JP<2>$kdL@kzgahC0Hi#u~;8jDd*w-mDb+pJ8Wdw8%fP0f~*+Qt=3CQ?J5SWk{&I@ z+A#UnJGEySbJ%L@PUUUB&Glk0JmcF!n;HSJc0_TP!9UtRmfNF;hpV5PVQ78?`F_oO z%|a^AByKL9^paTy`#{jK`80@3ep`xxuu?KNyYOFV;|38-|Cs(!Luf@wp{0JG2Nlac ziIyM_;EM8mM0~{%SZps5L3Cqu4*ui*j9NLzUN{G*UX)-Y*yNMI3^o zw0+*0=Vm(cnMv4}dvvq%p?Yr{v%s|1&yrX@V}H4 z7kdp7;kM=_cI(JLZ%SVtmRikV7fW>MYHgYAMsu|kNmH%TaC&4+U4+0}fNBkLaNl@C z()iyhrM0yBw%_2jR&#mI4<>DTi*p^1W9Q&(8y|QKYB`hy6Y2bvMha-;ntkR0wNuJiWCq%Td+~^!5_OL(l`^g5^2My85 zDitUiqtNXc*9vi61n7--?VbFS6@01{+M6Kmvky7G5t(83^rvOJ{Hg&9CD~hAJauz^ zYn`_c18>A1fe+ZW`){l>QmkuOg}E+@vW?MH9-W1`m%GWxcfa61?k^$bC%Z`A+KBeu z6rU4s?S~I)2K|^Up+;RfHKlF4zb@bScs(+G8e70UWOhbk0rWzqgF~EqO`U67;QZ7vm$ZHH-xIY3Ea9YkWo+0FREgCC=+=Y+jy-BOIk`lhyFB6}Sz{PJ3g@*5xE z*i{k`B$Dzu0w6T_^*R^6oqdHD5XE<1sUd)OMv(^lp#2bh2lf7w3BD03^!rUqiPI1D zS~&tA6G@r=^vtCBKe}zMFq0c_k<+L(A5mU1yzF0$bns{)4j{NY0 zD5NidWrY52!>G$Ov~v)#xDoc}c2yxN-dS9v;i$#^N z{X;QX6{#1WON7HJX#RBA;9rpZ3+?K-_Og=F|Db32b5;NsPxYhe2K4KfyH>(NQ%+;b z|JJ^H>kI$4Jq;k<&+I3~>%`T^ezt3s*{?nXq=I^of4;X)Yk4V`dRy};-6$ybOVTvI zxqX+UxZO#m;P-oXLMXye)f;#m+OBhl8}@44^?*%&XgEOPCFSM{&wI>s#c>M{PXv#O zz)~_!QE;=o@|)2O)9MmA9|CpooL2j{DHjCSeemMGIf6XN<<~hM zJ53Hv-QQz5E{`p@gpl9M@D*%7&WqslVy)Q^R2lO zM!&wBA>@Uge*;rw;`N|01grT~7pEe2O#mlGZ@up=SFy%W+yfybd0QCQk8BsZm2pmT zy>nNkB>LQ>9wf-~5Tg)yNgX)6S_`4xB!hIHM6?lhnfD`xPF|jRRooQ?<_3oEmWv92 zL?pH^GrFdnFAjxJOaC0j&eZ~Vc6kh9#RIeh^(dQ6x0*`Dnzh#+Pb+W#Mlico*~_^e zVn|&0=lB{V-sA$sn{D@`B`zw8%i?aPrvmo2-RO>PTY%!dCHc@-GoBQvFNu+h1yDpn zyFEm3{osR0gQf72s0aqZ2P#i8JnL4RqGXYJm0sLOu?7BzWGP*8pVq1+3M$GqfS1$m z#Cz7_9xv*WEjJ!^T(vXFOxZ#Ka*zk#MPXV~M9@>_-zC`FPDf`F8R|kO+M`&uZ4-tS zxOnx#l8?&zo}r%xZd+SQhq@J8Lp;WnTEEa&>2-~iRur6yY#aH?Nf5=w(?V2!MQE}q z!dUr|C?U9q9><}7kl|+nTV)!On*YHQ4BMR|>bhAm%WhB++XL(R)CrTgs0jg5{?04}<3Sb9+9vz}ghZDa%X72d-T~a0wNj5(U3`MuYQ>SXh{dM`ew? zU`iVp^!3{W#T9Bz2GJ)dOth}>dVp!LhdqO-5<}2&FuY-)lrkZfnRNyczK-Qczj14R zMjifpx|iXJc@kl8X7YZYZzGA`UjrlxbMO7$Z~cA3;CKZn4j+~IPr|O>GQU{fcX!+1 z7Vx}(9y@C}P%}{bZm=hw#n9Anz1EgQu8=Z;OqREyxbv1OXa4g*9 z^VfPef3r`HGBqnk^h4sr+FH?pSe?^n$}pP{+kX)p90(UG?yH`@mlAnbH?NA{xxhTC= zeH!d-!%gSVxyHO;tD=k<0?2S3cp1(2Z8=*?IcGAg zzdR@OLTYi9rD84z0pgkugUl7NM!H#eS0Bjf46M1tp5w*fnMhfof<#U_$b;Nz`55S#Q17nmoz90jc=w`27L4)wi^`o zq@%lG_c}VpPUcGfHzruxB)yY2!14xip8S_%N-z6QC+}rIi!}b-5p$R!W+tj_HQ9nJ zTpB=v>eGsQP`kc+AYf^fxJQ1fy`Q)^!`<`DOs@7PIjIi{x9ib#GWNwwV25Cy6QYc` zV|k{BbYl*zHcWj|&bz?o!xWg@^`J#veW-{6Kydpa(}!tb|+w| zGtvhEEM4NiVD}d4huR2VryVB;DmJ5t7(LDv4y#7BxJ2#4e%|gUMH#2yj4HM>0=gOL z`o)=N+}E=cAz_VU20eoz!Wk91`Zre6~9Y}DD_%;vvKwATr|z=ANXlrEiGcr(*Xf{ zToN7$nfqF}Eo2-qm!J|DL6)7WYM2i!&=FN3_PqGYK6pxq3r`y?)i| zfN>K)<0<>M7d^#u5?j^cZGRh6e5-(};FHp>%hBSws?#!SfewVS#D%-6@_;{0i|dCe z?F^otGl8L^#vaM@32m^as*eD4g*9xaXF=~r{Y4^Q5|x`-ig4!oqDxA#KpQ?kO)2G` zhwruh^mS*2`}beh@n)IxBrMxAS7*^RPnaEyH6N^DpU>)wgOppEe}&udO)R`;)C#OHqE!ZkY7%jL7{7NF+4VwIKWP7|ddCGW!~-Arq|C7^KD&rQTAl zDVTe5Zb3KxT6@}x*go_p%xm!U;MUr$_t;BJ`{VF6?yom)iC$Ie?{%CP_WWW>=#sYt z?0#eH;UFmH9NFYsmZq|Qo~|1J;jf47+mmE$LoVK2p5hqma-Z+0j}b{$k=t3J_0(0uT_qRk3 zZlZjS#{R#Qu4L*x!j&5#u7)$&(NmrSqOK3cA1bvg@nC#tc_Vr=Xl>oKT_jV?h32Jt zk84o=_svJK7~1Tj1p`zX}#K=5b zud-+`B6#ELev=0bcfdAmiukZejlz9pA6dVkh2D2!%UyZ3E_nxymzHM|ZtK@t%~E&1 zoE9hA%gSE73phk5of=}xvrL1|nRBi3@GH{uzlmu{;4(rP?1fXz>+|zE2q@hxMr)}k zscsc01h>ssFc}7_1s_eXEcuPfY3D?HUTMY#5iGBlFW_dM;QF1J(_yvcCs;#c8aSwY z1aA@mK62TAk3zGu*#5aZ8@Onwk%+Io&{N18%O7=$3%Hw6=)hk;ZleDKhmE6nAoctI zgWn=aHym=dLjN~5lUEM|qi6&0Zm7-${@9Pti?t`vCY}dWl|X!J zKfl5{ah(0nl^%R7H8O!Yj@hRh2McGTJO08}!JN&FH`!B5JgCM)M^U097QL&+d~W-9 zYOg`5LX@c%EZR_25xA-DaV%s8HsoT8j}XUOr4v0Gj5?XPxq#9%GZt>K2BwblQQSa zGV&3y-1Qsf6_o$p`Jcu3*5wl8S0Wm2zJ~!1It$pr$+n=$?d*H_0(96faWT1NsNB(4 zk}sR5n+A|}gr1e93aNIK{%s_8d~i zfA9VH zOA-J`Dn&8~%Vn(|Q8qpeq!19y&ztJmJ~E;J-ww6n5Jlzj*1r2(@WHI+T`e&?r$aRT z@ABGrwfQF}*tiLyX{D8~`3-&0^gBCvupbm5W(8eHXOIHK$RIOjxt}xcea22M zpdD$nvdB%|Of`_z9-IUQ+yOjP!Q&+QL5uA1{o^>fe6U9X$2WgRl&PyxoWFOr^6|wF z{cxV|r-(t)!@)O^D#Bx3WYm-Z}w_i^* zF~GP!h3(KNzfr7A^%VcOx8bk_4Hm?5SuR8^3SDCjPK+dC$Lp4r^kL@@ex>s2U~!?n z=hn}7s)L`7I_N~SW3ASMjYpZgLGl90{yedjo z#2p(m0f$#cwxHobHvv(ZIAxmo;@htRaMW*yoGXx0m&%?MYTF7e))L*mNYrU)RoL4g z-cDzhR&LCSakIz$cl}S{)tY4xOPn_6xcp`rpHV&r?D#}rF!}muh5rq$S>Le^u%J5s zvahG3KuJ4UFr{oHy24%P%{d`UjguFJar1g|Bqli_m75JMx>N8WL&+(j4hGY3ZM4bO zXti>%$}4V$Bpm7!&1sNzHlbZGJE|CXaXBq1fPS`AfuI;$TXR-i4sef~6k5|fJSIy= ziv4CJ$&vxOQUITC(nB8j3{yy%TqV^M?WuK%BP4Z}N^t=b<`Nrwb!LN&<|;*<(v2Lw d5Lmu15AVo26X?!%rGg2@Tmm)Q_y+(0|NrBhEyDl+ diff --git a/cpld/db/RAM2E.db_info b/cpld/db/RAM2E.db_info index 7a11d7e..5367950 100755 --- a/cpld/db/RAM2E.db_info +++ b/cpld/db/RAM2E.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Wed Sep 16 19:33:27 2020 +Creation_Time = Wed Sep 16 19:59:46 2020 diff --git a/cpld/db/RAM2E.fit.qmsg b/cpld/db/RAM2E.fit.qmsg index 345d23c..c9e12d8 100755 --- a/cpld/db/RAM2E.fit.qmsg +++ b/cpld/db/RAM2E.fit.qmsg @@ -1,39 +1,38 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1600299287061 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1600299287063 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600299287091 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600299287091 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1600299287121 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1600299287126 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C5 " "Device 5M80ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100I5 " "Device 5M80ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C5 " "Device 5M160ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100I5 " "Device 5M160ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M240ZT100I5 " "Device 5M240ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C5 " "Device 5M570ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100I5 " "Device 5M570ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600299287188 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1600299287188 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1600299287248 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600299287250 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600299287250 "|RAM2E|ARCLK"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1600299287251 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600299287252 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600299287252 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600299287252 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1600299287252 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600299287254 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600299287254 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600299287256 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1600299287261 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600299287261 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1600299287262 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1600299287275 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1600299287275 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1600299287290 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1600299287290 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1600299287290 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1600299287290 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299287310 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1600299287380 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299287510 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1600299287520 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1600299287742 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299287742 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1600299287762 ""} -{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "2e+01 ns 1.2% " "2e+01 ns of routing delay (approximately 1.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1600299287883 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1600299287903 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1600299287903 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299288022 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.13 " "Total time spent on timing analysis during the Fitter is 0.13 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1600299288022 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600299288022 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1600299288047 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1600299288095 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4767 " "Peak virtual memory: 4767 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299288155 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:48 2020 " "Processing ended: Wed Sep 16 19:34:48 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299288155 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299288155 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299288155 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1600299288155 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1600301679744 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1600301679744 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600301679774 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600301679774 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1600301679804 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1600301679814 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C5 " "Device 5M80ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100I5 " "Device 5M80ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C5 " "Device 5M160ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100I5 " "Device 5M160ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M240ZT100I5 " "Device 5M240ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C5 " "Device 5M570ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100I5 " "Device 5M570ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1600301679864 ""} +{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1600301679924 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600301679934 "|RAM2E|DRCLK"} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600301679934 "|RAM2E|ARCLK"} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1600301679934 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301679934 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301679934 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301679934 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1600301679934 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600301679934 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600301679934 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600301679934 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1600301679944 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600301679944 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1600301679944 ""} +{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1600301679954 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1600301679954 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1600301679974 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1600301679974 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1600301679974 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1600301679974 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301679994 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1600301680064 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680194 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1600301680194 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1600301680404 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680404 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1600301680424 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1600301680564 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1600301680564 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680682 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.13 " "Total time spent on timing analysis during the Fitter is 0.13 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1600301680690 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680690 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1600301680712 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1600301680752 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4767 " "Peak virtual memory: 4767 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301680812 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:40 2020 " "Processing ended: Wed Sep 16 20:14:40 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301680812 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301680812 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301680812 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1600301680812 ""} diff --git a/cpld/db/RAM2E.hier_info b/cpld/db/RAM2E.hier_info index 1a11018..cba7dd3 100755 --- a/cpld/db/RAM2E.hier_info +++ b/cpld/db/RAM2E.hier_info @@ -19,14 +19,6 @@ C14M => RWBank[5].CLK C14M => RWBank[6].CLK C14M => RWBank[7].CLK C14M => RWSel.CLK -C14M => Areg[0].CLK -C14M => Areg[1].CLK -C14M => Areg[2].CLK -C14M => Areg[3].CLK -C14M => Areg[4].CLK -C14M => Areg[5].CLK -C14M => Areg[6].CLK -C14M => Areg[7].CLK C14M => Ready.CLK C14M => DOEEN.CLK C14M => DQMH~reg0.CLK @@ -133,42 +125,42 @@ Ain[0] => RA.DATAB Ain[0] => RA.DATAB Ain[0] => RA.DATAB Ain[0] => RA.DATAB -Ain[0] => Areg.DATAB +Ain[0] => RA.DATAB +Ain[1] => RA.DATAB Ain[1] => RA.DATAB Ain[1] => RA.DATAB Ain[1] => RA.DATAB Ain[1] => RA.DATAB -Ain[1] => Areg.DATAB Ain[2] => RA.DATAB Ain[2] => RA.DATAB Ain[2] => RA.DATAB Ain[2] => RA.DATAB -Ain[2] => Areg.DATAB +Ain[2] => RA.DATAB +Ain[3] => RA.DATAB Ain[3] => RA.DATAB Ain[3] => RA.DATAB Ain[3] => RA.DATAB Ain[3] => RA.DATAB -Ain[3] => Areg.DATAB Ain[4] => RA.DATAB Ain[4] => RA.DATAB Ain[4] => RA.DATAB Ain[4] => RA.DATAB -Ain[4] => Areg.DATAB +Ain[4] => RA.DATAB +Ain[5] => RA.DATAB Ain[5] => RA.DATAB Ain[5] => RA.DATAB Ain[5] => RA.DATAB Ain[5] => RA.DATAB -Ain[5] => Areg.DATAB Ain[6] => RA.DATAB Ain[6] => RA.DATAB Ain[6] => RA.DATAB Ain[6] => RA.DATAB -Ain[6] => Areg.DATAB +Ain[6] => RA.DATAB +Ain[7] => RA.DATAB Ain[7] => RA.DATAB Ain[7] => RA.DATAB Ain[7] => RA.DATAB Ain[7] => RA.DATAB -Ain[7] => Areg.DATAB Din[0] => RWBank.IN1 Din[0] => RD[0].DATAIN Din[0] => RWMask.DATAB diff --git a/cpld/db/RAM2E.hif b/cpld/db/RAM2E.hif index f1dab0e60e1bb6d19da982439e31bf9323fb34d4..584c4e4928afbdbc472d38038738ebb216b61cbe 100755 GIT binary patch literal 578 zcmV-I0=@mL4*>uG0001Zob6J}j@mF3-COEESei|{Amf;j$Ltsym{gEV6QE1W*f)dK zJf=xNLc{!foj~UWYP)Dxtr9mIp#aC?NTxZ$3_sZUrw> zP8}B*ibgd%v>4CyN8OYazXK#!`*=8`zVY7tV2C0;HC*7Vyi z`=e+Q2J_%B^P>(GyarZ7QEPy<(AFt8l>nBi4A3UcjV*Glfpmp!ny&4ECoPAI6(Ix5 zPFKQ}9zm{7Q$+(+!L#S8dLS0G#fDQh9){6k&ZfaEn0HVgTJn=<5s!S9+zjI&oV@Uy zHhZu~C-z_xMzPP9ejH4r$y3Eq6eja{F`6gcUw^ne^Dxw{MA7ZBp8+A{DIko(vq5w~ zI=t2jG(}?FlJwA0bEOwkMcs7noQtZ|cz)YJApmtG%2!k}o;4a*R+I%Wu&T4v(zn#o z|J43AyN4*>uG0001Zob8m~Z`v>r$KNgOf3Vb-?Ez{#zo2iF1)2$xE&=vv8T-;& z%a1MzNT~FGpA%SH6}0VPd)ky(cD{F)JKx9h=Z&bEO^t&9yLRtdH)sV_t;+&at55nA zUvG4#FtZpY?j>cHj8F?FP;IpYd@JNvOmXrFe}Ogjm2ONVvMI7X$3xL%@-o8iHLJ;r zx6=%^kTFD#&niyl+<2^R4l_Z$9!?rl9G>ZQC90iC*4)>)*J~|)q0Z`uh}F%<+0m@w zb;zmV0z=X0W{2X@ocZRnZpupB0aELIC-vWx4}Dprr@{r!$~!H2`OxkfKcdlc5pmUgK{$P7 zIW6~~M<;qP4WroSD?biq(eydvI0}=mitz0nlHZi~SksS7$UX%lyHti=UsQ O)+>Vs(7W$|4i6NhMIXTc diff --git a/cpld/db/RAM2E.map.cdb b/cpld/db/RAM2E.map.cdb index e7a4d77ca1420b0bf2abdde938a14dba8223fc77..78c1b513b77baabffbc4bdca168a3544d1d8aa91 100755 GIT binary patch literal 17226 zcmeJF1ykGK^F9t!p_I}>ffi_y&=3j~E$$R|Z;@if-8Dd<1&TXGQmjCM;_i^(4#C~s zg9ZsCf8L+({Uq+0-()6dCfV0HyL-;=Uf1SKh;eXmN(dg@zq{VQB<@Eoa~D@@M+e%E zTx=X{A894rt?kTcIk`A#Ie6JWal4L^y$d#ElItNtoG4k!D$ zO4pyZ&IfAhU?anL@_ef&XWWl3#Or=HQ}BcbGp309I@8i-cXvL(%^+1!ql#kyYQKMt zD;}r5bBXeVb}+Y`Ajr0u1CrYsfqb~u8eAPy?&2__{8PY&H`M(YFsxPb9W zxQFV3_YI_P>HSSDj51)*-fM@Mf2_5bNwMY44rHSK(iAnfukLermvV>V!&Oz^*Q+_9 z{#CR6HRzui4JVNRVK*gSQUvGrx^srB{;)H+nWsl@SXx=drL?yJxE+4dBRwp=?wa9h zFzhZc&C_G>|GxWwe))fA;{V^{;kCPKSM=QV_1fB6@Qk17qdl56WIh@Bc4B6@)x18n z7^x@U2CHkV={J%`5uP3~saC>w+78Hp*GY65OJC?6Uvoup+i}-l!@j3tTrhMRWSYjO3Ra~;($M5A-RzH3n%r4$$Qa4E4YOy=yC zE$pO7Ki9j}tc#j%Xh0WkGZ1do_lEZKbsZx)R^k;R1tx>xe(C!^K5#X8$J9K$XN0Q+h^W2JN3&7D$~xEi)0 z%WgJzi~6srDK0Lm5qXMvrF@m0dr@g2^-5|8<)VBZ%dkB&%V1X-5J|8l)@l=vsfb)z zY=KsHEtOJgpDv3t@q}}&jyJSS<87%+i6M83L!ea+lr!I>`RsR%mZbGXHWOFcn~cRf z+vvO|OYU^FhA)26$#-|&{Wn%EmsI<*UyL`Cc~W36&ReQy6ENN)HP&2NQ;oJKHIsf> zTlB8-PC}VEaVG)nNRG>R`wR2kvyaCOW=}XDT~a;i#h0ZyuZCn)Zv(Ka1boocC7A_P z>ZEL8YQO6g)QxAy>8Ib7ZsL0Q`8HDw_y>Inj;^kv_61-|`~B(%AfJrfL$Pu5bmUi` z-`YG(JCfn<5So0vByRugx*fDY&;Cm*!>uML(#ofsb!9{7SA+QllE#IlEN+g!|E#6jt=FT1EsjsjLki-H zoIU-+R6Ni(!eWu=**IBH^GGW{5~nErV%0&6*lW{LTto^dorhRfxT!J|x(UtyqB+ng z>jxcvTHY9Kj~0!5>NY?6eGw`yxeBLIuc)T`e8t zfElYM6_!>w=@%n2++AXl>q+4ueR9;ER!*DcW0H?Ynw}A-D7ABs&%^YV%gqGnfNFc} zpDZ5R*OI*>A($hD;zIHprJ>s%Ed4WI+HE$>fEpk(ASdy-=a-`s$&RPT4FS9gkbj>` zTwQZOiofC>&o;*A_FS8R^O~mlP2OC-?JW{A#1aN`J|mWS_^c`Pm|s;2X7l&yS0mtD z@DqldlE}BjX(x=pMw+9vcp5v7s@oR$NQlBB)Y+lYf;;P8Y$>)mIMh_(ZIWux=98j* zDH-Cp;D0LzCEr8mZDLNQ7=WeAHJp^d+U9DL>?1i5;7(@ONJ{Y1v#|2mp7PSra;DnV zF(_lmmao;Xpy+*#EYqvdWKtJ9K`&1+SbFRY-e^~C(c36r~@=|zc!^0OJ ziMN`|igKF7LWob^yc zh#UBQ_;+ZQ9AYp}W-WFYSxZp`j&Xk%jjz zizX%Boi#0}{hwJTR#M+G;XyY8R@Oc}c*%&PWaSf^H;#)ASydj2Hy3e6R3l%F{SmNLae#7Pvs)DX2(ZQJmcvj;MqIv!b~U zM&w^zO-4OFd!{@9%A(mK#&@SGZ2kHaAiHdcAdrdgDM#i{i1H~dS|HW( z8)Vuq_AJJC*<*Bz5DZr91S%_3i{3FUe`w~qPsRj^FjmQFd>ben*DpLvZog}5*+`8XoIO&T4}RapOT`%q+9*Sm>l__Z@Up;y1PUfX z{}Bvi3gBucx(oj z4Kj*-8d;E_uV)wg&bhtckrn^aUk0QUQ?6;t%0pshXbCB(U1`HVQ6HwB&>Ari?2cx( z=WiT92{F@xip@>3*9ciX_emM)a-t((M09OOF0q{79|x{?DwMvW!ak|{?61_LA(E%7 zOHFbxk!_BH|D*?>S@4Is)D`dknSF3hjEB&G)I)4bxJi$$J%#I%%|5ayL^=Tcv)Vkq zd`zzX=yyM^+|11bdb|=)wSY=0!=D$DVj#8pp=ie%PuDRU(8TuhI{BFl;EN^x1kW

    {2@ZD}8Vo$skI*8j zL5^iY!ecrE8==ZAM24{Igb{&~V z%Tt21cE9af3pU?dDev$h@NPMFjnRKrso`4~>(rL>6R((5Ve8PuuA5@R604L9e^hO} z!?+HeF(=EI>!9Axbw|0O4{vFAN?rSIp%s4`?`L^?#5z1>|6TDt+080P#Ji6dzsLJ6 za%CP4?H998KB-&ygZybvhQY-0Qr%S(LG;LO7n6dThWAB1Y_fbd35sW@sj0rs%w~Wa=l5G9C+pcP>3i4Hn#d|--pYUwGucYQT!Q9?YUf>VE zn|}$RB>w4&(R!XBS=WWM8f0&^2?VvcXDkIO>JRk(uTE5iwTikf?Tu|c0Niy1{J>bb zDx*cDj1De5T%mR)#3dCVs0VR0rEr(;`MBq6mMVGZCz#<$C ziG~vieTa3^>=Ji$C?Bb7jOSk~*_`MrD^!2yqJFW#9rhzES8HDME}E&Q67neo)t zJ|Z-c_yn~og>xb0ls8n)26USt(T`Ur-p(){PG|cY-dEkI5)`NA*^F6?29ObNy^+0; zdaM@cih`=Uq|6D@NlgF!4+n$Rez_%xOWiK9E6@mr9fKyQ%lvzI`wK}wMr57bhIP0BlZ0h^jY==I_N2uwhsI%Klr)TJ+2^(Pe&m%LZ1#-OFsf;Q>pj7@i6|;@X;^!pn59(*d=gilM<*WupO60*BoXkM3ib1` z{6`WyxEBhv;64ZD!2m7Gg~(8 zZeYJ0BHh8;kz))1+dU#@c-5Z(HPz;xI17jg7Ah-JveYTwykkym1X~OG8U2}hb7yXnE z#~MWCGZ8UCze#GZPc$v?+}*{MKA^whdxF+c?dr}Sh(H7zm8q248AR@lOhCFJ2o;Od zxxz305!iTtl&^+QP#ozCf6VmAZhWnq6s|C^J7Z8Wt+@9?j_%Nt;8CsrA|gvdLSniK zAMn5rF4%Yznf-`_aS=a0pY3%iABkc1_z)t3k)JPu;fpJQT^c>N~7R<%R(}!i#B|lAX<#5s}N%mW1*9OSTpnEY3iper9)i% z3yD;E`I}byH}sf?m%IzGN6W!nBzw`*@Kn}2DW{>YZS$3sAAlCBL1JAa(_GyA3A=U< zBUy1_Y8I$_^eAyBw4F32#_b~YSt-%k!By|Kcd*Qz^yo*|$6LDG{MY~K>JC&;yimfU3U_#G}3r-bCrJ&v-n7Y`HwypsRSI* zm=lxb-%FsPJr2tR@tLE7ZbM4lZhkTJw>y=`|9<~P&jj@L{r8OYCSQ;liQAJiC)!Bz zs~vwW{BDvpm*9szB{xpx7mv4a7zfXSqTiU_eJ}}1|E2XsE|UG|YUr^S0DmAX_)APs zqpLb-!da%h;AnKgm2W0TVC-!C^@NV}ZbO7`K5cSh>xXm8iUUkMD8}l(nyKXF$#*ZBe+xSg=)N5oLMI5 z4KgoMF#Pd=9f~7me2mxfbz+<1>o$#QKImQ=ZPHj%w zG-S}H(kuMhkyOOlZ%Hr_FU4&tSO1SUF?S<{-g}o)z_Tad3B12t-OH_OK(FjZU+3J@ z;%%}SJ-N8mUpxdFLqc`jN%rvB z!-E8)xgLAm7eX=vvVMN6_sz9(Ix5@?oAPp=v-J3gmi&09uigz|a{LOb5(OrvHv%dk zkfcJ5$k}6#Ck$!(#;}FeZ|e_88Zlq-ydk0~cTVMQF?kO_6ux#O95E(lpO+P?8tmx65*LuEq??sy9?BKX#*`wG8AYmCz>1a8XS4UR1 zXUbExkMX{*C!-zz2skvDQ{WF!5n6QG#2*vS2I-D^z{c>-BHacmdee}PYoxvAkR?&q zPtjDi*l)ej;J7)|7qJwpCMgOU1?ju$GsXn5t1dBGufHgG?(b7U`yGQLkAUd5Zli?; ze*ZCc@@;=*@^_H*`N){U0JhJcS$)aI4Vu~D#tT(Re`59Yvy1BbI(c6go+H@%k(08k zs1_x|PGNGksLzI1q?d+)6_UXceK z6hX-{K1G|CO$U`FO1j1rlvk7YRr~vzrqv=Az`}cUSUjh z*Y$PYAZUqNtsVl%{NHCUp_DuapmY{#a*S_#nxpc?lxs5$TOs7L@pBvF!PhDuf+ zmYme?e;A!x#LWv>_|^A%lt4bG{dc3eAuAL<_p2e>)h*D>VfGg^_}6T1qZ3-h^vtEt z*Ge-FyUv+dZrhCSH^<)A6 zowpR+gM}}(LoS+XYQk7k>;6s!|+&E8u-}@aaaZh^3EO&Y}E$ zqfp#JaKqTUgwz^ixd(W_h7-#7T)Cu=JZGSBG8)=J3wJsuA6pZnpQ9D_H5!A3 zTlVtjtgLTqxILMgs<|Z~L9-x5b7)sO$cEAF zjT+ng(d1I2zfSXhBQSMvKj`XVruTETgE6UEuWEmvplVt~a`R#Q;DmBl8FP#GyYsIK zS?_BbQVUfuwF zQHJ7w0j3WkTsNv|H3tHIex11`{dnt%`8{JVr-k?#hXAPO6PvjF@>8-i& z0YR4%Ex4TegasR$>!9UvrYvj1a0Cy{5;U<$>6#urQZ-Zs23zm+jkJXVZ;wr zAyjGzP|DyaEN~h+sHJ^_OtRPDn~YYD5nVA8j49PGe010-_bL;>&3j3DM$Yhe+z*>O z7Y8nnB~qekNP*WD+yQ67S`yuy?)oRy9x-ELDcpTl3`wF%W{qdVWsWbjCVv`Ir*I&3 zZgw>&IF0Wd69$jYFdBWgY7&AmSKG;oU1UOaws2#n=Z8`Yyn)sJ`h$Sj;kbl^=M`jT zdvN_>xZTTB{&(XEilmnL~%()K5rZ|k!z|c@{{Z(!=MmWZ)&@YSObg5cVhHdUi#H{AW@JTt#4!T zMsfaWfxnIr&aP{yDF&+eb8R%gYYfSKt^bUr1}@u6n(9f)+H2|t@z*15AbXgHd+5Ix zEB|tmP&vu)-Nxw9wZ=f=zM$t){+v@Ad37c)1=BbI^^iqCtfl|xPm9-PB$F>jAgIK zzc#eS0*0P~)$WhY52f0q6vxrh&-`V%yCyDPHRGpJ2a98+!z8=D*o`r|(F5d_KJsf_ znL(_cjgNCeF4+cRUbk?_I<8MxU^s2=+63JZGJJf&*LLo>8L9JO%%a zwIq}f)#xoFlqe?Ww4$%Ic^`sJ>QvY0^VMAau^}KoQRmKz~BA4^MzZ8gv&b2;W zDEu1ofuLCj{}>l+ZC><8#9i}xxlBu>>rt8#U-T`JFlqedw%%6wZ<*@=53Tgoi8@=c zHzp;t>{H{+5cd0ULp^FZfBknW$i7$XtG5|mWnQ`w&f@2;v9uBiOrhO`7JC<8!cSY0 zjMjg+vI28n^S=Z6TS|Ft)--mVR><8&60%ls7ohm z=~Q=u991D#<(J$=PzE5dUY?G)zg}~>!X2JG4TS=wzZAC~{?1iB!&;|pRPr1xxzS94ZzGa#bPCcBf+a-g|4?EW7t1bWbj$ z-^?d#%~^D38v3L$`HQ7~^!Mj8MLVQbKpfL6AbdkJusW7;QJ3eYLT};C^O9IX{JOE* zb~G?@*>)VFFnuM>j&x8<(49LSuuU*11_q25TH0d9E6m}GveMTAC1oy-`fGG)ed{f6 z&t>>;d>g>d4l0PEa{<hg`KW`-3cpLeAZ&MSfHC60a$`et0k*PMT!BocUCBX{T}cHq7p^q^`9e z*tN1OehM}$y+jW`UeIi)#i2B?G|aqFHgrp`_+q8U5P8bgs7&S_g#K|L^i|*%3vvLa zC3pF`r3)Ck{2B=7qrZ!5_Ai|!zb+y-es)ehZn})S=burI+;4L>*n|*bpNR2JDX62D zcG;)hx*2+;FfbJgC!*0OY^R<^7yf*G56Sz`s>Um`VyyOOrs%(pT4ztE?7>8Zh~;So zWK+#7*Ie(iEIpb6X@F^`zS9C4P8d=I3$}xwqt%7?6HCA?p}bfc`xPKKvv@b^mf8lO z`gZiPJ_Ag#e#!L~E_;3)!eRvU7l3c15M5pkT;El2pn}~tkUw|~ffyL0t||#?T*N!f z)G2eX4Qw@~u*b}rZusg|X2jsZY0zeS52b<=EHjLPqC-<%g_n4aOL z<096>niI;N+@Ah6y#8XCa8UobtPXB) z4Nk($L1Jd9uhEu$MiC)w{{E%Hn2>flu6C$sQPZu6?&#<0K|u-@6===Qj@M z6Vk5q7_qpVBqpSU?(Z$$qjBQlbKS*Fb|ffsjxGi*e&+=CLj*2s2w^Xo`De2p@vrJFg(xBa@;s_k#Hwddo#{r;pdZP!V%{?OFq{b+U8*ey~O@q{k4mL7XpK^xgJvIAUu1 zNsJ~|xBYP375>{#`@3>_^Php_(CtU`A$1k3nKFLO3Ml(zHz42Ib$a{pt=0bdk}(LG z5Ehe}w+$dxImEr0$`fq=aYBFU={PIn0Asx-=|fwLS#r|($8}V(q7zL(h~d<}2&dll zx=6@&$A-?mr=~H;iz_sn@ltUK(!0TPZPT7hkY#}=_&=~&5z0E-6*H6{7D0h zAu}}Q=p^})CGH~o+|xY!%+}enc*z$9ca<)NU88u@eC(`NevS#bQNOU!8Z8HZDxaGV|r&bt}a3tLv^H&X|KlK}9U z1fz2ncg%C+13`+uqH1NaoHKF128GlXa+1C#(Dh0GE4(;3GLfbFXoi#>5!+1q8n5YM z<={4zAr3O-=)S>j+vG^>Ut3Dv*Ti{Ugn0;!gAW?)@acn)p1H|9h>pA~PBQ+f#6DL# z^nDizL9KZtgMn!XvX4$BXPzXxb2TCNPPMW8b^#FwXc1$TY1BJ=3W`+>?TIl)ihsXO z@YK_1*C)gbb?qZPhQ7`4g3-+)76=#PAWo-(U5Q@IjZT2qNAq)pdu{JJl6#G|(uJ4j zN@Z!bFVXGL!O}Bk06~W|1_Lvz$g|qFBPP^kAV9By{udi`{sI882@8zOndbSaPc_lS z4sOrghiPIrS=0}@OAmv(Ryis0Vv3{au08mRck_v-qTy##LGk{=2u-o+y zh@CJ(NR0<2h5CU`bGmUYqAyiur`VD-8bNgiMNIJ-(hugo1?(czgM_h(8@`pYWrJ*I z8`kZskLW97ZhLNLGni%f1^g2ZkUp?4)~S9n$QBji$&BIk>{@SVBw#A%Qq(GXM0 zOqli-ZCwpnbu-+WvB@0~U_a0wA@AB9peKNKD{l1QhmkjlQAUt=H(as`!m*nCTkDYm z$8iux#k+3LpfkbQ7_Wl$PM>`*E=X|9E$y_?s&Djg*`|5Iw1?lM_LhMU1BqP_6F?8EBXniS%z3gMY3Jd<<=sV4>H>p z)7~89-p__kQ9X6&#!&O0mrg$6L#Wi(E|)2&3IGHp`3DH%;FS0Sz9mGmOLxV*0>n4Q zs71E4sWnA?ZlbE|vp}B>U8;fnN-1F@)^t0>ZY;xtO`o`pV$bshBwTMMid$95MsNL@ zKiDzMHgxvQCfpN0uvnWwRbyungVEk_F8+!dGZ=44Px1B5|5^ zD;=;0Rc&7;{;dl8!sAif*CCqO(I$-|K2sg5H3|`?HasX%_LuM`A+Yyu%SshUze_yk zrAjH2+r~~>oWXlVhq1}k?u&>&+9!I)zFUlwH%t8l^#(O33wz%QsZa**pI>s-63&Vjwx*^TK^v?A8{6*u75ZHRqI>tBVFJFJY zcD`S98;mjYh+g-$rSS5yS@o<2gs1z8-=Zin8`W~rB5AB})Jg-qo3KAEhv;2Y@tQYF zfl2(!T<D#jF!yoe>8h4M*-J^+H_(0hW6T`*67nHF#! zWmHN4Sx-A_yNGv>NUx4j9=(e7$DKYEAuSy;I-tW6BM^%9yka9l?1N3!x>Rmmcd`60 z^KTA?X+@h3^Jhj*Y0IYR5c^ZDj^(@D1h~*uXvSIh^)ak^HUvP^;kUtl znMKatvCNuqP+mUwv(Gt2KF9U;?0^s z8+}>l{^qOY8YqDHXrTRo7CMM*tzDhaGSr45-y%k@HU+she1%mi{r;X+$Re)mX=ly- zs!#G^?KdUSYcUP4!3(!m2_f(#qvzA){&{@iaFN_I@6A5s`5=b?%6HOF*bA2DD!T=M7LzgvzL`;%(Fa0`{N0;JBK#Qxw>>z9Bb$oz;B{XrP+GCu&}Lr$ zHeU$}3_N~lK4%*q@)Yf2ye#~w>{?+lPkZQR6hTMrYB^HuFKG+AvUd)ev7BK=vAw2G zlKt#}+@|XCHE`i2_**^J_s@oIM%ckPnBAYjGsDI=`ka$}Np+t5KI! zt-G2r@=jGWY2Ow=Jd6?gx`EdiMY?yNWn}wBF|L^H?-LWxZDNGN==uc*oG~ zWK<{9w0H(y$`UVlrbm!>UNfQD%9n$ErmyQus_^ayen9Wx7=g-1-5JM!t+joU!{eKe zKANA9M8zPV22!Q=$egqz51}2cbXUABHf&`@PFLqN3x|7HzSUUiu#GP*;|NB>Qj(rd zqiLU;cnzw!1J1BG_&t)G_X^zR%1y9sT&u#Nyf*etX-?d`lN+<$N5m~DCK&MIMV`|D z!DW*uSrI>{rT9#(WYJWk0=6l+DI;5S1QYDFwh-H3zNI4Jy6-%Qy&o?vA>m0RpVQ8i zn9qm(P%$UWee2>;pnYdsiM+!vqE?3w3(EM@?^P`l5$V^;=Plj`bO1Y6aGiUv5~ZBu@A|?W zUHUTG#~iz?T~VR+tmbvKZi^P$4mNUsJNHVpPYAS{gKjRFK={9^!TN@)+&2=|k<=pF z4Ey;!CvMFXiIFsbIykcVkSvql;#o7ZEPGWOF9&8K?% zHw5dJ%&Vme_lPC74$eU^H<(%LOZytP`H*!%Mnu?OslMu`sjrD|s^JFpuN&3fwnf!D zS-GX+H6lMSfUu#`lrVbM_XqjW8(nM_x`Yu^tK!=%))%oBV|!f^f1MN*;dSkK;i_ut zJ%5l;^0O}aj zXE;r&VNSiL^lQ@1Nys$kWn5U4<@(PHoepW|Pc$uZ{8uvfMu$uymQ{GcaRA{=@!=v( z>eZF?#77(N29hhhW_3|5dZ>%80cg7cZ!3|{lBXRJyS^%R8V8&~it1T2*PiWZxlB#~ zs5hr&VX%CyF%0hoAeZVJ=366>le(|X!tAqeqUhG3*d}lFcIoD{TnwN$2CiCf{aXbcd#eEjVuK>~Yj!jZCBBX5bo{cwP zK*}}sY2(&m2>xXOAy}}vdE5BKehxl)I$!sZz+A>cj&=`uCh^hAJ)f^vrZ`+W-cLdKbEt)|3oo9IWF8&F-o~sIaS4yaSI(OcW za!HR}?m8I%(E-cEKa;fIAo7hqXcE2%%#!k6-F$0ra7nOZp5dD4wB_gqznO3Uwmv2A z|2KL2OYeLxo@}#zK?wpD0|KL#djPa&ygo7_xNH&G2aymdi{R|VRudV5m>uyd*tW2Q?jCKxi<#ld z{He>b;1|UQX}@^Edn{NoL<>FQJMr*R=1zN-D??wSQca5^*|j27ESBcaTj>4TomGAN z?G5Y#oIU8{em5;{0>Hu=C%; zdc2-BYRA=r?BB@wUwFsfIkN!#`K)78>H$r&)ni zjlN+={$i})Vy;`|O4kxXlQY~@L)O8lxmvk;#^q(beG7dtyG8Z&!VAb-v(x5UM{0ck zxVnW)NaO9MVOJ@g0p=8jdpYSue2tdAaCJ~Ne1%7%QL4x~9kbK$;PR%pzms5p!t?1oy($Fvvar(?;IMPmqPw1)QtA9A zYB?WYasjT#)0lH+;h{@ik~81<#Pw78+P{SZew(Xx#|!5r7D6D#>j?E>72_g<`Y6`C zxEpm~8r^YK&J$GXC7@LQ)6D18ljMJLUnDs-O;V?ChA34 zLko6HkAn>IL6=jP66ZX{W_!+lsUmtskLsZ0h<+HW`LcR^k2i;@aqfDQ`?u3GHMAWK zX}S@Hkk54oo)HHr#^V@1NhC>M4k74B@zEHgjH*KvVr|3)~}5HZs6fsN)~Mzm9pWRZ(?O0Hhq*W>McP{f&sNxSFl<)h8) z4!4ZB`S+?rxX2jloXcm8OPbQ`V4L)f!;B5-vx6#gN@QME!WbEz4I5VL!N>Y^R9nq# zh@nZwMb;rH+i9|MMTL{tVQk0}Lms9St$0qut~)O%2K0vlfcvJ8ykMDTYs|U#kTQ9H zDf!^n>^uQ)&$qg)9ZyM}CG5qB(58NsOeKSYkucT!T!P%<6kZr&5m& z;uzlK+Iu*L4fYassXJ>R&|x!(uL&g|Y0HL0Z@;mQA{g4H2C#TII9ROLgP_mKR;21L zGwjE|+G6#_0TEBb6{21yO0ZVc%Nz2RIe3gOCf5px1flXmXgc+?X`gC{KaN=|q3sM@ z=?fHjeNHQVLJJh}`XUud`)YJ;5R?jd>txJ{9P&LILin&Ja6DAUsV`Vcm%!~&Cu8yP z;NA3Y*Zyd@ZFbszEe8>hmBTdB26>-!7D~=PRRF%34yzutnP^uxG>kB(7w)Nc?;n&C zDkIO;8RJZ-VR}5LM#vdaQwvi_nqnEd1}Nf5%|9?9l924=46lSIKZvsPkJoxZ`rGHw?BQkCXr`I9@<^e! z1lNxT$<~ub84QYI&%UUo*S-?feKSM&sJ^l3be&i?0Q@)iScyGu81EhU)a4Up^7T z+~$*IZr;r$H;wlluI}#;Qr{8v?t$Poi^T}xrz^v&fFj5A9yX0|;$L6Gao7!V0MA12 zyiG5sY^9#_WuGSH9|o1%uXAJ$&vj3VoOjHA=p~7cRwO{WvgK&jT6fvtuW4M{#VDyx zXG-%m_l&qK`$7dNipQMBqt13e^>A4Fe*GHS(neit$ezKLLANOr|(Wd6jRmye=Mhw>?*JA}6KG4ByzF4H4%5X{dDOkIE}Keh=^;5p8JT zFbzOL=ZR8m-!^HdT9%7@>A+Z;GhasJ(Y0wYN~SMy%K) zA_yV;^7_92ir;m8p1H1^TzQ^zp69;LJsyucCsYIk1XU03;NQLRFGYN7Xz%6i=HAwimC2MMQ-~UxDhbvIojH@eXzE5W)or4(_k~Qw_#JU zbM(QFRAnK=PeVZP_rKZj*TZ}NYk?~NYXj~aoezx=4%I z!rX6WDFO|lxmN49;-YSXH1Ws>|J{%DdJ@J zcny48(=iKg>TvcwYjY@&lb9<YY7Q8C?0s0dX5m%g9fmjQWMPmF9nGPp0J3~h9>(x?SAD*5Vcu03^ZCNJ``jE!u} zzxpnF8vWnB|I^F=*~I_P{b4d-S<%<%>9rRC0FXV2n5{#ypM%=K;NKVefXj2I3wKXU zT;|$6zd2-CFpbZBLOhP9e}>*|vd)fe zP4F%B%1dde2yFK}`-#9Ko>x zUG4Mb@0ZQ1@wbd>G;5kaZT?O4H`lBg`(P^V{)~)1`VgKWnh_1F>C0ujX>LXZenil1 zsNBx8N|esI(#^{|QAa+0180M`#C7XCy8ACX5WB z*E8mH76EFIoDezU&Z6!&)~gaRcWk=#&O_HhByz`n!U6Di^d$<2W~W+8+9@h`Q@s_S zyD`h#v`-R^v>xA`Ak=?Y!~haZ&H9jv6CV38Wtg^r`-3SdB<(Ssf!5!b-S5i<_Y9}L z56P+AyFsMoW?Bl^$l*Rf`1*_!=f1@{%2QGyi~3N~KVH3?6i?TC8xFPOeT72GI(sVXeNutag-bQKluaNp;SG3qF=w&h1BBm+m~r6#7*5O*cRWjly%-@(Hzwen+-^x9;fR$EyqG!nF&z`=as5Kj&JYex6G9fTV!Tx zgTKFF(pjjaig~&{(yfx#-jwkau36>N>D@l9RLmtKMbabgtm!h6=6xFCR5;AA=CgI@ zs~BFDjfz!NW?)Qvp<|=`<0pQt*(;|E!avtHhFUe55k?sYFU=q4=a{Gwkh?9LXtDR1 zseR6WX`=NdE~bAoOK(c>x8HoHiH^AV)Lel7uwka*dz~8{(EXR+nqZW7Uh>$mjO<6{ zGZGq`JpWh^Ql4l@$zi{{lc`Ab4CemXYUWvNtb5T1LBHqlwBHR^WWGi^^n;b37rnKe zz89k0Emd-MeH~3dNw~SbHFnJI^qQZD4YD9f3RoyiASFw{ih{A-)5onWM~^qRIrzsb7z6`fCg89&l8l@;h zYM+m4+-rIkesucB(ynGVsjNt?M;=>6`1X3=1pDsC`mLPbgc4DO(l$oL-cB9YuHVb( zBNj*?5Rm0?$yln)GODY;o?(_*U)>hpQ|u*KksIZd?73UQuxJ#hti=$MYCviIJc*i& z!u_jj%Ubk9qo4gXrzr(gR@|cAL!35&EQ}C)>?_P5#pf44S+3BrR1dWsu>+iR@D(H# z8~!P|4Of;iR0=CRyxthnmptK9qXPQKKq5)>0}ln&h+DF%vHnp-6$(Ay@0oS4K8+^^ zj{k3?SWul-LM*74_Ni1>o03;lP1@1V|5L046}_6k$YPC`y<>0Fh_zCW;Kl(N)f@8n zR(UaMf-yqn-x1DEF~+<}6RY{BdHCH0e|>^=WO$gE{!vUfcxk%GG6q0Y0BlZYzx!D$ z^4=TiU`IoV6Kv-GN#4+ zw~SfMUeqM_Amtq&xbjC&4euFL>|xJ0rx2O-Hk}p*jjp$&eYo#`QfRsoLn zRbRRHm*{kMn_txnYT_edJjVSP2I@_?bEBsp&{%UuOm$^L?$}xLZr5MkXd)}(0uoZ5 zIQA~G_o4=s@exHcI?a%-x8FpV2OgHqbBZd4PuT5u*f?(+BDJKKu;o2FDEhr|i3j}50d!uP(%PCQE2+fpI2shK;c z`?_()eYZl;KK2FmmU^!OiODv$9ew*fRkX_WoIL>928@6AG)Tc~o#3okmRG7trPt4C zK^g6ZZW^o*kCkV*r(Oo((|yLq^%0W)+j)b`ds=6V3iJwb(>?wx&pmkFh%x(=j`Z-t zL%<|B`2-BM9{EOLY+t5AG~*de;Kab0{h-Um?FV9MjDb$zDN9eZ3T&ZJR2!h^jK<5IVxAmlFZ)4-7lXDG-eL2^_3fMe0?Y+SKsC4%R*({{34)0Et^k3 z57cw1K`Tth`h*dBD@fe`(rxO!4ZDhHO>KSI81zvbg=Hd9Q*3r`48diaP0v5?Zxp_Q zCQd}#>x6t_@Zqh|>k75*Q>_j#Ub$cP-p$etdvNoj6p#WVP1ZtSK!i2lmk+nE9;LIb z9WPLvfF|-pu6@VUli|6Lu<%G*Vz&vKdO%o)lB2OV^}^JuhU}VM+dWe4fc9vH|ID@8 zKr8z^jGC#`-8;8|jU42K&Pesp-C?8#>FBMdS(&x&-v$~7a5Z{@Nt}%ss4tKP zTs@|aPvTqJFXs2aUSly4r{!6bd~g1s-+tcs6G5INmb=DA`L$WHkE?R}VRFM3*XJj5 zER<^3^8|?^%?SmiYF)mb@x zlh7v53P@r24@ z$TWAHH&-kTw#ceWP8SZ&?uu@;t%Za2zs0DOLr_TRtDf+*S1Jx}l#W67kRsGSx4vgz z$PTQgmt)1jL{zk{F{+lDQpMZO>UmBZE5mHh%>v*rWT_H>q9M6vVzn_WK|N0N?==gZ zOGawPUYt!&^lPPvf@NiNpD6djS4oD0*Qv^FD{-$EF#M|0m?6{ zz&0tBIUmCfT?lkZh6o6T`9-Lts{f?8{6_3aTK=Sa?U3sl;QIHdV{y`@&5%Xp@Ls}T zrVPj3{?&B!@%?t$+x?%)UpG3pA2+6r;vf|(KR#JPE?#(seUH@qm1e5k=n%*Bn6;B- zj4rRNB`xYPqA_izPqOEFgp{1Lmjs+(=W1A;A)!?xujU^c=$0~(NFhN3q_BUV8zaHC z(MvP7bkh_8=z6@IK*CRUVU1GAa`YlKRc0$nq+sT|6BP-d`ZDU@nKs8$h2(hF+^C`) zWPEL^)eGh1woo-uR!B2<6(IEg*BwVbMRWMq^j#cLxrB)s-|Hy59!0#Z(vdJKqrZ3m zyHt|rB!$Pf)Te+#m)F&ehhk(Flo4a@l+N$(F85BZpd();VyrF=By`;}32a%cxP6uW zeoy=J>~HklVl_pkm=mk4n$M$Qk>rcJD*xH9s6pyzfI#lT^*)_;xB4XSZowp49b5H1 za`pb1$9?G6seMwvWfZaWct(#*S94a%D=WVj0f*yjz%ae5=m;MRBBi@(Lze=}5eS*T z*XqfJX)nWwB5FuT=_t0e!_7^zpS%h0VlRAp{M)(o@guS`*yS(ccUCfM%YiyhP9-(Y ztWW9&D}Sz}s2j^Qh%|$q0{tOx|Fn!jNm8wjr)e$9cm)ODsT<#4eD`kblvevQ1!0i9 z%B5%cpSR!qzkg>8>iY?EZnH&-fjp``{2vNh)mE<};0iNGQeAZVK7`L>f1|S0rVx*0 zr-~TdP=DZ@Q>jh=f&^lys2a<)hbiw&uiDal0oI{FlTA;nvaa>jKL(`dlx&buQA`~} z_#`Qn8*5(r9;SDHDFhb7DM#FBCV}qr*6`YJYgA1tSaWqWzG0kCmU>!$(v3g%cTC>| z>&E6sG_k-ti|WxJLdHYsB_^O6{P@=(lcBUZeEnxX(Wh_D7X@t^uD{mV7+RWYfL9P} zH2hDpB(HIwNe(-TR^h1rJv{j&ta+{5CKf5=C%=CLc znm6QY1zrM0LH=Rq!P9md7w@h9~jb+}`h&LrA{c=;S(uVAh~GrkcJ_0EylG#KGRAg|L92Hx0DKjJ9{@{$TJ$CZ15^`E4WeQ|DoJ1OGhEqKt z&3qyGzV}{giq(McW>}Ur=nu9__md=nSbz-k?}26So-RBc=)vghLt;4ZK5Xpcr)73z zmEwvXqn44Wvk>E|HS+H8xLoMDL-m@P?ZHP%owQdz$S>&GStg(sJ-dDEs^(r6Z#bue ztzF{%9-Zo5raw%ihPo15F2av`AvKs^MfO_}wN1^n>LS-ppi! zyEByz$+hW|@w(R?L#JojLukuq=DSRck{?>$y>Mx)k75;c{fj^2+2{%z7R9ms~ zd@-aLPJxbo%83=@IxY)aRE4t{RjdD@8Dt?nY^7A5zVPmDaxgw1tkQ6`U4UEJHG0CY ztl=IeK7rA(uqJS!>*@!Nox1br;u80_k~UNiX5%6~9G!ec@xn=}R%S*O51? zfQ^seyZ6^1+xvRudvnLscKVIvI2rw6!Yxg_m!$sZCCr?&5%)QJHKxaltyxf0gHSww zN%)#QF!2Oi@ZiO4e2b`BSQACAQ1^DuewwH{J)LTU&jb*HDTN|91G8deUNvV3) zyN~|<2^>#8etGX6P$4~%ca-zF%WJ(YCFhRsS$M3!LBRh&Emu_M#rfStrebtWPjsJp z$`3NCnh%dVG;+j_?>~L-R;~O2LKj;!6T{C;K25P4UU6@?FE(4A)DN)BuXL9(!j@<# zc89YtVMBI%(c8`@BHT6qbDW-2X6&N(06wWyDlR-wk0o98;f%J`oNn@L)PXkk>WFEc z%I3z(vdOuPyIII0pU|-hm=mOBvaiu{9AtiZ8J|6nR$e?I0jK2o_EoB6b|S|H{ne^m z#tHAzV}LD{-sg)FTZ+9=S^FwO_iO8A1uKD{U%ME~%xb00$Ez)=YFG%fs@;j5SX2*d z#7DYfk48Ot*mCI%pogLB*~^h+k7Bm#Mp8#vNY}d3FZCPWYzY~+R*5CktOCo^7aWEi z>79VuFYJ?;B&ku4j`ef7l0OUUQi(D5hG+wNX6`3|6^6B=Bg+M3it>NcxQn&2{p@!i zFI|g|{4cJTXoBwZ92o?g`mr?hO|UUAv9jjYrRQoBHK@FN=7qZRyK5kkq7H=;Au@iZ zOvLkms)FaPe9Jw#Zc#mltB9Yr{r9IE*t<{~e{0LU3*OpA$C^2Q>o7)hJ5X{Ob|f#z znZC0S@eps*6+PjiVGR|bb6WhgZ-Ly}#NMMWmvD@_il#16(*KGM(-Rf;NRr zWh;eqJ6hIItV=n^?USi7oqb~CBD-93q4gLfxM84+9ZsP8`&IJ(%gm-yv&jf}KF;dgmP=E0KY>Hm9!aQK)pw;NxSV=Fc zP7pg7>j?;pbgr32SYTm zMWw1+&1^6EgQ(}rk_592^MF-P3-KNW@GL}t9?anWKZH}e@aKuD(mTZs5*)~i=))s+ zf>AncMHAkgXF{H3>3e^kd@RJH9k;VDo;wj{eE;$a{{Wojm>1BYmR60cUv0Q*H8q(x z3v|DHRi7`nQM`O@{~SpzECBWYJkEG-8XXZ}>P>Cf@jhTtWNgOHPZGSnfA>f8LbiwQ zMKuA}DK5_|mmp~6!#p^!i&~rQ?AB`Q%QqDH^B{o`u*do0wK4|a(%kvtl$^@_&_p0R zMKvs=M5@^OKa0UvhK$As5BLehC6$xy-asJzFF*Uf(?mP@qS6`drUMrDqhh^9l^YY> znczV8Kr&7niHM3(Ja2ze7xsmYNh_Se70F+g7Lw+WG2N%^GCnRQYM2^*Z^-|e2?_n^lv{o`Ii7bJm+DCQlDsQg#T2`1|O3`N{N*X1|15EpmjAPx?P zK|oL7qd3J#ndJOZMP+slqF--_kfQ>Xc^ufJ=&>iTgmC3g{jFa=#ku}s_yu`$GE3>o z>@+uD+)r>MG>hqS?NxaG;b(o9$WPZLW3HFeXdw4DU%Il8 zy5?DDWlAnkzp^trW*2_^N|Lx{mgbeeGpIG}IUXAabvvogFYy{E#Y>ESPn+;VXFQ5| zm&<5C8s7nbC#->wuVuUj_*}HKu6{Aw!YIbJCWonh{Y1IjP@I`AvxKV>`Peb#Q3&zq zBjN%ztB<6l<&js%StRygcZe|8r#=DP6 z0nXk?tE>nXVlt8lZ|)q9*FxK*uFTsWHzy>LPKNPJkIo%P#K7jS93*NQ`;dp(*>Cnj zbvnAw~q?g^@nZm7>_K-hV@r|}Ryd?Ug>zc^kZ*fj5u&NwFaJfO5XUsv+Q zv^;i`{CiV(j{7m>j=oly|0a`TLru@yR$m`{JtLV|o1sOQnr?o>sNB8Oy$-~socMz4 z`IF%1T#={`X_TEG|4oR7yc5dbM&cEWdvr_`0gH&N$(wrekTgiPeubu_vGU;ayvIev z+Z_UGvZFR2SCyRB+UMAPXYJ1gPv8Tf)i;3^F)5VcdP0r_q#gW>;pD3NXMi>CSEGA+ zk_*4(Ut&qm^xhv~A~VSD?B{wtA>CTZ6A2@-DoXc6bFAt8C2ay<+oSrvtIYj+UwM-> zl@e15WU_zfCLZm57`i|I8fLVNn|ahfLjRz_TU&Y?wHXXN-e(%7m_Xfore0%MvXZg2 z;qyq!!fWGXeGO`1aea8agrO_f`x*AU{kOngmQ!Ht%$an0&;nVx#Re{GHi(C2Ii2r) zRnmIaGa$_nqM%s$-QQv_yXad^sxPuR*Njd?`zZhoBIif4IWgp!406u2>gRTHwzZ=e z)xY1Bw70}aMr0goM;*vBFF{?RQ2v;2e?IVkg(he5#K_# z6#jAm|C{7%y(_!Iy-2Y;%oW_<|E>7K6?#~z35(RyS=KPP?zG$*;L^LLD3`Of^=9lV zx7#xkrwer-7loy3;ljo~o?WNg$$c6ZJqtRDYah$60AYnEYLJ(N?)j4Hx>Wcjl$ekc{)VXw#C(V{37m>YtVbSX3iPh!{~qG>>Z7cNx#?=`PrOvMqkGJhkl}cvuYmuo0M?2Z<$)V22ZOEicOzw zM(MdB4Ejz^Zq6nV_qa@G5t7{N*Y2VxLyno_ar_lE7H4ZaPSI}$GnQK7;xDH~zINaI z(=wkNj87^2{`2E6Qic~r)*s5knM>o=B_P4$F57OaHUYnWiN8`)l`~n^jyFA;(o63h zIt9xd2&=e~A`X8(`J1F%O^JVwT{zg~15&zL2dP{8En~Xw*S0&6=#Ayb2^Ts!@-Pt= z+Ay;H&-@l_`IwgT+$^a#Fwh7u_Fla~Ip=_5fIeB$;{!XpJxc`x)&s1A;+FLZEUkSo z5>zv1e!1T$WDjW_MVoQvhwCcwl12nHHlr$XkNdUn_1(Iv2n~WibQWnE zarOv5)X_K3DbJ}4=g4t*_>|kOo_Ok{@~2d4o2AjGH#388x3(p{zbBUofi=Vt*g6Bg zT6qmN`ou}mF&XF7<=gsr!p=#UTRwI41;SBN7TXmWGvr) z)UWO0?q2?t?RoF`xg@}@1@rJ%lfRu#_kQipk-{gR1eVE+%U_M7!r!CceFY7IHI24< z9PGoVZ;8!=1Kz0q+88m0)@&<<_9VAqq+XbX5VA@)*l$vYD;qi^~4YTKVm=AG0(rasKh zu#Bt_I!F(|%$}BUYw)EQSg@ihxXclsIQUZZ%FkhUKvJQNrUSJs ztQ@mcEmZ8GDvP9!V%^HhwlfEddmAUkG~tI0?rHfFwj^frF=c1B;%1?j6Ko29HTb#JY;Pj`{ z-fhmDZ66^;?R>4osmj3x`MJ9cVywOeJLVg8)ObfC@@fSweE4ngxc}3wcwmKUOncRi z)H<5RTyEyUH|Ek=^t%r|t`r6Kziqxqy`LX1eLStp$r;yuUY@Uw6wy?m>{Dx}D|C31 zOQ1pCi(e4z>A^yRuNbDbX+P@a>28>Z@RXCa8F(O2brWL7|N2f)N03Rkf)NPlz0 zg(!|l_K!P_zX(3B{9xCqG%D=tT5!*6sQg!y%&(w!xL)Ux?jM1WGnb)m}~w4>~ITwv0zp68VA(_e7Q z#k1rK%S&P|uh6o}7Eg^+px7?Jl|CoH>5}p6xi#%w&P5sw5|uBvll*EyLGLGL#SiFi zefpalMZx8g5#4JTKQ~>@k~+>Xi~EM#HvLVf79Gy_jVfJ}T-5y&kDycViMo&w$LOz##KJ*HkzI~Jbf^kfZJSHe#U&1{xLBklKyg;O@@OG+V*b%HIHhF9?Mq?CWVV-WhE-%T z!#q()w(@%j`%$$!q*7cy3DkqO8S*U2g*hBIDzcD!Wdp!C)<=UT?2{dgmrCpgMmns0 zhzp5-)8V68Dx$yO8?4VCz7;B@3zhusk5lemmmp>@;4dnf(lGDk=d&@`Y0FBsWQ+vA zeVcFM>1TK4?GVM0X1?Cjj`F56Zt$s3?x0ZQFnXG{k)BPp4$D)XP)16>k~O0G)TmSv?q!`1lF8P9u^>w^ z!)ZvB<7B-?TS6$~)fEp!t@CjxRFch~zThkEkB|yOK59SYughgnta#JxV@O4cvdWBs zCnS-kxq!I}!r2(|RYZTXV>XgMM>uKRsr@*)3VxY6TRfZ>YJUt~R9D;dEAM1=c@3TP z`=Y6oNFxP@OnO?^)ShfN^nODI=BsD05a?TGR#Ik|0|JXa>|<)Z_)DlAUiM&1X0pU=(BBk+eIKPIW85o{r{`imNlA0HOAY^-i=2*;crH)Q(x3=E|Y zG#&UaXktF^9~sRy{oR^p0>uk6*IS#kaewHH9Brk0OaF?Qeq=pcdxkvCC^WMnBv4!* zdJZXcP%|cck2N8Gv^+k?sCY}>(ry&%js$!5DDD%dcJA>CF)s-mhfGCn4naXbQDT7g&gM;{5*Lh5?G@veZ^!gq3j>Ul-{wg?nfr+Z-IrFhwMLml?F7b zD7YCp{&;qE^?IM)Z(*yyfMtIy16m7}kifxTI^e4F&}ShVd1o7X-_Xpgp&g32Sdmj? z!b-Cc~vKWQIOPAL$XY#~EY zcj?-M6@iWFL0iU5{r3w(0CYUIj7({_Z~I9P%J*CAH*Yb| zEWlb)-%!+W<>DW}i6AJP9e`y|JNG7>1IhG!;RZ-9`pWZj)})AroNaXtXgp#T1!1wW zob#T_e6m4MzSlBw*KbteJrT^ZAAe)9_MIz_?atQl)vp4G%v9sHDhsTJYRkvoEGyt# zj%x=d_5DT`(7fU*6)5T4a$*Yj92D}yTOh>82{k@EK;k9?2);XDrxWP6S`ftvFr`kF%w1mM!NEW&sk*f?){1WSw{KEagz$l5*pZ;Y(POK#ykH5Q)p z#39Ym0tXT__pAAu0e~c0pkc1Zq$7hxIKBnr-UXfykbWU!d3Jz9@zK*(l+inz%J_yiAwqKb-dsO~=M^_vZC&C8kbm_mj+Ut`7z|3IoKCKLd!bklT$Fa(>HI{9zBqR zSii20B*1wA8KrP_=6PBllWrYlcVYw-i{<(>T@hoo6 z>tho1I?7H~b(6&4FHbLV*C&ti>L;wwQgB5*ykn#1Jn2uejD_6K8(@hGCgLRSKi93o zK!C8Ok!62YAzZ=lJaj}vME)&H0P5f(c>(&=<{I@>lAG0p4k<8~8L{Gx?s76I76 zJncUI2p*Q9mr^@PKI^lJb0ColPjjCwjv=Jsg;~h03^B$4o`QLiL51>ZyZ_>t&(AVN z_o=IMhkl-7RajO~qvlD*bORyhM?X5DS#=oM?%EdXeR-J0;@p*D?LdRl8Ev3>!ERO^ z>eWAIbSE!`iRWH}(d~t8N*ghl*IjP{`EE@jMJ8wr31XD1*c%cH#cq57)+!OxFAmo^ zAfMNxx&Mx>cb2ZS*bA?8*CD$D&QIQPFYXsvYO}a{o`9H^46qR6OIE@akc@fd13+nH z>)I|RJglKp7Er0(b*za>XGw(7UT3`UAKQtEmjuj3Ty(>WLM;0CF=~ZS|9kSs2O$o% z8!QZ=fD#1cEfAmcYxmuo1F(3Ffgt|LK;g%suL^=ro>QDJgoHWN9Lj6HS6KmpODk5i z`Q+syu2u?{4~`N4ulHgFtl-)9y4ZQY2oz?~kkKiNGw#SMyKaq#_>&!8Ie2_3#m@=T zD?lybn=e(tO*X;;YVUC|fCl$m>*PP+~ZJ{3+O zA2r(w1p#X){N`IvJ@j_RCY=sjy?5pifqpV(uU13p3S@C`N`wjXiN|a~MnzK(HV(mE zLn3+bBCk3QcmCZ#$M6DT{_vSD5Ox+4Wyd~+H+D%wW5oQDIGu331hxrbzLNED0PC~( zqqKCzvvB$1{J)7Q_YdJW3%Lstb6eJqe~c(mwCka(E@i<}76UhZ-OripXR2j6~tT4min>Im9k#x(jjF5y~{8@GiW85kI;fZ~2}%Kc_Xuu&fWv=^DfkY~y|0-_Q$K%o z73MK#kFZ9LMRiE6>SERX?j0F2FylJkJOP%%)(xCO?*n-GD!AU8m<$N+{nS?(I9qet zc3gCS*#^J%yx;t6QSxMcSNTNIZaqD7$gQzw52D1w3TWwd zkr;Lv*WwnKRC_vb^I+5X#%7-l%LM@5+U@pzA3O#Dk>q~!QZqdw$c9pMewa3j*Y}yv zw={YQ8?u3u#c^DXdD2z6Wry20*wA)GX=JCX48X7P>g>8RL35W^OSYxY7#UbgaU!@m z6%I0W7fv=S)0ID43iSK~kcn6{IRP$3L_n3I+S2M#+n4C82xgRF;gs>Uqp&S?#m?MD z5>9-*RTg@3dSx|nYo<^g^Q%U(YL>#q+(lGYy47vo8WR}enRj)s!|gu>aKy=>gf-l8 zcQfZZyjOX-wM(@-p*Ao}nY>HVkW^hsY;W{_#-ZFFGm5&zYmi4X{OypQgfH`Lvq!V# z_S$L=a(LOyz5r-Rzol&d#p&Z7#?c3eD_}Cdl`Tg9sdB zdxXpOqTI%2pgze2VXZZ<1+FcitCiXs6ePm1X{qj7e&+fMtvKLO$O*VvTi#{%;|KPs z4vIfDPc)k?(1=3Ty21W7-lPD9_(q(IHcPX|A@-=F*iZKPrF~E5m5@ge-$v-X#>s^( zPVq{q2lu0NWil0mY-hoe8QqiP)}A?QMS#xS7?}M|G(!eCiV$Z5HM~j*& zsJ#sNE5&&~LLa*7BU{0%zNMzWhd)x()qPM3Hu?iV-@r<}oB;4(fbh^dX0Q`<`Eerj z9$-f`RerV&C>Byy5;LZ=cbRGWO!AJW_L+80D#<6ariRkbsNr0ax-3+)fAapnAXe*F zyMXH!)#j{=;H$TI$^)g@+8FL2kp^lm;SB`ja53{Pjs#>mDSYM8aSvbtRU_>ITkX@s zYKk;{brBdAm{5qxEx-5KCtZ6W_R1Ozz~T~o7K>c20$GHmpjhoS5aYpFKvzou5LiH# zco7d?3M9Pwvw0-k#u~K3e938u$`{$6+d$6#O=v1OoC9pco?m#!S3o+mG~hHv1!oJ*nxKw)bv>af*a>#{D z^9Fa)tAluQyRiQP$Cy|T7RU9VtQw%38EpgUI14aJ;du3SQxa^TjWb?I6lwBk%rLbu$~z^L+JXDJ|%Urc*W@h81D z)Lnf`lM|;4wyLR;5CjDR!yuKKWZMb9t{(OvH-UV%1ECrgcKwPP@=Y+SKSBEfN3@CY zr+C7qBZb-M+_E$i9STvf#f$rKMHGB zG#NRU^-1vM0{HfwDn7V1}HY^N7lc*zuK-DO=i0ZoU>ZN)zkQOEarLI;R4V1RvexK)zPcb@sLp{ zPn>9RLAk)fI^y3*$RTICD=QB->;UpnGA`62@7lM*9R_#Tpu=vSr^sH(7JKmy+qm+tFZ z_l$^1HcSntL!2rwUhgSG2Z#V6z(2;Y%Pxr$uV>iv0D$rz&y@$yn_$Iz-|$)9?{~oi z=3u=*zhkIUwP8Wq*-G=t9l7nUkozYx(w%H%`-JE$eW+AOJwzYbXgHt2(Lm@3`zz|Nbn|6Bwgx(YrOOaz>J>^!lgpl}E@`8V)zZp@=>U!kCY zme>+dC~EB9Ni-6C2@XS_5@KGhSxiI__Bbfqn4%YTV=-{_0}vrtYu+{pp?>()(wcB+ z3f8X@p|LTM1fj-;=8~>qt`R;^~XAd_==7^aq-5(DUaC{X>7yiL9?yZp%1(BC7!4JQV-85}!B84Q8tH z*b@2kD}GsNJlWHbw}V)Coo50ID7@TD(gv&aMJS%~FU67U&=07$at?e2!i=E7JVSes z{b*=dC{)xK2EQuLhausPVL}$nvY$_0hffqig`=C z$Lp80*CA%O1*z_aEF@~pNSat09AbY3)1e^6Tik+--FXQ%N`9SHX7Q#WreS;VHDK1w z-U%KqZ}Z&Qq6ctIHQ+i%G&_Yvb%%WLW6niQP2r(?;aP5K4DcYP4pSPc`ti$I|A4CX z^SSF;UTs%eNyL^%J7}hjf!Qm-qMvc}x{ryK{+ub zEs&IbbBZZ>%%zJ5Dj1YXLcDw&VM&Yw2M}aJ49`Qcr?v(A&pe-?%on>~>y&TLWO3-HnQJ}DxPqE55hbBrPwVEs`_sVfT*&RRRm)-#)>4xsDU7O z9BBd84Z@KjK6P2RGn?};--?Ug$j|M}YtYUmN(pSzKSW4Q`sEy~wivKHJ%)#{z}6_} z$8TsPLQ>7j&adQ0Mk`LQ49|j!T{xH}h8{d2_;Z8!H*C(-FT`ennc#$6twI z&*UV6zbv$A%Zaao_v1!ORV20LgC1p=)zrCrs%=t417!g_ET!r46%dtfydf42( zEZu{4h`MU^XggEoW|m@Q9Zm;2Rfo>Sh?j>XE%dMpybqByTe^{|h$Dt*HHNUH)FR|I;iE5GLqn-zxcAE>w4Z5nnbZPkbFl}Kq` ziWRzJ-=RqUA8py7v<}TliGc*iB;kyY43hWz2;~L>>OItm@*I$*(>LU62f+RY%x3q* zN=3K~#Q=IY>wa#W@Zh(!frlu9AXlhlUjyoHXf!&2{fLEUX)gRJi*SLybPzQUtO1jv zkcc{1d*To(^2TD6bifu>UvVmJfz849akxLwE;cYC_a)0H^6y5}8MCJZuRA2*pE*|6 z0=s#=>9b}GMI5ZQJ!}Tcq_YT*Vl)X6RkXs|6j*$U2An+T`}y$hI64bfkq_8H-W_l7 zznvHtEmk*fFkgv&^c?E$>-T?)Z{)w#q(BUjV-W|=G6Jbt^@IQ!i9mfW z*v6&tKPNe*6@CD|Cg2bZ8aYLKuqM0!$((i=wtD+gG?gZH0kB_Vunn9P_wni*y!w3t zeOux=^p@?gcX_AH~6^&G^$v=NAy ztO@>o!*X=Pu%uG>l<6(M^aQecFU@yKmJmLL+;HNRLq7K42kez3I?4pyER#J7x;2pO z(AF4Il9$WvK|eN=55k%=Vk;7S4^-rjnp#PYt}IA!^}x2bk_~em+HlM4k&HI&pUCv5 zr$L(bAX_FFOCpZvn!9u*M**5=xh%XB<;GQGIqOsza{!2pX+X`t)f|~>JM(T5;DYtH z`7b}S?yPnJ4?^kjp?eK;v2ygxQFB)f>cGDtJbJqd26nAu{0eeXOQ-fdA0_$ zl*K)w-jcCL3v0{wUt%IVf|9q_V$+UY{7OA`Fb!-Z%WpxzTOO0%M-7~K$ZnKG6CGf6 z#l~`Ubt<&9uXxMPj6D<_EfAO+KHG_bhDm(X04?k}n0Z|M4STnijP99mI{^o%K%)z_ zhV*>JAFHhlT8|fL4H0?^G||lcNe1t$LV0NLgUa`jG&Fi$$ui7Kn~2H8+b^;*gQusq zD_4<&k7`!fuP23Jn8O@OzkoS%!2%Itr4y{ZT*wFk^Hm~@iq51cT?QMOh2dv?TFW9# zk!S}v(U-q8XMItm4=u08WH`SGP+yxsW|{7Tp5YEUyBAB_T<1&c0z&nMxLf}A8pS?s zEXEp~5ydDXHdxue@jT)c9@0Q`J$PpSPZ5E0Lih@)I}~@N@W@+A(o^3dQK)N0qKI{F?E24!yIR68 z&M0ZALGvo$fj2d>%2Hc?HZML&EfRQjy*bqVl)(dj)>>p=GqCc$o*u^{#T(SN^4jRc z>wMv?))Qf#B>fg@qzSL{{+o35CypD2J*yu0fIH&D1st91zTO?ciR{kztca&pC($QC3I(w$=y=t>R$J<{HpH8yO5dv zSbR-?k!Ar$bIJ`G*3_PZkL~ zwV_CUI$4W3hMfPxgIi&6tX!yv77UZVljVEhnt`N70QL_kNWB%d&}640z&~Gx3-A># z81hFvc~Sb)9gN1Nwpy#41*7_yvj)g?4|XFm>vjE2&9~rSs!E@?5p1yv zyZfA5dwKdwxQ6yfShN*cjuTq{Cs$g4hbOGbmB?qgLh!Ry_zC@_ujY^v6q25k=4bF# zi-H7pAaRDmqd^MM*%XzNb;_GMId&HUe;dR3_&QES?fr7xPL{(KxHvV@&Ir zbi>dzy?_K@`|AG$;=F1yHdIO}X0`Vxu@X`Ta?4Xl!Lt#h+Ho$!PK$_L;xGM`pkUti zPJZcHUwUhsyp~R=!u%8`0FE`m>I;8(Wv+`WuWHY=qe6R2ZV8=^{#V0B-dsDUsz95O z+3QI+E+MujU|%9M)jm1C+*Xftfj#>ZC}~!M!He+_LCEwIC>v_c$`o86(?ouv=i$LS z9=JKlS{L=i6&Hw=#h==XmzjdimJFU=*fK+&HORez5~g3mN$c^kCBDT>RkCI!gv;H? z-Y!Lk`D6!5{JJ&4X**}PK-I74RB6241Jyo{=-<30At1QD4Y(7j|L!x54kgI-yQ%Y3 z=#>MNf=(G#x$bZ7#}Y#$TQg&B?N_NUOJgSBrxOv`nzTd;&tg|~z_gKplrn(YQkLQi zZ%TgI00ZS%w)CHUIqI+EU#@oBZH)yZa|gb8Ivic)l zA2@LW6*Tg2!7IYOuL+Fz4?P)Sc_ zn(5w?pm_JOl?3hmmmNPIXn$7YGsAxSaw_)6z~?gP;awwJK4qt85eLntr{EULz+)YFB4| z5KohI(|v-|(ruM!b7I%@F#VVm2P+pHhCSK){{nshf&XLRleN;T9ehhoKv@Hx#Wz0! z4>42ZZTT5x41md0R^#7B&aR*TC_l32-mOOjjG)l$a0;3$8-w%c+8W;E;}6=WFc)Tw z^F4FJ(QVq^80UJ76MVgPf0yq-8L~+Wd6f^o8*c H00960tKbTM diff --git a/cpld/db/RAM2E.map.hdb b/cpld/db/RAM2E.map.hdb index d7aa86f989b0a7f63a04840ee0fdbee18394bc56..dcb1aaacc4459000a80c5d2bf3a139247824e2f7 100755 GIT binary patch literal 17654 zcmZ5`Wl$YF&@L2;JH@@YySux)dvSMnIJg#fcRe^Q?(Xhx#ZU2b`+nb_d+%hjPqI77 zCYfX>$u2qs1VkMo|RyJlf z7FKprbxT)I8*@ui6;pFNQdUxRIZ|y)Gg3(l8~1-Lsc-)44gx|(=zjnL5$%5wB=NuK z4S5v+pGzW{&CosR zyVqHNdiwfO*G+s`TpUXn8XVJg`FdVm3k#rW4~8woXr5J<_VV!1)P4&3A%^k!l=G+B z_tIzA_p+|Nx~t}Gx5eXfrB3-k2F-Jjb%T9r2TVxO7OZnx7!2id6?zDNYn1RjtLD5B z7ZH-6N>wyVgc=*S9uFm>`ulVIn102u<9zCA zlA24QR`+-L!-?+as|j085z3sZqD(Q_k_l)Ls2{q&3#K;o z8g)vte)Jvbf8)mV7>Omhj3*rtK< zk%o?D_IOk)3U;|CoRe1^4}6*4itOes1{EIm2mUr@Poi;3mpTvU0_LgZKJK+Hd<{)} zTrZr{aUPxNCFW-CUGr;cMVCO!m$M<8Kuq)URtAC!R?Vhy{Rq4CDAHWe=}E1n@0KP|j`%1-R)>c!f#aiuEPz#A7&q%nlf!7nDJ) zn0>W-y^ivT)q-mNpgvD!m{D(hipQSmp69i!uUT$sEkrOW5H>QN3${O)0v43$6#HCd z(kyUnA1%1EuN+f3u-Py=`Nf-WN_{BS&TO)>vT~T=0u|V=Q%o~*aP=djIT!IOe5Tp> zr+ZMSj25TX7*yR&b_=7`1o$j`y6abW?(BWHcH!VDw%;y{&vYyF)|^qUaY-a>TQ-@@|k zzhVQ}c}4U1JHQHxt$6oc>=%5P2PFHaxT94XR!J51Cr2SsDH+Y|o6Uzg7FY4HlMi%x zpR^+J9~RjXU{5)mY97n?<1AS@PQ+g9xn}sJX3ZKI8G#RmiI|GC^+4QUPL21S;3rX4 z$XN&Tr7SxARtTCriJ^VaMp)j?bO}XX?dAVAAVhGAI zQTOB=R&^=jY?4MmkAiLJfq==$jQ2j1c+oxRT_~Ere z`$X?79&~?+fj4V^e;PpX>gCs5a4kIjx%u+Gid!-OP9b=>vztN=|9OH_&rQ{v>mf6) zo=SVF7>_RZL}01#C+RpcKH~EQKb@lfuA+g%!oZ-W!uRv|Is!fW!OQJK&a>@l^U-OZ z%lwo5W;$e(>=dUZ;H5UVqI$x^n0`uW_4C}Qcge~Q812RPb{=_M`-#3Nv;m|d8Ta4IUtzv0?#V`BfiSL2@S8|~I*V|qWLM6o`@AFh}+ zDK?SzCxV}dYxQ_&?5<>DV&v}WamJmp-P@l@#~zG-^ZP#roGW zBU#t46xNKCTK5mC^p`x+Xvga7JqEwKB`zxW+MhD651xC}Sya`q)ynhhn!Vry^Du|f zthNuT?GQkyyBXv2-&60W@Lz0EMrFuBrXMETboI<^4m7;7J_zd>*hMJD*iW0FM_yv zjfOQNyFx_C#R6oxA@M!cR&M5Jg=eX&eUs1iDE7Q(d;;^mTjC|lQ2a(>fiSqUC8!Mi zu-Mk%oPOy;usU07VQ0Ot!G2rvn@+(>Ge*UGl{E*#u zQ#I!GajSdyHb$FJ7*IuWgIbRXGG{`hRexkO5}tg?>SQQYXp)*qBqT4VBCUAP1>D3_ z!mFn{c2xGD4_|E#11yy&yybir2!qosz*rNMd1C_B#FDbwr;@rc{>^3B_yCO^fQ8Py z=!4%Ha&y;yk4%|b&cIX8-=ohScB17q0R!GwB|N<)xJ8qIa^xJQ+F^;?%EnL)fo4hh z%N22Ug-1}_vRVQt|EzA5oDRQEH`zi@tHCPb{MD9IW@(XIt%0~72&BSPTy60zPWL=I zuNfCV#%9L1uF*t4{T02lQm=mL_Jr!yzH)#eq|ss(5jgp3w<4kb*ye$vSxxOkogy*X z9R}a<$!yHubT>eun`+1vHkA~^u7n>%voNF&7BqROM!uXK48q6^PQnAdXDJ5gjqRW{ z2M!P0tumbkk?M3h4pzH0A**`B0-nkRSgnP28jOITP|it*lj9m@op?O5g7vQi%2i4> zc+AlKjONGX@!s1V9FRXL2#ur87WNBv&`*mju@&1O(T{XUVI@&^9gAclr7^!{bOkHm%Io6y2x{i#b1O zq^gwcI^9oR1Uq#Myl1{;I_(Lhim506tYC0x{+occc6{4`jigqR1lLyV^>N|yoFP5~ zo0h8!sL@ny(HD&b&LN7DuLqc5)-Q+=f&$uH)ov?PCG1`jY$I$cze;Yp45Dnt@N9LB z%#m@GeZx}AW9haBt{vWA+-{1z>Zz5B_bYJVwzwB%3vr3V@T7BW?2`9FO6yYCbi~wL zAsa0uFy-``9T-HNH54~aICrK)l=Dc;;mgm9({pu6fHCGqBwOl+^UK?*#)bEIh@#Sv zl;xA>$(G^kNCnaD#OEuQ@Vnu7wk|@lF^a^hG0Rnqu9Nj6Yb%7p1vtG(KvS-;Of8nE z@~j3H(r+_ozM3h7474x2mMLD$<=Ey{z%P>%2xOKC8u7i65(cY8(|0`ZcaO=pnQ!`*RaPU1& zIVG+xy)dol3&iB@`(!#aw_?oN+`adetNm@Ni4@7>fdtblSN;hTw%a0z^f`ZGdOHh2 z3^&SgQ_uQYd`#BP8F)&ZJ8U;^lifxMsd zkR5ZM$MD;)MJ|*fSgpWK(BAD^v$(%Wf+ygw-*0jMy^Be*9bqNH1E|YV@tzs|1GDut_b_GNIGw_ zL5{EBCJ|;6Z_V;+1NnrXxGRK%gO~?#vvaG^EIaI$;;4IA62e+wMsl~J zj2cPH(SZU~;|aKvEf05k6;Je-Xb&$MIcn}SJ4|ut@(erggtZ}t-@>pKCuD>baXjro zD8|B?IeDhDYDi%}yGwxaT=3LbI?VX92t0uotR`s7<|5RR?2Yi=vmE%!sKi9Z0wm0} z@%8=LM1Nq8A2@k<5MInEjc@=}!80QnsOC-Ih{CWi&9^M1dvo{nQ(0ZVW-_};g}wZ? zM$$o=AB$xU8Lny7be*R|@94Vwa;sM9A6IOtI+1n`b0y?LE!&|hQkbi)P#tP+x421< z!b8mFt<4Qfh>G0vPz03_1<`@Tfk@{uS}qibh>ko;^|6KTY~N*m=PJ+5yvUn2tT`;u zyD!PUG~PFO|2ZuGFz3X(>Eovfg=g2;t~|Z`Guv4hChuZ-=p7l#5XM`8Nu;6+i+j3k zZ|zf>xpV)%!a~EqNVBqrJxHIo=Dcuu6eP?yVHi@CI5K$blC?iF=>$EF-(hbZ(F592 zw+qWe0#f(9usMDjrM%@vu35gp zcW$8MWxpuwit(&kY|TX5=rOdzHyR&}oBMN3<8~>oU#=zpi@m^+(!53b7ZRZ?AI&l= zf2*J2NN)a+z-+8PxNxBuPo2c)ZRd{_%P;ZsFwx~dL?mjWv|Q0 zPW5syWhjv*-K&^Wyo~KZl76jBajo>;ICPk~@=i|;_Ecf%e+*X@SQ=2D5vld41h6cu zZ{QflPdMF{EJ!UduYWouIPRwit|gLhF`yxo3QRh|0sIG;G!YpDb_fxR1sswauM`Lp zKqd&0IJDdwCccFb<^H@p=AxmBd%rLVu2#k+tcW&uBw^fiF&dYk+4B->xY*L3n(*SZ zq`R{>ydD}(eo&T6sXpilVf^}KKQX&+bZ%dQq5;eO}G0O6hUz-|JIRa7Wu& z1giZ==X^OMHQVXKjlW;pD%m*1wdj6nh6Tk`YK%8mRiQ2ZAetd=Fax-{wM1sKOLv0< zCp0E&`~9Sk&2M+qUvRrFv#~A%J4kp`o;;McJe`|bN(ie}WCKucdTes!ynUpPKB)ba z*_T$w&qEo@#bn$Z_W~q@jtNc4FmBQo`(2Lmt*4ES)5wgpKsVAytvQ4B)4g4pd?!LX zT3#x^mv+1XJum}){+%f&PWacd`uG* zJcIbPoA^_HAwXo+4jl)WG_xuH^7QW^v*Eyp?qJ5RAu}s&<~QG2CB4;QyA22{gT1o%H>q@(%|zL zKzm{FrYTbpB~jv`kUndbks&U<(_fWz|7qXsvHs5OceNPbWrK-j`*aGwcO3BRY(Tl~bn(5)G-U6P?b zw?bMkmN=D!`BJt$w#eXvV9zpxXx)OdhKW?gQ?uxqV&gjo^cfp1BRDEi^#Xe?JfUCQ z5@4aTAe-j?fzHaA`f=E4jIDMk)X~_KUAoKSv-Xfp`w@{v%YYH_^{Sm}s)Jj00hxXBrX`Yw zngOI2XPP|8zy~0w!i4*u#`{>z?4&?+T0`yXsyOO`7rUiF($e$rFr7^|vBC$yW`=BR(B zD3Npr@opBKB;na}D2nVi)E&c+7nuTRK{f3U%wS7sPyVpzs%ONFF^1pY`od9iS|! z;FlNqT!3DX@SF;m6?s<%IKc`Z-){H{6Sj!9TnfX6|0VDZgzZp48v;=N_EosapEH=*5q@}!4IY}o*q zxh_bxNE$?5bqEvpP2Qff9vGc9+A;zj#R(sCK9a%gXVPRN#-3M@orENWUZqeANe#VF zJ%9K1B;W+%1<}Ico5#gkSmI5Af$4p;}G867Yd~yHGg-0N%V7m z`?wdssFfi7buetla)E+ySOyu^sQ@;|>mpHVT5sJIT6M*_vdf_j!n^ES$}L$wnwrZ< zwB3{>0TYk*=`=r-q95NV`~0)9XtveKg~>lwPP=^%%6xxM*fIw{*Jbmh`_51%UyggctSYM%#OAjK^>&?a27D}*aDKfn9cWdXKA$NHuIP|hnBVcsk?7Md)N~` zJofga&qWF*8GHs1^yt922t)>V#^MW$u)H9?Kuy6B_@kk{6sSZ%d zjpCn60NUR_aSyb~KY0#%CEnqO8YC3Jb9@~KIIt5hwYMLAwUY0~fR{INiT=JE8_;E} zGhsZ(QwP#0>HSvUo|z}I*=Ox19N}*lXO^@Rl!L*(Ehw~k z2dH~InCHv~g)~M(&fuKGOdKO&6;Bb@ZltYRAH>JH`v>L1cRIo_Ye;UkwV5|GCy95@ zp&mqUl7lBeXBF6qhl_ODWoXr`6D35=` zqc`OtVeJoB(s0$ChGb!>vY^Td5$q82{ii+kbu|v8iBnBp?ugDT-){$-blwVN^2sk& zgcT4$lqgyfc}AfWhd1(S_q(P0xFVnOF(A7mRIyi-Ax1!Nyw0qP!x;N7Nz>$SAq1+m z@|N=Rt0KJU$5*gI^v?nZv!p_Jqr?+ZOOsx6#%rhyH{#i>+{9keuS$g7-=_)C>!|@L zSrFE`?O~pP;?z?f!mc=0ZcQiYDdZm#TOu_oiu6 zelDCA0xlbod~?jXEryvX$$TFS(L_Q!3(9WSqZE1K5B4d3)N{bh(EIdVgYl4TDfuU# zO2>4WKcH#&N`1!_sDxTwd8_Pk-Xwq)AUhQK9|Gp(XFM$rdJviIzLKXG%XTN8?VdAv zn+a~xt6Nyx4=e6d`c1Y*US6=I-+TPVrG;S30q}1=g|!>O;AH>)m{v?2$J}2oPt}8S zSo&?QQYZTedh8(l8{g6&m#g{kT5Eq~lDyC6LM5~}w>a~z>uNT%rVO{cx<01Zwd?g- zyIMb{e6HQPwY(Z^7IoCQxv~>uW{v%bJvO?YoYA~HLaQ*lb^nb~sQa7!@IP19H!qJF z-R)ntj{5q4w|wkQ>i%5mHQ8F)UFObos?_>D2?+IJ^e;sz=V~*{rn8@j5w=EO3)2@}41^hMHD%!57 zivvcd9xv|?89$q=mL)g3eoukX9h@J=LE8yb&-MYCEhH4XL|FbUa{e6OH}~vDEhg8+ z3a+Y~$5#jjXX#yiG>!hi-nS(Be|#hFov7Dzu*y0CFOF)sm(uBkjKPXh z<+HOfLj4)sDQVPL3uZ5vlm$gf&Bk0mCt`YT3djC28P*A)-km7*tGy+=XbQ5wP0A*> zFBTI?F0)ZUEVf+;#nm$2pT@0y4hQjaZn%sTZe=nAqD*s3l;MU(D8C+Q@- z8}QScEQr}oMlD~Xaimt?@u!o96~{ z&2fU;40aomzxfs(qffk5%tfaVb3d|$<{zWWmEjN-Y`4=^X&jAhfeJ>-OBu8c(H9S# z)mEtuHgXU^B;vi7dRrM-k&No zscy8hEjtZMdpoWd7&bjUlkF0`fkyX+ZpwY2ZK5)TgiVs$;c&G#i>%F+>E?1n`vRxe zp@d`1KXy5Z_tPs&hE$uY44Bv~^$yvdE^kAj)TUWWh9iGN0xX}dbz4zCmeTGH364Rs zhQ4`kugdZHj`~i@U742%@ztTYL7Ki=cZ$;M?q_P0ExKB}UKJ&~72@Sr`gcn9)kMTi zO((bUoA>W*L|qC>CsPQ5+q1<4bq%%xnSZA=WWJitRyRv|R2|e*JNePo*bcg}_iDE^thUg)8*eV)-J~9!N1x#eA6-MuV=J4+)_i{2)w=C;FkD9E zm&>~99aid=(KkK@#vbukgw9?+?0@R3s2aZz>4M_Y#z}^Meza7RSP)Fu5pq^2(Ehzw zBhXbc8aD>T|9CmN9t=zk_`9vvyYSnmbMrHI^4NbzD_eT3AS3E|`#1_)~e2?+_G*c%)DE-t#udC2(75OeqjWA)MbDTutzeOf^L7Iie55j4F1 zxfO^=z5aRsstyG2Q5<()6YPGT@6TRy3*Hx8fFdqXTYGiu8H_o1eHxNbU<%e5^lwx-z1!+FLS|S9-lX-;S;i?N#%I?_K{s=iB>O`;SZ( z)PBB~8*0Aop63d?eN4=zR;rGH#jXqNed>+PLGb4VlU{G5OLq+}AY~@-V92M-CC);x zu2APka!=3}Xm@_HVpCWQl=bJ8njT!WBCG+nn54EVKntob@VANw7HKNP z;Ofz0ngPg^4&lvKfhm$#quBrq(OQO|a80{*-{rDnmRBVJ1?4&67_pxXu)22g4)F9^ zVm)6pFOn{x4{NdOqDZ*_XuGZ~5yXYimaW$0%H`>ecjQ27 zE4V;yRhqAd`F8A7t1s)i_cWK$T-PFIni&D z7ina2Tpz#VX*@imC3_qp+Z3$38N+t_EyvKhTJcQNp^pIO_F`2VFmM~hx~+PVDoV>V z#rS{)Tl}`n`ZkT&0+r^qb5STC{mTYREtN`@597G{_w|C?UE{kK;`f%FX&Qx};TBGF z#BJ7oAs~RHAF*|BtF>P!h(8u&Fc;`FpAWS`!m!aI`i2wRh6GgB2T~RiMalcApWe*M zEQm91k73Y23cw^kqo7=IPpZR(%i0vR~eba1BAksZh zoQJxx$+#gk_d}oS1KfCS#khs*%&iAZ73m%?{zV9!)di}HbT1Ug2ZO%GazSrsVqaU# z{kETn5$WD2W`w@Rk6l6C@W8Ia0XFCXiRb>bS;K~UCQ9-{0R0#@5JkFYi?2~PKCrJ} z3I$E(K~UGCv2D!O4XxHeP#gM;8&q>l?bbLUAa3mI)Y+l*Ql8hN#kP`<2gP*lvZDW7y;vbkJiNyvvGZ`oQzF6MLsL z2-WRt43yBH{pQKXs9Z%9hqGM8rF?JNm#SUr-pq9gNn|LgFS!s}=xoqn%`f6oG-N{G zA+Z1$u{n)1&YAKBeFXK-qg=YJ#VL*a3~k69i|HZ1%8FIaj49Ii5VVUVC8|o4II9EF z>TiY?>?uOe&e;3~UXe%O*{HD1Dss^faz&xQcd7&|A~c%90H)BGn#@ zf582Mc~>T>iA7#W5p)FB?c^%QV%m?V4nEL+ifU3p!6>JA9f}2~TXktVeE$I*A|jef ziX6VK9o_{h3~5dF+E)usLhmY@%AEuHic4`h@L^FgTvsYb%sG&ks0!Cv4J=4a0yX>+ z1qI42d(!y2ftuWomrV{`_clh8z>cLEi^Y_~NCKQdLc^51e4^Et2-qUgb>B&F|3nhH zT%ALj$;v%k{ol#fOaORP{@vI2R=AhdqB^P|Ji=HTt$n%N>}JH$qA0ylP_D%?x-5hd zv~U=jGPi)BvGJYe<#lHuI1*l1ne4|yP^iPJ*u!oORngQ1rC=Lb|I(x0o7(+Xj;!d8 zDXFxrB98vgJcmLk2eETVhpLjH-hGy*=PrEYfqJ=ofE?HMC;CR%d$z6LGy1#n9$zV6 ze@CPsumQ0R#_|l30}pQ_jEY+C5N0XGFugdUbRB41L9YRCLRP$4{;V0P(@D z{6}*V9#KuTS_$v_7IPjc@vTJqI2&RkHdNvhXW`!6Q%jZ-0EWUhki@|fss>A zNs)C^MF#9OEk3hyRq{-OuqUCPJ#)SQmKXItKjPs4s}G%@(v9Jq&z7&kaGus0;vfjl z4!_=V^Eq?J^KO%))&>{7O-D!SKk6NikQmuGJYa;o;*QQQ5urhiyV{ZN+uEOjf6m9& zrZ}r8xf^N8fj$6l>peGP(L`nr&B2F10ODcPi4C+#?vr|gn^oI4>}Vtoy0(vPge;FB zsjT=uts@j+y-{QXi;`LO2sL3#tVqBSP;g5f!1XUWu20D# zM7P`D;zu+6Uq0;yfxzLB7OEcoA4+Gd5hvJO3U9 z{^?T>!2X-ZM$y(8|4urlYyK(`cBi(WwQZtyPiOs2Ee4sYY|Z5V0!k;A-I(jm#&fZf zaXi{p8uDX0%Tj}ixHS5o$*y&5YV}%D6HmoNIR7FS7CkM6n@9%A`!E7G9Ng|NjI@c>G-?qVn~-6^-^^ zQhZDx%ZTra{*C@lqm@A0=3gAt(XELI4Yr)j%mhaw3d{oay2ja%b%?5#)himaI=?^FfnWS7*8GaQ^UlUHvjxCk(MP+?5P9m#6ZF#*&o=(+JwXzbQ|3Kxz%r;lOO7J5L zM3*TaYiW;P%qUmK^+8EFpV-D=Xj(>t0&TmzLiZlWR;?hc3`VKLSVoVyh^uaDX^!83 z=ihX{tO4G{Ez(!F@E5-}nJdK^8LFaeV`-XeTYA~~c?_Rxp3h~~RuW$%EY$GJH6+mZ zwMssD>X&Ig;l@-q3QiR97pq*maB9&t@K^q;ZI(o;=%>}T(Ohp9^Z6O2)%vJv<>8bC zczv@qO8a#piJai$k`B1IuBVZoif_Ky`VVZ)wf~8vkT-Z;Dl55Ws+NqDA%3zK!3^7A zEw5hxY<7?MSj1THux1M#9pA z#91%Hp)ooO8?N1^``{6hkSIlK8ONYgDC@cP6)vc0m9_h@ql__3-Y-gO#6E83@XZsx zAuDk*yFuRlJp#d|4M9`q?V=39j~&@6oX(!8b1@>Is)#;Sz3c|nZf$?fW}zrynz+8m ziKtVt_G)W(k1b}^+<@FoJjrcVunw+69@98OyNcJzZvmG z_UwudCTO}(Ft@CyW|xY?luxMMLU{z(_?Fn$7WmnK-X@J!{(adNNUg*$(uK1@ry;mf z{zzz1S@9!+D9}H4OTFVQX$I6XzDqH(3!s%`i+{6lw#X7?q(J>j!4cF8hik+y&yT$p zuOP2epo_4;#ozsf8yawAYC5(byvKYu!J{@_+421kiuehn+IB26G9oWzQb6HlO4Db0 zepCAVXM{YApOijKkKOTP-)(5F>D}4bz6Y4rfU5AN;{pYPl zN=%GK$+G`ojDfnMFXN$7(I*LEWG&b4f2;i-AsVKUU4`ZHuP`JtPJ7GAyAAX z+OJU4KFDZAvEThyjZJ+0q}~wSvXeV;aRd9}xktr2ZXajDo}(bdE@Kc&Rw2~{qxOX1 zh7A7TzZw=-*LA22^paN6J}xw>yrYJxQ2#i z4~NrS^!Yo4^3~%8OvtmqE}8dhM-kNQ?_$ zqq@?kg5ZITLt{uV62vE+d*GL0$kk1ZZ}${v23^>sX=xhab$yM;LoCnEOH?r2(R*CN9YaHAcG=g=4SG)W8IYgED-hyL1h8xXwp!sJD=xxj=F3DZ5_Q{CjBBo#*=G(12NGpl(kn3WwE4*qI%*c01+u0V$p2hk#_x(t^t-*bK)S(y<62R83K=2fa6sOk}xS02hu~JU208Y8>DCBFwD&Bsp-?$T%phtu3oPt!sRNLnh zsV2JjNrgqZyXRTjjUi6r2Z z;$@ve5bQYhzLz(Z-{AyB*fz|(GxCbgJ6Iud+|6h%D1I;I55uY%K)psFI!4z<-i|e){&z}15}D-w%dd+q`98PTs@{{@l7IO7I1uoH1z@U zcX~5>V*|&x_&1Y3q#-8H9nXt@_a8evoOqDt!HS+L)Ivl-D~p-vzFdNk{&r7;Fxu%F zzS($|A5jlj-@ze1GmPpuG!oKx)Amqptbh&{ok^;Qu^=cqI++JsqeCfNhfX*+Bm8ez zoDv4L5=Xtc*ayhy4VvHi9(-e~R%y{!JR4NUFSp^urzjo6i$(~x;7ZcvxBrp$f0h>Y z`wqH<0i=ZWLQn;&Iq=!=Pj(!3FD#%h<#nep>90>XuIHN{>W~UZz28@e2>YHFb;$a@ z*M~Hc&iGLz4#p5G(w`RTr8!i?AbS^D=IP*5QCUzbr}sDn*Wt4b)(rU4`|qMrd;>K= zf7Ab2_7OHrJBap3Ubt4iK1wh&(E6e*sEPMn0EvN5(N3>$j=?kib$fsCDCr1CE-xmq zOJ0}Eb#jV~?$P2{q!X{i*bD47Mj-S2pp?1)W|$)WU>>&cSn@(j*#E? za*a{Nf1^kGvm^bxs=a98-aCj?UwcBt)S$z{pu>JeCkTPl0ZogNIl&{oY_c2)nvRVQ zCe401Snp=by*DmVDTo#O(EoNZL9=vPqGAjX3z$!ob5l1smc~mxpTA8G&M}B_9jo@v zvvNbb8zDV#3fW^HD1v;qPVQ|n6k@vyTK~+G%LlvM!G>`L(f~Tt$lmpD3bf(C^i0}N zA+-Hnic{URop^{)++2}wcZV~9V5i|f(Dhvefp`*ISQ76DaMlJ-j{OaTN!?*jTbL4C z1QJ^~5?dq^0%#HfxDxMF0B;(AHxIy@0`TmBcE?Zp<=5L=VUjR3dLj&ZVg#0t0L_uI z=uklzfI1Yw_@5E^&h=Owbux~tMtI~v)S|CHf{jB`v1eXNgAtA;_a=Yp-V1DL zDYL9R1*Wg?GTYcVO$+BxH@*0v$u>L^rrV2U`}N-UKi;#i*6XXkcPeFv1;taG)*VP1o4go`Cn+n4I9vF6&7`OSoAn?FzhA1~st6k-!gB3H@;T;;JJWa3e2 z=5;Rn!3v78SBc-03?+v{gg7_2Xe{+B2N*Za1pVb4Bc((3#wM9kpN;wGAVECK7|oM6 zBoP!nLurY?^rUkWWgg04LGe}CwLDMSN9+FiosH#{osIdGdBOI8ovr$H2RD6ve!a~T zPmfklcTcO`;o~U0AjekBt)KfwdoPz0Ya;#(=^fWZBqh7`%0~_f{Vm(>Hh1Ozbo2?MXlPD(l?6+FJ zX?c^p6a7KHUx~?qZOMU6a!?t>A&-qSFAL2Xo4X$NC^|7K?9K3JND(|*K%unG)OZwgl@{OS7 zsjBut)yQ`e(a$D2@Gj>CADX~3zEZV$T>}w`#ZuX%b0X7*r+)k^hm(CzPBqSCS*84g zX*IUb`yc8O667l|sWSf@F@DVl!2PV}_M?rrN0~}4=?r4N$cr%FKCm7$2 zZZ4$!cl1uPR99+ClkS5)g52oPQN!{GTrWD>&;>2LTD+N5uAn%$k$Uhdza*)6n|>o zzB(*H_u8Ke@WFg60ykcfB#k8Us~ZFX0!IRDv^>8q2>sTi(T69#wp**4eiljqDxbt4 z{PxIadwuDoxs(i8%E7sDQuAO5!dWOajKvjBz|1V5+gL`~z(Lx$M(`>V?Z&i8z)N6U zk?mdJBG2Q>X*k<3@(cS6ocr0()Y}Y|h|&y{^(rHy+HWOqODXX&a5VrvkgZBOEtK!9 zEZV4hpzc4S*6Qk#JfJJZ5nl)m(K1IC^m4@XqnlrhZkD6%79l_h-8(LZ{gv75n)wm1 z*_K!_umeVffB=KNAxpLW?7vCk7JNluJl5Qq^)1vwkd)JuBT1XNp5Auo3~20*B8;w; z3kj7CF)^1_p@4=Tkx)^Io;N8jgU`mr8m8%o{)%DNH%fy4Nvax#s$q6O0>kneu>0rA zqhT(+aeQiL=KUn+<|T(WYI`!0WrPdd^(61zWNUpcu_X^bh$*G@Hw7=>R>Nb`&EU@u zBK+D5>)qx+!?)~}wO7z+jx(O%19=MefR2+aPYT*3r{>aX$=UBCP3a@fEscEh9}b${ zvW)ByMTpOeP^?CqDifhP28+vkbB(9 zrF^|V|A0aRu)2m{*p|=tF0;1DnA#8e`0>+>QbFSjRa#=uwPWVbAm?Ln%`}P5jWjEH z8@{!jQ0okriw|z|IGpI#SKuXC!APht)*(&3&O+ILeZOs+DxGbbJERM{3}#O$uc&w@ za`q+j!+rf=Wd1YS5Iu($I}RCb1y4?fq=|{5w4g5Etb88Z^2`f^f50iNh?pl$fod9M z0y;(viVMfl!8rR<8dr&JefoU`>EmXQSw&Np(Hr1lu<3T_gLv9B9^C7HoyX+eBs(|8 zjP4)WO=z_)XC0=4^h*AK&oaMeZQaoaWU<|GEjpmtgmR#=VY5>FEBn}yc2%ml`6LKf z0l9k;mB~nsmSTJ(qqHk(cS+0lHH$5RZSXp*cqFtaIyo657eew~^IV5ie_Kt}=##Jma6&PrL*OnQl%FGczbCjGr=!aOT=v!$W|R$zYhaUH~j(X#m*C zE63NtdkQr4jV|=KunVB0N#@^OMTamLeUvn5umnm2vf=o?HhASz!8BA`xLD3T)g8%- z0W|t-+hGLDii_kfP7t%qBJ3BhP2VvTMr3TL?vW!1huv`YH1r=_s&7Sg%b}rVL2N?0 z$8dUaGm(RN{~5<(@MB8d(NnlidW2h~vR_G#u<>LgJftAR0b}*`He(A8NtL37geBU{ zW<&q>flF5rC(Vqyf>TwNG(AV+W#l3lI;&9vJrjrruYI!p^Gf)h3JvW{*=E*PnON3m zIb}RWd;U|Wt82hGX&X8tACLeVr)aain@NxHf!I)w098W4D6jbLv7XMlk&{VR?1r*2 zfGz7Pdlv5_@*pW(qEu1-lw8^byLxCQg2`m zPK@mnH_R}G+&7!hOOz)UpboO)T@4OAAF0d@5l9?T9;Q3xSAePrQQbY{(8Vr5+#MoT z0Yc^;98a2&l6zH#7}Q2$5@t3IhiV|_o)8lUjP#lYSCRm5R|q%fGjDSW=;oppuB%K8(cm7ob6Ra#c>x6=Eaw=UhiVr_? z1-~Ra=QGN4Azy@xlf?Ha5`A=!dLBolzkNdktdb^sTgx8pM2w6-C7&a>t){)DB2QkW zBvD&kiM|g_q03?df}P=!v$C|KgB5no*q6 zLefW-ZrY4f!=a(mY;L58FmRA9CAaUcti_{%C7>;HAq!N`$pC#e;)0MQt1S3(M9RP2 z3b?3nS2W_FAB>b2=ZB*`=f3J$2#s*6Y8o!rjG&ZpdRhN*C^(pIJr4H3;&9vh zOee!%_;lBsAA@D+Bi%`Pu2|uE;UVE4u4p+s2hAb#&n`F^QUER~Kr7`$6cbzjB#&ZI QBT9=BBXZdP00030|38x0(*OVf literal 17748 zcmZ5{Wmp}-4k%LGq4>dzySsaV;_mM5c5n{vPH}g4EAFnv-KDt0W@TVvU?vuEwX`uIW?^L^X5wIEW?^Ju zVrC^)Hg$5dG&UtxFf_I%W+qntNvv*aL@aJ%>GIVw{Fi_sp#G1li2sjz zfS>!q{U#Jo|48*G4jZW=-u?zVOloMxGWvsXCNW)_g(a0WF<6u!Q5GV=1cDn34MS`> zSy%m9W8?m%<3q=F@!nujS6z`$!BJf^R#kyPH|}*t^&i-cU-oJFWlKjzdwEYfT>8I7 z`}T^{sw3aCs+P_2)9T9_^Whi*-s}NIQ4i>tyqNB#%l9}BhyfZHC_s!c3LrM6e{SD%l?*|PxJ=oLFnD<;U{Lpt5A5DZG!#^>Hj+_h5pj`W}Az<-RV80 z%9=AO#vY*0+Fop%C`@jXhS*}=jC)K2gCF@&cA>qNxOnRSvsqb`JtRRQ8O|SiqW&$8 zD|0z;5PFggl47f}HNBKo2QVvvh+iTq#?xfZOC8N@(R4uXz1La!8TAo>`Tk9?LIR@y zD%W$-W9Ty=+{u%!Ko|{(uxj5}n>NP<((-T4EDz#Qa4Ti-{4G}_NgOLlh@a3hicrK~ zd1gX~a@zN%j47i_LDto<#*E7&ABy;ba-b4Tsnl}EzckKg46VMJB(0aoK+AfgqjAH6 zqx0K2hx}LZ2H-Dxe`0Jn>EsM~YTo!t(!9McXyA2DVzU~rV>PWr*>6s#u4+Pp)y-iv z_0C7Pm`!Yf$oii|Oq6N5 z^x8fyA$8ABt($SLLPYG1V`GyQhhkYHq-wh6Mg36wB)MuRuGC-E1EGy2a}Z{H1S;OB z{I8dk?lvVHtrZp5p?Bajm-csDRf^m*cFK;m@}*JXw6w*ZqxQU~@tpB)8Ez{smNVzR zY+2_behI#SjGRi2v6r#A;t4f-&2$@W0o$9X4|0}8>} zm60{oy_p9^KO^1$O>tz|djDs8UiwN>x{pBfqPd4n-H!Wp-Fh(J(9CUJZ@k_;p2(>b zFg?|YJc|ojnZdY009PwYb~@B&D^YQPtmC zr3<8gZ8fVTt@4#3c}f-ARDR{ir_5;YwqB~1nZ2v~3!>vKlFf3(NKZPVzK^L8;d;X9+lV)16j3F9-SHe=-UKWv}y9Qz@V5ZLIx#{B;h|6FSSEL+v| z34rNe4I%eFjUic^ndoO7dGDm!th)qwU~k4fown{}dBE);Y>m?17v};UeZqh5=&chg zvE8mu;Pm$zjP2>XiGl_7#>O^dNb<3TBNF_D1(BnAqFAjSf&ECUgKiAfenvyMlJJ_s zxVFZbV69zbwd#=w|DNZkm5cgUxv;@!I0y9n7p#rMPYG+~RYR)DH}yUbQBE52D3!=GlP zo)f@z3&wFY2_$kL^-i?-R|ER%n>2;Xm)g8 z1j@sza)jNwr7t6-KfiFLhc2WZC3+o>CuPKN{Ki`eZRJ1JvC!Al)Js+3&+$PYhq6_x z=#qgIXUTUTY99j9EMuk&>zG6+zf}R8dA^THyPv7`Pam+5Sm`TO5L8H0mOrf^3O2Rx zY-yUC0dPqowvq;}C!qaSCCmNX$zKoNLGN3~Ju6x6*q`h{72k-A7vHz}E`ZE_(yF_9ZR|hh#h#N@3$;2inI_=B>Ijk;l+3yN zpcXw+wxyb(x1O~szqy{p42na)t^1;FQ>T{9oXMsbyCb{h?lQfed-LF^%yYK~R-`rE zcd|m?=lfUCsIRY24e|K=by9J$w&$B|vWA~tj`X|ja!-dd_hY^L(Zk=j&nEBXrH+T< zYGrMXyTApEIK^AN;NWQa*l!~yGz8cqVpi{}k6^N*bGAGi-hTeyPrVea!n zMkABG;{3*GT}X+#Db39*4=N2=1qjyRR+rtbO}b6O_wfZty`x+!K<-;qI%sF(* zbme`d`rUL(GFi#;1Fv=BIZ}@||4|64ZmFiOkw+Wy49em;01Fy>?0e;ko6@cVoeY1Q z2GJUJI55s_#|L9As?0oTg8y&VRp(^kgb(hw%-o>}YrhURuXe##x542H!jrQYDhbA+N_10}S${_XBjQr4{c_!wVS zuaSL5%$GHz)6XiXlCe^6B$1Zc%Ci~MK_@MiWqcbma#sE&%LhcvAO~Qbz}Hu#_EZ=8 zz5%w)SJDRXXfUoP+={AVw554O1`_jpWJWo;Qwk3XZxV~C+cgN6756E#9_izaq}hel z;zxRYr4ggs51jSi*+$~%#VJTbZn$@SE}T!M<6pc-{Fry&TFFCv?vAj`f{WtA5y@>` zGvMhVS{`a(8qGGNTn~I=s72LZ;kNz`nQ?==VxFGXqW!xuMr`$dEI;A z49uRlpEvig87&jTbCRugBBCS>yIdX}%Yn@r^@PS<$$1j3T}U82P^qWpJe5>9BFq@~ zJ~oFE`xyxe)%sJ3G`96K@}})F?vWru(EB2WRJEt9{|@2=7EOL3@`6gXPWFX_2+H!O6Ln!_~nWWj)^NirvLmzBv@e0`iR@V}Hhp4}IoDU>RL(@*R zmkP_2;T-UQ>+?PH$g=*PvX|S7*k|fb7kK9p^R2<(LwH|hHa~{c$0$-$8tg*T=J&r- z@1}nze0VmNnCpI|dXKzMZ4m^vM1Q;j)yyo3USn7`i}ua4{l$oBH>A1}{`Yv<$J#nu z+%QAj$=lSs;kL$4@d@@$5#O(Ev|Qpy(|?bN@ujvfCKJ7abb(*O5q635(SMf*IqB&G z`lqD4WK2m#rK>WE6;hG}5z^OGK99zYKM&s;Mzje1^3(3u`;~X)zSKoF{QTYnI6fXb zOgYXt6d$9O9PU9OIhI^36yxwM`F52;k0|2JOakoNZO*8oQ|p_GRYrN=^s{w#dHsld zv{dw!e8fw9-}My@rTy1K1ORsat;YKHTUS^o`=2(~s22>OSSv9iUvQzXwyw4>|k+73GEny``w=A5U5`zd0I)sJk;%Nduh zPJ2s!*{;QO4!aGd@bGOCQz)9uGeq(51N=sp_oWhZeC7@b|f$h|*mp z_?U6J3*x#>Hj3E`JP&8<56dIY5Y94@5} zmmvc5e=7QJ9i}o3*Z$>K2bhwX@>pE1N^?8}i1%-Zj`L#OyScl#BDtcVptv$Rj#$qH zMrq!A$YU4oS+nlS)D#Gl{RM8L+jd|LQn6T)rT*Hevz%-zGtkKx3hV)qb|~2Ti{bc7 zufiTuX)eU0@nRG{?HW=*Nz&lwBs7{_0j6q)_b#ms!kTWv4QP2dqoV&f(mQ$ROWn`` z4$6-$S;@$nXRdSOZ3Jt1_t<^a_1ZN4m5?MYIT0Md&nrYWH1FAyp#HpfZR(#P;ZRcA zRZd9Jq)qlmf+sTQE_a$g=CQc>>V=-eLBiBjfX><{UgVR`EMi2({`g z4xXT}X19b$Mytsd(Q{!z@Dh6(E?Z-h9J46kr|1=I;YNn1zYC)+n%URp@0PSKe7V$i z!pM!|BuCOv(}Uytq`@LpmP!}e)x5uhbomv?NL|8YMc@xi3$21_WW7#E0bAc-s)?1MR+cjr>RR~ z+Afw`R1`$CedV+zG41^7AH=N*R9gvVw8UiyR2=}S4y%VZG+g~Sk|py_%#}-hu7hI{kZLv~zPRP3TnyjkpIT@BomTxn#f-KgfNCc>_==DU zIrGl_zaW}2vlYwQH8HH(j`1hHIjO@<10Vh(HT@o%KoVJk-fcDMQ%0Qi>u1YdOYIPg+1!zXbf}=Cy93+P4$y*@f>tHqb=W#~=msh?23iB%xU#S5xxH*sOlc3W(DQ&^11&Nf*_!5{z0}z~in$q& zAfdlgF)4>k$4>4nL#$tTtYCbDI+nq`gm3lYSF>8mG=k^f%kTisUG(S{MhM?ztRFn< zn_{4~%wq>{3<5j*{Qe6$m)5Ruw>53xNO&aKW`@SW>JP)7w88ktt=4tTM!WIyPMD!H0oL(8@&eXo=xlLr%jnHTIK|;!D zv9q12pgQU5{g*)uZMDh1u*j;nq&&oOhg#DL^jlKF|RG$@lJyTkGz6cyPw zugrT~KWMc8o>O6$A3-!J&*8wDerhxqsAqWW{ zjZ$@tj8;+W5zYLqq8fFn63{Or_YqM3hc!x14y)XNu_`vOzS7m+X~JQAEY zTacIarby(M{N`3JT4KOMuzfQZ5)C^G;=d`Z66u5N7!|mJ!7d2ADlT?MVP|9=NE4es zQ$wqNri3$LoD~D{+&W}x9rlQKAg+F+7y4L=Q9_zaVKnX;B(_*@J0SNaB7f+JsqMLlCwx{A54jQ^nA7-iqbZ5WO z6FRfhJYRhDFIzi#MD?kaJRdZsyoiSLM>`Bzb%8ixq7zlT8oc2W=1x5KI(HEW8vvTZ zWP?BQA`J-`q*$}O{RiNsS~5K97~P0WoRY*2_aHPd&{=b^uMP@ zNf&6Z{f(ITY$9ezFeo0)<=h%ks3e&tUft>BNZ_l7IHEf+g1z~0S%tef{(hM>#gQTO zes63T+|wY^|E3Wy(o(xu1>N9H*U)jMt)UD`8D0k5%umg zB_!^G@$*1OW@^XQSy`W)7(d^JgV3mdZB;2d@OBaYL$0c|=G?9cFuR^O%V=_=L`SHC z%T9U-=wE1~l7_Rw+NQu}&v#2lHFX*}?w;?syv&aCaio0;gndXIQL0tW%b=5)sg>GL zlS>_BNTp$>$Nfzv%_1F|8$f~^n1V67-8t=CrsBw9?Yec=W~G5T=UR`{I&uBT4r*?* zL0l`Ia`?sz(po-(-mI_33?(TIjryhLZk1pro&lNFLU{7#sDm7Ez|bRb`fOb7{SgO! zw5@iP+`-sCw|Wp};mC-oG~8IDz5a^M5K8QKU`w|Ie@jPe^DrZOn4Z3-rqslztWa~5 zUP-`cZOAkIoh|h^T;2W{^jCQD;`9S4`nsmBp0mzP#kiDr`XSdUy+fv=@S)K@` z%9Q(P$-jxVEqKFyKV?5#UbfP{`2%s3Cln^b+>8Gh-R4`wAxhv|wIM<3XI1k`Dk67? z{$nncG#cjvs)6l%rnbNE30%;7)DQlZ?CnU^F%_eCm?Z$m5f^Pz#L`%H$oRU)u;Wc| zspy(1R=h!(w%n!P%rybc-7_^IlprZ=NFW!2NS%68H`wvmm~ z-H2W;CNcK)sr)R7x960|y_;yU?#!I%jAlF#U_c=}Qzzyvh34@>f z;>_XUDOe^tp#A34rFz@Kb!Q`@yW12Mwq;R4B!)fe%HJb=3cC(+w$EkQ5eugRD@V4&UqF*hEqOvj89g)D2pX% z3uE%?P9D1+p^DIKL>#MOo1|5oi?u-xHL|fw^_Qa1|17H(QC}6Glmz?0&R{ zyP>66?6`_yWOlQeKEW;-@r-!le&$U3qYH_emK{Z4y$KC#GGdB%kfXQWtO2!zo0}P^ zS%D`Uqp&LU9dnnoNs*U>a&cLd#+;CbfNN*GP*h(+YGrkk(kRMoPmEJTg*jOf(*Xi4 z`j^7cQuJ)uuyr{m)FDNR#FNVX@F=g{siQ(?hC^gn!m6pZw93fYyLm^4*8|`&GsX$> zfII0{;H3w)k=C$lCDg-Rg42yJZrULC1a`b1&Ye2K%W&KlHVk3(@+QnsQ)$lI)leCM zWoNEx2f+bik4OeH{>Zo;$Enu9w9p$Izyl z*0R#k%#p} zKMjWU1g4R_^M>gMKXrs*lL85hRC@N(x!U8J|0>S?5(?#Lg_BLtBj?B8UJm+%jO$;H z5eV_%-jydUu3FhLC;c!VFemxo+HF9a4?QCOpxVs|_+%soEx*0)q(N%w!2oq%>_f6Y zp#W!I(8mKn*(BV}i}t7&+*Qv%A9%yy+kTWr57!8aQ$W3t{%x)JW6=-Aq`FvXKfpc zyVX4)X4yCCv#zpy3;(U7S0-nFLYy0rYRfap=exS#i?DhN+%J-oO{Xo^d70ZHJ-k?U zT-KBy);R4^pE zMEW1)p3E4?LnLegm$I7izvtqk;~lV~-aNysvripNJ@e4Uq;g(eJ6&3vtRY~X==rC_ z;b(DhIzh4-XGPL7n@4!0yCA4g>omBV$10r6CE~M#3^Sn{GNlCdQ;HLuHR-sTn7L)y zIQRHcnlhPF;x*a}`ZM+$92tTix?@|~-=&`CvYmMQiega{%hZ?1=apJe+mjew<6O#m z3f1Lge9Lc^0*=@fRS|-8p@4j$CFtOq~CIM|qM>({p}Aw);sAzH z_ccdpP~GZ6Ly~CD$~${ue}{8~Cgfo4P-|;EFnEHW8p2@E=KZ3Oo1a3mZ_bGKunbzI z-+lt5phLF62Z4e(lB{zJdo%#FoAvK|M(^wcenLK6$jcf#6yWc#Xa*hyF(wxh0QwPD zU99d{kq@ILL=2?|SfO>XHWX&gmJw&x7!11lScrFGJUmmgyk4l5-E>Hn*KF)xAc%gB zI@?YhFV>hIp^VJftKMFy^II;e2y7HSu1(MN+zbDG2Hco{IeY(ZQ{V?l(kDU;TI05I zSPMxv`t@MgC*E~Y*z=$590#3Hw#QmVNgtTq*zHz`6IxXLa1VSVu_pE1C!V=RTofaD zvEA=-dr-JUwzeW~Y6IG+JrI(dF|UU6jssUMKLYbhakdaS8fPa|-`xG|mr`rHN6hDL9v^nXs zqwYtnRBVcYay3^I{Oni#cA>3R6t8XhXNJAcg?eE49W__$&ZDK=9`wzJl%W3;>VTlA z&0#x6{1E^}iNXiB&2DB|;!RP8yI1hfY(%{_+E#d)*YD6Y{1{mfAS>faYx_~;#SGw0 zx)HpGRfx8jO}4)Qy&915;hi+f^8`3l&>i~VQ!U|+LAnIL+i_>t?_iKz!ncD6G*01E z@mAlyg|`C>r29wevfVQ4F1!tGk=pVnXeREq5)n{vL!py0NS4Oxl49j#=9B5eVMeWP z;cY-&W4vC}+3uKn2_mhQcHCYRfV=`*ke4R$;w_Ox-v{CeBZdYjvk(>55kh=3SvuDd?Kn@kofP2)T0xI-0I|2WDa$FWNnA^SXGBdr>r3iOvf|Y zXtlMLCNkIf{CeL!>8;n)t95yomR9*%s%-Bw+yoGnc0Sa7{g)A~>bA#sDmZt0V(qos z?0m)#xig2=h=Qs;j9}E62%8PqjBBp#XqIgH+nWqRE@EK9L2zIt7+zgfuhv^!=c}<5_^!>=9Jli%%+zMF%+XrC zrrl+#2!E*{)Hlb0s~9V_S3L8%!f zb9bM}dOJvRFGcGut6mu9~5)s$%@D%_=C1(QfO)B5-_ZSmTxCu%jT@UM(P2 z!USLEBF%zZ`#3>YjbqkJ^vM%yoJ_CI3JvMYI}Ut^ugDSEPyO3ec|qrVl6<2WR5|sP z;Ek`Z|A_RBnTu>sN`785PsMGCeS{i((eav7ved84N?_i;O|Ey+-%9#BySQcJteMsA zl=G|Fi?Z+Nv?qMTqE5$5|P`Oty8rR@l8$Z1WtiGESRKIi<%4JT1lhP zM*^1t=4&DX%bmxxv<+E3vKxOTRit1XdyHBv=@L2D2RV|eA|2D)5u#jle?nV_n{KMH zs3)H&RYi3Tz34PwCpR?L3%HGvZ>iil+NgJ1Xr9NmcE47+(a+zS$5{UhZ|th<_PWrd zNUT{kl7Am1+D%Phi$Nai*<*QdDX26|eR53>m1i3YB1Ev#+tykB7ygy=5z#adIWzN# zHhL`b8sBZ|X`gt?DCN=b^5S^HYo3}WG40k?Q#+I~^|?Yk)EDC$$M zyNuK|UAK$defFBUdSpWwFcP^N#N=Naj=j!k@lkHF)8S8Y&o$N5 zY8Xs?Oz$s#nwr|CMbzt-U33I7D9=hNlQc{$nHslO+R)tnPLsW#j~|ic3meq2(;uc# z+qz3RxmtsIE<7aj^HwZR?-QDL`+mvKjeB+Vp>+bt zkCs}tRe|Y4%CL8}81aFgnjD=RRP|_ezk2TXb90&|QF918_4;vS@L_PzqPYp_gZV+Z zVq(QiM&|8hlHA8lJN3Tbg*x)pe#RSRo z_C-lkaKM(T+Hv7Gi*cJe=IV&k&Cr|pmPL~My1;o$>($dmyiLzsw`JSL$M5mI=guOg z*f>G@$LqE668X>D4qWlqq8G;6RZ9An7Qg(5+w0d;`Qal_<%P_+`Vu=(`C~U5>YZ}& zipToh(o<6RLGHO^=tJOn=it-O*3YVk9K*)X@qKi)$35`o;sMG2bKzgfLnhv{yz8sa z{p;!$73VUg+b3+xv^W3Wqt4kAe5#=0`}v!|=XLVyQcj6?+cdDl`&sPW+r348RiJvv zbU9Ui@=5OgcJZqo<4xnI)!AG6sk%?LShUXv=i;q$xxVki^-0cH%j5L^*ADqXp62sC z`=jpcXud~Lpzb}s(eL~r=kh@Lf=J+1*7Y4D`BUZWs=_b-0HY~3R&bFKXkG=EqdSbP z-r~&C=}-AhU?MV2L9`N2_#z{vzVuxqoC}?wo{T=vjm_dSvw#3a6!7o? zutjV{Ikcz{vhFMDT6m7ZZ94t~xGi_-{lY77$c=91(^ZIpCsgcR~tj&Z}au%DP^fVmh0*y3BL?^~$* zH`7aYpf`>?H*yBLX~sNI%rH#OZX&K${+6=`$q6R)cj2{8A6aze`Z7y;<600+&FVp| zes!nr|1b-#0S5a=Uzp%$eEml7Ve;sJcGG7;$FSZeJ7Rt z`f8)+UUkgf$S5E{SheL|WzOBmE|`S4hyhf=HP!(x-g9+-7re7uXgf8w_%~Baded59 zA6lpfaby>CK{noVuXYIW3QBr&S{xl%_@S(x|3-O-5V)HFT%hD$Bp1BHHSRe#<^;Lv z#JC|?z#Leh1T?$HJV?&`8kx0&zDNUHaLmL^&e}mC-CD57>z7k52Ira?erY@MQc`eH zEp&ydS9)!3JMQpqa&4*BHK}Zh|0jG>dgp>)W@3W{5+j-^n#|3&K32M|DGa?`)82@* zbHt&IVf-u$@n%(gXsWdOJ`HNtw`vnHC3!E}pT}H=dZJ)z%gW;EK4%M0ve8X28|S zmdAPAB&m4Icc)O}GKNes*eqUeX|epM+ThlGW~(v%sbl3{Z`tek9(^kq!!Y7ue*QW? z`t`a<=NbHIvlh(* zvW|qA)%98dlQx#d>535}9|ILEeWg$cePCb>Q7IyotOrua$l)i%+FkmgvAkT!z$p~J z8>z6>OY&RMzOyAu_|rIF{x)(5+(XUYP_clUN4A28koGavy**bU;mv20gjRM0JO??u zlK4yGI7t+YVviJUS-zOEF^UqIYN0&bE~;=7S(FT#g(Qx?JJ}n{1XxB`RJzI1f32YP zyVn!i&`HuZk99ht+@u#T8vk2WmO$89aD$|DkI-k~Bk#S_ZgX0X{wn%t^(>Pw9fvR5 z{p0wZ<@jZxWr6;JOWXughw>K3YQlx~r`H+|l4c}-7oWxF#_^be`t|;3EARD|W*EY- z)(tV(boB!mO*=zp5hNJx0u*;TkICO_ly@bVC1vu(|_Lb=zmRTh;^AdLbp_5#mEXbKPRs5S?a716=mtRa7W_c%K&VtJKNKRV@eCw z?Hp;q{pn6l(OZWDkbl#}HnJYPI3(w|gfyB92tQjZ+K&uiN<4u{TI9mxuy_*chrtt= z`41ag ze0`W-6n@4KnqAOJXtXmX!X4y<98J5~aQn4$hW_a9!p2VYV8o&(p>*xsMog+(_@rm3 zpWY%nK|$gK3d1VZaAh0-!7O@PUmrdp-?ryXPYKQ(EvE6^>eRH1TuObL#9k%pwm zio8&Tan;emQa-Yd_A7>u;5L{EAC}%yeb%P1(8{_Pg2XmNqR@6GxDhHN?z9H3?BR=4 z!y&Nz`ZkGW2B+J17*Qnip_{Zw&G?Z@sa#Iv zCw=OF$G7SVPO?gltH=6pn8mz{;D%vmgRw?T`}LHeLZTw)Ci@K1mV$8vJ<-*r{)`Q5 zgdf#G>@96OD?~~O1Xua6y2(M+v0m%hM%?P!|#k;7qO}Ml<7jNz7n#J$hs=>x?+1F;Ku0S4dI|ae1EbM%B?>NyG zn8g*n)r}#&3q!AD9v4#DQV`a+lO|%Rnl|AFE4b5(6s3v`=I<(o)^VcKNFq(4iyGyf zC%H?+AUh;H5qjZzt-wZmxYP3x;d(4+O;^LX2ZTTcgrM^#CJP5T#0D`1`xY2YBVe5B z(SL{n{#wvEyP(C)6oM#JlcQeQgBb)>790|lY(;Lj9euJX4IQSbP|*de^FSwYO*-}h z$T@3hH?`fPjr->x4@ihd%oIJy8hWfb32a+QX;1nxg$Jrs{f9MrW3^lP zJ7QG*YVo|PE7)+l>A&fG{j_?KDdpplRc#)mpnNMvYz_9Nvcd>HL}Ty3?Xb#oizyR@ z*JH!~ur}$y!kV|mn36#TvL<$pFdjzxZ`Y)pCdB(s=)Z&jAa(gq3C}=)BhbWyXbenY zr|?mMA{gf3g}CK7ql3@@e0?vtbjSk7D~UE3_40#KPuzHyNc9~;+Zb)I;B(7gBomB} zs9+c;Vu_fd!FV*q3W9IJk|WaoGtbzJXR7!!a1F<~SRfold-Q!h-cgU5f3$o}rw+~ZWPl&Cn;L#UphS=#8Jpv7Eg{HrppcuZD?lS{nyMdKa zM@a$lwA#^>r&`+}x^IP@*!ug}H%3CpB3&~K?jm3QcbSY@S8A;|>F)13ul_82bF*8E%nQlX-_z$I97`b0odroEI<9?ufwjgS zt>&KY^A3*+@{(>?-;c$GZiizDvdDlA=H#=ws$%Zy=v}SoUecK2DQf5&%5c&0h3dx{ ztTcJo(r!8C|7Pj^-j?ULSXox*1zDKZsrg6}2SBsFbI@<7KA{_wrYk7DFq_t(d2vuC zbV@WL1HR=c-l^tPK#Pfmfo6}hEd6cd(BnS8@+dqp%_PQ?jW2s>LpjT~@$;e;R|yTI zz^p#+k7f^fK_mf|1!wr;+IYK?y6d9Pa=HT5MK4#?(B#ErE!C!&MT63AhTkv@(|o{g zA}4U-1KJ%gMx&sf49A+dNg#<2{JG_|nms=@3o6CD^_a34fUZF8wAV?pU+#__b0W5Ex zlQY_R_zzxo6|-pv|0|3^_MZ9?nBcyU;J$(2{F{C<_!nqu9Y9V{iEpS z4(Kh5=tfv@pXfa<_JxE9G8jQq-(7v0<@xnhR{e96@mNvlpZYF*>~qK)II7+E?dD4F z(kEx+*fahe%$pymRzz-fueis^ z1}jcNDyWkq6nS3<%@V|R6b_~BOWA&G84K-_xrO`z{rWor!lbYgGsy>TTu?@gJ#k%G zA`?TWtO5UYiLNmG|8jyg=z|^p1y6g2WSWNi13>lXMomK{;-NxycObru6I##vvr{vX zh%Cyzv2-E*=F=cKa{Ct7{~ky77Iy^M7e3NP?(+l8CdRwS7aWxmLUH}$(z~6m!x0Izp+f|G=kzY5WAAKlovK0y4XpjgdeFu2)-J_}05{IsO z1FAsr?sJgN8OUc56o}*OP0wO02Vjq3)+n2i8+vaMPzT4|bf5&3oHrMeXmUXfZJe1*xW^A#h#;J5p zUj5inL84qIWkR@bm{&v=TI=&`wm7%fivGeS_0%5CE!BJPBESBL{(=t1i~Y^MGJn$j zgKw~63J`7K+FjvRjy;PQJj`(WWjP-ZJUc?!I*7zSAc-Y=8GPDfk8rX?H+(nV$q2d% zz)=#m&X%`*k;6O&UNK>Q1gi1qAV{@|0vBUY7k@{T%-+3q$FOFm;P=u9&`*kM=<`p% zcE@)YaLPvxG>k7@s=z8KW^}-k>igSF3(Xr0kId~*@O9es6-;G!oBGO;1?e)g50c$4 zHb%&8GuS_OQyp5z9+pu-?@LK!jGV(%`t@8BE}UFX!Mw%6yvM!1#dW;LVY$4=Tl_f8 zFWMj=YjrshB-`}A$hG`htP6!Pi5T- zs}fte4ABSD@qsjuzWPJj8OE~C&k}eP@wNOBcSFJ0MCx}Uxpy$-2!V6Hf_PsRGNF9O zz3N;&)k?evpMT7x#GqpOj72_SGw#mo4Ve4?TRGiS~GAr8Tc#6OaHGOM1k7VGJDkdJ6@gN#wzl?0matE@o4DIvWs}wb5Ap zbK?>?kIf+h+v+`>ElkdLAkWKa>-Z>HSstK+jhp|O{D4pd`2vgv_U~+9ez36oi-92i z?WRB1WI7y}hyz2(%IGS6FU@?9@+qbO3LwBN>ndxKtob&+?q-eE^aIoF8f zt_VyEpBm>E%+Q>vB7FFH*ms39u)aOq$VQsmjE(+0jaO2H&OnBD4zzcD;Ub`7A*Z=C|oabMl)M>3NKVf+lO=RN0 zEm&dC)h)QxG?ReD$}5 zg8((}oU-%YXO3~76*#z5ml}a`~dwV8!lKb%pdWEgz-^S;0UC%=Py>qqW^7Rj@WR` z&ly(Qbm3zI5mURm~)nXRt-$pGc;2Q z5LM!%82g%@ao3BOZ|d1raGw`A;{G0o{}y-kO!5gQ)wYoh+I1S((HlV18!#j0OX-@U z-A4kF*guGFei_>plxJ)qfVX-dwID(8i!ADCgK+6IR9-cl$h$S_>8+hgQpPTVT~eB? zd~mGCx(WUmNyWZv_J!U6rrtniz&2}NH{qK_d`|-b!LfUfjbBsB5m4t%&p!I&qtk8xLkaTmzA3(mMJ%(zR!xNFF`i^jOisW)KX74`|)H{a273;*1O zeO-!uou(fz=n?WZ8u`?Kecg(E{SB2cGbn6_=I`lh?=lDAJq+EZ{-(l(}9O?*_ zZ8bW-^5xZ+d`|?ug{7s1g=I%I<=akdjwX(hoXN<#lQwMWlDqF}YY}XZz&)7x0_)`} z{lxdSUrB*}(Lau=&P{7HxGyD0@UwsssOhpE=-jafOS{O!Z58-Mb9#rZ7(daPK5s;S zK@QBx=PO3OivS^Eb@H1V%}13KeJMtX!Y@Dt1@0z)ce`gl0zNKfJyj~wL4H2M*}KQM zxQHy!w`he8UX0-6eTE`vj=q;?(&FD%1poq({=jwa)G9w zAmyB|w&YrZ02|G-hnDo_p#~=2z0}J@Hk8owC=HMQrb_^~NyDw_MmYs4p(PzE;qxsI z3Z2;0iTGI_f2l;~Lo^hNn(}BU1d4^5e$21X;f7`m`EGQ!`4=^>D5l+Cv$Gv#CtO0P zKr+TQ*!zk0kIx_ zBKb8ypn1p1+^O92PdI^~KehwEBbRik5?msO-Og8lR7S-+=d);}lQf7~_|_DY_(H{w zVx5wPc<#At&*AimhXNJSx9BT{&r7w^L_g-H(NFeV@LDZ6=G1qdX7+fSSq0N!)~u1q zmiKMzdR4-Gon+hOiwDvh!*x5mov?Eve|gqVq^7RK-HF|I5ds6N2LtsHX1pt5n@QZODrVqOIhR$@9(-LR;_d3HLzVd1_JU1%)%w71KF{ ze~u*bHlv6vzt%!K$nV(=q)iv}JNO`3rl37SWp&H!g>kmloUz<&Q5`?2rtThTxv&Zk5_kuA9GaSB%>B$TjT_~ z3)rE3_BYC4uHxO?vZ7zy?1ij*|lgPk*4gIqj9JrWNsB zTEVC(T>O79Wg7A|w*{9?ks`#HNO-FfBR2FKWDyk@OC7`q}M<<~%0 z5)+@Hi7R9JPML&i3*Yd8-BMof>C{6NK4)W%b+oOGUp`#wYy&Z=C3Dn$axfWOoszP+ zFMq_Pyq=txUO)W-x7m_fNa_Mh5NbOUX`?4`UU`{zF$to(fh)H7kL4{T7Iy%>>2XlP zvyhnCg`BAq`-vlOvUqrQW`mfYfUg581hYhpg6$gA(r+63*ve z=IDSiL7^U#it{2zXruirhH1XfyF>*$P$}Qqd8x_Dp;p_1*+oBJMgNHsh_LVg-F1zd_5gabV#wFwsY4v?!HwjXj8D z%4MijopFZ{rY2-HT6T29%&u-uRTq3OJN~T{NLpw@f5#Csrz~&7{5BI!_?DC%M7OXG z@EiaMqwu1bo=+6xLb#@ZBPENgo#1=^p8$6Ri2GVp0h~0I9f#jIzO(S<>`8iIXq=+W_H7|OCI(_dJpxn- z1*5#;yT^Mw>t;?SU9lU^#sRjhyX-lj+f`L zf6SnaDk94tI_svR2CvKQw47qPEp_eI7Ekqq;#k(3Dcs^2@7b1{2q&!S_ z%C7)b5u$o}$)Sr~fVekAAS1}!gX2jvQgW}#5QExCOv22@;ZP0a+!tcvfRSF);7Sqz z?g;_sL5egfZbF>}hy)?79IvJ_kmX`=8<@)#wj!uZ+OK_uwuD?6ES^Gb3dgb`{AHgfsBe?H`ERM)&49T>X{kQ;i83m1)!oL|QNjeKo?fR<- zCRkZ?)(HjSLE1^#YDafBS|8SS3yNww68C zg%}xsN;<3v3KFe`tqJ#Bj7D#$ZebF=weAI zJcu>o(35Q)|KgB5no*q6Lej^SZr*}ZBcY+wY;L58FmRA9C%5mZti_{%C7`WvAq!N` z$pC#e;)0MQt1b9)M9RP23b?3nS2W_FAB>b2=Zd!KA8LH6l?m7|#Cud}}$T#M`F@p7|06pN~}Yk$ogghn`3H4T?*Mo`K) zy<*@v6dX*q9|wDIak%4sW|HAAezN<`&%iSDk?y2CSFCcq@R0BiSG1g+hvty^=N29c gDFBxgpp|kWipi~il1H(q5v4_m5jpIC00030|JD;UY5)KL diff --git a/cpld/db/RAM2E.map.qmsg b/cpld/db/RAM2E.map.qmsg index abc2600..c333a7d 100755 --- a/cpld/db/RAM2E.map.qmsg +++ b/cpld/db/RAM2E.map.qmsg @@ -1,19 +1,19 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600299285139 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 19:34:45 2020 " "Processing started: Wed Sep 16 19:34:45 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600299285139 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600299285319 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(39) " "Verilog HDL warning at RAM2E.v(39): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 39 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1600299285349 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600299285349 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600299285349 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600299285389 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600299285389 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_e4r " "Found entity 1: UFM_altufm_none_e4r" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600299285389 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600299285389 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600299285389 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1600299285419 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(101) " "Verilog HDL assignment warning at RAM2E.v(101): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 101 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(104) " "Verilog HDL assignment warning at RAM2E.v(104): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 104 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(551) " "Verilog HDL assignment warning at RAM2E.v(551): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 551 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(568) " "Verilog HDL assignment warning at RAM2E.v(568): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 568 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600299285419 "|RAM2E"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 80 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600299285429 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_e4r UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component " "Elaborating entity \"UFM_altufm_none_e4r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component\"" { } { { "UFM.v" "UFM_altufm_none_e4r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600299285439 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "278 " "Implemented 278 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_LCELLS" "208 " "Implemented 208 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1600299285969 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1600299285969 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1600299285969 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1600299286009 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4575 " "Peak virtual memory: 4575 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299286049 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:46 2020 " "Processing ended: Wed Sep 16 19:34:46 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600299286049 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600301677825 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600301677825 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 20:14:37 2020 " "Processing started: Wed Sep 16 20:14:37 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600301677825 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600301677825 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600301677825 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600301678014 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(38) " "Verilog HDL warning at RAM2E.v(38): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 38 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1600301678049 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600301678049 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600301678049 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600301678093 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600301678093 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_e4r " "Found entity 1: UFM_altufm_none_e4r" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600301678093 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600301678093 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600301678093 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1600301678114 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(100) " "Verilog HDL assignment warning at RAM2E.v(100): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 100 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301678114 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(103) " "Verilog HDL assignment warning at RAM2E.v(103): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 103 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301678124 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(544) " "Verilog HDL assignment warning at RAM2E.v(544): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 544 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301678124 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(561) " "Verilog HDL assignment warning at RAM2E.v(561): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 561 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301678124 "|RAM2E"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 79 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600301678124 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_e4r UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component " "Elaborating entity \"UFM_altufm_none_e4r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component\"" { } { { "UFM.v" "UFM_altufm_none_e4r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600301678124 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "268 " "Implemented 268 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1600301678637 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1600301678637 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1600301678637 ""} { "Info" "ICUT_CUT_TM_LCELLS" "198 " "Implemented 198 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1600301678637 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1600301678637 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1600301678637 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1600301678679 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4568 " "Peak virtual memory: 4568 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301678723 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:38 2020 " "Processing ended: Wed Sep 16 20:14:38 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301678723 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301678723 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301678723 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600301678723 ""} diff --git a/cpld/db/RAM2E.map.rdb b/cpld/db/RAM2E.map.rdb index 541c185dbb5def0147a3a4a5c6d28ae92dd62030..f42c4f6ec3989819fdfdefc8392567889b8f12de 100755 GIT binary patch delta 864 zcmV-m1E2if3El~icYjN76h{!=1q8}~5XyrC%AqcREv@aHHL@_LES4P+v1nlpB&Vo% zwrAX-r+d^7!*GHB#ho9-ElR-odV2Q3!`ED3rBT;(fA#vRYI;vjPEJ1H>9oIYx066D zS@oykl{RjEu)227;O#xM{*BtNp!GAhhKkAB3QIR=QY&}FgMU2nWywsvsy~;%gV5Oe z2X?~qf4#u%`6dViei_0cw@#>ner3Mkb{^j9+I@|;zY*fl#Uxld)i>am=jthe`s&WTTVLv z!tqT@GYnIq$bV@qZI7fbL`Jz+S({N}O(@ z0g0g^EDYztd2i192&F}7I32X~E;U!c zh%)lcs!EECO(iF+jR&^0xnQVA$#db3KrLXUh`IKV19Mc*i;vGG5^oZ-8U`zm4v9^X ztWq0G7k@doR5ImrmN{?0<+PsX5sD5g%~sNK5x7MkFpo%#cp11C))_JNP8+*PG?tvf zq2W0?q~$nSNpX={6KL*D({nTKn2>fe>2`j^G#qM&;fO(U*|59(sJ;#367HCYs)Sme zy}}2!^ZnxNSQzJF=_AaWfC>7K4@NYVI(ruNyMNdUPy0LlJ_7Z=b$J8eiO(|hR_-Nj z&{Hrn;T5GjH0a++Z{69x4PnraMz3Lc=rKOt(!G1Mb8F+SaP)*5i%yD=fK0bH`2a5UJDsH<2G zbyT$>`7xKYubgo8>F5hUAFxu$_Vx|NM#JCfNi_CTW9+n2ox^FmdpkleE}+X=oWkfz+mQ+vURRtFQqNevPNmYPuFSvKLE4E0~rAg9=Le` delta 864 zcmV-m1E2if3El~icYn)n6h{=DNf1#w2t|Zs5wW-%z?R1L^w_atRv9cCffzJl%xi^e zdaB!%R9Cg?Vd!k&zxWBhg&l&#oa*X%B;YNZFjA{t=f2Lpw`xvLPf!2B*J*#NbmB3 zNxG+<$oQuM@4i8g?CYvFqBwT6w!cp4-d?&f=%?E>l#Uxl``Vzxm=jthPqa7WEhn9S z;`q9y8Jek3uq}NC=Rg?Cv@{V#Lxux9b5+~Tr2?i+Fh8H??OR^?ZCjBhTXZ!?AP-S#sSXqWG z4PQj*WfNdjw!XBHFl%)tnBy~=>CBg0xrl_EP_R0g^EDYztcyG@82&qMCI32e1E+tpM z@G|nvs!EECO(iFcjRm&UxnQVA$ur@OK`mgVh_Ut%19Mc*laK2Xfj5C!4TY6Qg~Xyr zR;i7ti+`M3Dw*;*%bYjha$3*h2uX*QW-DpA2;8C$n1?4u{1vzt))_JNP8+*PG?tvf zpy4?xq~$nS32_lx<7nfe>2@xo8;-O?b3`Y(Y}j31s;@)4gf}LlDxsEV z9v5uq(&Fqy80VqsUzk?`6XYKpj%X@%_GgsuVt*@q?eFaO2-N%5rE#1CNyE_{+bq=S4{;v`G-2%GI#n;%| q-HYcxEY3g9S@^Ti5*XC{CvBbYv6s>oXj!AQ->0jz{sFVa0~rBWxV(S> diff --git a/cpld/db/RAM2E.pre_map.hdb b/cpld/db/RAM2E.pre_map.hdb index ede3b5750df77d7409da7cb5994504ff55f85aed..52a496fde55b37081813e3c1c3e75c15b21a02a3 100755 GIT binary patch literal 15766 zcmY*=1CVAh)9%=|ZQHhObH}!A@7VT^ZQHhIcKD9XyWjWMt^40fs-Hf6lFq4APIoGu zMg{@`s(}UmM_v9Ek$wnY%0fBM;7l3LJ{|D3f{|7yRul%5M z2*fizD2XDWMEXI2u@z2K2lXm1lg)W33VWSDh(Bf& ztMRRTjBYH?*9daWaJ>^tSc$4nYw}_{B)!&}GnM}uLM;KFj-b@-0Wh@Oi_pX3M_*u+ zbBBIBOy)P-=FWP1-&Dgn*58>LxIXx5v&~66SN*nglN1D4^B>CB=&f&CM8AF>c|)qr zpv~;}_V|~!JO;mKO|N1=UcCPYs{p3A{$su!?b0*(03ngp>ClJ!gDtTF4wv{BK{Ic4 zO*8zjCHoY9%~lCh)7mq`b7l{d%KMSe2k<#`^(s0g?Se)j^PowPRB#$tH4H5-49}q= zPC6I_e>fbrhJ!14UJe7U4s*Rf@Q(Pr`zW9I?Km~9lMo4^SXx|Poz~L-uWQBs)-|cS zU$sy32lUp7{ST5{oI|Ewia%Q7T!((6+lTmOlV5ZwIoKAfKToJ4*k}S&Rq*J@T_IQ5 zR)eGP0^eVgQ7{gQhI(Z4k-GSSq2;b9Qi!Zbail0j@bypa;l;f|ilE<0sAL3SXo%&F z#~ch5S5X8*N>Z)f%8u2)Nhj`=rNI}U3#T74#lJelX@H2k@&o5{v=uP0sgLh+nSgAlJbxuW2`d9D+7_=+cZ(X+ zoSWD2doy>0>=peUr;mB%DqG|<+Zgfyj4->LvjjnAxKeB?oyJwrNjt;V=KMki(6-JP z3$gD$<8Ay|)o>^_&$BxqTJzKmb$&?LTjE%Hc;IW1SMK)OjFY`gXwQfSxCee-pJ$U2 zMD^Y^%dhMucZfKrS=bBaHXRBy|6Im+*Sx}S9PXIxrtCeBbG(@+)xMSz$PTOn-~l^&Zaeue7$*8z~EG58%AAd+Ll+u+r_vJQiz6 zcOUd~B^;+jU9!OD2kK6Wg}D^}8UF>pWsb3liSg>d&{8Nh7Y^VCb@~<0n7)_)h~6Z( z)>J_^7-hJi$>8N`+y~Ai_&4VBUt9hvLBqcyRMl6Fj()mm`El<(%Do%&rBZ+8QZkPg zEebuw@rSD!}!PE!e z$)IfT8Gan)TceyLZ;Nv9DAggpE^ruAs}IknCF1X)k2w)-on6+BfVt8}rA3B^A;^YA zO`u+L>PCG|WCHC#Cv@#K!zhy?pUkxo*Rm5*RX;6~|+DzqqpyeR4q`%k#Nb1FK7epg}kI4(|l)E=b)T_b08iC$Q4oQe%xK z)LHz=H7Cwe^~g;%oxQ~D+Z>G4s@3bj;9LG`Qnp+?DF$+CTEqI5v2dK#LUhxCHx zl+NgEWIBQ(Xfm}o&C2%7-qtavXMu7KZI+zAW%dIXPJj9V-{SM9y+K};*BxR2mG$ON zg$&v^HHyP$c2`53Zkt|gfEOn?am@6{spn3H2vuSl-d?ZMgE-h_wR1tlx|mD|C5L?J z=>iC<#5|FbkpWb^!=BT@n+T#h%}XJVh9$&YxIQnFo<$LB2)b$4qBitzAK3QLS=22` zCg=CF0SpDtwnQC8d%`{DbJDEBPPZ`$LaG)ZS7+;O$QkO@k*zj^dOzP7M&FOw7(ad& zwh{;IKG&r(=I^5s`0O_O?uwCeoZfD?i84U4DaAuZA7^~q|X!)Q2c z4hLABl;U8WRrr)d+6zJrK0Qn6F~aP$DWQ&l3Za3f?yh0o(=)=Fq(t~7E&jdQm>fJ( z6-o!Vg z#TbX!KndsX@Slw6Q;;LHs+`|b(Cha)BpkgvPxNSXW%C)}p--)XY%5q4bO6 zQi}NY_jyW=ifzc!)R?Z@9BNVHhUOx4(%bZzYwQ z+l^>7GQxF|T6dI*zz2HP@7LiSl4p8WU;Tob!*y67QCQIM*jtA#fPbg*Q$_aSNNnj4=A6 z<;bVqPPHl;%-bFDZ_{MORPR@m=*$+JK&!!l`+tcH%7WMI-`!xM81%yY_!C!AZ7_l* z1oS1|-q+mTU=~IH{t~%;eSw8$-24Au4{DtGNed3Wt_(KGD=ttc^vJsLLbqm9LE3s? zYqqDD3A7;148Y9xcDlw5DB{Nr)c!7o@G;ZHy6Tx3G$I71gL_t<7&OWkK0_t)*5b3V z%;qWJLx7aX2ErUIuT4O6c+rY~Md6P<98qQI-Gp_d2E6ITuD#`tBJVdI0v4=rAP?~^ z{Fk2h>&KkqJ;$(eNp}g}xDWB0>hSKK{eP40I^|6xJKU)M6S4096A5IH_BMI)q>%Pj z%Ne<+V(jTl__pS-DEIZtD_JygJa^3+!KGhc6KbNk$}^eu15GOedB zW+UbHfQ9(^)-$d9bcYS>M$p4O8(10Fzp%oF^qii-b<^!zk9s}lCBn8zwj!jzfZerc z`dOzYygWFO?9Gip@6HWD?_TfsSt{exhrgZ^7=Jy+*SqJw-B2!fCt5tBo0pXXeOS?v ze^k;9xaYd{$=2_Ta~t&$kdc2p5kNm*a-&?WIgqW_tvTM7s(!x5ihR5&6@KMZ%=;Ut zSqgH}H18yMkX9MPBv}g4$#2Swq+EbF=B2Ug4i2?()lTwLf(JXZ@zmu^dW+>ZN-mJBAL!lHQW99a6lwqhYPy`{^ham z8T{ovc*HK_APJW9jbAfEZ#Z5~$6;)-4ZF|%t$KIs^hu2DBiHgYOF#RI05pZ)E$#=@ z=RSC9tBuWKW-rHy@cg*}AuOh_V8n(_YCo(8S45nI4+pNh(}SdkY}ad?ad zZ?!yp%?I()S8_zseo?57m^#QOPTIsWsx$Gt4g=WcWSEd{ z2a+W%CWzgMNWly(mVvf|cU`NOS1KtfMFaVa3IoXIq_|L*CYWPdkn~Cx0MoYe z$c^>oikZWwOLKhOE0C)?y`~_CeXA!pmQ`fByr}DxR^zo<>wQ($*Cf}OdE0;?d~0gN zu}c%qg(MJh={3s?=BE730;SAz#yW^;mt1hNZf3-UK}*E1e(sMsd$Xr=040@jyAB%Ym|YhC~C zkdWZ#u`-Yp*f9QKM5P%~LvG-!Y$f)cx>xmzR5S36qLnkFuIMAsDyk9%mQU4lPiI2? z=0bVY(XId;T-h7N-S-z3_3$u5V*V;(b&uB5pDU!AZw>bhV%|?2XZx)thZiSE@I#k` zqSKR4Bo`eKM8(?oUw9#7gYF*v0#RN?c%u!skD*leD_nm$;|FXHnCq)~l&M%3XZgma z(C!~KR?E0n!rQDol2Vwiz3!R-2jl5ELgvZefJI%G5~V>fYo(kw6*cM?4(dcS8a;06 z?m=yi`OV$W;Dxlme+l%o$fR}H$ig)Gf0#FB))wSs_n>7Nf%S9a2orp@WeKT1laaV> zvp34J7bsdq%N%i8AZ5m?4EmA^8kb0i6t3!g@0PEc+6v|fioNM1h9x9EQR$Bc;u2?W zD8|v8|F9m-l&#eb_@o?$8g#d^d*Sj6OcdR~S0n?{sgHSc<5rpV1+w++gX6mwC{)K; z*IX}I-V|HcPcbWWedbzH1Ovc#NmEyEDc8WKy{8EBtrs3FDq<8XC(!PfaLvuh=*^)8QS0EOejb z$a&JujnHhT3A?jqr8;QQ(2Azih~Rq%cf>|y|fWt|Ld8NX?Tq#U59e%u!8 zh!ay^XyFNYqot`!6Tvm^)v!)pqVB*xf~ivZpUmNLuiva1Kd7#1*W>s~i3P3VmY$xP z;T>O&VzNBQqNRQ~7UJ_cIV~1p+iy!gF@JMQvkSjk?5u7&OAys2ptIB<)CsAhq!-@> zwSo|YRxYKq@+9^aqWUYZ1rFfK9)VE_NJ%fznX?_hM+1M{x^)Ip^oa3uN$Gg26fqV@ z+$?&jq*~KL3tI|uNv;W@{t~-EY$;OGg+dr?=?qM`s5gBIL7Q{(mWCPaTBixC456fA zdA5RgTqC|4lw59?){)jI$3n0g#fg&u0<)p{=UF5b^4YBDaWv++esE@+pii5bChQ9g+l-ayMe!b*w<6YIQ1306kY~DDhQ2_k&RDBzujwQh#8x&m-Me6Tv)E9J66w3k-O|G@VGnICAO0rM&9;| z%EO5|!5JaGN-Y%TVZsunPYFSqy62Lr?#?uUkGOI|p(s!03K`p?q z8p0h#OBG0}jw}a*#BbCJ1sW16r7-KH9NnU%py2Jy+T!KWx!YRZVBTHf-&p1EZRo15 zmN(w(ef}a8A}9HLZSNngd2Msu8sn4)Y&W=$4UeksNB4ZpiU7BDm#`JDwXb4XwVE%z z8HE96fugcy%TZ!#?NEct&v;c+cGt-GEGCvr;FYv)4xQTZ>C3{IX+$Mdj9zOCGlIN-JBM| zzp)fQ?`Z8us5*Zk-R-FLdpL(DNSJ-t+U3}D>slu3t8wgj`@Oz3Yd#KZt|A_nC)oPm zCmEWp6}2`^muU`4!Urb`vPAV-E~Wd%rJ=tuMsv%|U8t!2wKwdYu7Ka0t(hyc*wj3o zlOZfH;P`0#E^;+7R@E;yP8ZX z_40b5gnA=Y&0yF&*bhM65SgI8xAM3C%|J=sXs*##@+Xq9kM4fw()sd(`cM$cAkzw# zeB*T;Ax->5d)L*gS3#Va71une)MYHws*T|or)&L zmZ7#>RGs))B3C=NLOf~P^6F^k*;RMk0vA)?d?^Oe$(&GyIFqlA&~qTyPISSGnFdue zsPK>MxY0)u$7hw%9}*f}LsGl)wQ-9hw^Y?+62h(H&QGo5ACk-@{gEa^Vl`FiSLWYd z7Pa~@X5V5ty!UpymRm6w+3=aZzsR6wys9V)JSzOYCU6B0VcjL`Fl- zaPfevdR0cm&`}3LR9??04_%@=%&x~DA_)bi?-`DuG6SO30hqjia!i#MnZ zuUTrdG0wgGJAW;HI`{Q)yu^GN_84+E*^ZmM-oL~oW;^6uj%_^iE~SyZLK%_m)vs#& zvPeJ6A+@iuFwyM0<}~%_O%eBd=zB8~Df3g-?yaeAoMiYlt;x*XXCjin)t?S5h==0G zXC~c$*Kd%gFRJjcm#^I>mmb4dhBn?eHZVt7u=u`kul(7QmylCxMrocDFiw}uAy_$? zqFi5hMN*rt$roDz^#CC04YZ-AC6pDvJcBH|qP2A|^Gjmj&-|XiAjIN-u;Wj6U6_mc z7ilRGPQvp^h$q74@cT*{#cpj-e=nRGk_4J*->GOHTtr6WV7J>r6?oe^Sc_`&Tyfp zPvS_v|#tT0egu* zous4RiJNoIgJ(XAf1N#UbF_b~C%BkPaOkQdtvOKbPdOMS5&o6cMnko62B2)bH+8s2 zy&9VLkSJdFLUooq5L^wF*fNPGEzl-mem_EmqE z1o#uR`ANTdihgk(%++d_e7vxCJW;-{;WRo73i3XCOuR7unAm@ye$?dr`e-`MZ~poy zrxx7n{RZUYe-HaNk^Ts^&8hcQf2nt5xp7miKKQjirEv+!-@1YCUIkH;w*0dzXLa-(L*PcP}70yQ1mCeV~9fSnG_FteU!sg%i!v zg+Vp!R+F-1MK{&OBh{^5hQdvuDo>)daV%l9nP7wcKnBYcPC62<6+I#iLm(gOqID`g z{o6R`q$HKA#^|c9e4Z~4{&46FcPUL#3ZLFo$hD+!>K860C1D4zNb0d>je+jjv)OT3G{Gv^OwFF(Z;6658)4s4 zA|_&^8=0*YKROsqw|&^mBVczZF$zjGambXg5OF7R$%&P%z`>1@dNscXCPlQ1*HeYe zX3OkjDy>;t)}gUu?)DUzH?;rMv^3@5P0%6Hs0Az*fjJF@yn5Jj31BUmp@pl6)I+j; zw)LR_i02WQEfIs=D};T3nk8|Y`-0swgu4iv$FQ0|gg!oZU3>guLT+Ip?8q%Kal18x zJ*Er%kecBk0QthWoR&Wzn+Fg8gLwj*`Dtd_0?F00h7Z0$@KM&tmBj&-*pXV^uv#V; z91vQS9iG6P`KAU{gL?D`+W-R~Apo}Xy|(k-LS35$D?&p*NpdS6c=NsX^Lg-_Ef4?) zp^r4-O9%i@$SU-v2mB_>e6Pd&rcl>f0Y1X!7X*N5o`A_RBKSE`*aywh2Yyqy;NXX{ zY_kihSv(x@GVol->BtCq%?|+png1Rrumb_;LjXwTca7&;1D`oUTG5+n;WjzucTMKq z1D`2ET49^@C3ts3-RW2@_rPmr3j7G0({Y+PgIkvhda#=@ahnkXSMBF}Aveh(0M7HR zxXo?BtwRMp;B2wQcU*pzcxtA>A7IY0p~G~UF7;fphE>{1da*80YY}(*{>gzq2bnN= zK$uom7<^(W5_k?MEG^rnlU$1v!K@~on_Y5)>}7EN3ZyIT1j*zf{Q;74O}B;_=u@8K zVrDwBKQ!2xwBX-!vSnCKGOjsD{WL1EN_oZ2il$oLdYkz34=GV^ksM9bbHEJf--en`hIOoG@<6Ri2<5%aI5 z+!M+rEtyB-@w+ilfpzlU_UPZXvx5aqhzY%fiI}yVm4dN4-cq)rkv;rfA0ncW9ePT? zqfLg3=f3V%Mj{b^4!73KkrkKOzGka_-soEra4B_kkxhK|~U&HGq@Z4fJL(Sv_ zu}tA-Y6$N>1$9$Z<+}O{eN4*h}dVURR$)?TVE@6%Y|m)K@h}xrlLT1CqwwG6*moHDtoL9?rC@+raYI%Tkio>`fjw3;NOz?3};LEKi zLMy$elxY82ti3y#$`HiJ7R>7WVhPx6Ok}J1Bnc1k{M3@_t=atjT=U_p`xM?hLZFT8 zT4L$@h-&?2_RSn{#jHuUTr)q-m>>riG2Kg`~zo!xD%F~CHQ zzH8m?Vs$yrQFoet=s(mi-uVThZDd>dY}FMVpG5Va^-j-_?a?}dZSlp%{upy%J!ZyoEH)maA30OP2N@JVs58|--U^t0Cug=eDsl-fnFd|uFx zoJ2^)UpM~6fNUm33WLQg#D_u%i^hf6X#o`re_2p z7Pp#F+GI(2hBMa=UrZ`=9YtdZW~>v&SMMMr+hoG<{ul0SUzYdlNZfAfoJIE}Xl#G+ z0yW9h<-LaFwwJ53WFnB+EXR?PQ2~z=k?9;LDZ!QdUqA-`SGA!>*8{Meg_n#HXQEQ1 zA}8Y-Eghx8OuaxuL2f-#BF4Ds^q&Bxx#qiZM*K!~CVXhxusRzqb=2l>YEda2Vq|Gt zGGePBGO~ABk)j?lvQAkMO`6C8ZI7(^>J7d6M{8yx=GT>a{ z4dpB-78prWT!HM$LKnV8r2JgCd-s;_d0KvN?tXfz{S{`xJxWiWXU$M|K3SN4 z5M{bBppDs;K||r$9!^-;$#roeR1glEl@+W#HcOAWCub(?O*o15Zu1T?mgYu96xJY; zs#5W4EK&4WQoOmPCQV$m1bd=xnoU@>RX%DSw#h1BS(nn0qIK;i+U!}mQq&7W{%JX> zf{qbwB3Yn8KSMH0RXqN19zsCmLAdB{djS|SUodkKyR>XPu*N~A!>l$>J9GtPuoh} zsK`lbh)xek=Snqfi|2&(-D2;^)W(iMV`tBwO+cw%I%d_@l);WcZt>O}iox#nW20C^ zx;k$+-NM1jr~;#po2T2Rxj$7M2LtW00X$F`X(7m))yc`_s)%;gpolVz+PVO{1l>b) zmbrm|Z4*w6;r!vU>!TO#Y6a?%dWL%y-^&=tkW~c-=|W6q03T6~<>(A2u%alnIb4mF zF-E1SuOP!du8*DU)~wFja$l{5k)>E_rjM^roZ&IdY;?8*iGV86j9MX-&8$Im{T>sX zW+*+WM{E5YTP%m_`*(XNex86VPfEjvKKUa(Y1^R0Y;HV$FO|>xd;tuaizm*o&_0$9 z14L-Co*m#U5ykYntz+bqpXe19MPH7%&IOhmypv~{e+8a<2A+Edo*Ojr5TXZ+9*m0B zeu$PvKU9uDEY-Ac1b*Wn{0gi@YfE-V#NZt59;YpFDp%z7y>o~Pv4dE$_!O{e*~Tz9qAKX1KUCh8=Uo!ffJ0-#NG2Q)1LTs(4`)% zvlhRSb44QSUu<{nc`ZEq{m<#7MbJSM^ibA8Vd_vzP*Cqcs=QVw8pY(>z*s5h_grey z!lsdfT{|ZviPWj*;VrO@=BpEXUP5qPWnAoIO*LoSa>R%Axw6ud3HQ8jQr#-+1;k4V z%8srCTkp5N$R&E|{3}U!0Ip^#%8n+?*S5;pO6mNU5HAG9Zs$pitzU>=v8i83u_!6O z4p~WKh`p7l{dhet%VBsdh%=t3Yl)R5;4G`9SiWb-?{fW40|BuHzxlbc#eU%uh+5$0 zQ1<;~H0m_nyx-$(YUMV#5$<&|paaJMD%_Mb<^_Inzj(;c!Was-MmO%O?L_-l5qz@p z>97&*=p=PhsWm>%h$_IK9Z4^K_Z@{3EPvM{^yU{ONdAR~70U@);}CtQM-V>!sOC5R zj2AUht@Hvp%91K>ZTTo0U|+@P6`0ci57Fq*3LnxCf~bWd1M47wlB?Mt9O@$J%z{Q zPJ#27Kqy*~;A2~aYM^lPAdu-S``_&ulf>-!#EhbqJW_t91ea=;6kO+KT<2I^XFj5< zlA$M^fITT;O8x=*OEJ!4YWs0%d#Pkx=SE!TXk6ziT<0uY=T2PbaNK(}&SNY4@yx*e zI-^RbFx_z(PBVNWivoga%9#!MrT5+ib@|K7mKAxMdRN!g%~yz|jmuUMsBf5*opZ4( zXOdmGP*YdWYSnRch2hj|>)pTM-8=AJ>A3fE_T!K{i9dkwPe>xZB=}{YkJYNNOnFYo zmrn2<$bSk%4*c5^`#=W6K=jg9A|L^4mxw@;HM&{Z5E_*M=;;gZ!Uc}k4f()?AV2}& zJU7A(q>s-$VSI34iu$yPEHW~K0!Hi3CKcBM>V(i^jW%=3Ry3AwoftT>id=2CCtYu!Y9 zp`{vH_ncun5ReQgMr>Sv;Z+>nw43YSgnyzT3DotNJ`Asib#YJ~{Qb5FbSlB$YjLqb zzGm=<8#{<~hZ1XPX3%%<)K1_LASf_V%>x2MC&aVXSy(gFjQ#s9)?c8yfaKfRRLi<8 zl6Mi#hmT~<=Y5^kOYo6$OIOY#OJ20{{%3PTL5vj%q05ykOz#}yo&(ydbuZc%k%)4T zp}Q;L1B-v?Dd>IQvL;~Kos=6)tL&wd-7_D&h@bbC9F|ON1#BQ4m>OZc?wGXMdUkZj zQsP?(@FstWvM`>Tj2Fh$$8-JSNAGoEoQW3YlV0;A2IXw*92zi%lM_1BTUf^4vY<{X z-|n>$s+;QvY6F=ivGypj43@C1`J1LSq^tcTL717)N6kZgfml*?u{mT6h)04Q1eR{F=9J0 zVkfZ=FmDE)3e7-{q~A!q5C9vzYw5fO@1z zrUGQz&`zg%&zC9v&W>G`&=q^(9K`&}_$g5GQ6p0l_FqyIAtlg3WbCO@nyDnj3>_2vETMNs zZHwwygt`ymI_a`GAj`*u>1A|VgD+{(xgNDnfjj~GH>-%GOP^$OQSp~eJ-))Qf(3mS z8HpF9TD6dw;f0tU2v|9UhB#v?$#2f`85si#@#bvsi`NsCP`{3se+H8_H&T-uIh`BX zVOBDchHPhf?Nr0NYR{6Q%p z7;NEI@0=}mzH8U(f@s}`9C9Lcb0YUZfa7BF=-XG|J7%=!ZgL{GawB<#6BmY+NYx0x1wH~Q138_@OFE~sE-XO4+SU>{o6){n%lzz2<+ zT&G_^2)k0k+Hjn$KBU z+bIZSV;U##`J2@&qH5K{deweOaF&hD?lpU{e03^h1UiVP9cg%PR_AitixT7J`bHx` zRz5PtQAM%u z1O(Eks(7$sAyi!dx|*$J(KD7#0EN6nj`YPa9@WP%-t0pT#a4Tt8mn z6sTOeJ_LVOeG7+2Ycoe%OS79>v%7~YgWb8My}gb7`Pr4Nz0FN@U(6ID>$gm6G9l|( zP2J^XWkO8_{Nq!;4@T(H?8aD|@bP2vToghLHURg`2iP+_d~DbS)~wix74b4T4Aszg z!{l|lAy2)fSJK`|FWXNv5N;XfN3f`TRrkfbaF(?A^m&asm$d=CCCT$wxM)(! z_tU`Uy?^AjA%D1H`wFCwSkpPyLmrxxS@il&UmNIDx{@F2U9Z;RU!GmbzK|C(yXS$A zb;BomIT$I>ml}c zD;7jOPoDd9Q=ij3zb-GnWZbQ44suQWQviC|^e(w%YM0psSE{BDZe8{Es70}Ap21(9 z)dqpL^9vvPLoh_+5dro4Up@)ROa65(ty{;mKN~(Tcch9%U+t>=NA6q($v?Q`g3<(g zdPTLQ{Vt5R)^(5UFOt@7l`L|aV%h$>MFJz2T>(I*xD6ROk)3FS5dKcFh@vBps0Bwg zTxIx{h+*m;&=sm;$UT z3|g}V{BfRh*Hak#5d5%o={_WnotUvq2q-^e#mn2TV2_YUCHSj+^Y-`t}8%&qLU4q+VU+nC-avAC#L~=DK=3-O~yK4yNT=_;Kk5AJMqb*Z`& zOiz_i4Gy6u)hkCQ+zXt z7oX;2@@{R2=nj&-%+B)f@xOG>?U?_`f;Wz}`!@f;yftBGT8wH>M+v8l{c}yu%C}N- zk#^d?J;T$i)l%a(_3j2xY#v>0bFdq=dt4Udq7QA^&2c0rPP3`9v=mzPyUQj#_?H>v zAD`Kxen8$b4V1wU$)vwXsJgODzl0RN)z^+F&c(T%#_EAbZ+-@P_~saD0igGCWYxE;JOu@b5fwEq+v?Fkl zT6ud<3Ib2G*s@PY=os*X8loBzVT6E!%%Mn#U{z7zku9o9cFG`@1T^2EE^>?nw^9vO$}9s7R>6EH~xR2fei&Xi`;|pz#g!)B-y0y2BA0r33r?A958u z8={!T8IXO0yYMP)$zz4-ARg|#;;=0Lz(LqE(LZLj=~c8(G7JFmBL2Gi46g+7Z>VHK zip1X8x)3t6#aIrJz9RedHg#AL-&O+&;V5O7tt6tI{yx8im7;-{@>igRr-Rre%-^aV z@-~PwxK_u>1P?q8*uX@J>^uTS8pz6iVA0wB>pt7mp7vscTBuR8eTQ+4g?^>PTGqL& zI@3)a(J&>n)o!s=ehc%pQ7o~~QmHoGxxZ$U3+oR78kY6SLKKcZkTJR&_SRFai%23h z>Y;_fC#aAjUNTn{XZi!I=4mZrROO>B>XPal9eEzm=U;|{#6psT2cd}cfHgl!HJEAR z5?2mHNjkt}*8{|rRSIlG>5wA;ax=j+AKE#8G{_K`hCJ8D6BA!F_dd?^yC8D~*!uQ7 z#O`)dGS;f1)sgwgJDT@5I%vg}$rdx%REgta8Q>i{E0Q$mcL0U4pdgFgnvzx$L&@?m z8wH-i&_)wefM-o1x~?iX+%lb`@$Tck8>2miv(QU^1094Q#acdBgtbhJV~p5ysZ(g& zOvG)7QJzrmyY;{P%k&Z{V{>A>UkfH2xPRLa4KGK@D}ODc$yT(cvE5KUFS;PZwWuBo z&3U%s>~bmsK5bdM%ln1+Ra-Nk#LGmmz}C6$-Je)bZ771BRXEusc8P&glG`?dX2{0c z1MR3J18ImY)TZB`C-O!+Q%fI(t;E(o!dkjYcWHc`TflFr!Rdh7|D10|+F zz_Mb8w}%Jb??rNiZkI|uq&U_N%#e+|q^$0g1cJ?Ez-TLU?ikcilSzKQW>ikEFM-IW z{9WD^3pk7Te49_yr>_K02Xh@Z!U)mke%l$lg*kz$B{Oc21gB`yG^#ZhR4b;VLRE+P zxi2ECFwfcfvOq@C@=Cb~jZNeVvj-j-%j*ge$Em0q3o-z94f~#>r@UaYAVIHBC6*77^n)z&B0oVThR5pA_meQ ziQDY*in|C+r25fT=2`7u z#hNpS1zm7sQ{~H#)6)G`$MEGQIWZIh*V}HV&2n7ukeG~dkz^aY|3T9k-%Rb|Staq9 zwmBql03P$AiKt%N$*X505&RXv_~yff3A>nUlN4oXJkAQ!(thb;q6WA)#iJS>Pu$Us z=>0|UFp|GrXdQUV$e?Pq)?2xE5xJSU2$1*t2~BH&SqQ#ihlHT9}ko zl@&h4PAX+imi_fnSiro~LHH-=?VxrpQz5$Vbs~>bwjbG&bVu5&R_nmqIQcf4)>`2w pCN1e5^Ry2q4QN{>P~*B#gt_nuv5|^`y{OsJco^qd@V_4E{{VR-5;Fh* literal 16440 zcmZX*b95%p6E~V{Y}$j_*Me~Qp){*7)UkJ|2UV> z|F|3Ig*TiVfu#H68Vn3LbgMlKc^)}Ac`z4G+i%RIf5UUNRT7-!tCU5m$qd{mwZ_AB zQhvy#G}mz{bBaG2W*#lvU!Qz^@)~ADXj1iw^MiDsTl=?_FN4UD`xr>D*1z7SrY@$Y zE-xl>$X4q*@3I_CA2Xlcjxue#(zCO(Hyy8cdIO=Z5s(Q3uYP-%72bf8KL-9jk^wc_ z^Th9p4R|sgh!qRyr^SSSSgiU=?+5Fi}ezj!9DF91PxZW(=sQ~Bm zL#iGM@$xWTJWJn>=Z)F?Nqu{c{ou{4^KOm4X^4P zTuWa1?oaE|?f+}_|5wum0e}Pa^MEur83gP5SOQGNJ=Tez26WnumKWCqgv9$$18fBI z5O*(o-FWlI%yscOXP7slgW)2Mn6X$(ZcG$kW|bOXlnwsfcre)yh$bq|+GFo!BG84s zqevU#1ItGO21M(Dq$maZiUazf;IHsp`o35Kgrqx57!e4nuJJ*cpc zu62CWv^(K84J@Jtj?#(3({CRt8VS75ps?X~bS=&*r+6$^Js)rO6RJE4JD`-={u~SL zi4KViN~sFl#(2gjmhiQIc7RsBLvPs>~&f4x`(?4IV+$w&3f^A-RSg< zibA`+#YfuR-~LTNj?LYGQLPQ1jmpE5adlkQVfq*joT0BE&#jnqL2exT7TuBDi*u}X zVlGyA+3m&t*X%BnjFR9yl*YBDCN~q?9pd}-PNcOv-8B5;>TSd`fQJ>@#!KlXUN5sv z)m?7&aOj5?)?x34TypBT>(1QH(0P2|?&VHnSm4g$p2lZTl;$5)Wy$>CHqYcW7wOW+ z6q2$Ol3dV0Yh^Wz|5maWICpe98Sna2ysJOq0m(W*1jwVMNN5dV2$u#|G4WB^g(Ua7jP z57#WyAi~E8kwx`hGKTM*#I8eq?x~>K8%?*`P5f2&A0muTEH9SR?zI)6-=<#v=RCt$ zIzA3AQp(~l+P(`SC0-1%qlJt_r85+bR}TMjkBkaF5*$mJ>BQa~-gmR{L#Tbm5^@CH z+9lx@Un+Qfp(NMe#h+}IIBnjK)iN<-+HTY;@DkHs|2Czx$aY2gwjMJ*yl8bb$))d> z)#sL{Ma7Q3rXOE14J?0H#^7s7X>SW?5lY69^8dNI~v|B5%qUh5#8)> zo$~d9$GN6&5_0hq+p$Mp)%N(453FxkS`Uj&G^<1_}AwR2hk zhBl~zgTibAa0wJhd7|ZJ!tQVXtZZ6ONEgtXCe~Q#&DRfALrM7~&ot7Sp?yqam^cN~ z8rsuK&y|y~b_Z&%U#y7MwZG^ryZ_~FI`hlv#DsK=F^>DbYr_g!HEm`d(v|lskI>Uj zb57%@VjA(WnqZz5Yzs!&?P){>8lV|132cDnrUaCTRmPCEzN?uHo_PErFu5!ASh?#) z%~b$r@)h}{j-ghUoRB&e)QG!y(Ho0r0z^l!6P3($jH0NFqKwPM6!6tYM_hivvIlqc zcW)oN<;(gIIPn)YJR^*vH8x6!v(!oj&GR3=^*y5KIRP#6dQopXpW1$5s^(@N^JuGX zMd$~c|8o-+FLG0D$XO~b$`0c0sQuBZ(a=q=L@GtI6$g`Dxnen4>&!O1w2H5#;b^@% zSz85&sT!Uv*$yfutE{3Lud@psNqr85^N^`Mtec#A!?8Ly(b92nXKUkt4Eq4}ZmcHJ z(acYNM6AJ#ZvY!o&n9e@JvT& z#G4Of?Ikk9t^`JuyX5=uw3;H70WXS9(j&T4OlCc)?y#2(yQolD?v4?!ePKx`QC3pZO+J??{~)j zYDy8Mm?YopkE+f2QfNqBC2Lc7YdE;O0b&rATS_UowL1Zfoyo!dPv_oZh&kDFCYoYf zSO?@mvZhI=OuSC8jt_dXQRDwhQu!055i;#tKkJT_G}T!dm$xT0om4(G?>SB8kGTD} z4xIcitWyg)AyS=#zwKfDwr7*%h>ja_(2GLn+c0j#@60CY(H(Z=eIxeOoN{bTm7h^FZcBsuO%3MRWPd2^|`FY;AS`T+Uk2)PUam6tk zX!&VsO(p)ISI~L-Vf-jLS##8&dHgy?*I%#xKXohthUz@quqMNSC2yJ97*P#lS#X7k z`PB|nYr#2_PUfg(+Na}bM-srJp}}H2w_Dj=?MY>sj_v-kK{g_sVZA50sVSxl#DG#$ z!ewR+&zI+q`<&KR4f)HgHFj2{9E`mxwhR`-)AZqTcmd)8mMC9}tc^A!0M%#yPgFyA z-*l6sGQWjCd{PEtv9LxNRcwt`=Z_WhtN>8BK_;IvoOu*C50@>diSzNlI&c~`XvviA zm?FvQ1*d6RkMtdLk}Qj9jD>gi)u=pRQA(?lNK1BW?I8;urppU`QL*MOfdp_`-gyf@ zX3}41h}g^kysp3&hvkJVCa>ko>@EgJ+M;PW9Zp`}(XaW9I`8473M+xZEQBsAGuKJk zjETh0svGzUcs*b)AbDpM{i5|{amAr{K4b@D*W#Id(ysq`?K3_aO}r#&qgUMhg3M|d z&nWZE3JUMx^Rss7`T4g|r)bVu+PI0|?=$%;Ud80IA(=JKarpFE#Y5hI$=KdpIq2}n zHggQgoJuT5+@lvqKb*S{)AQo9z6ObQ4bQdlMUs^rEFxd4)9KK9Z=$oNN$B*-F63)} zg6ub-PH670c}QD3oqdq9s4Lq|{1C}nT74T*xk$nW&+Xxy8>0xoyv)qZ>5-z5oXVBq zJ|>orSe}>0$RKnTQ)Z#YtC;;i7a|ZUJ#{BYBzyKombO~$8ibu!JfCagg&+?S@wRzT zbP7WVPCB8Ir{k?%sdb8!jC|A+52>Qm*dTJ7nMY`47E*BS0GY4Y{Jd>MFwB_ z?!AWF*nXGn%vK47FFwlNf*ra@OVa5xg!XU4j&l&m_RnaGIra1Vq_2Q#H}e?#$|MPP z4ODUb+f+-si~abNK=_m{8+>F!nPqNEbwqXL&m-l&05?T?JADE7 z@7`67)N1DEzlF>zYIRBaPu6gOoz0rq7i50Hy#ln~8CGcT9|Qi$Siw0}$o(H{#365u zLhw)ZzTf2YP2S(+^-a8wm3JPpL>IQK`WCd^ERz{{XU0j#pg## z5YNuqYJNr=FKVVsJ%ER!9 z3R$m>ht-PcF8E2X08iEgjJCu^S_R(HnXyk+`(j;sXjE?9nDW<;ke|Uvi?gIjxtAzQ z3Rlop%jv!!1xNdQg*9}Jnjrsjhm`Ab$55@8HEmsCn((-xE|vp}jJvnUdVTmM13q4( z!QUQm5xcC>y9Ekod~C{Qe4@Xp>YFCZW*%MMaXN5Iko_SC68>$Hi~VIJUXHczqSF;D z(fCUY_fIQcs1PLUN*YU_(@P#Sts;f7XG@(MLci%x8;IxK5xU=t<>`+L!gv)B)5>DF zf3c9?vZ+7XAOPwz;stvndgl?5fz?dZxLrCmPV!w%`LO*U;S={@Bbp>*9H?wZ@IoJJrF!ab=oW zCY+FZm-eSRd$ZHT@>7}!QJSb9QB}{{+hfh6Pw(aZRl;oP?IupT#G9c z>4Ka>&!#uvc@kMq*%zX$d+*_9lVR1;c9uQS=|e4IaAbbo5j!UNb>G(H zk|8l4E__FuD`_YBh85QiBs!nqfe{8`$(>PVCzOT2_yHN`-@N6xq@K$Wa=e_Sy(P^?gpfr;}Bw*0K(i=-LX!d zj&};B;w(6)BuD=k2gVttDF~kNRv|NPtP}d_xS~%3zZx z7k9kr0hNf?M1z~?lJ>Y?=g_NVd_0sJr3Ws`OO7Qr6vw;PZFp86<^qz4UIDa4pZ;9+ z@KrliBiD#(&ngSnRu>FSVUeOgj}we)*c{K64{k@c3LmV*>JWS9#}<6$kE8T0w-|gQ zv_h+!%8iwYWC22w;S5y#i)t@vbWbxc*qvWJR5aeT=)gb>6}xT=_D-Li>72I_41Iyx zD}*CDIIo_D0k81G40pcNnuBuADy5-sX9gIKk{d4R<)Q>Mb1mF~-p?R4dUbv}Ua^Yq zWCF}l#O>fLCO!|lD~e%=oBGv)Cmg{6KHmJ`V^wd~$KGu}U>E!w`BAts_Hf@FTEQ=k z{j_Z^$ogQc-s&^4{E1(@clb=ABlB9CnR}{uTg98=%6-_5r(Wy+WenW@V~`(JP=XWj zA~5sR!uCfpO07{g$|r)b8uG9;c5R_NZEJcwN>;X4{lVg>MdG5$<`C~vW4Z#6=6_!4 z{@q*TiNb|kk3Q2_o%RRoK};KLPVju-oeg-5AnOJW?9SEFF58lqT{u~y=Qno z3eK7-Xz*k1E3%ULl$!9hpw+#Q!sCd=WOa`qZ$%Bn>n=-w8SUe%H{RU>jd-D!Lx70#LM@ly{fJ~mmJs$qoR(P{7M%y(KMHJ- z8#>>Z+Cb4QST@IUjF>+NTNE8~=MQuJF~q+6DtVGQOVUO|2CPtKHL@)ksB!-?alPaO zQ@wOKuOit>;+p@cA!8lh_)Gu%UZ_OG3CyHy2L5#BSx6zDbB*tdJvp0kH=50I9)zN? z$S4lDKIWlkm+{QbnJ*C|L0klg&&{!tcmoBzDy9-?RkgaHMc#Ug+aNQ3_KS9vnS`r3 zIpYHsAUZVSQ9{jrBjpqvn_I04yEWw`qV{w`&<3TJq3>N=X7w!CKl`u)Sg0sKGNJb3 za;S!k$q^Og$6On5vCsGvYECuSw9Thy74677Gqa0cWXg&I?}f+0{-(T@F*2yhmkZF` z-6|Q0L)Xkn%i(>pET~GsW8~PML)C;r!i!``hk@A)QbKQ&k&uQ8(iVjxh@0TAAt@)m zt|YheQMtradO(B{UFc~)zz*z6mAyt@A39v{&jhCQKvw&W$0-cmRE0^^dpCpL6$E(Q zdZVW~Lmr88ebSuJKamftv|y*+5OJAeye704@j!4-~Ws%xQ@|9_7gp*xWh_rN~sLf3Nk(Rrg`xo&7Y-hiVo9m%8P%v zV!QK9QGMWE7vAA}(RY0?j5#DfHB;|1DGoKGwEuH|S(fQ~Bi@JkRpW5-cGYu@e&-r< zTm2*C%V9B;>BByf(iLg${v(xC0OzJC#q8`^Yh?cweB4CunbvT_Qos$RYefRj&YWC9 z(^u`e**}$xR^f5Mp2$!)d^`O2gKN`a?9Jgsu+xzvn?bJ8Ds=v{_{1k4%H}gtyyPni zo)J7x!Goac;}?s1${!1}YnGrr&Txq6&!Dc&gm+OBC=Kpp!Iy_p@oTC>nZD5HK;($+ z6UkyV*>dTM-!oiHJ6xe*PB&FCSj&WS)q8wSOs_m|DBW}>l`)yoSAnuQfi;`8=ayom zc3pXMYWU#w87N!2s}jG#KC#O12(!Rw&}`;Uu4YcM?g*lVYu+3xwsWl>^#E;ZumAHm z?)=pBb1d^a{t0d`lY301d!9JOv|RMO#!%AwSy+9{t+9tHc&eQ%f_%QhBq?&UW_YWN zxiZKT9AiW{O##1f?qaR3!}7>n^3tA83}@Z^(StvH6zN4Klhrt2-tx3 zM~Ng)X6x&Dc3rFX(xJ2!`T_y*nrLOG$h|IOPh6gYGKGL5ymmuBEW?`EMwWeV>n4`n zodSt4gRxoHE0W9~zIQxDRcPB7T=tYrRfWXIC4o>4henc^v{^wvLXLnMX?oMPFz49hPsfNYI--*4Yxl^Zta6O8s3s zmO6v1EkBlA?bpuW$POnH_2dd;%TY?kTBYl}O%E=Wm+88!fszV^dFMmYj-BrrtJw>uJH2;8->Ob{YBvW&cptbKO)6{) zwroZ&PtS66>z$penoOOqWUrhwrWckNfWS?iiu{#~)2(NTgz9OUoy;uX6@#) zwpQRVBotw@U{1>qeFWDEmqyZpUOxc6RTYWo+G}@km~9;Xtt2EvEVb5F4cslESqw@J zOXL_`?vq}D4^~yf^6vQ?(EV^c@}jlH>q}vQM9);U+ZLmtzqJ*JeB<5jca;TC6g~2~ zdbVOIU}c`DleBEvD)7Exh;73<(FFFgD$(R}8?R@)T+qfkU82z^i4YJc$P(6NKA-9} zd4$oZci@fT#k^<%q{lbONkOJz9X7^hIfuwGf|*qntY=Sy?}uFBI0@eD~0@R7BDMoG5Um* z7wb^m=7|Rr?Yl~8YkBue*v`9zQEJ`f#hhv^>cG@}{zd$O&&hrA)iz$IqQe0w*_}6; z(g#p_s|zANh=tErNEh!?O2S$c)m8{C7aEXA*UV1nO1AAbzu1?LaMB5DijJ)ASh8lU zz7VA)59ClkTqdE7#oAcqLC?l2@X9;*w2PeC1h&kd+8|CQ?C&e&~#SkKmcb#UH* zZiBy?T%oc07&g|P|}TO!nk42#F`wxTd$$6S-o}YlC(d^dK!4@b6I0Q<)^dLMa)Oh+b}y2U#3&j zHB24Ry9FvA67aN(9pl?u@$a|4X84`9OG@i5*mh4u!=%58yT3I3cSmUgdM^X4v>mJ;v z1-V!jsyJdqbaVA#wludXBB`Y5YP#;*IuR~8;MOx3|Y=O1ej_!n4B#d;+$q52@5OWi?qjo>fbglh=f3 zBXqP(f;C}GV{fK_j{+Yj9{|CI_eDi33t6TO_4PR?`t{**O&LKWUN;{2Hh7TyMq+DCQuU94nU&HR=c;A-uW7=)CFIv4x zh1-w*ZGkIf@1-r@nhBuZ=dIQvQ||VmV71rs%kl4N*VQZYY1_r_SeG~Qhy7J9&rgM0 zB!#aBrf#*5m(pJGhi(ejciH>#ErkLJ#xJe28=Bb~?zfhEg@fa_;k}#bgC+&iuW15c zVok2h7j1XrLwu3lIl^((SE8xbbR@7-t^ zBFXw$%yGmV;mB8%v%vy#un{s!l-9-QCd}>dYTmqk41vBL)!!bdsQtFE^tuTc6Z!(| zd`mnu)mmoZ3sNW*SuPpa2pF6c3X-z6fkEA)Dk%Sau}JEad^QSpbj{o2pCl}UZ7`}_ zNq-`#`$a6N>kbqkXJHMBX;eEen}TNO*nlDo*<9Jaq9zf=9qPeNq-3n%6{)YLY|$`= zkRpvrHu-JkU70fxC7;Cu9`q9DoJtoCMzvZW)}|x_nB!$W2H?Mt_de4}$9{{s;H0zO zT0{^H2Fq6HjyjDHVO)rGlanx$&ab4mg#kJBBQ>9=P2ib@`4)=MRBem~xC4ZZT1Uu@ zBWwclE>N&4jQ{;pOrb774Vze9uwhoSE=(l6Rq7TCWz#Lpi`W1LX+vTDygiJ5 z1v|54HA5-nnJbJ3W&`P~Q+oa`zYytbRyw~0w`Lmn=qt2tJhO#>yXBg@hoCqF8|Z@E zk_?G3tsFdz)9{f;U^_zy0c3^*qRhzuG)D?_DG>IAZfM5gaO1vjU(B0HYyoIL&?aXLLc=@Zi^+^K4k1e4v~@p};s{H-L~pPT{vGVNU^bqMzpd zc^`?wc;G-$NMN(j+dtuTkTps8HJ%v()0tP{_Q|{|2%B7CIN`S>;db-}Pxv(zp|@IL zUa*EY_%(~XP1_lH&~Nw$8qfGx%;bQqfk7hpF5xj^HwgX!K0pH1@;aCDbdc8MAc2rG z05)^Z0GB}Fc9@29gf%N+&tzdwe)DCV22Q_6hyKtlNTOGSz(=(iMmBRjCCet@yN^$j$ z2Cy3bf&5e^mRm6!+c{TL9(+uv#{vI@VV~^lrlU`}2@4-tNC8$D8&{)0>y5)R?`@oL zk@%?vVdrCF8Hqsl3Qn5*BV(a|39`xP-?*lB;oB~S!4PlR+=ZVP!YSS7p&vsE7W(vB zAveZ_^+ifB9c5d9YcwR%2d0QQmuD;U@0VV-8E>F|jb^*F$sV%McP|YkZI{5XdhBe| z&1K}6rz8j)d$^R~uq+DZo!%&26Y;8J!4=^wR}anCtmE`8S_6xW(DOw@P$|mo$=CaFihj>f zZhqS4c?_w{r?RcgMm!AYe@Q7Kd(DQdBi!0=&sRk<5X2ro5wt_2nyOR_O*X`#1MjI{ zQu{1|CCc0!W%_~sz+fPfbvqCFC&AFyIHivKgW`Jtnhe9JAi1)thBf%I8N^c9|E0YD z-9(Qw>}++`)tD21lR~!q5)73ua?|5dQmG?5ve2X%pgT5g2NWqRmYGHQicF=$5hL;c z8XG}|bKYKbwCzNe0dF((4WO|vM{nk5DKrTw4qt~z*CN8nPiIv$Z6(`3FNFoOqNIdJD>g*H0(L=^2FWu|ZVccs!l-naqhAaM{>1^#UBuuTouI?2R|Wtx)5;ZF_55kI8=zTYnDkJN@dy~ zsa=UL{M)V*lhuYVm!S?M4GDC6=gL@XDwk=q**sn^=PY7yv38#sEx4UkC@W5U8ICuK zJ=`0Ow0GX?s+*yV>D{&FZgdeo9$hwKu5Q@SJ!uNnQgNjT9U5M!i`*;$$ZbM54{oq* z{Ffe6yV}}mO#p)da-9E!jiJ>7?893Zt}MOsQy9tiXBsvP8>+M~45;2sAVHL1JTekzYlq+B;zt)P1iHUD5{c!N$tF zk`ZW$%DnP!{l}pG%k2ER1$71$&eoD*Qp--3SJVD40)-oHA?7VLx%Wog_A*?NaWtAv_S_?V(+P|;+#kLSPPrp}(o6f5`$g4lsajPFp zgs9Ds4g11z`(yW!utsFd!fFP}38d!e%5xZ$6gmd~H~ah374_+ArCzJTK~|bALMmLE znP!%Tl0tg0NUS0)sSG0#wbOj~zs*?_ji!EVJkxGd4rEIym09Z|a!Z*Kc#^-tV&u+o zK}C=WaVJbL;g8>p5ynbc9(>YcKFDYCD4YUM9RW!|J@v z;lyO6m?6nP^TLoebElTaC+KXiESKelBBewY;UHfo`Yq4Pa zv@vx>ObdG@M%g}i(sOP6WEpHMtSS+VPP>IKokZxQs!=*dBlh5y-ywZ*FZ%GBw4zhS z?;ZLmoh}n@Qi)e^RID!7X(H25OCy8vn>r#p=+EL>Fp{!F{Hf=nqjzwbJd1HWy!Vj!pQNd58bX1-!3-$+xJp%&2`ROV8=M|H-15m^ z_tqu3@X%Bl_78GB!6U^{@y_QH^v)Y#(7ApW+KcWtB~86@-lAmtw9`>${)b+#do8b& zdqi+W>9ptuldCc)k1qXo;wvl;sjzQe{pOLyR~j-ayR9-sqBxnGdLr8_4s_NFEmbSK zWOb#nb|gyRj&8U^LOa-hTz>Q^Rd?ANib;=(Ew>DIU?O`3h+(OWoo|Rt1y>_FPdkd{ z07J5_LbO4C*$3;!;QmO$X`ZE})s7Y;6gwo#aTLYeh}-l-xAM%^8hMZ>;cN}wxIph) z2IY#n4a0Mw^^muZRsbWd$wKZkX@N%O`D%*}G_?OS3)T^?Qf!6EOiN@Ri+Ae@7?A^v zT!ATTpFXt*Z=8m_OZspdC?9`KNRs>Q+{q7M`RSX5AA1Ghm3)=(oqD18%?slb;^37d zH9bVbM7Q9)h=PJHZ)SUJko=(Ug_{ZO_((gPD-ta7A3;z8Hk4as642_(yG#4Spg z1|MMe5ro5@$|mD2S!oR9XcjpB^~*+$4{RAc?4T7e;_kPD_S;08*aUqdY`KM`(+|Cw zRJRpqS%d}Rfa|o$wPjur+Z@fl^gXM@HX{64I@f;>A_Qk*wr znDP2qx5c>&`IL+rjTQG62}nYXe#%ZQ+ka(=*m*dk5JO55*>}FAFxFFtjb0vZ=L>9h ze$n6Qx$YS_=(!#kamzh|`Ae>=c$L7h7qU}>pshT#83!XYRTLT19BrM2y~#DZB*^hE zoWS;DWn{>}DtGM!vlAw6FW;CIxp*i%HOZu|4tp#YtNKT(5&p2RVGFSanDRx}Ga3Sv;gk;i zr*l7vaW6xVYZw9h);>Md*Ya)_x(*$)_d~6OrR+T7H4zc0Uf6KtK8Y+})&340Xweb` z8rl0*P(M!%WWk0L)|b{U%aWBe+#r!&OVFjWC;)tb|F~n6;RFc;g%xQL@}akPypcni z;_Dy$b2P}lQSQKYw00=d)_KS~YTVwI>kLB>Dt-Cz;+H9~POk0_0YE|m4v_Y4V z#IlXDMNaPoKn3lnm#M;z7CYnapD?Xi{$aV{DOIsWb z!XFR1(nf@Q@aR+gkE}anAm5j}D;#lY+43ok0}~2!UU7c(%!Bebm;^-u9eoF}@v@`i zv#0YHofVFCw_;=b;8Ktp1`Aa<3K6Dnv(!yr9%5)XRQ_%t`!bxjAMMF_=bGlWsFXMtVD&`awYExfU;!9O z2aL>b#+iZi`H!@bdtri9#(c=W(GxR*leWLB5CPV?_ypEY@P2d7oY>+K{nqf-(i;@m;{ImoP~I8Ahb>*yaeIZ zO(VRJ6AyOos{lxHT|@z{qL+4}0${&to4(F49jJ&qWFwYOWCaz6a~;QDJMeCug1FZHEyr2b^1Ql)5oa0Kv(;#IlNoJtJgQ1dZ zO9=2B=!H1B2-r@vxB=6yDbD^{BaRz!$3H}(sThd}PU;n4m|V*1rAc`zJr^16N!R@C zR!kaIMi>AjwkdHgZ&f3LU{A`Mc0FCX4l?Fp0Cd1L}d7Mf>h6mC@=@01fIBBQI_^ za#7#G32vAdCL)x?n#4I8-q5<;T^&L*4^#*TVxwHtqvQg3?1GIH%JZFxS>zC9#Vh%{9XXlM1_55zOpo^%_UdYCN$i`vF!pyx{egbwR2iqB1S{u9`W);7F zww2w#DuJIR>BPw!F=Uc*xrnEGxF}$z>n}6_pg!CjH)0!yzqL3^4fUjGDMQ@Pcn6FG zKEX-tX2;>`&BS?nPMC~JO~tzinVw$0xg_VsB`H41CP$=Z$-@vUA?FbfcH)lFK?0C- zD9I&5vN1O!!yiu=!;azs&k|k+T6_qcTmKBUqTv}IW0yk*rULS#1An)5bWHmUxg*VR zBjjUT>r(AqWraO)qxd2P^_#wJ#I0|})qI1@u5C-O*E+&W44wlgv1+ktr%>x~c#8(+ zd^}$#q%NdEnI1EEb@mDY6YqFny<%BLi zEzWQ&$ndy`0|z<{4%Lr70WBx91A7eVkPj$VB@=h`H;`v(Lc7frDMpol=s0PZL}|>`VJZNvc@4V zVc^IM{&sFdfBs6lws-R0OED8Xj%x|9WffMH$dD1`YnpVb7MGnu-4>f4YC{GUP6f)7 zhyqCZ0V82}EN3AdD+oAX1BVLxJ?PCdLG5|paBFJD&16r?=t`=4rhWhmgR^0tUHQpD ze6g`Yu+m+N^k#}{B$`22oZv+R{ZTHoan(YS_>IwRBr1N_mIR4;uXefKsneJW8|n%h z4OD<3G*=3{4*kA@yiQaNci2n_d8Z#6e)5f3F4qo76N`k{9qrULtie)Bymu&TcCOK4 zRY) zix!_5gbuQm*-ZeGSOqwTRhT5W2R49__UyxsVTOY%2IQ)-FMWUWwa-L|0?orf%rMGB zQNW0x%&*>s_jy0`+zml18p(>gV|wH&kuOt!bBxz+z(^{{?u6&R+P}}D{ZZ0Kh$F?s)yK$m21ceSY zv2}ob@otXeY$37z2B*W2cLL&+MmifluUxFNt4_tQonVh{tTQm(GT(cVk3<3t%97_i zg5vDoOhK_+8wZdFqUyaaIi2zY(eeX$emneq`Jj9aBgfWMk|DrMpl$r^MRVl#F8xim z{J{{-nCb#@{$cRl3?p0dM;KtQ7- zA2Hu&5L(AIr@s5VJv+=ZE6np-!iyqkPkQM{>T@QxaV7RX5&qnYb^}L@U-c$a8mGsN zg2aV_)Q0i$Jq`EE50J?Z6v_|y5aV;Sqr-U&>fEt7(YW0HIWB)}x|zKPqIZ;`Ym(a0 zq{N;%JMndUPTsY*t*wQer8YOZ3f5E=^1IvU2FdwA#WzSR==Ty9wLao)rsZsKw0l#2 zw8*FV`G%1XSKT*g@H%b;%c}FZV~`*!?}EhOr>uw2;peJtZae6~e6-^^&=3y-8>Scz z%=~&N0uaE?Ig83G2(C$Lsdlu8vQ}CE<_>PgE%}F#!r0(vM?{<>D){_l!M*-{?+9}i zoy&o!meKLz8wMTxG?7C5%K110aLT9wc{n)tEd0hM@75@1B5$W8S`ikpu0pjcJq0cp z4>tIC{gjLpLD3~pP#e}rP#r}q6uYMN1nMTzX8@jlgT>AJ&0@6>I{H)l9k)%e_$gA^ z?r~DtH_pJH1m!q5k@=yg_%el|t7nl)(o^Lr+{TAOvYCfM6U#(LLVQK^hyz}Y299lM zOe_=ex7vkz2SS*o5=SzyST%W0l6v?ynMn@Rs=Em`ZTMRh%l%+N|BeUe$v*=nNqR0y zrA7EYJ~L$c?wnqJ(ZSRr9{DALrja?h`>mRD3dM4G@veDVe(#7jPO(?;dT2aVmRA96 zuTFr#-}5>~sL&|ShC^TR4+rD$uXve|uegclXQE(%se16c4UR5v9AQ~L4!I{ICj&h* zJuQrAg( zdRbjw-p)5#we786KWPI8nv0c)V(%xa{|2XzZq_@p204i0UsZRI5KTM{grG=aBO;H30~uOYNf_i!jSha61z%sLIzAu#Gmg%(a?28OjegyjwY{7 zj{ycb!1Jri&nZQd4>viMt({Nh&y7j(&`~Lw@-!J8VtZ6(6;(JS7_D=w0-cW)Ee^>y zlM|^~3D#@>PrJId|4Y^?t30Wd93OM@`z4rAhUjle)o_b#+1Ytl{@k2oxn>2+95W$Z z_l(gg*<#TH_7j4d_a>+6pVwdPW@-{VLq>;K9`xQtrES&RL)oJ0dQS++DFSsRxA9|= z=Ai$RHUC$z7U=t!;Kgi`XPlUlW1P6y;^+0H*bIJiw6to`5qG6dJ|YAAf8&s~I|hTN z2OU!5gM&R^o3Fs%lX(YYunE6olAj{}b3(*f^K3-(b9w>WZHc$AWHuaz-%ecL3#GEX zuLT}w5Bbh|^Y;07#@f?N;=iQJkm^ks|IT%)c-;aO?6r#B<50Uz;Tdw75!F3XULMu>gnJ@7*e(x$-QPrx1caKI z-*!X2OeYL)(s*%?#_hZLF=N_~*#8+sBAcwF#rJ`;GkoP} z7^Yr3Aspx+ZHHr?iriJX{s@{Ny9{Lc01GG6-Te;08ToRLj!7+oTfGmqC6-H%2yt`^ z8^#e!PZ~7hCo*!qmYBhrr@}FEppO~<_Ef`uO;ZROL-UtW9oAm`RKGXfhk}1eGiIkq zZL$?7n#j$dO?@!M(+g{X(pyZ)4H39!IQpQOb9*Np;FE7)CA^Ec`^2`u+g3lY0gb(7 z=M%%f>x8q&ocQ~r3z)+9`m#83F6zFJ8LR!CLmxQ2Et$~}OET1c1aZ_&0n~Fa|07?K;hW<>-o2VJeDkl_LMIO$eijzuPD(o=d7Le1_mSz+8)XWC^KgPdQU>SM&uxon zSJP88M_bNgL*695@c4*+HdT%Y8$|Rzo=jDPHTttLr}qqfh(o)G(rG#h;z^RD<_;!kd8GjJYV#@LC&E^2B^KMA1C|f7|5b8W*x?L|2j*c zz;I7gJA5_R)US-3oLdDU2L>&KyZn@6q}^q3?6AQdzn=P_c;t|B7^v|31(Yk+*8+N< zm=J%rNu_y_W0Wb;6_mU#yVIxm>mPDIRtk<77fS=%ez2cI&QdITLaw_vFc0Oe)ikz}EY|zTziHgC*q7 zOM^xFKMo%?o&U?xC`|QCmM{e+e$ji>1TVOB0}=aobkq@r+1#3aM_+yTu_jigM(5h$ z&np4JyAbu4@j;cTM( zZL@*T@$FI|bixfm3dD3QnhDBjz4`u%F9=3X26Eb&?$V5QaTclJ>vl~}Ib={2G*xIX z+5jJ9151S6(VF%?m0x1Kep-_*2>#?8$iKv8`2umuej&6okyyBPkUtW9UuX0@0Rrb_ z=f46>)uGCIsgRm_>4=z1^4IzXqt0nAf2qUg)!o@hqR=PyNo6*jQ<;;-fJ=X-2YtLJ zTeOGtML3ZZQbtxfN^1ee#`-&gqO0aTDXuA3lHDWP)Efm8h0ipr z>(L((qjz^UNZ^QB-niPxRNRcV>we5AxcMN!Vunk@mNx|yiQ1tAcq4nuzQ|1EoM#Gv*G&yqVC97DTP zf?Va)M({|8RV^0*PeB|->d)Sz6Pkoo(&2xe*`yQNARtu-6&WIQLZ19JT2CtqFHOb_ z!-^N$g>~IZH8225R#nnlMFO&c@q-Zr>#y=g5FZ?}BaHonnA#JxKFR#x9)~KU#!7^= z#oX2h#TjbKg8rS@ty5|(!AG1R5pG=tMdMC_Ukvty;ZHiMt~X@eeEi;Ia{9-z9^*&S ziN=di%OnVs0_j~n-6ZhFsQLCU`X4{&VwJPwP*6)}81kM^=9jN!)Q`Qy+!+TV?c#rR ziXxxyzo}Yts#Ds!opzy;%1l7{piB?Tn+)eEp!^*&EWC4T|hyRo2boE#OZ1 zDxDEos@_0&ZZ$>(F^n&Uln|3vhFfG~Y#WoT|^y$)vKEec2v-gyf@_TFSQ-=T~zCXuYcG7VK zMl}`(Om}O+(S5f$&R<`jPZBYo@ z2aS3#F;@QoGatL#_GSVX6TJ|LXF?F0U`w!s#EXBlSGCS|*)Y$KFiWX`!jP2i%k0ei zywAK}JIj1NpZ^Y@wUeJ-Z|z~Y+?*cG#*@AN$MNBA|NZvC*MD|A{_Z^p(yuUi+0T#p z{CiOJG)(_6oo(+oYPM$M{qeW$*?2mMwY1mw!bR_X`1Lbr?E2iQ8-v}!Hg7|NhUAp4S}tbpPIa9;kqGjb9$VaTY|Y4fw`@N{zga$J9UWWsO?BYt=lzG z`!a|d)8k1d@PERoSqXd<#Le;E=UHa(k_^@^_-^-8CUAa90-w|_SoE9v@pdxXJ=~d2 zCLtZ3dg|%bU2a!e7V3(7LRu5LnY8m7*Os!TT09P7@U%s=X3j3gS?y@+IXcfHtNpt= z+M|ZF(AZ@+YZ>CVGFFFXaI-}(mpLO>t$fN^xT;H`d4Fw;Y5v-Xb}))XUk+lCqt3>o zu9T&mE#gtkgzuUsWN2oYQXBw)Zm z=!Ix2!Hg8-)Lw5SK>;KzGDC4B=!FOvu!wroo1zvGl}0yUq5?!d&b^p{Tfnny;`_8U~yY zsDC(`v={q}xoH@f3m9JYVifjbDY!}+1~7AF zaD~w{&v`U{@LY}!ZK%vh@+2#}wra~Y7`ie+CSjn3@cML&7O|+L{lLvwiZHa>G(c5p z!Ns$cl7l`LqA!H!4j4eCBKk=fi0&F98h^P}VBGq-c=Q-(mpjx}e4x%ys`JAcuw`i` zv4e$|N1jq$l(C>*hv=p=C}yDQfT4kd2<-?-=+&hNLw5nF&eTxJ44@rxL^hHzfa;Em z*adhyoB?4~-a$5jnpIG6bN3Zf_ZOWXCm1+Z;;5cMo#|BN@ep&cx)ss(p&I;$TG*H8e zA&K`3`hOIRl>9zr;n3~VlfFyU)IY~v(0(JGk*+OLkotg%qgPf_;QWArHy0R%i()AR oU3ybsFIU$61uEXWLj0lt!GsP`vkI2V?^EJ>bk-UE0FxU9#mfm@qyPW_ delta 1236 zcmV;_1S|X23*ZZoTYnz~00000000#M00000007_x00000004La?3qt*6EP6Qn+p;L z4j|xwga~{9w6=FQ$qlK!AeBo+#ks0ViByuRq^iV)56u+_-fmX)`$6q)IV7U4lx8RP z+B0w7{8{gExm^D8pRLv3V6gSjU+&G%7t`7C@Wb@%X!!2n^nXh?9)1rVcuOltG@=kXa2ecZ*P8)k?Von3y<9X>2Sv9tELnduYZf8Xn$Rat`0?4jY83mWwf*t z88u}nI%`7FR=N@PRngcgyw^p=Qtx%84ezzJm|(^(95scQKs2gxIN2Im%8pb?o$SZmK!1gGW$oRO?dHPl|Bp(_J+t4=Yhvr#k(7em7o;subf ztc8RS$$v@Zvl&InKqVy>))gs*1}q{JK}RK(qSlG`5rZT{6bx4*&nlh4=%~(WRi@Tv@S?Fjf`{Le2O@GlGi^+%uI~H)D6-KQQU`?W?i20@x z192}_hC`VsCIU{prX<37O^jWeR$5b#Mj-w{74={#L5FIUFc4~JLS|CcZqrR^ zt$(y<=%ohjRT5$}p@u5iOAT72{S~|q5<)AmE}@3zNkj@)hPyS`;a`fnQCur9mKI^( zJ}bxf&7oY5PGhXxkIl${8sl=m{%bs;s5$feBOtG}EQn z6KeN`jVfJ=Sg8pKHEOZ9&<={-muiJkn14`UWz{*M)g7Dcl-`wkq1Lk?jDn(ciryQC z9TAEs1I-}91Vnc~GAMIUQxKsDI!xxi8gxhn00$;uWr~`DfMk3l?3+a?BAN>D0EoxZ z{6rr@M~Z6p26T)g@Hs!xUn2YW7br^6O^IL4b;w9eZpw{#Zbw}}#i9AC1~MVELVs>Y zY0KT0L^mQ-4;5MXHj|>8p%q#e^jEq23R#B;W!1nziZ*hHeTqs3CMaE*r46xwrWYwl zH)6ip%uzkE*pRa?oaVtPR1zM{Zytk=0w;1fze-S4PkP}Lyzz{m6Pop!(_IRSu zfQ3ULB5>fre>%N{8hQYWjlc~Y8cAa^Oh{m*YQSFXi=%rSp<^-vC$0vN#L5u!`;@Tm y!znn}hg0C+xKnV>4=;d(7)_{=-=~DxOk*(N6isdjcEk}p*lXp@nfx1*5e3DGp+_44 diff --git a/cpld/db/RAM2E.rtlv.hdb b/cpld/db/RAM2E.rtlv.hdb index fb26d6d5308af781096930b0f0e61e91684092de..f1fc6e6381237df4d628e2eada48e9e1ccd7cd66 100755 GIT binary patch literal 15685 zcmY*=V~{9KtmxXdZR4zM+qTZyw!LR<+qP}nw(WiU-TUL#tEmY()9Fcdb*j6QAOZjY zR6zs&LdV}n@E5C^I5=9^+7K`@(=pI75(qk5SQ!&AF*6Y`u+lR!(K9hHG7~77IJj6C znGnbu7+Df95-3R$sF@fNh!|Tq{q}5r`A;1Hz!2;IAb?Q#|HUFa|BKxKFTEi%@kG*G z$q6DL9Ktu@ARuy(j>mDT4SUku6Qa_HC#8`Px9sCF3F4&*LUW1io$tT{0#2&iugg0= zu5KQ9Rdqx_m6f@9c~^8|pMZ{rffI#dNOpeobe42Ez3&I}YjKk~5@dm$v2*3+eq`*_TX(VH!ZCBf`HlvM{GK$GY$Trxh zl_x{`>^h9K{EF*q87+Ty&-%J)RKZZ{v#=rv6aSP;1N_;ge1MOYm7z_onw4hOzma@==4W#=y~J7QY1qgoJ??e3-w>=@V|_t`_!( z@ddg9#EH6b#o-LGc%T@t9iU2U_QKX#v2MpG8rVkqL9`w+a$OF!?q!;4mT%-i&3JE} zc5TU~^LA15DR|0`@#$FLdwH&3^=U4U-hzkqftS#7y$8v3+^o<>zErIFXjt>-uK9|uYTrGi#QTlJ)|8w#LC1Nq>^ zMNL=t9Db+0n9kW`r+Wr#jm5Qt{?^rwRuwY|BoBnY1f+b?KmHlxS2McPKyW7^#<>VAX<S)D=~tYLxm8ix_=f(PoHh$iT0zfaoz!O ze~YtQmFpqHj0>U%8zKwUvyY6E5e2h%z=3On0?b%7@K8{12U#`K@|`K9L3wVbLTN}` z?ZBbJ(@g;JN0i?~CO6!F;4+z$rjgzJB+PPQowvirGfA3OjuvS0eTJIX@Joj;vWYW> z*2k^?%rwy99Aec(%G-S3d9Is!Tye`;D;?TqyBsXPCrp++~;GQW!j!&J6oX z#I7#U83Iyz#DO$3evBsA?dTJEn{2qi+kM(qhTuvO$p|YHtvTc+9g?fE`HmItL1B9& z_AP9SKv(^gzz%kt@+tmNzAE`t9eUXDZQ`Z+q(^XcSd?n2^RJzJ1zK^Ap>O|u+^jkh z9*gq2M*T~eP;bdz%>%~@q z&H8iU<^qt7egwVC$7DorX<2btSM6slyXVksKpFYc`B@2dL+fjx#OL_)Yv2`2r3%rA za{v9B#2ssh+~oMi)oae@Yw$v7iM)Wl;#H?q6<>TRf#A>JXE&iXA?eq!n)V6j{ ztC=VyBX5tcwv(`@rl!vymq^o=Lr6QHZP>?z&1~^!k}P=MJlHUB}VlmjeDICb~Yj?1V+`5#Gd5Mfc}mD*n;~GTAcWHBUsG* zD8zU%vV`&A7teQ&VJeMb%Jv`9j5BYPo_?3eD~(!u#V}7;DwD0eDr`kKhaX1j-7$DA zE@P=k&QTbQD;a~mn0nO>(2?77RQvr=n-L!{D zn~yxe!grXl@G%jTQ50ql`kWh!s48M?ULIau$1<|Cjk2~kvciq7b8cUI%!qReB5g9( zLc2zIL*jd_X+hDV+wkeksVh`%ULs8qE3oud+{C}Ju)v>9Le;e3%S%R+c=w!%@Sw!o z4!hy8)ORPA{whi>)v;Z~4sNb(y^Qu^3_fnbMb!QdiFz6~Yzf$`zsg_b#v-d}1oI$H zvdU$Pukzm(Z~kT0uuh|msn@WBPpsFQRa}YA5JtwyY$gfW2VVs3G-AWvB-@22)#k|! zyW?dMACev;Qfmse2E9nXh>k6L8CB_SEaz~6WzwMx&SA(w;7dkaV$KEZpx`p_kLExV z-XYUwJNU#N3~D&|ec!{4U|Th@)EVNY2JrEhlX(@z;Hxv!lO7E;R3+e(wMoC!9FiV& z$5iHBNmD{kj#q0Zx@gAs&RrbOs%UV!)ozF#ZmkmNCW!9g;t~*;(iDnYE@vqxmpo;O z=~n&+Xt|IjVX^KzbeWteXsG_SZj92jbNZrr|Fc@>CZEm7q>IzJa(FD>t*=ISNOB7K zHHGWfl#$$DLh3&v?|+TMGy3OKCq$lkZgK6E#w%P~^n`FuF72qmx}=0nIW(B`r7XvR zryzeL-xi6gQ1Y&?=1BP@tS~@&fx=itS(2l#sZ+1m??DQU6r!%=im|V1tlm_@Xc=z>~_9Tb; zQ9j=|Q((b;*=8ePkMkf4vl3$?X<9Kif@T+qQ_d>8cl4|P+>(7yN9Zq4o4eOUVXS%k zc`@yUSMDOv>{yvS{+|?ebenCb?c=-X?%OFX`bX*gJgs>aALfEl^tC zq1&UX$Q9dc9OkrmA3XTt7JY$p??JE1y`{g-;*z@lU#xyx^8f zIv;3UWtfCanwQ?=u1ZGn;>baPg^`^Rjtfv=TK+SR;-lD4mz2 zL)Vx38@~GLveNReE>6%04Pn1=dYd`kuw;xB=w`ZQQk_M9rxwlQCQ4Of4W#8zVSvT?1kg^EC^+l zAyfn@#pMP$*{qfSM&I1-jjF*f#zFiJJsW&4nYgJCX4~ciaI(n#!ytxcLn8b|i!^ZO zld6>@a61_m{~1D6*0@Wa3G!MGS!uegq4w{yW;r7C7^836NZFB8VUWqSr?~{VPf@UwpI-9#@ZMc9M6@5;jIxI#l zncmR8jR=iRUf8pZXdRm(ze*d?8WzRig`CyBxJ?V2qW{{jO{DRUd{7tu5!#%#Hi#Ih ziX$g2xjZAv>k~92{ZV7UNROVl+Z)m6M=(XcaSsCb_m^P*#7cjUUKo+&Gj!j<4n0Yy z7w!KihPKRmA@vTqqYiG6k()~#*)D3&0@;vB1a4--bZt#E=50c#>Vq=X(`g^xEr}V{ zR~u6d*lM7KdEPcPsEq|c1N$g7HmI#3cmzezQs=fb*Wx7La{>3r06>2)sg6alwXMUs zBlW--iYzhqYC_*tTz_c8sCmm7M%=C6zuLCI0^i4F?y>N+TlMA;>okg*MznqT#JP)E zQj2l(2?*a+bq3nX1yClW9JO~W#+dlZUf!E5Q z_C*ppuq#Ic>Qbu0t5Xk~{QfJQz3-#RE}(_& zHixAqhE?d(DYn+fLrlG6`tt?(ug)l@w?*0!Wv>sG)R+&IRIQF#*B0rj%^^;$9(;WK zmq#qf#}f|Z^938y<%%WyYrVqfdz9cuQ=Z@lOa3qZeOU?wH#Y77-B_dvfndX`BswI* z6m|HojSRb~w?_)q$_Suqwf^xJ08F|TLDypQmHPEgjbo!rekz>o5-uH!tjNJM5n8aJhe4HC=f0oG)_1mrKQ=PVeLaz{Y;E(uu4Edww%-fJ zwZnD+tD0DEOfyOa;#Up0iB2P{WTe$?drlqNWc)}k;-9ouV&t;a1lv~{xF1X3MK*@n z+|G~+piPuK!RVSt*tEI7*J*?8OnTMbD&$Cw{%ljtW$7m3{4Nq>EZKV$Q3O+J?Ncr=fc!IA#!c5**ZdUiGR>YE{$cpSc6JC4C)BA26u zPcAeYtP9mT-{mjQ?mUQNKIG?Z4jW)SBLF6HI%hw<*`2%hHd^To$F|e0u}&ZAq5VVh zv->P)B)9$Buz5rXc(7o)TU`Hik*-;AZUrDZ(f5r|;VzU0EIUJ;y9@S-*~)TP;u3qi zhDqu=b8vEQJp|eQU9kj^+SL%!_7xeh!+@spaYmsP2rY4UvHa3S)^F1bBsVYpM&VS4mrwzH3Fz8h`;s9qdr)NfOb z0i@fV>ZQ`pI*UFTW#n#mfYhzR%I2OKAwE=B3JBavqW|8zlgj~ON4h;?*P{{n&>uqD zwAGNQ$=T-`;&lK`wKen%e4}cIPfbpxs_SD8eyK{*eyYYZfms35{D&A!jChx35QG5T z!SAEVS7}&xp|M7|{#A9!Ams8&d${>ASbZgSi5xb=6Oo2#n2e&cD z8}6QuGF*3i7(_9@#D2^c(`U73P+O5sk%(zwHhsqwl({{=j-q7kmg0gQz5*NxuDOw+ z$Gbkixfy8UhGhIkNO`yi&F1Akv3S9I>P&I^_u2z(-sEXr>6O^PY6i} z5y?|>q+GklM``VB%z*q^t-ILbd97pC3|@%`?LeFO;9xHKZkH`?ss?5h!J(4*YpQq! zcb7-qUXWfFGQBf88{cy7MKy8keFEVgc2smCl`dzBt|vIAla^FyH1hc@+2kJIwr-|I zzWG_zyzIFXwiBGPYA(Aof^~w{bK7|C0i7ap#%T=UUI^91WXAPVq81kKVe;`sZ-7<1 zXB0skc>CThO~0){vNH=N_u;ai=l z{G*wo(Y#<$H~T*|JD^EIHlI}nZF=*$8SM&fD>sTxZ{ZcqjJ-hljQZ%|cA=9!)M_Y$ zPBv&sw*=JW{qQBiSRVmg(Vq`eB)shcDbHZjw_7}Q-em$4HxUyYA8l$15|OM(WbxR| z`f)l59(D+&g~VpA zxb81RAB`2iX>6$u89oV#1sW6OJ*c5*_D*f|*}{`s(W#&1OZ>bT}o0#PE^21AE}`+q53A`*wik+c8GInRYtT%zI-6ep~?~2cJ}f zjWJiNATZydMpQr0>_5b(iS87J+%o7VKL96}cs-(%w|wHv$AJ``OeGF_B9mf&#Kk&p zGy@N?GB=zEzJT;~2^bFvtE{}r4ohI~$M_=5qF~0pG=yMZtUz-1UVnvHKut->JbI+BHbvF zT7%YQvF^olS{R3O-K&1C>wkO=fXz6sV01&|KgsHH=W=Yaia)nZz|}aC_}-pMFmK6^ zr20c&1OMB(*>QKfEgVBHw)uD$nyYAQ@VE2|W|qR9K+;C@_NakLU)_PuYFF&?O&V++ zr&m#V|IYMB_~LTr z)p6j*Dd z_g?o7z+1)x`~-i^(P^)y!n`4&Ys*puT}&1X-PHHfbw&}BjM1!KTx>x)Z_oAYd|3p~A*t)->n+8gbsKKML@J)h2_9vkFu#Es*- z-xFC2%{o)vHOQZ#7@ZR0KrvnU^tz>1Jq(Lx`>!_r;44|c@K7ijai?Y{>E;&J8cWQZ zRP$_eo|nz0i)EQVE!i7e>vJ4)Ea5NP`MDRvl8!SE`A_JIB|071&_J=Bxfg?`Xc}G0Dl*G1p_);m^}|&XyS&=q;}Nb=Be5ucm(0Gkv=m>Yk#? z8_t&W8ct)wu;d_IFFSip`Uj%4AShsPU`|FFmay3K%RMMWKB5kz_?V5{`Bv_}l%pFv zIKz6EBVmpxC8z^gY%iEQX3Tf3|DYDHDB?D%bJ!hkhZyM|5)l;uZoIl}FGx8;+I?X2c^PQc6 z$U&d~1fpoiR%?g)d{Nf~$EfEm-WEx+Xo5{(ax zc#!a??u#EDoT={9)<&uCKh`K};?t~MeSc=|;}wk;O1(7%5$?sn3TBQcXz!!*JH_$s zd2q{t5V1{6o%7K|917}UT_+cu33IHqosLk~rnAVaFNLT`0-ITv1sK^2IL3m4Xi@123xU@wkFgl1kDF)LNML6tCZc_b%=b&TBMR%J}!}_ zIQEx4hFza{02gXbq;wbAej?$?jL*@JjyBrID=rP+y^fF{CdeO~VAY_!b|S#HMPbuxvAX9B+8go#oF&{wasak3~Jx z7-YkCZ^)DSH%V2~c{45AF%vRbYCXiDTXETsnB!Y!!5VJ_#qLxZBD<)=|iLK~W#jE9ffdanQVIRw-nN;D^yt(eu@W(T{(S zW)LX#l;US)YJt1rf7n+~j+#zDf4)Zmb$`C2HVt@XQ~AC>8+3?RyGj-7 zSnQDS?R?`*6x?-^GRMU6jk(^PoXq4r_i(KEgn#R_Jxkm_T=409w7u#ak$*i1wLMAQ zk6@qoK>E7O5N-9?j?(hY^(@DEBY&^e^+g`(|WJ-Fq6QuW75;b ze2MsayiH?#nOeM&-hOt?bG*K^e}`Pyz?WDj-}!#v@ooMZFv(e?^KHL>Y5cCf zFUk0|u=N%BE8lGS6<_NnvRezk6kg~-RA_Wg!HIE@6vA+&F;3)ix zm?=GCO-(FJ?ZR0wKmriE$9*4k=Prz9|}!90Dx*=ubi%Wiwzvy_j}od|$tyMt+zi0aHWShGF@t zG^LB+u;J&50*niqrS%mJxiAxS5Y$qvb{v7v8^(7L*7VB|6J}aoA_6xc*0+&f#5243 z2WI04zh}9CCrV=pW@C?EbB};0NMi|hW2nH#evDI_H+0~w6qq%pIXYJ7N`UKRt~W+w z6WA5L05+TX56C(o*cIVyw!thO$Q6I!v)L>hllgCuG!5(ua2Af${3XyOOyGmqJQS;u z&+pk62D{Q9_IoNf3wFH+tI?7F!z}Pwa+cR>c9{QzJdhP`9UOL@bCy?smP}xPZ$SEO zf@SuN6Ic!W8ZB@cXdNDQ9W&Q^Dfh|GrAwf~-=#pn6ViN#!JHoKiY)M1E!TS^*E!Io zLEr-9$`j0*(VUOL+&Y)Xcoq)e3Nf&m&pZ~Zu_WNRRlpOu(iVEy+4ErE@{v(;c%DqvSaxlO;KK$kj!4y48@u&b-w-(Ru? zhCMLnmenHyUrOE0=7&p&OC@CnkJNZanhMxA%oJ+njRKEN1^L9EPJ94q6_;w*CCvY` zkMTR>M*>R20;fJOs{KU%10j3$uK}adkj%WXN2wH|e)pZD{pjhm>WnbXt| zz%Q3s4B;_B&Mc}6Q(_7io0+8(Dt&$Z$y@RN88dRO6G*QUW63TKm1`mI7+C;j!8aO# z+l~qbpq+W#3ia75--TZt71Klzhk@H77l_()+1D8g`{3=~BOVHC+0pg&X56GYf@IDRZBV@2GG(5W^d0--V!C0!xHzx{gKD^r zYp$yO*Y-8R21ECg4jg8DLx!Bqp{D zW?0g1(unGj7Gqlkm!x~)#JaOhF$(y4%koPDF&sK7{I4KQ&a<`gqSUll`EDDrR+Cb= zKe(9VfyKlFRb>wCXNgS6>E&-OoF1)~T)qfw=F@od6h!<#t!6KyXF-^Gqe*;>{abWb zSbE)2Z$@=*1!WyN$8tK)WHJ>lhyw!LK9@4qT1%u`&DU?u=dc!$JZ;>k1PX7b_et29qbrKv$RSku;3{_l$|jMQ&nBc%$7!8DbBFl-u04 ze${Uo80!kw#xwJZMuf*tLchSHRgCh5E>kPE<#1VK6<`1eZxylQMn!wgKSuGbZ}J1s zS`#-3mI}YrEBD@i9Q;2*pZCqL-7j~V`JXCNdFy{E6+D*yM$d02!F}DE7n#4A6tBq- z#b_Y!%|YuMtIdIb%coNC4G2o%e6eS3Htq7#EH6r?Eqq94oFpfx1;iCJnG>5~ig<)H zGV`2_DY6)YGj^r^%8Q}WL58zF24^Qf1KKz%>DiFHRF*n|XO~P~^H}m*Ia;245sW0A zp`s28NpvU&OGrfIDu_#{r6xN4(*K7F`@N`|T^E|GG?R=J^A)5el_Mm=Wf>_IsK`h) zhYE$OQWH-_xT>|cSMo2%T`)`sE@vmf1f}Mc|6o&wug6mgiT@=;l)xn+Eb}KJd6yE* z?;;^-ml9MP56{(bO`ok;)2@B|%S!+mQrwjeE*_L;QM3GwWMIB-+4y~Kbpfqt$if;+ zK)OCyIOxDgIY&W8x*RDHuF6Ph-B*@5%gtc-5fg#)o@C!nOnFtOTx*S`@)1A)(vr{im~v%9(v2U^I0Rw@XE$~P&V zi07p2nK4c&?Cg=(DQWc}?r7pw)|OSz2)QVXld12_iD9yRjfvqKJ@yL zs;;57Evbw1>ygUoR6SV@+S&bV>8MC8Bc)5TQ?sLJw!9qP9d-5mXx3V{RJ8{QI!O(7 z@t3R8)hQj4QjJV`rqzw!x+1OEm?+=UU3YcQYwx;Tx?19B|DxGjTF=r=KE18~8=6AO z*?GQtW>N!JBipeGf21-p6ue#mm}^I(Ou$#wx!&F+pG;LNp9qAyEEkO!+0|)Ur-t3E z5j{k2Zg0Wqu{@vj*RT_ALN>|Ni=9C`?>GujgWx$~yS4 z2i#e;)LL|TKQI1X;sF*SrkaVmyJGSAN6pSt-5vg_?f*w9n5eSNgRGIZOZ6OpasUUS=fdC9>WY&R@0O#m$ zg=lCZ26Oi)?XfLjpI+RlAynHY?V<4Lx%^9;&8URU=$OqYr5}pg=~5{nf0{jZRenFH z_@RI@?|!t+C>onFHNnuj?mn7BnbK&+reL)b)ZWDfTmkoW2u?t@lJDWN<4P6@dp|=EYgHLwlPuu?% zZYyJ3U{%v=E$Ly~oHtA-(E|-MAcrIk2u*}o0t0&oPNdg5Q%Wa31jb5%f96mV7c}*4 zuiM$e3CB%84(pC2iG_-K{E-tU z1>c$v*^Dz_GaiJ+1U;l^KNVk?EuTxO5Y6xi{ZMTM=j(mZg-z^yME-`|@uF{JBS~=3Vl>;3wdn&Jo zIXFtv)M}1$)~Dd3&xEHFv-NJm4v@Ry+H?&>_LqC=_><-UwYHDe-_aX`bwK?SbKVIC zv66pk7=Bg;yP{;2?&1K+@acz59|K-{+ZYpA0~)7^P7`(8gN&ougBRv7u_a|v2MS%p zmWbw&rgiGVb{Or~x{_(@0PR6dV0e_bp1Zu`+q^7VcBo>~s|Fm^)7u`_1KtLw*Es|0 zju5v$W|X1@LCUVo0f4~i?YMyA3ga-~kEGPN;TQ*goMz(;=OP4WF9hc(6ayHP<m-zY5t4Zrl6e`DxgWwk1Iw`l%K?k{riRM^&Tp}D};-f1Dz)tqlZ+8iURhq^<+clp z;I~`Ti;8Ct{RU02NzrSf;q5l-)AdbZx7c3PW})Bqu4a!}g%1qn80!t@g#+-3din`c zk6Ya`Kxs^lklCJ0WSp27q|;k_Jjk$Ww>S*m(u>@Jz>b3Jq$#yIqBP&#yGQRhz+W+@ zWNh$^JN>mOnEe+upH(#nEXdNkvj_b63@_EpO&{*D7w6F5y%_vhAAXXs_w{u)FS~Vs z+dkBDKN}S1JA(TR;&8$GDtk~4kt+!2EClB$1m`LQ2N(jJ=py;H4xpCvIqjK)KNCvW z<)egkwehc=u}N2nt%Y7!i$$TWMqlsRq3Sb8S>#sZj3YG16zrRx&FDoT)fh5kEkBhu z72;TeSD962XJ2^heATG4&8XT|TumF{N(UiZDyS1a|(`X{prOjLQM)KWS>QO2y#v-Owc6jPeD;5L>^q)?!25yLL@+ zLosdqA}B9%p4(5Da#(z01VGKWS0^Za-IZUM@BVH#{Bv*s5GX4mbUQX(FKZ9&o{fF) z#b0`Nx<>usaVgcmkabQIAEtWI@YjqTaf+Y)a()lRp-O9%i==SPhx*>z4+p&C_L}$F z=n-ZG{5-Ir>6>vQ`Vc`MbNgm_7Z@$fCG>+DO}sP$GN1-X@iIkNden9ZI-oO4XAvgrb2%i0=dzH+YG+kPNkD!Gu`4-9tTO z3+D%zD(s)=>dWX-NW8KdQV}aKSNo})7%SfA((8zPp_t-A3-TF&<*pq!ws9x7lbg-B zoesj6c0$)SLR#~8BL#^lk%@~Y1sWYNHDOQq)syoZ+wvz^OEPg%w%tjEg#tnyZDPY- zD^8A~s;o*8&XTlbk~nAaW7Hz|(;!eJGR0}J_=klJYB*!4ko!yQ`dXcL ztcooLyb&-q&#{YOg9)I(74LP?dCqXv?>ggUtYWq`4JkhPd%-RU76|G9`HFS_;8b4i76Wj;=^h#>)+Rv zVJ~IBKbknj1L9qemi?;t+-`B1gmnOz1olxjG|EZ$k{eBlulX8ACz*E+m2fc(Iq<}u z&C~t%cU|)JHER-~q0DI{pp(iyY4715jnq+?2SiAMQoz02C{o3wp{eKVS>mC_b$Z)3 zL%W#fbh;<6Ak!oh#iab=n~9YCqy``ev8<0QL9k6hu&p>kHsc~}hh%Ifn6QZ)Q9nwX zJOx*Qimf=7X$Re7;mj-H&dsV(4y5^>@pg4@P=d=%H_lpD75MCoGI)6N>O{%=0sO}b zAba1dkf=7o8V8|az`Z3-G=Zi*ybmQ_OCI|RZhPeHRtdRH_ujhz$c%tz$;=x{D;~Ri zVeMSB%b5ez2hf@}$+Cw!-MC+)1QF`Pet1X^-H^SQI!v8J)$?#TAd_*!9 z4=r9IKoHv*G4q8Z7XDVSFH0VVn^Ag+g=Zc9Lz%L4rkiQ>e3erkG(xPyE}K!YtRt^U z+CwF@Fx4YDEdtG?pDmy{sz-OWLui{(bkah7i*H!|2=bR3_3^p$PaSCCiiZ~(gsSPE z8Q3>O0&Vw~6}6R*1)-4QX*oZ`EVQgV3Aw5%-#xnX6u(x^u^Q51b4afW@MG38Splvs zI>KYnIMu5TfH4cnD#Yt%h`C88c!KJ`Kijm&@OXyb5YjQ-=}hn*;_i!-!8sJ;*g=f} z&jTpRmE#M3b`Tn8A(=-ZUc<0&mDu;7{1McTA}w)0c0%2UA==;&wl$pPvvbu13{$h+io?ghQq zp`S467tMZ|2f?onTvW2+*G}9bnaYxWF&rBQ%zMI$UHj}S*+J~AFlxVDo_>Gc&c@Lf ztC0|}EBFmP>Z3JV^qw7!eele%8SxZ>Uh;ic*}g4$euD<)x;(W_7PVZd zx`dWS+P^kf8fo2G^~~-J{#tcjDm6_{!I2%htJ~X)f8@D3%G~du(={^^W{v^lpuX4} zBv;}#;*Pg%os2v#H=nS{7HTenAYWxkIBbeiy4EM{(U~;P4wZt~C4#fq9o4V3wf9~x z&$}1BNguu04yE}cHZ01tMuGi$Ndu0&Cz4CbE3$4$>&$jbpJJ;uIT={l|ERAx1QNgi zIX}e0k1FBt5C`{#_kTjqTXd!PBmIs3C$eem#X}j%&!d=!IH*@d0wO@nbZX);I_{?`ow9#6A{8~O(tNF~q zEkzq19=Ielurd||L8?d8%Z~JLQGR4%$Wf^6Q&m@ZvuEyv;)TD&z`yU zwUvdnjitrKx%t)gsrKUh+~(%;=KS2++UD{$sxNv9zNs>_gwJGdOLu!s32$>F>+Fi} z^BICHml^&J*gtJASts)&^Bw-3i9Y5(++^8W1lZ9T?&>)-+OPW)Xai=kPc$`8ut*c$ z9scXP<(Jq%#<{AmKZra{+wJtw&UlzS9X+yV+TpZS(Sy{?nGv~8!zF7)4Xo@AEyr4xB9bpc()w3 zFRdfVlDDd8)uQM+jo*T_W1(#H>#W`G7tOR6D{>zoU5swVE7eysf|Gy1!f(xKWr9(& zI=ZN)bRdiEgK+p#w;?%ju$0pS!z3TtrHeR(%O3MI-vMa7(n#D>QV5)eC%W3g@zuD@(!}tOK{EMgH`V4au1H0ZvSYY zbGxBB;J`ER62o!GR=hG6rl|z;*aFf???gVUhqJb$Gp3BW5)OA_;Q1eTq={{wn7qS< z6YK8TB)T8=h!h+o$q;6A-!d0cD$Oy3hPJjMnJA*oJb6WJ^)2Nx7t1jX@2h8~L{s{5 ze)`6L#t}Z|W+#qx52(*!N8_^|8vR>}(_Z)CeAoY4ly9qK+jvzT%wkv8@&SIIZApE3 z5kD|w803^~WM<9U@3f3-zN-@2*1VTk?L3+WAe#S0bGh1y@C;O6Ii*j1G3NNJg#07fa z@u%~SzCy)srN=07_RvkY4)7GV%9wneY-)QHuyuDgNo|ZISSeIF`Lpma_^V+-Sb%Sm1n5Z1z_`2fO$@ua8Eh+o? zaRV$=^Rz+{!N>unq6L+7=ezKaK#8C&P2{DRyqdbQZu4&K2*vTOr9y=w0}U9A&lQJ2 ziVDv~U;zsZPbM=rR89neB%_E!0OtLky#)`)^2$X*{$UU)*m=2{)_sAphRm3}yqdJ_ ze#`DjYgqHP!Z5OWpx@~BL$3%-mLT<(N$qBE49S^xsM27u+IebCakQv4wH!%)y5PjK zm8!gPs|QV)Q^HPYgcyF8?Ejajo>!4yhbZzwUPDJ#b5?w>O?^_@FL$vf0HK3`+-^mX zS8lSJOet-v?~Fc7!nUo<{@hk@B%gnCWIdN7hmR4&AN7w~ zS*~T$ze=`t2+9bXj+2X@gOzac)_^BNu`S^q*2Y1GoqZ&PAA5px&-p7On6ICT6&LGz z*n)ZI*=s9^o0%}Z-N4L%)eX;>dM~ucgv>i87*8#26Cg9K*-Hr8rT0wa67dwivD*uv zhfGk2h76n}n|3mhK}DyPT|$m$jMwP5PT&N?JZwdWg%}1efjbH}WKE6kix)~)U!t_5 zBF&z5c6!JP^RXwoRak|zX%}(%31>$NjV5!34EX!}$+cs5h)jUEXYiKt+CI~yA{W*G z@-%kZwqMu?b=J<`#AxvoRd7hb1rY1iox;eBO&a+&QYJ8jzw)$OH&kNS6c}IHbYyQO z!}IU-K|YgtBSpc+ILv*2W==P6sM67%viyQ1u$14E*oiX+gDyjXoLiEpDKNp8-n9rw z{#7fWaqacdS7o+SYr>0lHTwR#aOqM-b5At2Xejk!Wf9$rO2zKj-X+nb^=8+ZlAFlg zs6HQtXkhKw>5yMIg)7nY8v2WyMmn&#&P! zP5x2&4)@6jhBQW{q`9Dm<>L%l0NIe$Mw|i&_7D?*-NKqIZjc+w*;%#_hWLKU0M)q3 zo_0w%BZhZM@_Bv8LQ>;_v9GIJs=@%1@|fG&M2`xth$NuWeXkEhkO?bS=Hvs=q!h`0 z5S1tCejgw6_h~MD;o*?Ka2E-7tI_&1fr0JP*|F1!({oIFeN#SitefK+4eMm ze8qooxB@Q<#ad;kz}H**`;>xjg>rMm>)!u%w1I{eN+Oydz)chcPx*gm1*&=2iKk<_ z4k;2%)hDBicSdQ$2%V5f=9&T7&psh@@ovEEDCOm4r5haqC57(+g(wUwHCpCyXt6;? zB{b(TLjgkOO;D^`?U>FRc~P*=6ALFPFqE76ehAx|ZHNljvQ5CGmsOJqvU->P4P&->{1d!ujqWA0xxy} zVR2U1hR9QRp}D`#-M?trn`?sv#xu*r|cE0w@+rNI;*{2v3p@E*-G&5DNs+pl5LP zYG>Oek|arp&7_!14JPgFOQ4+DIARay)$(OFW@j%CEZ_q(CX(i=#rVE3b`tUQcZd$| zoA;tTQ$0RihH5T?IujK`*pNm@n)RLO_$K#)R7B0R|0BrElpOIq`*%{kmtuS(DEfwX z7OjvDle5Wb%OX^UpojCnjlynZ-@Ud_C3O0hRO$}=AmJW$`< zC%z+}RN)mmH=(7%)umeGOnrrfXAR2#K?TmWd}Aw*rI=6E7yru>6dm$xxk??cp+C_R zMazEUV^hLvg~3&i(lms-3zmJQ(#ijm5~mz4j6kSluDnaGDTVOkv1eSR{;uUo;VqT=cN^=eN}P H=jZ7&uK&s(Ezq!lzCGw5xX3j2F4)(;%tPD&H%)}yYR<@?ZEUYZVOdO2NEQ~Bn z%&f#JX3p+bCT7HnMkY4I%)}}(#2RMC#Nwt_uHQAch{3+ifPl1d{SSbIBmWn<1pkYk zpclTdo&=I9S54?(5HM?+V6apnArKKol|zY!Qm@+W&Ei0kF%FV|czSNsdee~x=>QZ~ zqZb$^6yn~xNgr09`(xiY-yK+UO1c4)@R9B?aylc~QRBA{e>XJ`H#ZH9hpt3=9lbnl zHQzJAGWi@HH9bAOt*72VaOlT_Kg65xBbIh`)qeiukAa$p`2i}9_@M`cH~P`fSBj2` z!~MM_1Ty}HlC&TB`9zzLd~qg*oxG?P1fyss3TzP{;6g|ec*{sE0Oj=T^v!0Qr{%TC zwo8`<^aTQ5Od8_>!&b?LY9SS^)pWO=@%oe^tttd6Q)G4wQ7S9d3=qp+mE%18+)QmI zoq`PW-rX#W7BsWhXfo|cqa#xosj|l^$`WR%>V|qRhxZ*O*S*=BQj(x+4U)h;huKcY zcMT>bhPZPj;sFDpH&&$K7H%Yh0U!Wluf-_kmri#~&G|B&#HnNPkiSUQE!XOUv3H$r zqaMbk;!vr;R4&nl7hC1E$StS#wfVTg;@+%$x7tO}ujRU&A#<-$(K2XRia2~7#QU*R5i;BJu zNPp9gS*xSO{i;dD-SpC#@j{;_KO-O21X=^oXw~(Hzmk|J0`@uI2bOF($X@=p*8gwj z2CKEe8GISEwF!|H!X>G3fi`gis*Un0>XMgn;SrPuEfvM~4b%@ z2wvOK@|x0D;|_{a5juU|e8B6Tb&-5_zEaVGp*)uMk(`ru0~~wxPCZw7&1PcapyOvaBc0$s8zk&D&wJXG%GrP)S4g@f zxOXqw_v&D|b?#15*^tIfd#s)IOevGmGwD$?j%b4xX8N`pK)p$)V+s$n9J@x!ZOUoZ z7yC}pt&NdXXl@(ZL~X~xJBK~}C8;AdV$S!Rim zab}T1W9H+n$St|dT14yk@gZfLjQkP-wUX5d&9S2WX~CA3*$T5urXL4~M}x+PlbbOQ z;J!uc$Iu7ouGUD8ARko=vHkt|46W?$uI=+rsOpP0VUnb-07F5v^-hVQ z;M9oN+t7-r?NJ)1oKM^6}(-!FH$aW&hTg#+x8k%K-#YJ9G6EQ4%062L2p zhHXC&U`MXIb!l<@>}==yVf(ISsY!He@Na__SNCh$rboQKOmWSQwb5_yrSE|D()q^L zw{C-@wo_uGOR0NkmO|lJ@=wQ;{nw~P5~1dfdOfh zyikBS-Vy&7rZpTO#^7M`4mSk=G@PsnW?=ml{k0DnTvyFblUOe&qT8=Rp6s*NRT(JZ z*L%G!n;r2-*Umil+|dX?OG7IbERIJbGBi;50AwgZyZOZ!>*6!o)%t+L%r1{Qg>sW8 zuWq|Eytp-w=^7nTn{OcI zgUB^J`ubUM^}}*r30lEpAzkP|7`Cl?MLEE%#aIZCocQ=~|cAd_Er7v|^G(V4NN_tJ#!LAAg-Qv-uYsgbf*mq#aUR^BbOmwR{ zbP-)V2CGVC6j+|_7i4A9Cj)zqNhkHgSY^v7BF`oXjKluNV6AL9`mk`cUl?0;1L6++x)%HpBj*&)ygaVH^zS|v+LapELaKBA!sz7IZEr5I_jOAZlQANRJb%6{pclW zG4E5H-d%SFCpX_~WR6J6SKKC-^t=58o4nm0+t7J`ddSZNT7*8+#FYNl^g3>%31)<; zM>x=crEIp%+X^(GLJ0jaU|^lWO-EdhrJ#&5f6%`wlf>I%+d;>DfW-vwX>C3YJ^3~< zmUEwGkC}o6cHmDv=Q=snagujVmcgTdIR~(|0L=j%{&P_TZNqh>Cu+^#<>KtOF3Hx> z_xM6DplA*H_upnQawcmF0dI>zgNySrcDKt4(oQNj=)47GZFWY+?zNTucC+tPT>2DH<9gn%Yaz8ic#PINbS$P}qAAzC z>W2UJJQG=kfKn~0+hSwsCxeYyjf?lGk^vIVkAXz}TV&$QaH`s4CG^(3WsH%KlE}{8 zK~=T|4ZPz0Aiw8jm@Hy!tYPc-N}hB^HKqGd;bD}tEv^Y<>r{+O?yT?&)EQ8Lj@K89 zTnsWe&f7Fc*|A&<`UdDp)`BB4x@BcbVUV>{*k@b-wg&Iy(r)H;Fiiu0mI7-CV zbJ=cHLx@34Lf6u85iKTWeY+-VWu8)J#I&)vD~F<(WIZVlE>&TdCh8?3hS3ux2cV*D z1DC4*Dj-8#6_2WaJB}sVa*8piD6xV&ClyW`u-M5RcP3Q z!uRZzqzveN-Pu zF)%bO@~qDq*YE~qDCU1s08DjQ;)62KY+ognIuUO(AF$XVBXuBKM0n`pu?}wd3W#=^ zEN78yZ%eWa@*Y#->|grQh;|-KlZkeAA)7~BkLQJbbB6u&tIf0R|&#kX*w#J!R&Iy85@B`k_f6eTEt`wL!y%L_~B5kAx0Jbx%g{ z!Ce~KKQ2BdzrE(>0cUjA6>neNMrYY`pIP3!17&HZST6&vdG>I`9=)+U{eH69`{CfQ z+heUyz##H+N@)D?52@Zg=VgJ>T40*?b)B>Y66DQ_j^eGHuFpN^!9C5eBZ0Nok6%jW z@thy+V#S4gwRT6U&Y*U0cS7R!oE7eJCG49bzA5UPe#6;0j0g@?8o{Aj3emxC$cZGM zfjNKtSm}6wF!4%(YXIrMgk^*4eW-B+bsw<62xzn31G}(GQ35fq427@bbdUf|uf<~M zy+Xx-G#u7$1$ncKR{}Y%dV$=XlRPYSJ-g{r-5C7!#2wnqtB19IC9GxH!E0J@X_pn+ z%&CgCZvQ|B^hG%?gx-h@#5#<2cy#JZmIL;5MLxE0&V4@qT;JIid)vse^!G-$Yia2& z$w5cGnB1_vw{MQbB?s`vl^9t|5LgUdKg+REv-Txca@{U)~ub<`uFGc zsDrWvARRl~)=&-)PPvh^QIqa-<-?$h!-TedY!VL>(-P-ehD`yzFZfwv>VYBRfhAJQ z5OQ@Ve6Nl!&(7lu*z)iwFg?x7T3ZSyC=n=eWKqPsBxs$*-aLUXh5r{5tH*QDqQur6 zNs!en02My!+Ts3IxxI4TG?en8wdi8{#L?rM;|%fRb|;Y9p|x{Ey=v(-i}j3*Utqbw zkr_j^YdGjf?ncL&HbO{vaG=IhYzcOVHzM?AK+sdTPgQ@PtG#Q|6Y-QshR@+J=0Ds- zlpnj^6@iJyqBV(TZBG6|bI|1FV&<6$>FJIg^ zvrNM<=fQc3w`U1;jTEV zOIK!Yw_J$Yz$Q5#yZYWu1Ci5H(`oB;S;L?1Qgk0m*vEfVBQ}$eAs~wncCEvJ{&dHD zK}QhAiB_ALN7_4cunLE47^o#a;eEniy?-vEnd?|9e8TyP0J#2wmuGx4KMalwy|m0* zmYPe~&j}*u0Css-{PkjALb?e_#gV381xB(X|fF0+va268*)uJ-75- z_iSov!d|%H8odKo9v#5Wd7jTMU-2kdiOjFGSqYA3s8}iJuMNu4;%t=v3g)RNWPwN{ zbNb^-H}P=JS(1|)p0jH3;#IX~(Gw})op@IN;{+Fn=+>!r@^;rIeriTy6veTM^<%1Z zg|pYYb3a7C6P*o!$M4Yi_YE_7>~#W#4<%A!!ZLNnJgrt#QXn;vWLM6+(JbSg0PD>M z$86yz3x@SfZO{|fP~_vG9#G4E?tTf2zbB%hwM;fFz<`jN=FM?L5VX0EV@B1#2eoJ@u6_&PH=>TDBvngZbEyR_R7|l3ijEW*{l89DT5l4F;hYl`yo#{jODt zmFiWroY^W+*&utAmkabb9?y;g!5o7Ay=9RG8;20?8fT#?);Yg59jsg%V*O#mvTf%t zm}f_&2nzjT5SmXPoa_xb+w65s@C|gZ$X?%6J8(*y6{1IkRScJ0TUZGP`g#QpPD<%y zA7WmVh~9;!pBQ?JN3>IGPA8DQ?|UM>+hO75{#z}?N~ygUv9xQ~zX8-|nd7rLJFkzYSCW7-ca--%J=lzKy58&OGei0?l?Sy_$H}V()wf=%g&amUOX$<#3{Xz<-dF$Tg}`JVztRPR{EWo&c%ZBESVel~2Yp;y-gqkiN>}@i{P3oD2n}f1QzO9N%THTGXF$?|@AnP=xUnW5yWJy`0KxnK?Zd`Ozrs{o%Db(><~n z68Mp%aAKsL)9C$Ivt$GI&zC!y!pKXp0f?V#F8EX5+)6Q>2H-1(0R!RKK{Rvsm#qSsfDM!uxGA8bnV>ogQSF!2iVk! z?i>EWXOai-lil9Z1-M$qL+Z0yxG$(1XR)K9T%IfO&B$ko!HS+ndjGg!R_ytVnYj?( zSTiz+eL>^LliLsD3DE$)dE|{BCbLG>UwsW<9(4_izj%YwNKn2GG-v-R{N0cn6V!Bf zOi_7FGVs?1@mKC9_lfvI0lOvo;vzJt_dPK?)9ndY1|H$hSf==s4SQa?uKm;~Jhr~g zS`jsisK))6!p&LvB4hsRW?=o`U1|PRQmRv~@ZSI;QUatWvicr7f-v9q(L>~uZ}I)^ zD}KZy|$M`!T6W43o^M8nc2&XIAI_C|^d z;FiesNYHcM&+7cJujFd`v{8ZZT$h!^F9irR9U87w%%;N)oC`NYO}GN+q|TwvbEr8#fZ>u zU&Xc}&zQF+oP&hV1r9-|CA<bZG)t|+nvHd= zFTkG8rrHb|_@EFHL6nGplZT*9sMOr)e|WgHf=|Y0cATQYcRf<*cjEXL?oSm$CWGKA zw01sAdhDfgXXk7#{KONPQn+n~(TAVJ1Ndi>aOFS|%Ld8e8}}aQ*I52-PVT-AEXuh> zrAgyU%zD?Fv+wg4(b*JPXRaEU8Pg!>%bG;9`&~kwg+`j5t=EvxB5^tEz3nSUlEppZ zID&6%IgkMWxOz34KsbLon!L01`nh3!Uic3*t@iM!WBun$Z1bae&i%OSQHN_o$_7GS z^+#rc)qP{Jf^OPfndv7#bzXtIQOAY+5e2~DD4zd%*FQ8kFH;rl`%Uq#7ur#CEKWK* z>!u9)jsK%c#j9?LbT`+aRi&Wp zs>W+XH3=PB7u-fW7{UPi3{3H6usC!S$uA4p^)w1;#sG#Mr=v!;mTS{<;14_e^^{7D z(?^(z4)%o_fZsj%1b$~m`$@{mAO3q&KZC`+_m8-Nb8fa+g#MlZzGl}9jdcp$Xe!^r z3*-jI2&X_3y;JlOg=7H|y4giH*6bqFH{~M0UQZ1?=~@sGrl4gdhq zojkH)sn5kYW8c%+DKW9|!sL5m!S~qfzRrJ2PwS|Ml#eP1Fh2}^()|t%r_CBPTq++E z@O6Z&;NM#EA9cKD2Ap<;%j&N>^bEwnmcNDq)|wgaWjfLY3|q3o!)$M(Io zQpO`OW1%14|KU0(e#I`fo3R-9B-2ZN?%=;~f~G9Sr6PY^mu!Zo#S@%_UW%II0AHjt z%62HAGpAR6oFI~k1YND8t6I8B!s7fU#o1ho!Ci!7R}(-Ik8-4Y~H zg#Xp^@!vmVkvTBCjwNXwV?J#SSw0QL<1|;VF5ppxOIGP=w3>MC4bKey5v6i5i_JX+ zpy&XV^zL4R{bm$GO;H{vCu~&H__{mqQkC`f3BD~+FMUYOnlZ0iGMgT+@zg4u9MOm6 zPK{yIc0*Y23RlG(t*z7_kMnk#wQoEkV=g*MZ?OJ5M;~0zU%LVb5H-IkU<3UCGoQE* ztiCbJRR%?X0zJY6ttTc%ZvoWfl5IQ;U%E;3yhWK8+4Qs(4f*j-4Vy*tJ!rf8@rmh) z1NeKt{-07yj2Ds?=F5FsBi(7#tiZKb{2o6oBm?)4yY)TCF?e5N@&$&1YQbcywD{{a zZl5)QYx|xi?xT{Y=LR#844-nwOIg4r$})*>Own;N&UhDxn&fd3=b^%zM8;01@2b7g zhA-Jt4bUMw&(1&gXwOq$E{5zd?q2VG?Im2{Z71ETNAv6I*W2LB+JnQgAA_I9i__P8 z+~n)fOA_M4PP$;1dBVkxH|s>)LoK`MPP)I-#dYF!-q-Eq>&Tyyb!(#8bjCF%D^-Epy&Gh_azGu?G-k01y&-RVz>LvP;h~bUS{bg!g zaPhTc+<~J<>#g&yw#WfM2CDB>Er zHkkVDN;2ilsLI+{l-lL<5R3`Y_z9FH4mIo+V`>QhbD>ZniXW0CRQwS2!XeLCp$z{D zloeH@Se#i)TG`c>97-`hckAH-Wtd{mNX;c!DC6rRNJA>@#1tzeA?j^@{6gJRrKTb+ z*2D_UKSRUxE@&ZyfI_1$lnR?qUKBDN^ACqfpjnp7?+;wm7Nf>e22Y^)DnXe-QO^`v zI+25i>C#+an4Pa*c;Qs7&>Xi>w`65e7Oi`Yu#s7aAgYZV7S<@5xGG3O zduBU*X_Q=wF=a8`a9*NuU8AOrjRiMjJ^<7?y8{>oT)9Sq`wujZu z?b8mzUC%1&JeN87b}W`((7+g|%gg+|w`16CxN%LRStO8_`TV`Dj9seWW@KOy;yQo+ z)_C1Mz2F5*WGr{#u_ceQX9_$5zpGdNHK2bh!JHJ^V8c6kJK z$(`RdnXd=Ct}c4QDSGmR+lsm?;4u3M3uMFvQU*Nw1#>{GLnE$(SQ2qqDnMNpLD}(J z5^-AE2)`8zpMzgQ1vf(hyP)VnE*qgPeP->MEs>!vpP(*HXFn}xQ@FV!_O;Jtc=bP88Il?-g@P^^+Ht0Gx)a6^g z9joO^uzRGiH@amSF3=wm@xYQz-hdi@Z zl3hy~<{i#BF;q}3mGQtHJ)werLt{*8i~7bx9fx$VsQ*44L>-kB!v8FggtQptz3&$k zFX>RC+-e2))^DDZ3J^*8IK7ypK|`%-(x@AKn;Hc0*%(<(9A!lPdHO_OX_IzeWa`U9{+Lwpu_L0cuYOA@lDE2X(JJ3 z4SY*3Bx2W!xIM@@yvS2aGy-O;U^0D|S~X3n0ftP1Ll4H=u(bY01Y3l;<+sH5T9DpQ zME5QUN;}!u-y*ev>VslwwcKpPNd?)1H94Z#pUp5%+^HPupJoFc^@y9znIAAW@wRYqV*t8d!{sqR0u}UClD|VkUp^f*LidGHq;;c2^k5>@=~?sQW^QqdAzVQ(mRxSa z9d$sM%@1GNPG^~7t@-NQZVfLHOGls3HT#dxGvn<1?fbz^{fP4IVQ4F_(~g!U`p9^J zEq9Z<@X6S+3CR_3Q~z&sxQ>bkHT1~HVng&+slD75Ov}(F%jSRh3YCY0qt4`IsJ+}u zqp&HAYLHW4+hQYIw~#D$f|H}RJ<0kqeUTUpCDpF5Wa1E16XC3-gX6{lsRgw)_|L$Y zvpvF+%}Pgme*H`>Y$I?4_*Ji;>N^vyKyZSRf5D+o;Ojs>8N6fx#aE$I@Dk+z2)%oU z;Ac=lJe*1TRXnsgxFC$2IrRxERR=Ac zQT_42g#j3i!j>aUuRD_6@C*Z`ADW~X|!xA;% z75&A7;x4*7vTT56d`UsFtcTW) zE)Ox~l^7y0bsSWpa1ScY#CEyBCq#-M_Xd1SgArX2D0<*5)iH=SuB-<@P%r-^9ZHRs zs+T~eZq^rzYKoGQhM#_DcIQ`P7u+(cY2he+YH!N|LF+~ z^A~Y*T9(Y&-4@G_f3io4cg`I*fv}0vsob#|hUgc_P z25V?`w&Ti>$5Fg4956bzQujR~z z3llaxUF&sQ)^vUezg6aL%c=;Ju!j{4BqNa#@c_Gt7X#p#d}M6F&lgS}!U=ok+S+YKWTrSbcw!$*>)4=kWSfsM>I zfTP4ZHz6JjD9g~aZ_*zR1?<+Mog2#lB6UWK@+(ERSU2M(J4h_rNi0AU4GJuZ!az!f z?SN6=!K?&GrI!ect@!Vs8f@a1b^TK;=MvQQsP=C5ML}f4{W72eZ>$b&gqdb-we%+B-jam@=V;$62Ov$O<~ zRZgXt4*I)^UV)JBOn!j`Rp^{(PP$Pw^doZ1i9m&MTCq!!6M;t9y!(+eW%Gu+|Eja0 zq__8#Pm4dRu!O3CMH!Q9Be4Jp>WNYt)>4+3{@uEPcnV71gLykJLpDe#7tgmW*4SP*!`T~1W@gdpimqDhm$!xj~>>V9Q=AJ>? zdj5KS<2E3Wr-rr=Mxa(-$km^OP2fad=rJ^O_SvU%g7sN5jS=b*`5{i>A94(G+=F#) zywpDU1B2CoJ&fOaFNN78A`bK+M*CmQiJP$71jGY+-=Yt^wcF(wB!?djkL8H=b9kU* zL^2$pN|xE4)=FW~Q7nAbR0BieIJrn+NutqD$aA{~MIR@|FnF~(F&~rrbm-zsUg}C= zWBW384BEq3Oc*^3x^N65T(LWYj=~J!FNR(@S%9IR$9mi3uHIp_y-ivB5Kt@!BW9e&2MUBc1aRMHnyWz1xRQ zXfjgKVF>!{p|y`70!WCjxKG&ag&Cp6CiztH7NMXR=GUnbe8f?2XhDbbe9`<_oe8=< zEAj3)h3>p}KwD5`9RD~A3VBk_uCt6_22b9AM2_iPa!-Tb3$^f!bdXTCl2EphAVY`b zxB~U@{t```7MHb~+`VYjP8%pSKV6S!Ye~IudoRu2*4yK@--_n?+$hos+kY z#4g-P^XKym#nZV5m}GTjHGS~;lEO6w+wqv5(B8U957;&$ z$fvVwH}o3P%Ek#s0})I;QpfTq!aoqEDsEy@;tYcP7-aiUN_z@Ns`hy^0o)iF!Eu%O zx!yg-hhAbd2(r=s3)<|F*3iuj8Zr8+ZD^R4!aZMprV%g0tLs2O0Q4~z@+A0o-rGoA{h zJ?Zxl(%v!D8a$ax4I;Mnu{-dr9j>*1i@KlL0Q;8dKm*=6HR zc*WrnS+*PHjv%JCq3)Jzm0C1SgrwL_tpFJmg^buzbxHJxR)SRkk?C*p_t$VrcTU$5 zKhjDP2OfeOXTUbUW0QxLd#-AcA_mlYs>nGJ1A^_ld7kK~$G>LF)5r3@`l0$<`+?cmOIn)aQDL3$d?a$bZGv@I55qeJzrsB} zw-H~0@tmXaoRjdB#TW9TWQ^&w4QgXd&RATHS|0=4Y61gOwZHzD2Z=f3P2;os{wR+2 z7DGsX8=6H$J-7A~#QS2qJhup9FO)kp@bD=33 z1}Kf=_|hubmS4O44Fnx+{4}h(NEM>X_n>>C3|AnUoJ^ficuK=(2M3-tT&dJ(gBtq* zcDEdxnpP?__U!Ta}cY>H`esvoarX@`Jo z4h}E#j$zH23=g&;<|%Ht)r2inK}v46sCs3ZVj)lLaS}{ymbzujE_@`lF;lLGUP8x zD6eX3EvboNDx~Wwyp5R7+mWkB zbY?ALgVzBxr!;=C=uVI**|?gRUCL1rJK5^}YVW$#g`%jF&j95R-?pb0`9U@LLHGt# z;i{>n|Ev=LCFr+|@`IHPsF`1#xG*dE{yEUc>}wQO?0y6&T4}0s8Rw0mmJ)z2@dzkD zFy5WJ1^2ZhJRlCTQ0=7)E28ugnjU3}hNwY^jhe_^RDhI(TjhQRG|}JbtDywHdfMQ- zH^TWYfz8qU^WTbh0PoLu67n_iCFVA@Jo6!^?g^aG2iOnU!id{^2l z_|*F@QjxSE-;;`R4<%LkC>LPLRB>r6WBt`fe;h|>{0Z?ZtUHs%|32Qi zOa)HRAekM;3X&jLRBQ@*W47IS=w@4}rjmn9p|PGZ+fe$$KH* z9R=s%g#BoY{iw!fd@Wd_LrXX*FOgFe`YjWsF(FL2S*;TsAVFtzVl#fFjl{u4bQ?0l z>{12~dFL|JD-QdtOaIRkpkVtt^fdtcEZAKYC2L>QJ=xueA5UQ4F{v^ES&MrE@W_SA z=t`;&1(An}^mtGr-fh9l%xAh|>z|Ws;jjB{ZV~C=M7m9h`dISxBpc!zJuwo{8*kVn z_s++Fpu75`;^1hy{X?(b04!|>p~soj?^5g?3dSwTW{<(I47r2)Wbf@nWu9M);6}ovOws|SGc_4NH z-fz@+U!R}0!_Fv{W=vVzTx)HIgC{K@zeeQnYgd`hV9CbDTg2iCorgzT8_EW|3Ft1+ zT~f{dX-fza$rQj^>5ABfXI1kNhFnV7JZ+mL-(7<~xVu9-=0wYVxm1JCNs^QjD<~e3 zB(@sI8h+Hgk2GST_B3|ITTt6Zasr;XBX6@M@`(VWTiDR;zx68S)`Z?o?(UD@6nKkh z15Ftg7JjB}UxKDebGApsIjVxsPZro0+5e6`FWt2gh-Mj+AmP*Z?4^tw=tV9<7D4%w zT$LtfG(YW>g#KU#UJ-j;0r^zA;QsKAFJxNz9ChkINA87KYyAjlz;ga6U-E8<^Nx1eIGIAt`uY z`z<%}lY7fzUPDpA?N4PRUXJho@ z7es?kN_60Q0e3BJE-RXwnl&~$G+G|2uC&$kw$|5|7Z#Scw9L?8P@jz?*b@#%5MIyl z-n`GzZES)+z_D}S_kb>5ZyXVa`vP-&3AdkppJjm-o~|MnI>dM}S?g5_Sn@u%X3=xe zKfP8~Uc)1f+P4htEJa@tL0J@O-sB9xI*eVmIn zv4f-VJMKXU?5Pu-VJ?{uq|X!!DZ|Oh%Ux#Y=H;~-n_I7xRCMzf7^*@==83xH?jVo+ zn;v^PjnrdpTnKR%#m}pX6pFxsNzceyqp_yNat{(*fisk_&6_}oRR90eL_x9 zlJvio)Bi_V`CWZ`y1+W`h}=1&IJv)=^GVg$Ft0XR2Km`8qSxVuQtR!yLC*&=oWyT>R zJuf3CJ#V|o#{3Gc9scP=wWCdsVxs?U8_&*Z&%1up%+zQv_tTCS#QzGZ zo-FL7F-b$f;ya$8MUIEWX)=Q-_;!UC@ic_ivUn@-O5m%YYeCc*=j+P-8WTO6leC+#5*lBp^dd@p!M<5wu)2+aCltU(`K0J^a*b};n8GjNjZ zN|faTFOp1uPk`)x^b0X2Hm(?c?O!Mnsa!@>n2l$^3XWJt@{kKZk%`AqN5IXyPe+x>4NO44Chnd4dR52w3M0` zEbx#1_=9%d^MkaPPaepse;;+H9|MeWfWPAimVCk0CyjmH4g<;^n=@LX0S4qW@EuZ!T2$ZKyJeDhG)L)6?Y-8w_ltOq83iiDr{AZY^mFjV`(WaI4 z<^O$*@axMHv{=K&7DElA8yrI$F8R)H84{BkLr0O?SFx4X+rxc)dLTo~^ri2QDpfiL zN+gAF0tz`Ux-g0LZzyznx!J)#RFR}Vsp3(<1^g_Zg$tpG{tONhm0JGGW_7XP)v=UK zKipnxJLx#deQL95xoxQb$w=XK=7`9@rdN>N9rLI@j5H}%fxQa8-`)#n`_R^+4trVKK+!$=w z&NS@$BJ@jbqK;lAeY07e|RR7?J^Y}fV73%Wi;^ZfY-NWL1>Ug$lE8B zq|re%fsyU?F%?FK!mSJl#G}LOIYWzF;q7v24=}LhL4YupcxvK7!N~%D zFoffU>e1iPalztD>C2bH*-|%8#614|_kcs55?)MPmI9Ruav3*y&1Be>FG2J~6Eg`V z^xCuO0wcC{3ld?tHSwm!f9gniy`jta+!U#3qkip)?(rMe3B4&GzQ+Cj2c{8|NimHHj79YXr!N@SsqupIZ_-`ORM3E=ZfAV895`@06Bsh; zY*I_e+xN=KLlmB(JXccKR1r-PHvF9(q*@Uw&C)X%WR>!k)*>zH0GjD$B8j@+?wlsv z`tg8rax|(+3)IJZ4T}q0B-(k-WS8{3kO3=lZ?xklM)u}{Ry8KY##v6Ka&eDWY1$NM zubbZ%u+Pj*dw;1nDe3#|(75A=F_GLc`;h|yXfJ1#1jp*SiKOBb!H(&K^tKF>4g{Tx z!LrIzt<3pr3Yxee5Ab`+NDVw- z3wk1()dmEH(O!^Xu;j^M`Q^WFsq5krfs#<0F(SzDrKVc9FZ=XV8{y*0k0Yi8t27}* z{ZtHE_kb=)qmE+~!!aH9=3&bMDW8l((c~f*MDU2JMRD^EIlCGPXHX;AZ-%LdLScE# zXa8IJb0!ndND((uTC0tm4H!R@4U+`%8<>f-5{Tkx1HlEYTP!0De@7=aNj7+7!p>h5 z5c&%{i&wFYZ;wh^CMh(( z&A4_%ajw(e#fzgV#vmf4--zO_I;D);h#RAVdw5al?T1jXU**SdLMBwdH!R$>p z(WhE}aEab0ZDP_#_8WlYROxk9x)3;zbpE^=Q+Ld+b#7JJx`3@_eqnxl8{L^`(~P&* zjmBiA{{@h!$Kv#zrdPehJ-(zJ8jauD2>NXLj0A|)UV(hV~LN_Y3rU4oRP(m8Z@4V?oF z!^GwL|GU<`bJlwHGyCkl*E#R|ygSZ0oAS<`I~Ak^x9Ds*BS$+!lfcag%^6_&ON?FRs?2K`DC@CKp zs)#>Uxy$9M{5U^wJp7?jZ8qx{xj$i;%P`lwIc$bu-_Nke%@Va&2a7I8nXN0W{T}@z z=LbtmbArbiF55$?e|-M?A@=+K-85bXhwssU563^tx;kH_qg@n?f-56Bp-ym=&>Me> zpTuJKvuIe5$d+XDl=1*YNeq^%ar>_i%l^7I7reDs&X?gN;%`waLG0Cf&&v4$MGU*@ zTCgI6WKz3_CG65Ie{1bnDyrT19#V4r%NO&wR?-A9C(rHTVX;_ftt5VIL4r9cs<-P@vs#C^Pzw3QIBvDY=c zIT(g%WpxLB0hF&2<5~8S4_ZNT;wBfeiQ#>)boX_u@tql^bR&4Qt&sGtFhks?@X1@> zJ_)}ovOMrbjC2w6#pKPSqFf3hp%C4MHEFe0;Iq$( z9Tw^=$?8pX&~{iy3UMBksI{g(!3+<3)hu^pvhz#Zf194jh+&>^+x0AZm5xI!0D~ar zMjCY^6Sa#Gb|0vnq^feIPcbSwRo-vMUG6@A139D@aExbmH2qRzT1_RG73wkn4b>qj zpW2n-p4r%2oiuKGZ{&Bn!>sq7iSdEz-ZRE;^_IIW@Sr39wq1Hjll5iBBYknP#Qk`R z1O2l|bdv8z8~aMWL%-;u{u%g+=h~uz6ENT4Yi_WH%1gw#|>PTQXeKrdQp{Lds$!XKq2*_`lO(sWB=|09jJ#2;Fx zW18E7Uz=Am-qG=@A_@5ENR}yNU&`m?Zfd5Qp<3jHrWt67Y5VzsFW(_&k#tx8<+bc> zR@-gXIA1>P7C;|Dkyk#)o(6R7JzGi>He5UAjUfJ15Xq^&LcF0oarM`89O?HaOUMrp z@&9M>(80r(J>4DtdS(TlR)eIz-kb#~9w%LP2Or4#sLGXhS5fRut}Bx9!cfv*GdNhr zWli&ksiLh+9ymRxs0)&wy%;KD87pdu{o~-(@V@gtf@jhp_Rr6G zo(~Q08|3$BZ0c`swUwqsln>O zIgjNvQm=qn6$SBR&t}O}81Qun3-uB+TR*D{xr^mGBPDpdS3}%&=(KDtw=FahS$fCD*ko+7VW_|XT zJ5bHk0N7m>H7F409(KvLnpb`)>-`p49=yTJl;CyJ=v1d%A+*hIB_R1Yab&yTPpbdd z2ayq0&v)i}j;W^a6^$uahcXwc8+MRM@9wU-MFi=EC1hErtat%wx(OEMy#Gz|=W()p zPj4x9$|O>7JdWYJnO*GrRbXo>Za7oOt8N(os4zwMP4C=CEjTvGSNGuahi4!PRj z`4{NQagk}4g0eL8#Jbn*TT>`JhtKKh;=w!(3b+WZ#U)X644WcA%KXa?<>`+c%DXUH%8=BptZUH^jvR`6n#~pr z$D+mh%LoC7N$J$JDDQA4wmk8u!36S)c$Ys;H^42Xh;A;g5!t4369$_knmxJNdFRKd zY+tL)pnvab{#}F$zSPUEVV%44Myo%(G(Faao#$s6C}|k?`%IkC zO2>=h-b0Bnw#!))WI~{Rc^mcH>4xL)RF>IxkJG5xtoKx8(04DT1|}6FMauJ%2?QGs zhST)jQ%wlYO?lqs37BHmIys`V1lsOzJbl^8sgWY?I^L!8UP0UK9(xYmCx(5<=bF)@ zyNyf-mrERT&&&oTJ-cG~>{bHQJL!CwX%p3Fu3L_3UOG!y%3DRN*Pcxj2G?6nAgib7 zvVla|_N-l~DX}8)H1O8YctBbwb*ki$o~{5w%qm)N->y|Knwqv_q%t!X;5NHHuX28DvfWDX5wGz9;YbdDfih@BZal-p4_~@pHj2Q z+;5n(`PWBw47*`Gw0_H9CJ@3Z!wq?iUW*FWdJDC`<$}T7djB%MSRa%yZVd_FYbdoH zb`VmDYME$#lJacM`YfN2BU<|Dq9DOxIu-wkI8yUK(^>KEIY7$m;2H4-89a!soL5~OFPXWTP}bV>4bcm08MYUNUQr(GAJ2VWACttRur2dhX(-|&e$07(I(5WXF`T|AEAQv; zNu6!c+Y=lkUXPOJX<1nEdEeTaW(62t)p7*Ya}#!te&RH2PEQf=niy=T3*}1pN>8Ud zHf=rPX^pR}3^nnPCwk4lE6`1ymLkyK$x*2Gxgzvg8L3%AMly^#^peJ96!*{23&#@e zJ^fe47ovSKI;NGY><=)3cJr(Ypb`}_b?va>P zlig?Q6LQ8!HFohW883`ch*L1=>V(-#XGNA;0lK7S((Oov!4d1-4iU z^DO<;Dqp^bD-Ll-&TvJEtlH>@V$FqkxQvZLzzp3O;#*S8-X2yt0@c^$^Ol3uYxS@BL8oA8k&M!oUwzBVi6a+bE5 zIME=52fjw{zNoD{mZT^VenOt3SGVo%sUOWHkEkMsA?MTaS5^dzp8!H3V=TQ`M&2r|C9?k3i8T*+)0QQJUR zT<2~H1J9lf(eTUBy>K)U&ZzLU(Di*uaatbY9Bj&DqYL+&AgPsDH0&U!L8e8qYWxZaVy2t#JJHq@vEm*elA$d9m~O*I8Q9xyE$&t?l;4_>s@dj#id} zX1#bhU^S7rX>^4mSkg1yUYu)z_ob+K*|SI@#yEeS@Q9yl0^`hj%_^#2zj{b7uv7Nt z>G2EGmASFjj+DJu649S}DV^~eIjynrI4<<~z+GDKRBw62lA~>X;d-b`5h@Wgm)en! zp!?O!yFo#Tx;Af)2(*P*D;)G~?X2e-(pQkGStPjU-h)8qDkFfUxlKixt-s@+Jl`;( zo1&#S7PtvG*4(1H4tF=NuibaZ2fTzk?@!%0NLyyQiTA^tER1D-1DdPkDAu(KhN}DrEVg~H-oMqmS*2P z<-A0;gFF`gqx(L$^lIXkro*Qf>r= zg8E^e39f=vr;+N3xUJMRkcx$>h3ifR(b4ZO9*sQ}^-K9};iJnq3U;|~yW!Zza?(Q^ zyIJjmLpHv4SPL)TyWg*y(_@&2`4_UJ7J~j@L`pEUw9ibNU!2z;zeqQfThgkT6P{ET z{ErIN{(p3Y+^r$-XS2;A=rPjez{-J21fjB&`W1M+FLv! z@w`)x6u&od+9D2mts7riKpi(uB~sTx6-^!jZhmUp3 zHw&auq?CXDmYm)9tldsm$2U(ZvvHjmD>u4RG!D`ParVMJIf6l42prA1x z-B;g7GF~4WkNnuUxk=KZ)1|J7lOy}h=3qIkH^1VYjprsW9K1|Xz{*e+8(Oi;Iaufg zQR4MC1UH(F=|_$tp@eKAxH|2Bkt%Jjv8*10pSF`w)gPYPx#kroOWT;wS~4ybZ>o~} z!Qbq$|8!TOt4q!-h`C=z!2Xl3%vGPtT~=_D%QC+~eWkyUXbP!!Ml*3W%%G^k{I2fas*^&JeI6B>Dea{Ow*{k6=ktMaQEjLDt1DSs7MqZQcO%tkUZtTM zxu3a1Wu>)&53{ckjK!sp;&<2LPkXm@j{W72g5Mql;24ORb+&hNy?+RoS#Nsc?)P?X zogGL>pZyfO(tYlkG|bgqgVr3K`4oAmI`TzCYIm?zN8#6L&XK3F*~`+Z&=-^d39mN) zqYu{OFok(e8O@uoNs49jh=q)%YR2A67t1%DbJ^I)JankjJhUEQ1HJ$9S0Q#*dXH=m z;%)^hrC=<=7Tx4r>IP8R&PVTn8aC`}?uD)1cr~A6Tjnd(`0KiBhF`g%Ax7>Clwc3h zYTJh)dlbEim+{Qp_Vwd!;Y0g$q7;?q+p)Mr7<`B{r(eO~I__&K2a0#|*}7C_d~Wd^k*{f-|xDVc|JeJDQ;!BDn?OJ*L7G8=dYnlw+n!#SF*|4V$Q72+XyY! z7^hxFvCobniNE>Gt{FTcrmZH9X6$W;IQjGQ`HM@UQrv2Wns@f^1bgy8&2s!gcZtw@ z0kcR1Z*jjqb;Y0Tg;>Sm`|_?83u^&E$_tt5d_|jKb~So!6TDR?vX~x9xnQle-yA=5 z7;6vn|NXL>vfB45qIM+R?Bn?sAF}ptk09g9Mg%Mqy;dJ{B$UcmW;PJybXXi^6e<_K zB#=!@cpjfKC2O`ITc&;KB*fJp z;OjQ}6l1}Cvzc^`#dVJpppD7YoVO!s6y5H)_sj>=OQY2DkhV<&b_;q|A;Bl(TJwc8 z20O+7ES^2lQSr^+BITKU{pU_{iy;8%@}a26A{YJxyxQ33U`8VO;iEp|52SYB?8T$! z_66YXS@7q{nr(?E&bo4y^Z&+bMuUYnb0blsLlp-^4IQDLSIoOKnCC8O6A!@t#O{VD z_98omD1+-!HDdgC@{~Vyd>mEL33|p=1#t3};Gv04`x~2IwCM4I#_eU?pi@npT&4x;7lZdSsq=j5Eu~6p~)qgI6*3xuR!!Wt= zrr%Y4Tdk%0Y-(+(-SNLaeA0b+;N&=mzTfENh}avR?O$9-eWvSV~jpZt8ABgkRO>6&^-B$PajwGyVB`TY7$LBsNo>PG1|tqJs6^5?%ESWCYV z_(=D|!d~h>ruc3A@F*0BD{N>^boAxu6R>-=J#^`15 z$kxHj(o6A)i$L$W@L3J!K0J!mEd*r$ml-(l+mnEUZM$IKB%_Ck0OiJHcEqO z^0F+B-?|qICH zI=$kLjP^>s!&1F;rMfFIju&bPMi&ZxPR~7fQ5tIz<>Ml@?UCx5v4VqG>$^F7Ts2+k ziK5n}IEK1a*@9}^?-A{brwBBO*z_Rpv5k&P*vn2Ejo74eI9C*cEr@>CVM518I!3!f z#Ep86|M18?fBq~+C!E*e{>#n`>KvQk_xH3V-pNfa&KMj+x<6V`qn5v=yjyjb$UvR^bT|Xad5jE ze@1gZwlCi5(gw%xJ;}klXY_rY>gCbYx{ZxJ#PBYJWw`GBL;a2^QpRt8;!b&YBt!y* z*f#Q0;ewYfK>oA>k;|5MylSpH?P6@~!DmxTW3fZJ&3T!TsE>mB&kX$-McGuBKd*D! zPk1ZTpfk$4YR_N)jIG<#eVN$it7560Pm6EVa@T+%d9O22wAVHsZaQ3~6*hAMFWw~+ zY6&XcT8Ah!Y4N_Gl3<_Z`BFWgBg=xra{?DUH4&j^bRm?Piz(UTzAUmI;7;T{Fw_-P zGF(>9UDAGz)FjphKhe>;5YkKjlU<}NLYY>FXWq58&=VbQmUBsqZPJw*&eAC)onQ1z zjZM@M6lB* zlX;UHbxO~|q?=sY ze1l~yhN=q>#l^UDKiZWi6=cMEe2Ag_Fy+ijEh4>%`6!n4N|%l813jz#hoPTmW_QKG z-~m(eQwG^TcQO}$3KvTgf6ycP$Vce*A;Dj8*68DBsS0>37Y$*Q!qTU}i>-I%-E7r8 zo+8`UQdi!OTuxV?JaSGRp9Fp>RgfIi;%9%$C}x+HCf!iS@=2W*#R|SqZ`-es*KZ%9*~=Ti4R6dP+a7-? zCXsIcyi4h}`(5REmx0gZC$4GAYcG7Si<(jX={0r1j)7jszxdoG$OG>3m-!)UEm^j? zU&=qc%&|~nVON+z-nSL~d*vx?csU%%>h@uhny0)xA%|wKDPyTFTG~pmZ~O460LO(v ztjZ;0e%*93-RJPPx{;EG6+aj>rw|{Gl~W+6UOrUwbu+(tRekYh`CNne_huzLCE>Z; zr%gLGo{>IYu6mN%FP+MJK7Cf(mrBY~mH3)d-!hFfKtCU}`ED@LzwXB048QmgTPB13 zQT8ePliZ2wLRoT{@FPW|!D34=b$WP%gt3CO-!F1KtzS)}xmF%SKWUaz4Q2(eE{d3& z-Z!j@?`WdMmApPh&-0P0G!w&0M+WO|ebo1Pk85wxEL5D{_p>pJ^dTLTpMopj@S6By zr>oX}gN&Ud&>?E_6h-|H|`PAHAyZ{U0au_62H~@T8=OkB0}RHe&9jOmZYPVgU_(Y1xBH zuVaP#5$^`q+?vgqzGECi801KR>fKb+tvW3c7cAlI0n2vtjAry73P-<5JYzd6PUqP| zjU$B9XdgFmUWnA(la&7z(TeRC=s!#W?z(d14_7d!pd-GvqLXR+kq5#==Le}T5)0Y} z)i0`EjP^r3-w1n^k}NjZ=ym2z3ChVK&h|swPA0q*BuM`Z(A`X;Kb;oOG zM9lt@!Mtd=Y9*BMyjE~e;m#Q=dd^)6y%x?KT@%ScJ<>SbzlM(oKU{q+HS$0U-E4-= zEEcn%5VzB)}P zwmLj)3XztG+fRIHDsJzPr8xV5$@mm!gtp{V>HH|a z@?J_R5yG&K*gtZz!`?MyFx_={dKuj=ml@SXLckOiY^L?>G1TH6)S|QlkCc__AC|}b zu2fmAU3_#3B8ODI1te=SE!wP^0N?k-8%g7%2X%Yy&)i3^rn;v)@{mz@dSXw;ANJpo zai9!EQAkSlzVsyiYAYJP@ebURn=)fHs%}|xyMBMlksi8?c7Ei&pYeiD+;k^ujwu>` z;FbSpIPn>R^k0Y8s#C9>K(CbgiH((!;F~1_%Q~u`NvNxcs&_r2jT*p)00ebwWxfjN zkCCrk`zZW6ig6xKSZv;?E*S`9`%ICK_;$a=EG0&^EHMHU4SJ9dC9S=~*PJRi^hscH zs-IYX@Pxb&CKDes%20BiO1fJvSos7nwz){@T>{?u(Q$I;QIkF z{>U(FV-bBMh8^4R9?Iu|;pPE^?ccn^V)It<-D;KMICc;p;mxby=++A|H;fX7O&)$# z@Bl;5;ckB5zkgC|Cy2$+Az>~U?p?q=MBqEGB{t+Silo@KVX`O}&miL{+%O8@Mqc~u zxKI~C%UVxtav>TtXz1V?3W4K3w11tyR(MBxqxtNC+a>Gaq2*nG`#b$_R&eeWb0%q@ z;sxK4`x!Qa6ZE-wmdG*l;{YOtY_OvIU83DVe2>?-N&2*%o1 zbSP6WDxLfYrdJ?=yuyDe4W%w&!<{=Pn{(st$Q=7Bo4Py-)~xY;?PFzN+)`;aSjO$A z=kqpGo$z`sh-&fVO(3`NwKXB4P-GJ9$BRLHgQ5y}!i)gZ3yMENu3?b;6TGLcpp1oNTF@tmU=kt<$H`K0(U|S~qT|);>HQMvdMG0D{E#-bg=%KR%EOH4~D_%#YH&1Gr3ht3 z`ED;EzJ>!sMuREmp8wd8^+DIT#h?rDo$&hnjhy_77*?s^#yQ!kB?(Odv%bnK1gXq2 zw)@;;p*mYT->Rd`Jy6fD*!d}tFj$CQ%UDdXHd9~`GdV*&y8kwyf7x|Va^rVEJPGl@ zj{{1ls@9O#1_M7BLpe#Fw6UZ*nx)39)Opp($d0ohsNN!DiG%y)0hpRd`BM_(7pe*cGi?qVo+Umk3a)Vw^REhc(X;=3C*wSi3yxxP z>C68`Ou>}eTJpk;bB4AgZwc_qIqX`FF#PzbS4F@}k_uT#)n}(875+bH9q&2ay`;Lk zt`z9Go#);1AVld*$)MWvAFWokf!SBd?eECpmzst5SNb9bEqLh$uX8uaI#k>T=rk7n z;MBGydM8dQ06|y`RdROkRsZ-~i0O%a0GY z^tj?LRQBNRl2Lk>dk~Mq{!TK#HO?StKir#nJ9vfVdv+)nHw&2~MG4IQ zq)_79tqzhL)O?0#80bO(a>pwYAqC0jcz)DP?sqAW=(`~cjGxJ^Mc_R6{^FynK^aeaeS-w$GFxs) z(G@2;>E}&S4)0E)y($dwtW}*BL2-BjdOng3Lq}88!n_Ynf@lxuCL!N)fpMqz){xSj zk5|@LdgF1hmkSoC82gY%ZY;vl zRCP2tb7F^4uOb^nZEs(`sH&h1pZ9D?%Z>M$0uvMK=%)4Pa!GN7ESHjd)v^%efJ zI1C2UDb>Gsr2f8X=wouH$w4uF`-4ee<|l^ z(Y-OffxwVM#HJTdU>BYab&8BrFoJV=!>Tra&PcN$@~LrG?uWV|`^W6K#A?whz*8T? zCDSxPL)kOI2bb=_wW1)6(?%S3@1SbylmzzcjM0rS9~c4O|3kV?A$QaEFZVultN*OF ziZ)*{T>`r+IxfO}+utgAB@|@i{JrS<6 z{|4DndQ7OQW1(cIfV=E-5DPOoT5P5 z##91p&u(4ls*8p&JuPffJ`p5Gro2&6+UOm7*1C!CL@mUtpb&X7(9b03l59}(J?x87 zm~H@$b=24(03x~b-?cs6sj`!8&;0mia9=gMot3dO<RF7_>+<4(|{@D101s;IIr2$VL8wvglLS;BbH#y0o%5mmg&2s0#GLb zCG@7;9!&RB%E|_R@<6lQQ4G7Yj){;f%X|UiBwU#=)6EQX7@K(gcUO|w|)mlB}LfOWJ_KWMiVa%bhK@!rISrvdcx6v!F345EN9$pSXu5UY=6dR8&b@m~dCoY(f-$4U+y5>|r@exUcHsqkZ11Q%pb5mk zIuYge$A41BaQfp(d%+P91xvHMAWVByn9B9{WM2Yf^3EF`E-WW~$xxNW7(KQw=7Lgm z`Sf%;6_tO5qREWr#0H$e%y-~-a0~1xF;XPN?_8!6rUbzS;V#os)z3i}3`A!jDx^6o zI@W{sj^Jbb-Bb46n@Dt`rX})(gyiUU9@B*f9uWMH96FIR0hP&#>ROsn;cU6&46y^b zf{?7YSgBGHToW(B^|dIvp;4Xg;_Z)?42n~v+QiGp?YRxY@35Hn5u)}32PC^@+juDr z`D0;CNw6y<6g{W-yC|x(64HOcbx@)HwzreG<7sDRE^b|*oX-s3@>*lAcCem4Yt-#xF`tgfh$vO^~1l4h%J>Yr4M@(1no79!9S6|M*9_Ijlc}W3bFcM6O)sn zELm5NF=Tf^x{bJ|g1&vGJJ)&@5Z|Z&t`0a(V3Ebf8-_hyE5yWiyio6Zr`_-3rypRG zr=kto^_kEFY?4Ec-&gU1lo+Vd34Y=M&f_Uk;@yc$?M4E+rG%wjBZ~PRZiEs=OyYG4 z`%3a(8@fiz-}=F7eoU(|rn~%zjOnIN-t9+Bs(5{%bj>^Olcd$SU<$X3B~XW$%5n`7 ztGHYzlMG-3;yJXp8SQJjn5z&H%=dZ#K~K_ z#znIdsD(6o(k+O-(}eAvG=}~8i0<+=VhDjYe=lP@sZx4J^N0It?MPq9OB7k=-Ry?Y_^S?;;gBlallFbM8?|FJD>X&IETk%{{P2a{ko zxAF% z8njpC3y^dBsXXOA+c>o6_wg-^s^aP8_^_5_twSHWsSBP2#zAJAPemzqTsc!TMPKLM1}2>|nQ04jX6}o(69L81{?yKtj`C z?RM!|bZREfBrxQfeGZDU6RB5fd1Qkq#gEQPm*M2hu%78*hgBo?$jnQMvanN>+{N*X zryIhu2{H!gu@z*t{B`+pxV^4HdH_qpfBC+SHuA#wKiFW_wDW^4>_{vby*;)LnZm1$ zwRNF_W!QHS^=F5_TeNSMXYoV!`?i4mOFx4yNSsY;$uF$OJYEa+Ukk^P7a!-qW>~F5 zpZ^QUhSO#Y9y!Ri%xPG_VEkfPQAE5q>R3{N+iwfXFjF;vzr7vkImnT73L!A6)9^P!6;4tNsNky=?sj`O}I$6vEe*UP_&c ze{+pG#Ct}3E4ad+tKz_+vruiFWgFiaBdGQ@{&KIjT*^ZNTa|IA{Fr~G-B5e9*B3z5 zXdQA9Y`(h%iA%W<=+)@G)E%-%O`$4(;Y)fvZcQC|d@Bf>6~_G{KHR1FDRiHuSG>30 zSlSPo1KXkt+bXiV(NAjo3_Hw_>Md_iu7lrRpMlY>4?ltaAN<1E*-#k?$X|>bufj4+kTh2p!95`+7XX+w?G9c9MzPhfZxG*+vw@j?UYe5QZ^4 zF(xTz2Ki;}Bg{%?@5J1zwaFq$g1=B)Vgz~5?V)Fb2Cb_SsYCOt&;?p=q&zjXH z*WAcdxcMob^2F?R<;0|86Wp*4eYtEP*lCF=qJ(a(yM3}2%L2`nr3lqC5_#QfeT^~Y*}RtBakeB&~fhjnMVp-nr@ zF5ciim)2zg?1tt)go;uEP(k}N)f8PET+X5i>-~d1)7Qn(`%br=d%x90SU1B_*;4%* z(E>Y!jD5Q|`WWpX79)Xm9_%M)p2R)QTIl%Gi%+kU|Be8brJ%#x%AmGlW19PNXHL3N z#JS9|5C;}oaIUB`D(maRogOxdOEzyGK}d+4I3VegRt7UT;;`W)K)wqj9$D1_c@Zh| za8Q&a6 z8cD(W<_?sD@}jGy=X?E8Rrxo}>0KDFU!b**PdDR$3k1RTcW>TOA(C!61F-#NXl-QY zvyC{IJ}Iy%=x&qE)`iHX7`gqt-j1$PhWtbCZ&BwojzU=O&r}F?LWCT<)3PJ5Sq}W6 zvRh>xbvigaTGpla6g4T;DXDLXI7hR2gSlqgyU*E zoGMo2f)maP>1H(=?Nd#yx0dv}_P6zT!Cx8gW+>rY+?R~Rzu6&vARaA`Uh9~B@3Rl4&zIv~PY_-={px^5X00gB@*>)AA9*OY+dne|3y>jK?n~{* z+O-?G(M7<=oDOfq+W)%ff%U5R78!dp3``w>%= z<={!@^}|TOUJ=;{7179RcBdpEMzU9rC&u9^49ceOyu&~Xrb?E8* z{X1zk4+z;pXs%XM&<)fPIa(yf?DMZy!|B!+Ny+BL7RuhEM8=PBc(yZYa+V$@F& zj2-Z+E6=20Dk}CUP3&`{X=C(1vz%McaQ7OdZ3@o@w#Q>Dx86rZz(7>Nm&)FkPV5@P zS5L7WrQ}fURaL0$jV~Epsvi}MedluNI`Rg#Ekqsj9>j}ps zQOB$|jx<7!Ny3g6^gvR2;2<3k%m5^11gg>l!SujzI-u%p4kNJJi?Hf6YqPV%i^*N! z!L`zjSI=6^hk8XX6@wwR?bp(eGF<#!Am7iS9VTgfwV!->N$ZdBWh0`;USTLxRq0{5wwrf;!#bb$U&8ta-|G>MeD6Ep@E<{t2p0 z^S=$o&bFW+IZ$5zMbfQpTXdat_#JU(x7N!&z5O!z^t{r)v+408OLjs;I}!PfbXSgF z&m`$lcsAdPXfdsa7zW=dxQh@az>0<3n0$dlg-~mrdm2n8t+RB%=I6MdcMx!*ksG2B z1)>q-M@%DnHW)G?N8GnnN42UoyB$aOZasA54H?r>)$Ak1V!#OeJ?L4~jRsA4!z%QI zH#h8@i!c~_^7KW=+TzzpnA%+oM^=*MuC6XEJRg)n!n7xzVC#3{La`2@7{ICr0;Y4M zs536eG8!IbDBcE@oG@gW-;ssiahl(8SCEflxRebXoQn2!-z20%rp`Z zbu0wgCTMLS(L17`12U~x1J4HhdQLqCU);mrf~N+1*X{`$%OMo|v^Q&zV+Ar$TT~E`kpaW~0J3vs zM1s2JLCtBdS!VkSw)>w;5L&VkS{e}|u82l@h{$J#g`04zj^uKGdcA9%b3jaMj#(Xa zL&-E7!EcG%4IlgI9K_SF0$3p*nR?A&3t}NqA`m7xd-p}+yWMWOHd>Q7&;?WzBfvv-MY;Uh<8 zwff~v2TUr`pci?yo>2)7y+PLG!iC3mvA(O=PEP9PT&$AM#r#*yoj{|WV=`HyaTlym zv+6O{oM0vPT#vxG$A#(e$)IO{QA9GP&-2n)m`TrpU8>Rr{nLiA`5b6!h>?~b-o*NM zb2=0W*JPlZ2t1|-X6TMNZlbfh zt|=UWIB!Zzu_IKtf2UinR`J`)1~R4*xE02?r(+E#8VNYME&6rg<(Btt3`#}^o$Cd{ zbRRJtMUOj5Tdua)tva?Tbq}0d4%c;@os@9nKC*ZzvJBpm>ENW<1i0t z&!ytl2zls9^su!|w|m!$m_p@np`CtuRJVU0Jsc?@BHv;k(FCe8)dwzm zJWZ0go;g6=B@8~y4m!V`i%9+t>vSGNiS>4vNEELbSgiUE{|_5o7J`fjIL?yW0Cnkr zmUKWnV&M!2Mqm;B|FGA${nOwWq%ETMH=sVyz?ku;XA{7JV0ODd#d0Hytco*Z0(Vk! zvZVO>w1^GY$7+k+dIb$P<-E&!ucZG+#6E^e%9N*wXpBs;#fAM`Bw`7RO|T!x z#Tizo#^qstuTLw)5=|)_7FigLFV%(|t=w18rbeC<4RTmhjM^Psrh}Q^$Ch)oR&3vx zk1QCrI_I1c%}n84B0Ay&@JIQb8l_Aw_{UsDj}CK$B%e9A2BlCGcyDNnK-PdiF54}` z;@Q}{yhu>`&y43_Yv{2@9S<%<`qvI}S6d!rR1h*%S}ql@s<{G-veIxRnTgfA@ zm&c0pQ3bopdX9)HF%5Kh67|EqvTrEJF9q2_zn|dA6T9XpPLBg1)){6PlB+NQ@DU&u zShkww_O1VE@KbB0PsfXH(VM+D`R^-9zspHC&FBo6uf37l?L>b&%-d)y7L@@@vx8RL zft%U`Zqn;3oqs+1;a}w{J(ze9itHmlLoC~V0l7PWey1D|e(2Q3bX(SCwkz4$Nqy>g zE!;YqCv(p}};$j$=5^}x=}9myE7+p+B_@&jlQwynudj>xk?mz=TVpWnqP-oGOJH&#+Zx=osMPhDWEpdgqV^T_gvj5EaA+Mf-PtRaul-wCWf z2a%#VTVwXmcVJyT#``-C8cy@w zMeMqf&`bT1doWAl4d5BvK|CQ8FSv8N1ru$Cv3LkGCcys}X3udp>=5HFp$$P3L?FDk;Euk}5*sGA zKAgjmiJ}N}hTrvel4eWD?|4Z~P*DR?Zvx62+V~~az+a$5e#&vya^(*3jYN3ZMFMDT zd1BB#!|dCoyh7*g?(JSF(@QL%@`+&nuxgL$turu$@V(||fI zu{ul(Z;uQl8VjL~4|+WUJok2#x-21VV3YADc-4nd(J-1-L`ZjdzGwQ1U&lI+PnO>$ z+&$*_C}?Z&46RJtef(sW`nsJ+G1c>ucn9xn``Rdc=kBlpBY5R4^UTxtwFs$`gJ#c5 zZgMg3jh_tcBe8eV`8iUx3-PBRqe}JaX=8+0PZ1=_@oiZA*8eH$Tfmuq!~V-M6{BRXU;QEH#8!QW-){o29IBD#z%XkxB=LO2rDB=BzeSmXghJ$zf(O?DRhC z_x|7ib-7&ko;}a^`yB84{@nNH;h-#%HG5)rbL1A42YCZC4JU4UH)BeDTTeIvm`E#+kvauR~FGs<&uOOzMJNF)k}sphVPJ{>3Hw`!z;A z-+6V@f)O#24fn+9W7+cYJqhXSSAdY@WI5eCx|Z+lWG9}^MIU8llVm5ViaHMot3xDW zt0ZSpu>EjyPcFe;nfi_{Fv*)5s`vbBbI(_#FVM23Rr%+wl@ea_-4^EV!S=t-3fg8* zbDn(Bw|5C;Q1#8Zh3eC*vl8rX8a$)KEDG0b=qL)|JFX&HTFA~0xu11j=WvNE=sED?Z;LnEW)`E;Ay)MAn70g+ zpO~{nn%&P4CWbO?`X6Dtc?>k%n0>tItzk?9zhmwpFOZ$Bra>DPJ@ksdT>xh!9FHboI| zJJUy=nr651S&uG$QKz!r-fvA5?|nPD<4NmapD5RyTIof--x_G)P}=_U`u(w!=?A}RFv-en2`RW5L8-A881Jzd~P4@NVTrTy}Tk1Q{ z-BVH%J`(b+!M#7}lPiuLI8oo|R6EBQE8Z z-RUn|e|^nkY>~qTChW=!YzQVNBT;Pp!QGTfbZM!t4*6xmKH(#$WF$tzKDd`|Mo_0m zLZ~&Dnk-(o4!-|*C-8W7YZz6Z{o6EqWV=J@HV;#Fg&MUXoQma`59qK5Lt+_s0%Jg( zXZmaz_|h-|t8#^k)zS?S$oGGs>V@6aXI}?xcRQ5Eg8`|kQPsyos7$MY9go2Lh-V3< zyHaTCW1z@lAousc4izDCke$twRDC}0+cOl>6d!WXrBQe}BQQgsy~4v@&*ICSk)Pl_ zgaoV`=!94&#N*pw$e3?aSXg%J+X{UxIsf&2akba>f%orP2YLB)_>8n+Vbj#pb?m7-fyzx`Ha(et8Y0`* z>t~COzOHgam^+!>YSD0K?K&Jp7|jlYK`(>V3%Ap&vGFB2_! z(C!yrk~IgcTHj{tvm?M$?7%T7sZ|^U)RA%rSrGVYP|izy?MSQT?>t&64^Gj$~oHPa$p)d6Q z2CKY?b#nS4+E41K@Ue2ov%14#nuKPc=XiQjzA0sE-k7ocm_Z_{l~!MLBfUMH_%6PO zb-=z$eNyT-n`b_Y!;s~7mo*$S{ZdZIkbCCT6PZi5jySBB3bonLZu{9wTPIPRba==d zWu669XC(RW-peL)(5C#dVTyF~A7aru|G8KX0!SXN&6}gm7eE+hd+;IZDoXnq$*HKz z$!ne&Xk2@+smHkRi|dn{goor@DN5<*>LmM{n~jK0(sCd6uBsDWwg>&>F3TKC@AmCo zK^ZP|o;n;zo1xu4)~?q>{l4^zR#n8(<_``enhxfL4~6k? zwp>SQ@`~foSEfFf3t7y0#SwHGPz62TX%FpZX_0TQ3=<1BNHl1NDWj~wsFeZ1?)|h? z6hVCDqg9-Hd2^5KCWr@Ap3HqZRwQL82ny(%MZaX)0$sS^&9W`_`wyaYgs`+n2Phn6 zfwuZ=5p%pzKH;BX0h&G5wmO-_KR{g6GIBIYWy{>6#nM^CZzJQsIIDchlQznaIN7Ni zvdxI!m<6#{Z3L4wStDZQ<5x(=INx;jT3{OfK@=#UQ&3H^3qIO{Fu$a`XIL-jO? zwdIo18MX(Z)%TDG!7V1O$qddzawk6Y^~&83n%oL4CH2e8awLrt40&MZOs{<3(s+G1 zF9t@Im)*U#rw@CUJ3*{&Rp7#d8*hc)Wkl-mOIqZJ0*=h^4_`Ku>dMk$27H)Be3G6L z_#T_X7H%(zGd^p1ll4crEv@i6cHOSY;JVg`)w4-|eb=(BxPhG;pE$$0dGeCPXD{~j zz5ErU*7zqN#$6UT<#u)`dw>@+5wdLh)#$CcOw3MOp`G5GZCjggmy^UWpY<17{}}DI zmQb~usNE+z)>pPmve8hssg9r7kp0aenId_9_3rW@;Rp4406O>fY6!6f#H{2>SCn=m zVfU(3@%5>7Nk&q)U6^H!`|5edGQFj=fF-UH&Y&PGZ_9@Z2VDv0gNQJDUaT_h8CK$| z&g@SR5SwP3DUbdR5YyxG; zH+I9eDY+AQY;3{r(IdzLk9NxWL5q2|ue!@aj9*k7G?i?a&8*C?;??$`;oSG+s~K5h zxjwpGV^Ru8_5ndO`l|<;MAg2EMScB$A#f~Km<~kj;oqnhXtM_gdj&fsKuT<+WOM+>{$CBi+jZ-%! z*gHs=hOYL zZUbXI{&jgV#0Zwa_Ir(G#b!+Hk#RRVQd@{W9?Nro zO%gS_D0jteIVh>n-N`nr(N3fv%k+uz7Cg%?{3;BmZ>S?R?f(;LR_9t@TXV$buf&*J zRP2wL)Y-OD9(g;i=XTj}j*KLmo>}YlCnvTIwZEZUmUphrVOxi*8Xld8Q5U?LeeDSq zb9tIiw6X|)C*Mv5wDYJyg*eapKMN?AZywf@sjglwbm42x@wVp8*nYg)Z3Hc|W7wsK zGet88P19{KNKCDB+Q9nx4;$rK>Dxt=MEhs6K!in^^Xe^-GZC8z26?cgJq~bJ<&2r<(>o z#vV=FjNRM79=$WBBi^gLyzUkDQRy$Cc~5rEfn_;mOxmbueEgiv8e!N`*2qqlMz3xt z6cI$u%ijEFE7yxxUR-t5l$IKizO!^{E!(7N>eT&#)4rBXgL0PoX(zET`&xvxtD4>8 z@Q*VvCBk_dXubWOTeP~Hyzc6KLA_YDC~Mcz*3ZKdDI3waLbF_6ZsWZVaOQ1^A=mbw5#`%l^Z z`8IJDmFIOygpjel4QJ*)Ni5se1-A@tX0z2v9?}KU^zt^nChDTe%(0=&zMP9z?1a@a zT@~xQ)6J1dKkp8CLt-CF<26=vE$??eC(Sv}b3oIeenw)t$#YKlfG(;*-&{M`hZeoF zY_7L&Ila5AX%n~HE~Ggs!no!3ln;Je*;fkZ#Jaqx(xuWR%9N>vN>17xQws^g^M{wP zf>i_+>U{<4{|)onRcFfB^N1Za656QaOPztObrP< zVD5+M%bs?7#%$z}o9g?jx4Z(G(8!UH>3MEW<@9dxK$O!xl$83e#<#x1-Z7|T*$qKP z=8lfe2onf%&3>SKqZ=W6z^y)UZO@k?Kgw7^HX&86Cy#8}Yx&qC*jDChE4F6FPVv4@ zg`@A0|G}^#*Cq4cSZaod;X4TivFmkgk@;Y#3>^+KCzZ+%ue7$T1AAV%6Btt=s6mP$O;y zwTiYlc%TN|tijuDj>K@*^fk>cz2$JG1K;Cd8@8mcDK<%yw+=>@9b;I3YGd7iGi~6^ zb1-r!CKoH;hJT`ihL(N7*BJeNEVUh;FfRY9!S235xbIpXDduO_<@j*1Zko8)jINF6 z^@5hlTv{@NA*mD9^jZ*FO*S2uU!!-N-h4N0oy+GS>_X%rj7oMLl@0>aH&`|esloDl z4Xgsg;7Zg1M$Rcs9v0-DYPSRQi{8~k*Y@Ea+=frkMR#)wn=QW4yE^IG{@jCR_yleA&sFG2;&tH<#*z;7*9Gb(kQIU$g&7 zbA6a2f(Is_UlxFl(Qsy1*V936u(#5cVf~Hn-cIjg)2%<#-FxU=Ea0+}B7^j$!m0_a zT*7ykfRG2?+-`p^UUrCK-9gW3yc2kv6q-dUzX!5-84av1xO!u5E@9seJcz z)n7srsnDB_>;6bjNAoi3auy&4(5EpzNtwRXv#E-z%{09*oR`YdMlCaeyzCrgk>?nT6$;c}#P zPqySDAFmEF0j8(+)fNziVLSWOBGA4tWQuB*8-AdQRM*wnn*?m9=_&Wx9RCTkPrak7 zxp?f$_t!$Nlg#RRN0%x-WnBw>MCuP~DF3S~F@1j$H4vS66tj}~49;vh)C5s(nnI*&G5g{GMQE35J0 z;xC`s9Fd}G4lM(_?b0&d{h2inOhCb!MiKI32&S3t-qDNI0o~u~Ts!-mRQ{epMT19k zjg`Unz?~pFoB=UmZ2mBBISl6q!|B0z$6+`{>Q*V4(xC>;w)4NVJP^9+Fo)sH)o>;Y zL6CS}{c~(CIr0Hk+;1}VDO^~{HGV@eLl9O*p!<~|LB5wut#vEiy`dLheSDG;+_AVc zb+cI4%M8DAXH?7kC3((}m51$>!@pT5?n?*hCyglDKFz)*>%X$DA@DapuMNIEQr;EX zXL?+d$Kf6ebk*9z9WbPvv-YEf*o`0Z#ZD9`x#aE8mpYAC!;G~=)_6YgDWzTwLN3(; zE#MeEQ?7aTNEi$!NJE!tqxFn$3BkDc%r{~?rFkjOMS_#?I<5nYL4ovlW?dwhq4x&N zL^yN1VX(}P+g1_zpdsnL=T`jCe|lpn54URlSQo!=;Yj^QWoT${>Rl~s9Uz-*$7gNf zejR8jQ=;ZYpzqVQ4#=z4#dNja6WQx<8k-*PhOoqpIc-FBu=L9M0aWmBj?11k&QC^W zthK;ZqCvg^b7Py{P3yA5tgp0$(0Gy=IN@^5o)mtyZQQFn86#^7teRN_xH`{{pbB9Y zpucdOpjZU-LRr3Tx=I88X1Xso4IQnEW@pvSrZFN_2RDnfE-67p1r!!NA;!8NdKo*r zW%QhItxTtmlQ^>_{^~S_SW>5YFfyk}x7~MfUts@!N0eywmed$NY4s$vJEBgM`mSNe z@Gf+PFQ@&+$MHKSK1wd=SF}A^=~j6=i8Y{n`r|BO+~ZW?J-5@QX2~;+OKDL%iCg;C zFkbmD@#2<-FKaF`E$fbGnKfkIT6Q{1l|#`4x-ze?kH0fE zb2+_+t6{w1G&Bc%*mO&12jew^4_1I6Qb%RdBbCtIn=6jC z@!z&cRRFi)#T!P^F`I!CI+_tKu3re9*H@bseb<-cpgPxmeKBh2%^T4gFrM+*CC)$0 z__22+#mdki$!y8gk-5l6hiqe$ z+hD%p2gF+2LfnM}S#`oG=_w&$(k`Xy&DxQa-@+bs?HV|7-Pr7=nPlB|KH_I$y(-94 zBx9P@;mmJv=6*G341s*-rGo)P6UIM@nWiwL>OWBkoG}asY|FGW!~KWC2)~qm!Dnq# zIwy{6S_vW)ASDPffoaLkp zfoQaX()El|q((itGT@xuTReKGQ^MM07!0^CFS6<)KhoVx;?kY7mJj}!s#wH>n^RAs zZZW7T2wXB4W_lZbz;)TcI8G3us_Ti zy?)I2T!Qh~z#w|*s86MsNg3s(fr1IDw2 z;UZx;uo1KX)xyPs02DmoGKfEnm_vY!LpAILgXO5%{1svj8nyoVIQxQB?(ImJLCoun z7+U``k)#Ykg^!wCKc~hni|Q|bS-nc5de+?fHhswKnI56yun;58uIm*c%psUlAJ&IE zfl+I13VTH|D~L-E+}P;9CM2d-)MQS5E^7Mns>bfGzNoFVu!aB?BFCW!metEd-GtVs}h0FKN- zL}OIy=xG>F8>SF0zOu!z1qW%n8%JBv(;kLh{c zomy8pysIf@IWtXf+~K}PV3JpDH*^tYxfTCJUnnptrG59=FYs_nN8Q?eRS(YNSIziV=m(P7 z8v}LG!t>K+#Rkk0IMY%Ys>;xOXY&^meU@KStmSZ+R+DV*9sR0=)c^Cr60+FJ9Qc$- z&iHjSLk;SMrvydphAyc=EM0WXuSLP{Eb#BF@G&2j^~33=9K3)-n?aTORln>xBhMfKe~A%HYGA?POmkQxQfn2u zTO+^OFGW=i0!koM5p|2jCF}hY?EDb8i~`;vHDo6WLGJe{sj{@d+xtv}zNlptA^!D+ z{Y+4vqgYn}NxHh})^s}f)5D#HT@=nox*#kC=T56kp;x}d(ER2bl|5S&5PL^R5Y5{^ zk{bIiuK!qP%sgKM3^uh5Z|_DAV6w{8ppwPt&$GSn6B=0gV44WymiV+ZG*+*DMjNZi zbAjPTK&ce}U~fO}!2BXA;j$GzA*-%8D!GC6Qpx2|sn#~igu$FJ>2CN8uB|K%=S?K& z!g$Is9_k-P2vyL{6c_;)*H5!vH#n#J+sI*m0hWP)iqs&QE}8&6$lJ7;y2x7he+*^%lWP5 zAEgJRkdk!5rlNPpdQr#aTlTg2XEC&?H6w@LBpYoSiSOpT=IggObiwDM41=;#djVt>MSM% zxg}WU!>v+KQ7 zAlEJ~$j!^%^4l5}xvY_B1t3d^{3XlApJL6?_%`!uZp&!I*ezegjD7&wCg1)7;Ttjf zmhIqCQkK}-LZNrMG1&B0uPFmb9xb7~kW`)q^zcL=T9TKyf!zWJ_)VQ>XbzoFgUWQ# zP<9*sqy?U7iASRfdW!+R4dCKEcQbmUIW-yQsGzHlr4!X5pVeo3DqNSvGej*G&@n(E zsdi=D9eXl>+uL?bBgjGTsA)>Jg%t9iD6ay@^mT%7tUS^kY(YOnBdh)>`)H}38gv90 z3n}lh8r1$zFjRxK!I@nNd0JNZ1|75llbGQfw9#Hx_>~s;23<67m4X&41_I#6f{2A{ z+o*!g#o1yIdkUp)j%Qlohb-_LR-u6|lc-Z0Si9g%5b2<8&H93$*rs{{19)U^zM~I& zyL~4@Uy+1WqG}Hg#u;8Ye_?kK{qn%ydwi8SPxAFssmU7D{7yi0uL%%4dO4yPabvp zJ`OFQMeRN8N}G3vxFif!Tm+od@8jpuAnk+ZnEijz>iDz>rlbFirZIqg)MY&66%+s#D+SIc_&lVB^F}mm)#vvH3>X8zO%V^I~nY z33(%4jY^w~wXAf}Wjmn3__=zSZEWC8A+YIwWMK?lLo7u0-#}xw;XTdq-zjW7;R3gc zi|<@KprZ_VtRN8lxwbg&OH5g>q#H2zbjGX)$kIpzZzb@Rfnx$BEjZKUKj|cl7yO@O z3FB>p;fOF^IE=ScQIt%vQG>|9$pOOymR#jO>4O^N^-!<0izUcs;}u*^mDiR^4$#qgvEbt$!X>-#raSqf~5%8!P3wm7P0E_g9UIPV@CWL0D+T!r<}! zNS^v`d1z^^B0vU%Gb7>5SvWKP-*4(aO0Y6Kg>A<=%8Efb1V)&G+|?aY=#lwGs9E6i zmFO`=Hs%CVQ|`dC^v640A57YL7-vs;(!mDP1UuZ5{us{egR6_==fznxZ?1_yh@dFP zbkN%AkOpWE^&(Da{_~(7Jv@YMUTqQqa~&} z3Bm=E=TCTrTxWA6A^RYM`jyL5kJnR(fTfP~1NpggI_kU;b1GWzadX%Mk}wxMMnCvo z#l`Gdk3-3NdX43OStuAKxL3AuJm{=#JeI9Xnyasz-{i$ijfGWB=-%}f*SrCqONngc zx32;zll2I$`xqb|C-7@3e?xf@SJu@_0NBwSzi%ZzMAhlG8QL>SSv}3?H|`AyoG}pH0Cse?Krwq}opb;yd*vnP2kn{kS+Gj{?hELC z1jGmGYP>X|oJI;wR&*v1t+ERJ=1fWVtcMSRw-cDWeb7X87{MrqvwpF75%7px5lBLX zJHxt(KC6f_9sn(L(VVULO4eb9bse2rNB7oqVpxBrQ=91C94AH&pwyn_wvhwS>1xO; zf4+wBYU~l@XjXMZbM>ki<`5i%j0i-NboaKgLc27ECU z`pYodXpQ_T=B}P@jN#xzsYG*!da&%G!kg`lN>31GE1cAXy1^7;O zQsL4T0q4@Fj`yH&r;2D7rqMX+38&JlT?jlTyTjvF-iQ2VB=wVhqMh)K=p^<`Z-k!( za$KbizpAWv6of!AaS4p82po8STx zfItL9Mj#sk6>*5Ui^&vz?aGxm9w1e1?uIu-jR_v-4Kq@XkCJEdJo_YTrax8J7jVvs z>#6TvR;>a0<3KY%PUshNCL^**u=o9C^&ST#U+$>ak$Rf(wCHbo-PtDUd_GMXqY)<$ z#BZ5mL_L42m8y#tDUm~O6se#)32{ci1rW*?qZ?JwCi}!%T-` zB7nPrk6g-nOsLfRr#^s}*-My*-~}iQ6OCTzSi@Uqy%2R)vVXH@_`a&UlcItA0}3C9g%^*gd%zY)=Oiqx-Rm_uxKUQE>Lnu zLl0oEvi3P)=lh~Yu6w5_5CLj-eC&On+K~EooND(w$nA&fJDby(?$aXVW$vkdrNCdI zXJCywTFcpXp(O@y91fYjv&qF4CJ82&0G@qH0sw^C7r8Hgwc{IBp`+)Kqw|T0SH2L? z{GzFm;xHBfvH(*D{z~VZ0nCpoN(1YvgXy@u9b613>OD1*X9L_kQ3oE>Tn!v}&Lc%x zu~#lOhUUn4)oJHWGymVx%t*u{i3ZQZg63V~b{fqC_ob#MbR zuYv~b$4E?y^dsRK7>*(SyXSRrmi2$HIs-2DfFs@cp63s)l0p0kC+csC1?XGoF_1TN zSG>;3692zN`6LH$FbkPwy5@Zn9C5V@xV1+Pdxf#cJ?N80wSg)p-Su09-dhcJ4TYi8da6ypP^+vVrS>KzS(QvhsL zXk7~(j8S%-HE8@euA|Cs5G*L`8Bp0okbNpK02wppFsQs0&)j&+>@(fE+<D)Z*aTqaQVAReI%P zUAx=nb#~ZfmxA=>p5B;%sLM;{49$*zK}fZqw9QAP{Cc@fe$90*j(Dc4bZ{FiK=WIh zdFRxJ*+u6Lj_o{`QSq1}_#9)spgb^OzF|%*bsDDhw&8oJnzh-<^Q;FuZsBlq?3sa$ zOCl}pita7CRJb-175XxbKsS+Ev@1=&NDSQ!x!NB1{qrh$dqi={(;Uspwl?u!TgyL6 z57!5i9J7{}?PlfL2?5VL)b3uw{Nm;*>o->>geW@bKkx5PdGelzY^KgRq4ix{_`_k*W`o_E+Qu5?xIKM y*@A=*sfdsl;Y!G|zOG%lE#bG7r}Js{eNiPMlayDdBB!cj4)qUefd9oOm;VopTPJ`3 literal 29054 zcmYJa1yq#Z6E|)VN+~EHDJUf+-K?~Gv9qByxT#Lz$)_i#itkaltC07 z&;NZQew7f)&X)g+m^$Hg#P=7R?^0hIJl2W29uH0@|0mUs#INMc$w*yh2m2%2!~)X~ z*fDger^~&C zz9_!35}tgguy-x74VFuSSPUG!d3JEW{X$l;xtD&kIS_{IKKW>N1!TG*uW@9;t}(gi zZdEOfW55)U77P%6GE?_9H@N+I`78Up&w?U;RSXppB7fYUZYwM;C0jKQ%y&SU<3&7C zTrPt*R0qs$r|}-xzd5H&Z~$k^g!aHO53{{Y(HT0X%QMx2fJcy% z%jUW2VuKqJ-M{<+DKnLJE#pT`-LaCv9bkrbQ(p}i1*xlx@U0>p$7el_4%Sj7^mWt>{;;SUM!>-_(Lj6Y(-A^1jiXR0qW@RDU+nRFQGRB1YI-)xZFYwjW|F(B1z&J$ zopUaU7tEC)koH5wW}>3!o?M%^K~Foy=36;Bi7uI&)px6*cAB2=^od~A*m$Mw4 zaCEjD;#2IOc=YW)+|}?InsrDDSemZ@@^k>3)yMrPKV4ERC0WsY)q1?7nE4;ma?3>h z$6V={L`9xK1{yMC$1Bb(=b4Y#JOXLp6QoAd8V}}yr zys>}fwqyV?pKRbES;wTXNJPFSDN9_4gJ=akIciGS#$5PcXXIxj`%uQEOudSAw?F)k zTR|wvXcp(nAAhQ!rdMtSF1L!NxU98Bdhvp)%pp53Kvfgj?s2pkrkS)Jf*wfoO;PFf zQCA+Z`LjQR9u8=x+9gBNBBm&6!66BFR#7fLB8E3ezx-(D9l>)iT1gp80{_dm-UIfZ;UoeU-J9i6EsgN?a#e4U#EO4Gbw2_dA z6I34A3?s#tZvmm}R^^(lGvum9^>H>?yIRhkqg-m7Vmr-kA%Ghrg?& zG1+Ij87H>$Cv0WC5HT0FuJ^5>pcnG{qqw{uwQ((al(s~*GH9uc{_DAMX%odE&=)C$ zO%iYTD8jac2xYRXpc%S9-avVQsTLXwQH~ud`uj1x$!gFeTu<7ccEMh--MTD2QduEa zChD-;3&HaN&Og@xNoM^WtVF{2-0%Pz8_ahxH~I5 z&YycukabO$?7#{{y5RD?)RiGaLCXd~_bY;R+gc%>(;w35fmy!&Ewd)tZa#mzx-XF{ zz0O?A->U3J<@(>?(;kLHCOizeDYd9SbkK$AngvRL@CxC~vepgNaeo-y&WYReI1(~K zbEovP2NV4!$9DUR`CW(ig~!U8_{M(4wVu+w{7x4;{Db^3*;(?tZ>AS{-@g<|4%3#& zeC7{n5|i$+&TCKphMXu^+7Q>iOKM*Bu2E}8<%%tB_JCq}v`c>bcp7X;Qj9(=TamOi zv#Zc~RmWb6LWm%_~Yqg67}WP0S?^CEz5g=>>f- z;Qj-dao;E68=FMUBTna%dpIUl$oo;_Krcb9IY@mlPpH(#!oLnnS9%@~TJ+pY+g9Y% z&+N)UlrMp=tymT>cC)3)%X6Ab5trgu4s_kf55cc>iWv8p6d^_R5X!Po54VOd?lUvSN8N>cm&8 zDkOAMJ$3nQr{5e|w}~uQA{edvwP(h|7yPiz;64h#o%xCT+)w)==;0<(Cp$oPKc<;5 z`fg@f$R-Z67J=BAX7Q2m=+mO?gNjVuELmZz_T`WnJQ4R+}0m{>tf@Te)qCF zAZwvT*-X}5nt$ID>XJ46&7)MI2-xx35%TU|y(h13?UC)6Dz@N-=vq3jvTlg*TE^Y} zbCn55mXTzMR3@o-r9|ejI*{#LnJRa#r{%-_PLs>)o^B^lrHBAOi{)i4dsv`}TTEDy zmi1 zV=+^vvN)c1kn-7`0c<~0R^x`@%%fSSM-Wfsr?v3d=Rz^O{Si0)v5Wks5~BQWL#~2+ z?Eb@xzoS?dcczh|?_xWlHI+#IZyNQa^Umk7h7wjI%jP%D+k&R*ltGo1+$8$cS3#z$ zvgKc|>M^H2Xu^F}le`)WRH0_2ggK>D*|yV(XSY&>*uveOjv&xL+E21*(YIUEob8d2p0)PF~&1cAS613PrUsb~`XwbJ8R~K83`p{C(+#EnWP`F~;fT^VI zK3{s1>pkIX<-5=9Ct4abhkca_!~On|2zCC`GTnSKd$D?RN_-GWXbgng@CSF+F8? z^s-p|xzFm2bl3i4g#B{g^e}OBTrk}MCHdrVgaw_i9i1_#~9a_GhuxK;caum z&d=z-QTjz-q&qlWjb9XPKaQ{#g3gjK(b@TQnoK17HJ_}1Ri-;2ChLq#6-#1UqMQ5l zWulerz#_m|Ia|2a*1d!m%w{kF*!uh1KPh9gGs_$~5Z3SCWwPb5{6)LDG2QaAt6_x` z-q+7hXnVJ+A0hLcaAmTCG*~j!q$Ief*w=tsV+Jr(VXdCXs9&mI{D7G%pW{z~-cq|<;Ohhnm|KiTqODlZT zF+$GlX8O2a`R>IT<$lr;@8wRgOOU7QykTa}A0tJuX`b)S^#reMj!^BE7NZLJQg(kcO*CQ+D4Bdyn zZ$Gv?Q2TviS!C;8B~ke`&P0yqI2SbM@9~gXGU)18cVbSO;-HgK@djCX|3<$|O-TsA zI?`1%M~o<)x92Zo|Y#qhXg4r0zu7X!!5=C$*u#ICF{-_8~f7P)N}%E_LWy<%ts zySj?6M@QaUEY+8MsA2C6iGhNGg?d={^4!g;{CNvzeZa~K)mE(EFB}MI-ebH&NPcz9 z$$irT0rg&~m7#Ko-+|KVI_^gD2Q-SkIH`eO5WeapnP2|Y!=bqF^s&?jp6YdAp(}aG zjc-W29)_EUSr-HR56Xy`lgt62`5e0^7uh$>ajDUH(;0UW?Qy|wSP6o&#pZF|+-tvh z;XqCNzpC_&5UTcEV%W5i?(VREH;FSP42w|UEP04+e4l*vtdCvf^9uE?FkM}wgU znyU#}F)bwEq!>94dYNnIe#xQNz0fiNlIq{-1t2Tw3+dnT!}hf=f|;xaRgaj6nu7*a zcXEl09g~{BvYU5o{%{Pfu2__)XrAHyT1Jyu?`rpm`rCrKB$w)U!{RSB>toW=K8QmV zB!8<|4SeuqC5&4`10Q+Gesfv=@!}+kdwYsxWLv^~Ljg|Wo1b<^QcLSgMY=qeP{tuU z?5KV1xCUGPN=#YX?fgzk*`1DQLQvRx+Y6=K;>{$%5u#_`Pn<(DQGcakIGHItJI9<& zODdSZ*Qx|C`xzRmY8w4g`KHut(b45VV%Cya4ostXyru1(qB8~6f1%UhXz3;B^Lsi@ z#a>v^zD*vi8Q@un3u+9mh&x=L?Sbw+;Y?_fP1V%pV>;;%6`V#)917RokGk;Y=wGW} zxxWACJS5r#pK9TF^VNHrf1W7s<23(dIIsN;zH$881q&*UL>RJhEt%MZz7A40-9OtX z&&G_l7oO~t0{Gf$5Te(~6cxiM4bb22hSQJEXVz>4{ajqDb_t*EQP8Nn;uc*xb3ZYBc$$!p?kAUV4+twDV>e=eXgEfVuB+Dpo7X35H7uEUY} z$6Kju5I@|!ZBX&|qU(*~r_@J1Xx4}=^{1!yK(&;EmXqg^cS2yG@?K6RMeNh?t?v7sG7wDaO?vjBek_8F_Xw7{MB5$ zN(LcrR`F`$4D)# zb{^9&a!r=sWp(n9)-89qL!%FJ6qR;AzG~_{S^Z%Va434^`fnBZl(w@+B(BVzel)r| zER!r48?_)ySC6pQVgz-m_va ze-8KFdp0eGDK}k$^dbk&wt5c^2b7ywQzwSpWAEAY*Um+_JFXYb4`yHX(YO60?)L%e z7oh1{3=8z`XweCP&W)1uhu^yS?h-~|)|S{m3W>?vy8MI3N`++$yEFot2%8VyHkk-D7T^=go-a%a45d%qdwC+rI7N`qSg{ZMR}TTEh;YsL42*CcQ2vNd z`S#t5n=*)CC*UPyUaA&ZS?S zPF8TQliiiA!5cqEy()1TQmVdX(~GdDKB`U18K0I|3_mFliDdYtA||I}gXN!Akc$2! zy?A}^V+~Wgk`Pj6>`<*QOor>ZW*~$}vQC-yzoV0=&g(f6&t);!e^Nwj3XOBWe!hgm zx^qF#c`?p+`2H~I#VXY4@rAz>CNJ2S`{EK+Os~}v`)Ht8FD6htgx+Ez9!l9rIp*zc z41D@cI5f`($o}^ATjMAG9u zP=aESWnEyxoce=loKc~omEL5`AyiJSr~C%_F7o%m%#Zm5xq1BSpAja(mc`O!+t$yX zRpq7?p%4|NK@@Mz2g1A`=l*`xYjXJb$|~?c)l)0P8EX9>===wA|AD}N!15nFxdr#T zveX1@e7~`LL}T5k4;z17NS)Tx_R>m`2VsdP?QrTlrJg97q>LTl--aAjLLuTKoZw=5zu6scI zfZpvQ)f5w#-xO*;F~;=gu~uKiy%kep@#~$(N0>=PpT}}eGnf`qKd%kO0Pdy{PT}G| zwFCtWq+F32QyXH+N_omJX7o*r537FFR?nMilgYU`h%)^DXP=s!uhHK8*_w2MPhokJ zW1sBrWP5r61jfg{U)}Fl%_ekj`AYp)**N6-xkDidUxOu8!4zuwKu#JeF!o`Y`*6uo zP9JGL-ghuD;s49<0joK6qUPZ*ZMmRU9#&Xu$KSSE6ZsnBuzkKiK=Oy1lB5oi7MChbC1aJvCMIfE}y+7EfkV9N1@O z>?PK0GYdY*52|Syy5Q2^!(?}}+x4b?F+vyv^Zj|h#MBjICf)_(&WsH*n3k&S=PMJ} zN{96Ag|fv2X?ubDVS_$y)86Y%g9+U5rOrG_g3^;OA-$!7Iqa54C9n02=+O$^sZItz zH3wf;%$T;7YLpG7Xtpgz8S+fMSuv^j)m3__3tJ`xy4nS)z2~Ffs)bp9W8x2;&mdtx zmN%q&IlYYe{au6LoISMcVKjRZY|m!cCG1q$E^fVnike(E5SIzZQEMQkMM>n!{=SLU zm9^M^Ve#2Nsy|%0#m7&WJG*TgXs@ZyMOuhj{#+D%=V3o+14>Zj(n%iy>OPmABKle6 zN`IC_p_V$@S@mMyP(7(ugv%1oruy#K2RO-T_%i0|CVelF(_5o(L_Whg;VpM_g`jaX zk@6JreTg!~x6GEHOOB*d?$oAy>c?J*g?ahhC4kv!Z} zxgLHy>7EIXiyu$@>~eoCSHp1BQd6>LJ9m0NrBv;$=uVD-{9NR>s>|T_cCY)L|K(@; zygOAd6wUcE^+UnA(mAbY3%Txd@k3!sPh&`VO7CDGZ@+{|drB)`>%L6yplZJBG89#OsSEtRsMW2h_g+b@V1?6@k_Grk`;m8ouF-dFX9qAq%Y!E(#NN& z<&Wl|pg%VK@Ugg?5aQ)Q{X>hAYFC)Ourx$NFvQxh64 z@6UyA`QiIbr5pNEyXtNF9l#IPf_UMvS1vZi%O63`#$T8JUDlJxx`Ag*m|$bgc2xYc z-f`g%Y4FW9Xd2W`2M2d$*%LxiW3tCVE+NjLcd0JMp7eFIS+3KDg_XP34J2nw(1{hf zW+?F)=U0*57{83)qS#HXN@VuC`E;d%54{|Gd#mP5D6*OUNo6YEFVOkJUp*tcE6Nu| zR;~bluXUINJaQ$BOS5MF)}QrI_p1ohr#jxH=8kmp-NjcX&wK{=I9K@Ua+aBF-ctU< zjZ|~4_Z1EHiQ8sZGu6czlZIp%W<%||@0X>;yQEtb1`aiuO7^jTDs~~@1`F#CNILqhK1z?!CF{RjoqO-p%j1y0gxtpqC6;bB8Wda(C0&w+|-03`>&u(o<~zdv(9!r zL8S-cBIgqUBMO?q+rvK^%tcviG{0&oQhGcS6nvo4j_*!n-#x)Hu}plBG?E#0>PYW3 z15_;hw`NrOGMdz1ON&kZw_@t@jRxSw(&D*|W#6fZ?CD^W^7la*WcHubUiQammPy8O zzyjKWDH*RO7`p@I`**i{n0cPX{T0=4_Tz||e1dyb^bsrh@T_Q%Ni;5~wsgBD`r6_m z@U&Ghj)643=f1%`rxKrukqchM&-ViBbSTTpyc17#GoEvvOWf-bNIla-f>p=I{NG9iJ z)d%{?qC2MpvJ-X}s{zL6u#FVan@ed;Y4hFQTumDSv*J zeDfnWOxHB|z4}Vq$;r6FiLP}_^rox{5TjceuDkfr&&-k6T|_3uu%~xMm$X7A|DEX@ z*R%Il3&J!*(z>tAukW__tgaLzQzWPRqCU9(Rj+^jQEB^#EuY`}UPWKF4n4OCVY_RG z{`mXZtn;nnXZdfCZ-D}IMQO4t0Y+2sRr|B~LRQ%j7 zjSRU|zj^-UGOJkV$oi_mN3fcNq(-w`jC@?-z(oCn>5M?!!8m&HZ?gE8pFN2!pF~mJ zm&eBRtQ+Fopnx)G6=d1;zaWO2tJvMuo48|+iSb91%i){ulmE`e1sP#)$=A#ecLGf! z4&SNFA&k(v9_bgb44Psz$sG#OQkcO5tK}5Z(x74?59t&Bj z$DIks%1_x)UBE|0T@&gIG=A?+eeBbe2y*tqrND?=m8cbBp7LH3e7MqBZO9P(;K8{Q zO|4K=DQk)f8gg1|`;8K}bFHyTV3qZOx-{@6^iO_Nl>r%dlp5DA*T!~zF)cTqp2n8v zXi@uwt!uvdlb3SPUqQ?i?P)I`_UCn1fBv)l@1=V35>49Ekb2e>*QxhK8fLboO{{z) z7dsWcWXu3B{LQyU3h=DVZU+C!o6i!QEkdsYsrxb`F+MKuADdofR3n$EJSi^=jZM(o zrNEvpDslvw--DLodL3B?zlSZ+QhW^TAKUfrhY2}jIi=l8yV#oAABnV&Z-i^pYHCX` zc`r3Te7QTe-X$~r=}mJylT>z-Z0N_uVw*I(VDHr{PfQFm*+hB9g z7(c(+8S|MI<-$LG#AVAm#Nw+Dh8!)f@y|bM<$t5V3a9+;nuHg0+1tA9Vt|U2iJnD_ z#kX=W#?yVO9a)%XKMWK`p#-!nl7D8U00>bk=?MTPluAkhUSN}gn+3|cNx{d058iBA zGQ*vnJlZ&1@{GX|qjR!BoQNvRr)Yd&ihr|8`(>w&#q}|}D9S`a)d}P|D^Octj67du3vmw0G*z#kbb=x(2N-{^Wtf zJ;*mN!*OQSsvH^=zZPIRYbe64pT9W>EeAF?zqa|(fl*4aGQ1|ODqzZ-f*|?zQzif*hM> zg@>#AaMjixZK?Kpz&{$FMfMErNoON9+kL%5k(NcwcA|tQ%y#mL>ZK&&3F4y%ENLcI ze@>+-+W+v`g~WtYH#Q!jV-1;B^E(JZ4O{i^Gd^Jy-!FExQB+6ObMgmV^`MNaH2Q>M z6C#Bx*QcoiotS$PTRkpK%`u#)Wy{gTi}Fq2NRs4V*?#sz<=Z?dkq^qaZh4O0RfII^ zC!+Bn>7dVMuWU!L+Tg#ckG8(fZ2IsLdlj}PK^K=4m2p3@E#G<-;JgJ=)Ba@(G6lsK z5r6TTg;+K4G9l((fB$@to5=M$Tz&xpV|W+BTy0Jl>_G;2C|Uy-Nw8em?RKvOE)eTj zF0maT&&Jz(-0F)z;+-rYme%lKYj|(Y%}Hpq=@J(I(i$Jnz;TjxlZrlUv+~X$K%yEH zTtv`v+fDlf%;<>L$(@&I4NE|+bv%_R8I=EtW#!5j(n~&w#}^e~87kf`qo?B+xRd_? zAN9^srAjsA-271zd`m51<4DYZ#?t1wQ$_QplUpo-dPW*QXz8Y;_>a zEXcj2foZyD%7bSWD1{r;x~sWx$IwvvC-+cF zq5Aw2#qYqJ6Z;YK*NZCrGDD~U`OjBFXRiz5DIC>)%+!C6*H~daIozQ9{_BQ?#$8Vy zLbBOZwm3upv36B2=>X(&#p2phWa%TWW z;mMfL(?w+JouX}s{EW?H+r-~Rf4)U>w6EF#o(#3Z#R4VToZ)AIN^OcczKCziS&zM7 zNZI@vLEgy}?H&biGo!jp-yv$cRh@$s_AK#|dw_EotS-&V%7ED0-ah#Vu6%ZSKMjYA zOhDxATybLdwk1*kPqBHTe;r((to&38Ufae4uko@Ln}s}z@4vLQ7@!X8;)Pqa{dfDk zo$$xGeoJm>1x3h)Klr$#6b!URReTMl*D2}vR~~@h6UIeEwcQA%6)_cq(nxe zvtEEt#j;+%gFFGhf(_7`p`H^tjxH_U@6w^(1*t6>1*>v= z7~>mkwSY|+WBcXZZsh}j+a{!pOx@ynVq-EK?pT3cr_69-2l?13P zek!HYkQ9HQDr@@B$?h@EgmyVxfl%r&zE)Om?N1`sNzNke*5y*S{7uS9zYelmi=Ngy zLXJPFEt|4Jc}yY5r~6`Bik3%x*rd^Bp25ePr$?H-L2qWrSxwGjcc(Z8NfP-I{eMbt zXRgyk1QJ1}$X042#fIGD7-<&UuOLj&IJAF`)8D5!V#uYHL(R}9}S zhbsm4i5UY{-HZD>LS=!(H)ZGdOCr~)=Ia=5GEU9kY;IJftA4AB*|FWy=3r`4K|TsZ zx-kwGw?~ICXU#-xWZgMY4wMk}+^SJ#@+!e?u2>r&(@K%N8_#J(FQagi7G_ue2S z7DHv=J%^SSg9@~t-29Z~ZZKi)G>wl?wzu^xjBiuLwClZP&gcY!3u|O<=m$4xoK|im z(FG_k9E=#Mk_fHjv|@H|E4bE2ou6hM0G_Jyn^;5*45Lg9A>WPhyAuM^M?5GvNuH1P zwU!`Z1Pnqkmx&wUWP#pAEj&9yj}x+pH`_znXm1wi&7iw<{SSNosSiPur1ztDc7~N+ zuWB`hz7QL#18nT$M%l*F^0;rNGKH^V{~{jzNQYCnb$o8iV%!Yc4p)LMU+exMANwAIL>^=5UL8Ta z{cr4yX6X6y-rv66KCz0$4V<1Rqh~1nHnS%~C=yVhi#6uVfXlxViuv%v0E>ftqALS2 zI1AprKvw7Lnz1476%i}m{@C;R_(Qmdvx|a1TKWlVGP%!rJd#LP*-bak@&&j{IDEg~xXW9Z4uCWI9!~$LA$kuXIN732XU4jwLRqem zEhytq7_#3akpB;W21}!Md?HY-`d+x8R_Tf(1W&_ZsfY)v%_FRi@$bLz!xr@vp%A4V zZMLhtlu0P}F&y|CKpFLKc?94cjm!OsUzUeZwEMy(qj5`uU%vJ3d%T1J&LpOGsg^0K zxL{J(joz>9*xSv$HPH_f0Dn$yghuJ)5O2}RFYuoK!mE39{rQpTU9yc(lu&*L_e;FZ z00y1WpOZrd%C@ky0YlNi&^dKSW`wLj@cxL zy~LVUB5`X;fAR80FzcK$}%a60&uawqiw@o%N1Ff8oVyS0W4$(Fv52&#MkAFiU&c?+)xG+ z0~%(YHfoz<5Dq#yFEKGRq{o|Jo2rxJpf2Du`k2IgAO?BbfTioWc#MS*T*(Yld;`XQ zzvJ({pt*BuRe`(R&Sh7SmSxbT>(AF$I0I&dmnMMK=XM`p`IUuyBG<$kB^SiFM}zo9 zg5PIg?i#ySf6D1s{OaS)=K5l<7x}M^B7qg)&Fd(mM2oCfq9QN`P~`nYJaZy5*4x!z zO6JPvhjbFBW|jU6@x*gD@Gk=UBi0r)dFX{u!?6@}${RadBwTYI+Gx@)NRp#rm7{PG z6p^G77fi;F*yA#*ZJ)obRrD!V;;T%Kq9(&}Y{_gamY!rs$YQ6?O%-g<{T-erpBGQE z}tkAN*u!wfN z);k@rn-aUa6sV6MzBatz zFu$=(?v$-AxZvTA7oj*&##yjo07@tY6L678AWW;oeAje3_i9_aT_?{-)^Ty!l?{a# zVe8*0d_s6{W7(rnmU((QTmVZ&soY>**{ zX5P)$`z9d(+wd4tvcbkt^{9Is_CgVC@h8BQrKxiu2-5Mj8qrVxec+x+!^Eb7z=O7hS)$f4OVVZFVwV_}cg^qTqI31zuk(nmQ4HvqK6&Z|qF$Wx? z_LUmtdIzR{3kjav5(D1!-DSlu#-IcTWSBv7tLoq%AV(Ug`Cva-);%tBqh{>ut_bsW zxJnBG*||(CV?{2s%n5t4*I2leKB*u9J=|v-Iz`V24APFQR7wn;Nm3qc&qvZQ)+9pwINlFb22w?&r%splYQEoCq+j~=o&iU}W33$>}sN^=%8T=5XI+fQ`$yHWd?wG@?1-E?DShACG~gc_Pc2fv!swz8VNA_>Nsjls^t z(8hBsrdv0eXNO~^uri>H4rE0>2#t#Hv{;JWl`46Q?wHfz1X%u~R`NmZA1@uAy;0mb z1@8!n^}TA3Yzgx1=2z*XN0&ul!`!)qDv#W@&snkH30 ziCh@3Zk{#}WpMKzfY-md6=qBi4cAkzaG3zgUvIay=X4hy0LsHhIfI!nAMV?}|@zC7dQH$F|Pq>%V^0S4t^cRlI5py0;lqxgSWLxb2ama#<7 z?n@LG9De0^nwpM=iOpJW<5{lpxpg5N(Uy5 zSVPqL;mYns;zl$pn&=$T{;UE&JY;luJ~`@c5Ny7J>uBlVt6eU10UOA;SL87d&DS|s znF8Up7f7GLIg6M4N)Z(P-rNhgwA8Bk{p*X%hkEreeZx-Ys{I96Q^QOx4qE^Fy6iPr ziW@wfwo;2SfR;lboIq_8rsx>xFp3*JU1a25S9}o9`;X+>2A&357O4IKa<79H@9Q-n z&-cS~&sNykR$8M$OyhA=r-|1WRm(GF?#y|`^CF#PS9&wJS_oe)u2ijRx@e|t>^qMo z|5Iati65@Cy#3a!yGVnZvgz&c+Aa08mE|{``)B7I-8});W}lHi(>1G{A%zWFKQmVx zD`bYZ5nAc68KqB$?uj@!rTlG99j`rfHwi?8N(WABwY002i=b1u=_+WDAWY{6KyTE2 zvI!~z(^--2sE{o=b8-zDM9?VhCI7FJL?>T?wn(R&D}>Xuv-F~)^QP<;z@?W`dke*M zu$d<9xohVS$yM{0uklyX{P8>K&#sw*r#ffg00WH0ty}+J=p1|f!Sc2^bSv3n&O>Y^ zZv7m%ZYl*=Ui*WSOc9|drr*@w11;pa9hiVpcfG~bovzh98RzoG^}X|jd)2=F{?u+fJGhZ7bHzC)qnQOQXtUCaLUUFDuH{Xm6Wv=a*+!3h z#EVoaSl&(9eZ36EzGhSd$)6jKT}5c0zsxp5!a2YbXLh}Gq{=;#yHp4&l>>d;%~Mk{ zZhL+eiR{Jdzm{^^=`_SOP16rmB~buUDNrAM>g(X_=-SL7qI0u7oIVPY7caMW#28TC zVEPfd7!_bS$J!gKl^Xo<8`C_?aMO)>5Psq(^o#a2uQW2bDR40_Klra!(NXwwlpNE$ zt>7#SK^Wum?c!jJPu7t$nCn=oOuOy(iaztYuDj0D%{9~1R3Hk5DE#Kk${hrk% zmqftBI2j-K8T00uvBgeE^}j2^){LVnDSS$vT)kf1LpQpz-=f0~iP83o(A|R!D~`#$ zcuA2#?4O3>r@VhM?lMg09NbqOOJ|dqpwF&S^STx%KTp5*L5MqzunwVJ181~<`-b{s z3j(e4C{Au11~Y^l5PjMHzsC=Rj8w<)q+^S067EuChSkY2Gtz7loi}aI{Zg|{eqUZ> z^9{?D&?8l)ycvGXIZP*IQ;@|416~<&Y4UbyokhBzYM*`HI9`_%R@xPKbpzs{P?as^H7!T0MVgJOujS^r7Q7G|3h-OKED96qf??I2BX~4feBfd z#*&;?<3Qdkm0?^OXwP)(J^uL<``4*CJvMqp>f0%26tKH(9S<(Mb+mdrn$5-U+ExB) zJLcAAqdGEdr<8h+hkNg)4gwy@h-sd~H;p_{3)|Sw_oodK93+wsXGofhzAUVN`{#Lt zxepCzo`djy*^jP4l0P@WV`*lxfmg|0b0VueQNW?_!x?opiRkCqH0oZ|V&e7M83DZ3 z9=ZI3yAB??LgFq}NQF$xPZ+_DGN zJQ2n+?#ki|#;H7%XM=73Gmg(PmjDpo8YmPtEHN&&rW}}+yjw>n?%idn>&_uNfTj`u z7^BG0#EIL-4d4)as~)6uUg8j2K$Jz)j?kTK^wTl$>eWRDu>+Y0^?UKlFWtDeI^gLC z;o#qIEG|E7beiaLS^z(H%i0W}Rk9&V$>K1JR~A5pvCDDW8~l^S6WN^~3#r$j$9rFv zkB(~f10v*!{Fk^Fy|-vC08Ddh-obH#hKuS8XeY z<350JcLJ#o;V@E_c9o zICSO48^t-MpLDCXk^)RnDx3sFns@ao@Ec7CcEm6ACTi&g|0z6-cqW|XeC?D!&iBuM zVl-&xlTgoUyN$Ex}ebzFC zy+B~dX;>G!tt)ZEI^*b|&hfgs^WWKX=bi4B$FZkkA$1{L)+^x*+vBWTUrwXShc8Ko zdU@g!&uEeu;=LZr5P-G1I`+R{+hg)6`t@e4DTaHKR}b!7Ufv(`B1CY9oQ8Ix8@txB ze-^L(o=A`IVW6DCJEIOe_2GawstAVfR~>4r2L#(TO6 zPH@ybm{=M{aqdsC^~#v=i5nJHC}5;X!AD;(-g2$(c01jI_0fL<2UcBdJdD$U3~>q~ z;6@>F?dRDk1rMz2!Xp z#Kak*f!EdM)8fH&*($eIvx^lPS(QEzKR^yZkaVngnn1VpJncG*lxzd}wgjZV>6z@Cy z+E+XAwx)Sg3H~-HPy+Q}YA)9Oy6EtD-=XpAXge~69QQ0@VkBZ=SUla&g3@gyygpu| zYARGK$@{sui>3US?kcI#KcH`jcS)DYyywMdfCLjjyJxtcqJQU&M~^RP=+^`YTk<=AXOym<&-QvnB7zo0SZ7x_D&p7e|i_DO$ z%M_b^5VUZiXdVuj$homN%9lb01`qhAdNC48v%>`hd+q~qv{Yh-j9^ZbrJ2yJ&BndsG^6dyr z8o1lZ_@G6{V%q^RVk*(;lg_X^cET^?m3*YDz3b*V3yo#DtCD6q*cJGCMa$J|`VBxpnSB;jwE%1ZhY&nl<*+Jj6S*%cOVJ#mWQXbQ_C5 zc0)!AxAT(8$n#zTv*id8h}-duHs2tJP!0KAElfu*U*KOJ+d^{31=4zSyhMjQ|52v( zrLGMj#>Ki|%vv&GAeY)iWXwxsjyIS4R{BAAV%_B^l ze8xdds;*=8I{WXK18p`L8TkT9tanjY>!&cA6eHp=vJfaRn|u`gM>`P# z=Kri6D2q?uZQvAVxF5c?wD1`cHNwIFfN()>XxIl)=ih1`>Ms=FW7;EG+8F&zXffR1`jbw~|IN9!%(^|ySwn&E zZsSdCwA7*o=AIoue)NAM>ZJ zTqbJU;!h<##*~%oRYuC<0exoPLV>umE z*BOBfPbkjS&AUwG%LHqM93W<&6P3UNURr=Gv`xlT)G*n1{liRCx`hu&lxeHn<)Kx@ zlL+sbxCH)UjIg|WwPuHrsibuPS=x7a(4@<_iXCFGz_XOle^`xpR8 z-hWO_gz%4Jli>diKbpPWj9&fWP0DNOl%C)i|8B@!Wn$!0c3W-}6&44@A;Ibx55{!= zbcV47$7>Js&*}Vs)})jA5_e5QyU+LN5G6_19uSQUY4fCRv}Ek5hAKINLS(FtP2xW3 zm^Yh589f6U(yUQM^T2YOS(a#K{X8szUze|$&~oF-pX3`&w8w0vV|KXuUR}XPd6eY4 z%4lO_kImKys#OT{yhY>9){K%5rhpoqyh__#%th5)-1(@LW99Jv&8!5l`MQgaR41#e)n;_aV2pb-$Q*NnwVmp@)6hS@DLhhUqYX}SAYDvh7M z+JSywhdf$@>nvV|VXB^8j)!4F95F_6kVHRf1WJDhM7Fq)lcz`Ztll&YKZoxmwsR+8 z(2E+z&w$C6(4ga>1Jk|}SN~SqbD{kqK=DPk*IJZtYO!DijPNK;MhG+f?%KO3657w_ zIV=w1a5p#rF>%5QeeYFvKp`q9fFEvPdRjO zf*p?*>w@n5e_efhJkxvp|LHi*DYsK9%py_hxaF45T;`NYr8uRN+$*%)HNtsm`Y#jN9HhU0r0(O$y*JDP~(Hj?~`iPM%BI=_0$lo>8NXm~uTY z(`)v9;pS@|ZD8;6Udp;W6}BTW--mrUQ}Sb*?}=q!KNDV(G2d}5(LylfQg=bTC*7+k z=1thyKGGud0%v<&aFW&p_Tdp`M{{hhg-8(k@Bz!;&H+UtwTP|Xhmjj0Q6ytTH8Lx+ z$i5UwZQjGDY$;vZ$(a1gNH&_|+Nup9^V|+-Gqq2mz9gfkr2${nWVI#l5;w+5(;Ibk ziRhN$uc>Wv7_BVfFYNQjj0NI6x%j}e$e~5$n=La@=JWj%4?X_GZ5#sJJs++ywIxn5 zWV|AKsl<4;#^;cS_jS_*18~j#lTf7(_0poXL8mR{YK?7vW4a_^ggInzrj zL;0mKRMp-gM1F^rvD#PC=d_PM(~drb6AJXF=P$c6JOM&E?-_t~}>`r7LaZTfd}xdR-3 zZDyOkC*LI|hu60CkNLe9qkkCl=JXpxDlbI~31zCh3cK07#$>`si=>88eRxLgnx<`9AtlF_fy9af6pOV(H|B4EV z8xQ5zlWlBk`3qhz*vPF~CdiC!yidp!=Mt+!aB*g&egUF%!y!si2X`7C)-Xy@&S3i- zqA(|?VwB7W=X$`SC@ls%eny|IDOKLY*wyQAhufFT2v*VcQM(*NsoH7kT)eF|X@EQZ zz3rA78@d!B9*q8_Vz#=fo>!5&q166T4CV6P!8u2@Qqgn*RaLO2%7;j$y)&Ihp&UM@ zkLtxVO*SM9y=a4AOOGRceOs{|iGPgkQJ&PBen8Et7hxMYk%%T_KekR41H(sdgGSdP zN?acLauEV(^fzMq2juU>t80=+v(^5A7}m@WSIFxi9?jj3gGrn@xn7{oa5v|Kz?i>b zPAfxRBnstY{um_pD{~9y*l%d@mHN3RFFEz^8mj47>yj;)g|=ZljT;FV2419IZPC5? zNs~5eldvY%l=Ue#K55eY@-f)~h5Zi)D8r%y&us)d7LNP0*on3FL^!`a2rTn0m!dT` zRsSaAISsoI8(7+CR?C4z%#!h?p?K{#m>Kzi_wgI4G3#$5i z8>Vu$a!}#dz2EQEys#I_&8gJ+ZJN7EIc>bqnm%7jS{@yh%iaDnH*}77urCl-hqJJl zv@$Mq+XXCbxAOzmndoY-+-kOp+Ty`{!h7}J0__?c;l=p#o-DftE@Uf2T6Z>I> z`LbBi0qv@Tv7*J9uQ!(dm`9_2?hfAvG`Y(z z)g>$D1THE3JXFWN)5{ve*wCL}da%{+M7UM6%EvjIiHDPJ4u-Oau%YZp_JxFIs*fWo zmbBeu`Q%pdj!lUVi*%`J+gT#n%U3U!Z{L>6-L#c_J7GxrOi6@Pva6oq{3KH{kIcN$ z;)PnsB=?L?-O;|79c=gGzv093xVzY*2=Cwe-f!k&5^v1=7_rm!a?TSS6qznZBa|&6 z{Tx2x0w&2TE3%3vK5>MpqR3QniXdQ!!oR~C=UBy+(FerdzXy{Os=4Z-0iRFSsx67K zGpM%R?WG_1_o8}hc=4?R(*7HpE(yN8t+G1CkE(V}@*5L83O%#lQNP9EtGo?$!Q!t=K!EtxK3MA+uY{%ewJ zx22jGk`orEG9lbGG5I0!T~}@KRs0C zPE-+aEUQa}QuQ&`N7hg1w#0NfsVY+TPjxoVSL|iMDXqj z(euS;_vx1($%vxk-dLu{ZsKIGMk(%`_8*NMO9KF{d|tBea!VZ=Whii+wqm+__^JwD zWK!>&{69sN0pj#g#>1O^{AiyIC%sgpN)t0SZ!b;amz1kYll@|Ao@1{Jd{3Vqik9WO zEFcaYZV(H!F(OuKZ*5n>z>?GKk;I0HR=3A8^J^`lu#XF7%Tp54@0*r8jE1F~C-9p@ zJzTAu4;Is0;(%klRAn3&pgHp(L7TyvCKAd}80zSH*Mn9c?mGGvc2{@&{4;Nhw|cPH zXhz?*uJ+PT9S`*-c4b)@PL>fd7v|j0tlVvxS=pP73AAGRnv$k$P8I=6LVH1B{`h8* zCYLF@k)tMnD%uTlZ?}^d^g09%IZt~&4D95%YS-mehjN&)a!KfI2`>3VLlISKLzy`D zt>R3VSY~#zaI-k(x!Z}jEu@?Zm!CGAoG8|e=TBGS9(ym>w+L*p?jJG>k>uH1#2ck& zpZ%}zX!#=h@k;A@e27iF2caukrN_PWOhicT5;>tIVs_i50d2<(r@d52kE=R53;&$> zXyR;SH+L!L@(ZJ;?`qt`HW@bx7(6X1qjS9txYSa4PgZm)=i!jcucB5a>1ongo0v;~ z829WWED`?v!JLVw=%~~mvo_{n`aayjn81x&)-uW;qr?~QIt{!P@4LRaiyTt5E+uyU z(9@(J*_Cc%S7&@b!1k-);BS)V)yxLoPC7)FJk1l&|Hbcdz+@!8IL-GgshQ^TcCoO5 z-THGI8a*f6>uSH3$qC|2R6Z8=UA`&Bo<*R~AByQ0G(%&xPeml~^cvg!^^133WwwRjz%CpUcmy2lr@^)aIHf`{Ef>Z(mzZXAKRR=j7Eqj)z$FQdaCk0JkU0 zWA{Yd$Ews9tl3+)xp<$roM6_&XRfdIoFAJnyM{2)0-uxeMp+S+M*8JP2x~%eg0eIG zCf;*H%dQqS%RbCuNF9CI;%r{;0~(Kb){bOL(nG@FC(M)=MCvU0C?+dGI)AW;@ zX1-XdOuapMJknt1+l#ZNij@FAAU&p!KL0hg#g0@Oh6TyTazYhh0frao9X-7H_`}$P zk>NKIKFsB<#6YgbzZ%QGxp68gy4$#LBXmay6TO#(E=Z!2(opL~Lvas}pOrYB6_Cyn zbLs2DDiwNKrcpR6zSgXKRxJL2!V3|-%e_)d9n)1gQ+-sK{WgH8=N~~a%rNsIr^IIo z*Fb5WUt$%-{nz*u0W|RGL`nRe#quEHs6^$gW0KuBlWEU@?Zu6yv5GTsmu`^d`i81* zMs_(?4OnN-DGEIM9qtp;ErJi)k*&_0bioojEaZ=9>^}L~?iG6PKFUJ;$&J^9U8t*} zyEgVXMyg09Esdn#7{nUG=vK6L{R`DhpM!PbO?%VF5>YM7${Tt<4PhoZ*AOUqxbmSh z6IIUVHF|BzwqWzgW=7Mp3PEi*YoJ6jYGPgUL!M5ktJ^cd`J$KMbr z&%?W7nO_tgQu0bm_Y=I-^yj#dYtwmx9tY;Sn${t<{S7huhXoRD33lRO!Sz7t!oy=Cc^w>lzUA5ss=X;|2hS1~)wFe& zgSnrgE;9HE&3e1xWC*p1vlFR`K)<+BKVYR}z)#x?IeiTKil$!?%2i2Fb>%D+ESVSg zvrFwBDpY#LwJ7AFYgnz9v85^Cc=xi4i!rtorEf71GuLw9Ct5 zr52w~TJ>jBJu7VnUtt#{g*iiaPd?Hgs@&h>9Iat8UtfB_bL;nHs*Q_#SXOqG9&QKP z%|ZKp(%Zw7%cc;nbtF4z)6m?=eBKu(OpujDEKnqP73KxW*~G7n$(ir|!jTp|L)oYk zTaHG&vx4&S_==X0TMj=2jo~krZx^FI@wx+YStFmOD_qR;edXEOi+WyE;f7MQjjd0#`gB}L zJ#WqpIDGUgvU^H<(qn2rgX(R!*r}kpCwTk{9zWe;<3M#Q&FR6Kkpi#qUjK&CTwve% zro~KqDi;uaW)czIB;0GzGyaZGX><&w#A@(U_^C?~C<^ly`vK@GI2}~gU8!p4>mE+& zkIzyQbRWVgI#`fQ7*f0NXkv$uBcJU-eVGH zGT7N`>$#=$6n+H*hAdHbQQar%Gp7eD+ZOElozvL~hba9&refNH8%EodGu&npYxVS- z6BbSbt20cuwtoT?1vHG}fGsQAa{aXSOiyNkm-bBUW+R_TV3F1ZHjLJ;Hfz`W|JabGfPP3-@YvQ&B-bSv_OM!&Lv} zDbX#JMYk_$U8Nb*t+72F-4^d=brM~yy zVh4aBJO-t}4i7~)jNS&Nm1!|{-=4%w#}3(lxZln)7?ON>i(9a`6gU*w#ZQ#Cf11}e zzQg!6&Sy7uQinHpESceyq<@q?+O3?Sh7-~R${M8tu(6~Us9Q<};DswSr{f-j#eT4% zRLv7%LelRwZT79K19SBWrRZK)a)7eH#1@tebkQ&7mF}ll$7-Do*7b1%`{JG1;2c`5 zRDSyPOXvKItbus`q}falLJnLI_lP@D!Wa4QB{EH}7ByZqiG5>WbV0v!zE3To@YX=% z?2uc;%`0S+;a&BUurf+8A_?!xQ``%sZufroDZW&*Eh{5Ws+{r_?SPNm0>xh;JAZI@ z=F2x}_+$KQT=^2k4bb;!C;u9+>*TAnJ4<)BBa%pYi&N1jhD7o=>qDPsWS8HW91+Hk z_;R-XFu0@dG5t{XZd&pcC0|~sJweq?j`)LGIB+9;{yJ5qCw+mrMkG3$d`h#C3X7J7 zjU``|NUN+;2aTo8u1(kDJ+{k* zFdteShGXo{FPimacxU8!WmKDebE<-sqiFIvJR!vT*$B_Bj|e z_tWS3zm|4WUFJ5;7D^_k+iJcxJKvq?^{-tt>g`#ZR#qF+jy2xZ=nfOf9?;V)!e~Vj z6%-;eFMlanFF3(KQbD$7k1N-95TY74y00N}*9*=ukgv9(4`L1(5R-uqrq{()K_D&J znmu~DH%}Z3H7~Yd6oe``Uh2$2q^=@UZU<5qL}PRLX=af0!sYy!^u0i#_TB!D4#(F| z;_iMyYlFXcW_qq?{I=v{&?mBl>PQL4h$=+efW@CkqQ<2 za*iY(-!WNpn1Zr`3@Za5k_JM?4A)Smy8ip=RX8D=ZWv&$*zGfA^Bj&I&O$K%xkz+B z8qwwU4U*NgeWf0jaVv`~2-5zj4ay{)i^Ogxddni=xiswZeUG!G+w+54t}iL1>G~&W z-HhY?nWp=3{yc$*DnCg%Sg1~{Rb&!j0yS9jc;1VQ1bb)tC>n;oPP@bM))VM~Xpd6| zT1(f*-V_RP?@} z@9+-g``ks9S}RYs#Q6}MQgb@;Xgc`0yL`iwWQ8rU;zFl*d+PdKHYhV5^FL=43p57Ova?n&%v_g0mqn~s zVy@ePOx7ThfFC6Y<80W3Y~T=ccnkg`x095_o@LaV$V=|d$nd6cS~4${1SgV}n5r-V zh}Rq9>tnr-lSrCGb48}>$_fiC@WOH$vYP_}h-K8rpGG&~>j#v+w5Kj9NEBt_<9mwUq z&?^CyJ}x!En?KSMB;m=2jxZBp=r(X5!h=WZP9I^~!O&-qFzuC?-;vJuQ*~u^8K>(m zUm7wfW^|fD@)`gVGOJ-|BCWfdlfd90&SC&?90hy9Ir9R^TM0yjlc|hn*vsb7fF&eR zC-x~ZVK8(#t-GER5`<|dURQP3?mx?~Z#x=MVg)?_gBc}_Dmv%zp1ny~knA=Y?Ef~U z&$DbUV{OBeAJ8;HF(BY1Ezmtqs#Rj11rw~_zS6A@_!)y|*sh(y5l0S$AP*Rlvb`LGNzng!p6VaN_HgUd@>+ zGz*vpQBH~Z4u+P)M`qHxnVjNq3|*7>7$&fRp|8=p`#Hsan4NIqRz>Dt_{cIEfWyV$ zbWC*4aGhc3D>Sa{6*`!e;tLqaEXWXU7_fck09{r}wot>HKRomWY;`Nl8=R>lEN}A-AN!>eiGKCkYCFDOwh@^vr_9I|{t06I$%+$>Fa*Is?G&U5 zN6d#eAq_bgulFxMYJ_0A!u8STKX9wwl0Isj#~iX|0;fK&Iea%UT~T?!<`GIF4;tpV zL7JMxa|CiYs2Q>2204XxByyv(e`3tjJ1SO2P48)(kh?sK65M}VCop9Dz{KZ+^wg2Y z_kCq{eIGd?f-yt{RPq(_gcCy*m4$`$JCmW$JFoV8YJl!72??dgBi`+S45Q(Wbs~M_ z0}aG{qJ^^LVEGQKrtSt#S@A`LxKFOByAh1L2+NPw(LL`qs4ujo7@LJC-aUT*A{!jo5Y0wsXKodkSRcRx-KrotmdYK8Do+z$|Ah2c zrWJi+g~F4&RdD@EJ$5K$eeyu}Ers~M``s=ffVebg8>y%ww7WM0sF5^8yhB zw~83M5X@aOC{c;|6ox*6H&^Wy?U%VPXo^Kww5*^duq4^^*mjQLIm|*Go*w%hgmH>4 zpuq<_Rhs#>7p($nt<@(y-dAl%NH?#gfo14Di&<1B9#>?7@8#^6O|FPBG9E7Suf-P7 zxT0SynQNc;WD$dvlqKQ8I?IadW>{%30UU3>X(IRv8T&!pTgD@Jfo>*0N z0E0vnjtC?1!a5pvF*aSOfUmzyoHVJV&J?+c=c-n52ssXDevg5(;5kBr^9v0}b~aQq=@#3uZnnc``nTDEK?$ zB9W}fj5tIAGS^oFfnuPmoaD!C*qXtkZ&-VwVwNQ{gSIny{K}X;DVyiNR8QrJCBc(X zF4e*Z#cpI7H=HPa2-MVjq_RUwW#d*JzL@0rk=p4KgR*<}{R7PO!_plhfB}@OMMWS- z^Y~){n4|~1XQm2+x!tk%XmZEpw)7RPe5aURsZ^PyMs2}2e$UcRzjHk})1WU5Bm@eaB#Js?gsSTJw0qONJ3jIBh zAb@8F@bGg01>e#&0x&ZF|EX6I<9*@(H5pnhK8N$+d7b-DCuW4o*WFbqHEiN8x}-<` zL+GeV2=&91FtP1j2QnZ@!VQU;4}yxg8h`Hn0+|-uh~2WjSxq7=_Hjr}T za5DcJk*{gu46s!|&uENn2x|Fl`aJGo@U8k7?{G|&ajJL^9}$2F+XH#IJjZsC*t74y za4=hQ=qoLxpTG&vT21!)W2z<&^UCZ?v0-~5FB70?N$eGhXAd}Q7vX}__{dkZ$6#0O z#Yd7?f37zSBTJP%zMzc?{|4bKq4>vbUm?~LRv~s`4# zn*^*+Svyn=s3ib=5A=LlP3FM_M~hWDAurq$Y@Hco@WksP(eX~Dg)mws8g=?{q!LqW+JXRqIgP@EHH6OP z$3}jK_b~-9fhLlme<7s0F(&Z>=E9#%;5^N?VcYK;9<@xJYoh0p01?(H0<{++=kOE! zF&B)Q#Gg5>r?Z4tY5BE!f<(Nq4WWPh`42=+srFN2&ZJ_CluSO6(RL04*kb@VM8b*Y zO3V@%+87^MP3vysw1SUR8V9fJON{rm$}o>V{}#MiVRWh!V^?<{xsaC6THSmD=8#Ym zq3s5)EXMXVCM)FdDHkwXTCpdc9AgvTE(-p^lm8}UFA|iK6VF7G(w?T}&DHgPgbDrz z0x0*pCv8LM~B-o{Et@fRgIBgApf|VI-@1wLeiLQzu1sAB|SL6!zDjm$26cALs z9$``DkUmh(3KlE#st;7(CL#Ptl=9y2^P~S(H zban?a0p~i(Vgc)Fz<>>z-{ntBgnrw;4WT1eV%rH!VLM|5&MjM(Jw5u-xG6FN>{z$rv_iitXbVX7ZjPt(!-) z)8&1E0vm>kCU3DeiYLx5c%$k{3v*pjjHp=aYu%)hPx7UB&h=HpdBL%u~rcCF&1 zEtZffSl?VY+H?guuYx3z?y~~MD(F(i;r@0im0PU<)L1ubwar}%$nU>4yYb|V)i#Eq zm_z@8mr6xtc0l0JH2|CH1)n|Quq!YGKrh2!OqKG{6-ya=7i^oewlA^o9BPlQ2$rO( z;OU zd(8Dor8;aonL@B;ebQHI#NsoL0|{YF)ONN@Q_LI6z*RfG6wuN?aexPmxXcFzSI0mZ zYk8%gc5`B5%qSdxaUh!SJG)bDqRXkR=n z;@=82mIl#@7OS1KP!J!8raSR$!!feonFUjkmp+`c?oYETXDPr0=kfIxY{&!-oED*u zW8s5!X=)NbxL^k!Fp|&Vj`5^yjge!lv?3)h1i?mnNb?PPRJTvEn8XknA&qIaK!&2V%Xfshp62#%OyUc$F^{K z)8hW&jVS`C14#T|F@CUasd@IXR#Nt2 zg2ME-@2Lrrp~MTZ*~V`vCZU*_aW_Bb?)lWE9?!F+vf8r`66EL0D4yeNU@eB;r@#4L zx><=S4`T#T@2OP8BwoZ6&!T)KqtXlS9}QbE3j!5_;Y2j3F!9Xt z@ZZa=O0vAOH-`Fxq79i|FhPNrgdky3NenETiSw-RG@az#kRU@Dm zlWP4i{pJw3_7kndl)%s%FkPf&r_aXZq|U*)t2FmUPFYeX)M@=>t)lWMI^FNJ?tP%F z{>zH}zgg$&zKyjmy7SJA-WvYJvBjRGjtJiRWeg^8#jiB@#!0w^4p;fP?bJq5Jxh9AiT zSN2#W0&`+qkr@DEcw~GH#neSymhD=2_)quTVMn}6JX1A+^|Q*00<@)1oywpN_)hZY zrYhM@?%*d%w#a z(Y~srGdvCMyyM%_1PY^DPsTJhIF|K+TXby_>zc_BxV1a!1AG zgKK2VF{52h*hgAbw#;wL0*^^FW2@~;=7YNzkSh0!KO92*i4l$P(GhK3P zRKFr=6FdCkIHwfIUb`S5VLk)8KT)(IVJ;FQ6`3^v0{BdU8#VTLKRXkM&7lNf7ASwE zvco|G*TBoe_m1(101E*548}!A4y>30paKsZWN@5U^27zS*e|Q8_a8VwE))yas;z82 z=82mqsukp-i5QKg9fS#vto%Y%7pL{?Y<={B+JC#nDlqv12TN@f0aZX3Kc%_1-eOn7 z1RL>WB8@xwDR|Kfz(dMk2EP6nw34CV+p<5V{XSg(ZC|%mLchX5bQMJpy$kY?L>)Y$ z%-9DPG-nY*m6-qRK=!B;Uquq><0G9g0c!`+Q-c@`BAXTv`z}A^9Hzvesk@is<(oy$ zr@hx<9C300cEFN2=)7AMc1&$v5N-j5j>P!Av)0M7ud5$zP2ZU1F; zaC*xPjBVY7VTpj>lp8ZAYLxV*OuzUom7JSs?Q9UY8N*wVc4_^yaTP z#wL;}of8=E%Fd*vHcoFarWm`1{adV2`nc7rSU=`P5f%5h6rGHMUYVi`Wyr?mg@_lIb}Yztd-HO01}ZVQF8^Y!3DlBLEL{B z4Ri*Ket^+rei&H@hF}IQqcJ6B5JK(ZqAl=QgxAOE9usZ-3`_$R;1=`7q4>5ptN-HJ z`ePRCRF9LQ6ag+1IN?{+Tm#1|s4Jhhlb#wy8e`c9VG_1BJq?MzD^ChLhm%bs^k2K{ zHZLA$CPzx2RQS5^p?>sfbjQJ;>%_8T} zV&CZjPlM2l(9wljQe+B|&VCZ$U+~%07Bq;));@>=gqJf(`!Q>>5 z5{6mud46|dHGPL?!DI=qmVQf4kWb}CIPJcudR|`SI9v~KInKOSvU?^0qlFhGMkig*rW*dl7f%+;ml)waT{}o=PAv;zJ zQ5H}k07(jsTnTbYyxiQMnB_2>$r+PcR%W#sIBSY zUl#TDslN1isds+IjjeCWwmr@~YW(I6jowUG-+yYoQTg9T%FEukJ`<{JTYM0$)uL71 zG+A3j55I7QaPnWmOI!c-AM<`GkzX5-gD^KSZ^oKOd~8*3W<8fH`b4g8`ts$~ zu@^p%Qcs>SNw2V)I`TS^HiKX8A>VkUQ!Egb^qmj<UBP*}J9h@a+V%c@s!{AI5@t8vv%dOySNIW=@qF>o-!CvOYV635e=pwp zYlUMMK@l=PeUa5?odTeZm6)&hN8DcTntRHIPq*djN0wzhWEn15c9_jSFi;3!>cjP> z3@HvbYn!aaxb6_#G>ZFSnbR|>y52LrgL(kFKeBr7 zKwZu6&hmd)N2b0!$ccO6pw_xDZ9pe1s@ysCWlp)SResO?dGn)>nvFJS)>!EN95H2T zIhzoK*6 zQ0DeGM<3-Kuqn8DS=2LO{qBD6y?-8f{#p#%DxR$^h}6yWxLS6x%(TJuPu|o7%Z1ME z;r(i{2CfE>TYpRf00000003zL00000001rn00000004La)RQ?+!$1&)9nNt5 z3q(VQs3=e>I!fxu#10^c9ob0%4L=!)`!?_M#%68VAxMb?BRy~S&Cbl5*-erp$(-Xj zi^8XV?=P5DOKw-%+{*VMY>4GNrfq7(H4K%0=k>Ms@A4D7I)CD+MgGS$+Qi!DU&X%( z>pDZNWnoFU7njwt&p(TQ5zt0$Vw%F8IO-B_pMMeu*2JSD>x(nsK|VBRdr_&C_r%$; z>Ca{h8(+?#DH+R)ceAS~0Gt>oDjx&m`PIyl@D z+GjyLa2hCq<$vaW3xySo+v2s^uhX7HevZ#f{?AZmOr?;{S9!j4#bW$I+WH8Oi2l zbqXQIQ&-1}yl^<`+me9i_2CxQ@TYpXh00000003zL00000001xp00000004La)RVbR!$1&*9nNsQ z1)`xtR8*4s4J5nWRuu3@0`JFl;Of0v!u)qfGbsFVDUX|##8{a=N@ zN!R5=tz{|v?uBKwZ2xEBFH*Qso0z8bP8fBGxBZ`lp=-k7k@bZS`XC#ev%8>F%6H=I zSaPA%Maus4WcNi+@R6Ms-P_ght1LD&|r%?78zoL8|Ug|&Mz73A4l8b`;`n=u*5 z=4EvXA;wZy$BVphIO^M?0R^v`0{Q&NjeR&2D)E@YxPJqV8bK#Bmqwpkh zdzH3m(6`&oQ<~w8#+sv~FqXP@Cmd^@(G0Jyj)qsWzJEGa2AGNdm&o^n9T}3X%%k#b zRigFaO#Smc*4HmvX39s!#^!Hs8ah>TH+2BG3>;M1& diff --git a/cpld/db/RAM2E.sgdiff.cdb b/cpld/db/RAM2E.sgdiff.cdb index 4e55e88b93f36ec8c52da4d838b7af44002df4d6..bbff58d90943d6326d7b67b73b4d15b5e791dc90 100755 GIT binary patch literal 17304 zcmeHthf`Bg(>FzmQUWT{n@AH70qKE&AYcO(kq#mt(tA$`y(m=_qy_{9LFq_O=v4_l zBE1F(5JF2J?elrw_n-J?-g{@x-gD2My*oQ+e|L9hIcaEUez4H~8~y*?cmL5B&VK%` z-d-XKO1Ey`QV_Wt=<4AlqNt=Oa$7}SK~Y}uwt|v~sk2{@tE02X69-3k5d{&`2O{Rq zuSD)Sxd!~(S@VnTpBNgN$2$KdG_M%{hknxg9~wft3t{@?sXTq!qGuX*CH=bDhwGn3 z9zUo`eDG9zTgoWY@7vp}T+ZpKp944u($@#SBua^ZpXrC8_1;PunTBEaR!P`AC+F${ z+fi-jQRf>ul9^7<&W$%@W@k5&|8u!FT2XP^;YQYL%sH|19M3Ld!&vPj5)yx8o$*i< z9)w<7=e2y@F6_%R!9vT>>A`&aSqOj85a&JS2U_X`)s4`;!NJ=eE)}1*aOzH*-@7W^ zKvE%^T8rIkA@#bX%%%Z;HXnI9uPeEGK6Ys#9r1p)SHl$fBiO*h)?Bj*pQ3y6#6JGi zfN*&NaX@LP?f3UG@upuR#zYsg&8}+4-iO~LU)mK3`Cxc(gUT?)@~Eua z+u45UjQ z)14n>K4he}%>wVw1ez8|dYM^n84auJQTyPbTcE0?d&0%VXZ5zW>Q!Qthn}m-%QC>g zn~HA*oZBxtYRxtFTnW2t=)_gn3YV?M^~!%(QI5)(=^9>~h(91}<&*0OAAZcJk?z0T zzqfoFLMwe)iGlLCpt!+GuSKH#Ub$O&@J-tB*CfQ$6H7hooaLKq0_&|3?xBGf%H0Sy zGZ(@iUR|YpbK_a_RbJm>F0OD$cENut=2A3c(*+F__4$_Tnf35g`}T-Armh$1aSiYw zcRuiGpvFOKk4hfD1)Bx;hMZo1(DcJ?@SR)=3IaO({K&Lom1nK-)odkSe~4cJ_oo-i z$8BFCQ62v)pt>(5G&6qA{l;dfc=sD%-VLKEjb9vg&P%9DIf~r(*vva! z>kgv{n5c1oYO1%S1rela*?S;KCOmJVUoQ0Gk9fPfJr?kNaZb*#VWefezkV&!i~pKR z)Z@TMYzm4M*9x5Sqj=CgVSY0{K*er%;E&azF{^7IwC8hgj^$lbZyk{Ebz459YnS~z zESn0|!vPD~bOcZJ91!!rqyI4XN_a$p9ItwX6Tv?O(RCXq{m_$ociF~~*gY$j<~BP0 zN1~P@mdi0NQ4A|C1_q04d2AZV657e^PuV$J1|j$Jyz&7-wgRgAck{1o?|6k?JzaVG z#zvJ7SeOhxd~>jzqV^>#@Xx}rp$FixQWZa&)@n)D_;Oc9mnAgY@L`%W=;KxJLi9Zg2vC89Cb`8cLfW zzOY^wpOP*yZA$?*p_m%3eu&rilz1WZi7OrG6Wh!ix+W_nmp~QN520oU^xY^u>ZTzO z87n^7TxG5GN+V{otF0y1r77&YOn`xvdRVDo@Y5#X=ap}Lx8^(f{|Ouu!wq_8Va|cG zJ25Vmg4)q#x2?K}O|PT5$M`#2!J`fYy0kA9?Acp--Gp#gQPe9HB4L|(25h~_6N_Pa zBXtNKiTCkTtqPn_0?!9L^GPXLDLmg8PGdRb2I6(HI!wFlu{c-j?H9S$RbN1FNt_O| z8LW}4fhl)giXU%fOb!11O|GoB3)$LyW0AIIakt6woK-X(!$N0Rw~hAwX9;guocN^t z=%Pov@2;n;SbQ8k3<-b*ahF(se#{IiRCekTjI5N?;{_7o=_UuV104>;A)Od zQ0kU%n^!1U%uv}rQEo~u?NjRJWmF1dT9Z$VvHU^4XIspxfXIB0KXS)!rr7U-ifM_7CFFxk-m1o=hvygGRRMu z*X%<&Uf}yW?_RCj(07HMrnHKtzdyzvh$##yajo+8gWF`1D&t1uM%unMzt`CW9sKyY zi(=7&lRjP;zdM$i+)1D_;vk9#rK%^jwPDzLuif{^?fv=sjjGZ6#*^~I3a*21|CCKD ze+c?{^pjOQ+ckLQlOE{)3@5;|_hU28e|>Lex%>7z+7$JH;AVcz!&Ln0wL8=bVrIJJI{W8d}{C-ni%$AOQC}{fjtoYDf(f=dzYIe z<>a$|mJx@^mahws;UR;7UwX(mOXThT-Yl;NuS&41wR8B|g70iBtR4?eU+-~!cFqSd z4$^F^OtXJFlTS>1RNXni6p(`|Te$r;XKXT|Q`sybLSg)lwY3RM=C8}m)^Yu&q{dh3 zvAA*fpuHLF%gfKsLYy+YJT(`)I9N19CXGE1imeip>ksz$kNmGhS(@+{K2{b_FIE0h zx$1REz!GPx-+}%%$&FU9r0IDSWTLO8IBnz4rmXtjeE?_L?f=wi(GGz$2^u~yl-3i`Nzl+zwp&@P5kygx*;PLPhf*apP9S&B zN3N7l@|JrMIJUpA-{J&%s*1dhO15Qti-_zHLI`47Jz-S}@qO&N)v`+THlMUzyj8Dy zjWzURWJJckW0I4_{kTu=*CWy8n=JO2<*dCED;c+9y@D5Dyj1L(-l+2qUzKRHz|?XT z$wp7Ju2?AhhQ&a}iAs&AS8Bj$#?;VL{~!GFEzTnIutr6->nSs7YoZl}27wxORnwmf zHKvrOLKyG_ZBHX|>x{x*p_x>H9dG&;+wrn*ZG~l)S+cPm89@&M1%0CS599pxs$$N3 z9>W^`HarppVDu~N8($m+R!(m~Cfvx{t|!(%rmtsOSF)X#PM=|AeyHqbzCfSctCS($ zp;O(?d~v1m1xuhNU0Jv4l?I(a$~KQ~=@H4jx7O?A2F0aR!4y95T~F0;^hQ|r&n~Tu zn~zn#J#>?Pky|;!)^*f;ID-jO#lP|MehW;K?{Xc=Ek8{E8MlZ^=cwL4x%S1ju>WH{ zWYa%tV(WHL;?d72cF0FyQxs!1{BoM-;%^R?+M>tBJ=Y(!cyd=%rwcpr z!l0!pVJ2JXdep!{m(kbDJA9k4jH%~ityL>Ix#uLo`D8mAr891JkvNV?ja0mA?;oWZ zW!AmKJ0?w^Dc&#@c54RTw>cs0L7Ebd8o8!6*&%N*>qk_%pBk6uF7G7}&TUlSfxGX~Tb z9+{p*_4j35+Ix|l&zIp|dGa+%ObNSEd6jQ0g>US7N$u_6$2X^VKM200SHc(ocAtHb z5X(^Ws5UGSze!CUTcx#-%xI`humu0KOS~e}@pxRaUN-ZJw0#IWH{D-ZAYE!g zz-$fNHV^%g-Dcde8>T`Ef!&$cc__vTK7Yh?v;tl@{hav5u)aWSGxip9teC?CKT9Te zz2>)~=-)mhL*j#SzUt@OJaS*Wdiw*}{F__uy1ijDr2jjX4CQek(wS~oJ<%*(eF^1=nKZFq(5mJhh~ik*%x942nRQSn`Pb6}x#Xufx?;z~m# zw}Bz}F?goq)pIwzW`5>2?bCsR@DkoQqDj>3_M%iULO}>*R+aE<6TTK=2)mX+p#Sih zck;(=&J)Gi-l`V)iOta{otkZp%DBNmR+*hgAKVGf$BOmL5 zQa$|iZafLjG4yqq9n#iL&ebcawQ@-nFv->X=fkwwIqt+e1`4dxaqq5unbzRi9CK_i zZuU_zUP&z1TgV4k-H+)g5!;tg(n~9!NeYXipVp{7N!;1rq}y=8W5zPzwX{3E8;2a- zOXqhk^fSHfdn7ym=DSI-epSsV4SK}q%gbsyQ|nUel^3^m1VzY-I=fZ$^iEYto0gfJ zP#8~i>?=`>EDulpQ**ZaqD@zeZ3YwuZkWHBOi%XMx<6H=Zk+r&upssNx5vo+$=+n) zs_{oz(+ulvg2WNSfPf7A ze4(-YHwwYFJ(ICw3BT_yzzIi*GXk+YYGK9mPRVJ(e9AZCLaZm7ML7fCOr`g4KX|Uv zTc6b;XDB$}c<;{jd%^SWKU(WwM}r+xyT{HAC|kZXB9lhXRT6CsJ$pJMr%I42Gb+1j zso3KoYfp}2sn;5z&=nicqjxVeBV=(c;ffhx%~dOdww6|7N-6U|6j+C8Uo`lAJ72#U zsNp=MH0f5*t3!W%na7bJ12)27DnS&Ju*A!2P~f1YENuCq1za@C^Taz{bx`p|Jb(|L z-=o}!^k4Mrg+a@my$YO&MKvy0=-tC_Y1|t*V4Ag*^y$icPEy@Qu!4b~URexia|;w) z$|q!Zs49}2^=Gg}8PDx(L~sb2GkkB_RK13FZ?x$#)I~2VB7WQ7-hj+9(yN z+bNii9_lY!n=kHL&AH?Waq%h zEr~4M>?ScX;35BBDv)&InX45a9I2P>+wd_15+^0@iA@#|2A(G5Gp1C_D+<# zf11R4nM<<~nE{7P;uwMaxvM6{n^-*y)8JFgO_LWY=LwQuFBb#SEuZXFhZx?Qj=Og0 zH5~`aNmIEjn=$tJoZKuS;{Wn0|* z^IuRsTQ18*6KU8DQW$Z5>-RnD{mIV4!?_Q=Ad8fQ*A+7)#sF* zDeXh8u)h(^obj)}v-E}X!dgHxtCSANt7mFaSW5H@WDyg?eF{qxjuqY*8O|s`3g+ z>?%d>54C+2>ECXrJw({T)dNSNUatO>Bi$Ypy65q(f4r(3G1#m($+QKx+!c3XRd_5) z`MLwNKi9%YXU|!jVEISQdE-pCmgFGf+fY_tb^U0+v_ByN67EDG4uSPy)AhTBuGIUy z0kvCUij@)z9=s3DWF&-j%%HtFXPfU% z6f17dC7Z@I(|s}hO%K~22Z4gZak)R8s6{@%@_7IWXD6xE4`W=4p~~7qIm%K>KM777 z{9=916qoM-T}JWJV}?G^pE~xuA_>)VJHUMu1M!X8(U^J0J9q2X=HIc1t$KKZi^rp^ zJs3RvxCnb;EBq1cgdF;Hy?l$8{( za_t>rhw`y=agrJNmd9%5-*cWF;#5(k;Vmp>YMIv(64bi8os+-nOd zoO|s3^=I|U>XG2XT!`!~I+ z_*eVVGzL7As`3235E}_f@*hAup=!>XwU7B}4nYm0M58oA*^ z>iv{`FV1oc^=1E8rY{5mI(|ei+ui5TgC-2Uq+}9I3_wXyWvR#X#{< z)M7Sf?9;JkE8Q4{6kc8h)g#Sy`kH3XDqEYno!fC zn>BtaKUpC{P%BgE{q|XYF9}I;!vo9u2V|}lL-YQiX&db?Yxq{cgsr>x`W_{lzW7Q4 zC>KGS>d=y!r6xklzyGLknB&dOVnpqA!CH$I2Mop};|*R2ra}`7%e(o}5Wrp6%mLY;l*3qHOV>ch(M{;{)A6|Mw z;cfxx@92IR3KMz&Zcj0=F}TQ(XIHcfdfv;FbEtFPE}UT1KV0*zT9lIg77btsT@Ox4 zT>1uVhcX2ve{_J@>z%tRryE9Ol=FvHxr?N=o7_LQ_Ywi@Gg;*YiXk7ft~gk#ePfB?(0+G@XO>Ez z4+xe%6ZVDAa?ra&qEuEM9tzWK%Ud+0Rmz^3kjnVB-UaV_h)@P}p}eTCejOo3L!gg` zXqxO{`Og{JV#CRvJy80HO!5JIxUkm{%FpLg-hEfil#SwGGL0}pv?_?uTI=1)|e z01c6#rC&K*=qF@E>X;^7)=((v`v_!`CJux=%d&qeN+w^0e5-!&&t=2L zp$|&6K<7cyCQG0M8%-e}IrUwfD^T78KQqJs9oLnNZ?bo)5$f{Mb+{y!>D3Iq9m*`F24;Hv%bLXQP~Yn5<$gC(#8 zk7#)it?oI$Bd8}l;)7vTiQ{b0!BHaNFU1V`?m_q}Y`jzZFU&-0G`m~i6nQd>i+7=8 zBPcwenFBXJ8~XQe9{J}+!PbLHF$3A5oT~Fn^ofY(zrw@q?{M6vhr7?GR1E;m6W*^( zTy;pE8l?z_1j3?3Jsj=5t!a}2@Cg;s7IWjBV|<8+f{%p! zQ=zroTQ}lt8UC@%NO&0>@@O2^Xaq`2o?xtiwx|yHt2aoa>GgCAj|AsOxwW))TJV53CBENsw3>AMD%k+?Z1*URHgnWB#xjU zk|676&;I&vuM%XFRUz8(?kg+&q!4CUWopCzuIIc?Xk`&NDD)e z^p6keZG;lj8mEi0Y7fr~0$F~-HU{Wkk0W$ZoVY~OoeFjam_2HWUrQy>WkJyhWn zLN}6q<2km%+oK^3WKCNr@&%b|MAUiElYRpx%*oI{ED|I_A*(HE4x|ZJo9jljREbhj z9@tlB*T^4;X;%-B`AqYr;Bms{KcUcQ9Eo1uB&vvMFi>V2AgaBIHs^wOCLtno?ahvC z*X$=cDP!Y|MW(194_`>8AC{`O*%F zH}Y%91PAN*?hp=yK1uU-MAiv`y@Np2-T(lep1&wSTx6JV(a)*%6xqMXcFq!_!T~iG zH_>G5O?b?Dz%v)`buh~q`|q%<3N7i_+tknLkRZj_*Hw>Moa66OhqEh^pjmY$)8HK2 z6M4L2go*sI7XLspwdv4u!sS?7L9cv}liN`q2vB(*6`cE?2}|d{%m4Wt*YDxVaqb6C z@$(dcpHj_EIj{FppTh( z4>Lh1dJxb$xgIniHI{86cLi_g1}6j}bnNXBSb8e-v%S63(#LE@5Eq)Z6p>&BJ)p!QFngd-t3dHn5*t-_6z1u%$SXgn>|A^i1B`vmzDMKMO^Z1cA1x;{>~GC*S)zQ zsr)ZxTD!pn+wW?(4_k!DNR^=gMW~#_`nz8vwod5YNEiDZCdb{*S0x0UJzQ`17EJzP5|%9nJ7+`kXM^rF+%7)mXKX+Ay;8#5)Yp zVul4T^6+H!Ts!NeTdV%rKfW~Qj`d$4p#+>K1WglE^5 zqujZ|9s>#%yVdDYlmDirmpZ=2YLnt|7Sk-&gA-@d(RKuO*b3ZH_7ruME3AWwdaolw z!{8*h;%;?tF*kxmXG!52kp+=srNO@Bi%IDa+Oeswxt+7EOTDs%XL1m38NKVdynUO9 zh`_b}8s7{pShw0V+v-Dy%m+SDU)sS;CbOTc1`2PpKC3Ri)M3ZF7Iuc_{~i?x?U;Az zumQx=M!_eG!9Xe2l0%KW7z{Zc4*Gl&b}eX9OZZa9o3&6;Jv8QUecXMWb^uj9wE5H1L$A~EmA3|01=U5mnxOw34fB!CNSU{vf=l3vRgJ5M_$wZ7e~qQs(FgIZxm2iu*q4H4{-~Z@uOPv?F z#B(&!?DKNwd;X*)OZ-#%7(Os| zK$dg+6 zT%@?Zg%={+Wo)!Y&)CNs5@B^ncU?N}WT#IrV3JO!D8fze=R&i7=a(eA0m|ylg@`L3 zQyT5^bR-LL*c2C*{$bK|*ic(YL*$IR<5r_^;bWdkynKZHxIN}>b>Nt}cWN>ERsfV- zdsjXJ^&X|kk}~ewoQda8J9oLRF0@^yQa%<8Jhl}?dMv6M5OKMeIzoQ*L}a(VX1w9jK zE)k)BL;E21z1)@lv3I~j8;)&e`{8;Sr`cBh!px_^zns!t=$vNZ`h}CCBk~e4z@>QE z5J2OYy^C&E&%dd)P8K$6(EpaxEZt?W)-KsyHZ~qp{9v*)cjdfP^UCh> zu(5Fl`r@D0x7w??j>(Uc1mPD6 ztuG2$sR^A_jzVmhA|DJDa&ANaHGFKy*O3e9E~!HzNEZ<#;^BIis>rX)iMuYx zn9g(e`-45+ZwJUHCWCcbPU#8gKX{RT;=zE(2rvD6mz{g_zzx#5s?$nn9XN9isi#Lh z4JFj_-^K%zlWePr4Cr7?$O4Rw$q*F7G@9kjn~z>}_91W(ZGnaetpcxu`yW+Ur#c{i zdz6SrM6cZ#m+P9p=m?iAOoV@)1`~?h_ihVrp74KitX)tHNtfzIE;m?95*kbp2QGch zVYN;+jS1*I1JC)hsOHS9;)OqwP5KzM4YcJiP;qb#-OE_VJhy|#hjieG&JA#PQ!UbW7A<(~)IDaa?`(95(dI_a<|nV{kUJXHs5?*kh0j5&jVvZJ2wXXVe)Imh`BHg zgJ^N)iO&uC`L})ScV4zoKL%|QkhcXP6YA^%Aumo6e+2u{At!Q#4+frVu8u(71|O2> zmu9bOZws)sV|7rK|DL{Q4O|&dj(`n%XIi=tNRz7vp^_Ge+*yCaDV&BeGhu3;;SW5q zZ1e07Mgo}jk(hFI_(o&*d(E$0W#PVJq7A!XDSHq%tYZUhPCCJF7J3Z__&x%$AfnM?M#|oz?%I%RVs> zA*Y3aS(vlAYe0YP^D66kHYFo{e{3rCR;85aS!vRos)_@4e!#yIpWo4j(tK(#|Hk{w z2ZD$}B}JEE%Z~Eay&Ywj7(2q$Rh#LxUxp4EYjiWYE^oga>tztjk=kQ6ZnL2~yYI;5 zY;v_nO*FNTyK3I;ceK{6e`SMR!)qoYqHF=T;>e9!jnMH^u+ZUH+7Bk{V>gqkw9+f3 z(u2%HwzuCW{p)VyoJ$T`4ut5(+-s|{&Ba=4QmnZM*`I$amWLY*JvvvV?>{nVf-@P< z*zaAirFw0vcO)WDKA=V@c<7fX^(W&WDdLp0NTf=oWt+Oj2Pks}{M55j@2fmy#hRxL zXwA9WEBV7DvcJxV!I)>8B0kD+Fq2(SMt{cf1;o{^G>7QNdl4=t{6Q)+sqbYYbn2 zbT8i8ht~9-81~D(9mJ&1>gt&-mbZ^)b${c=>+^oDmmJ>o#DZKJkNO-qsCryMYweph z>y72736fIhe}xO_&fn1nJC9+fy;S4XbBAEty*7y5&8do7H1tkUL5bxP6QE7uTWp@HDphKFiw!fSmc9{EpD&5s5 z@tRrQe|bx1K}I}C^WHcrNV98hug9V+tZ`2B;9F_QhIyUn9JI~0@^}J#Mv)E$d<*;Q zxp}FrAfXliU^gh&t$Hs=V9TW20xqP1cWW|pP?{J&O#8Xu_2i#+CSh%IoWCbqWOh|J zgYqVtICEUl$k0Eu>e?&exF35C?!16r5a01x`;2cEGBLf5SYDtz(#5cQYR)^KA~P|| z)NGOvzQ$W1I#s*))Q2!=ZNZ22FJylRYY<_g zO1#l}i4Lm_#CKOkp9?S&l@_M)2=7;KsE)cY1gJ2>SLNkWoxGzOG<=L|SNfdyFZDua zuxuAqd>Jfnn4a;sV<#yR%hBaZ&7=uNG;QtPZ^1L|Ui(sC#+8!UDH`pvraOcGqH9uf zA8Or;(7P59qhtAZB8NAS{gzxlWO!Ks9Se%)smUlS;J&cOW4u_<>54W!P#+yRa7FXn z3X%{&bY0MN3UXY?Ph?Mh&oZOU3+yQqR1AqX(R4asMVOv9=ov_sz{)bm%LIoHToYLL z5AMgT$;G^Dty)qF;aXw^c_%z7Y!|H9aw3FwD3aO*|E{6;(pS)X%UhW)N}5gqhkh?+ zMKk}gu_E3Ya{;{*ZffM@hid*~*HlvronU9V4(T0b(Xpvnql!++d5|p(V4jN2MQwrU zF8li=?Gn9ziU12(3&%yt|Ayj!x^We-!h)p!qh|Bk!$M)x2qhzWHOzC%x)OG1T$ zpmiZ)b~fAP$>B4D4)3d?8GPFK1HN;g=&}KgRi#2YzK64vdqWII?rBYmJ160)Ky&UJ zkOjz{zo1kV@M855#C0Z(se~LdhC>M7_)cfSG`M~>Rx0H{&y&6CWC9&;RV`MGno8eh z7@VrKo0s1{QZV|MX;?j?y9$=*27FX+)|Lz%$BQYP1YW?dg;>}hUzg)b9u}K}Q7-2S z)Pom8_>@l}1%k-@qv*<&UnV6U#u|Ad6n@Ara`hr0-?Y>L&4L8{1NfmCmoQv=#<8u> zTF$ZR=|P;|Ne)Ofwc!sRF4U7&F28EK&nQ?iH?|Dh`6J2 zJ959}&aYp@)TcQ9)$Hb5vKQ>(s;iI3^s4i0_(K_Wq0$7Sz1DiZWz`Rz=1ipA%Y-zWee%XMXs~7uquFWPnPHvAc*dvy0u&8CiyTXI}s{tkV09 z0}NopODO0>)22v{8DQU07|*R~HsPq1Q^4}8ZPTyy4+w|3$*7li6Uc|mt2eL3&+LHL z5;_a`fs~iXa7!2KoJrvR_|Dmd=W&qexrZ%*3CAr}bNy$~*X~okRBWel#Hzn{cYV_m zLym0xirP@7&*4EBnrHb)3uxxOq0Vp|bSp}ji6A6?0Hd$MgNj_XeU|)QUZkYaqWzyK zENRiE9sfi<>z!i{{7bRcMOr(od4AU_5R7~sf!#hN*&SS5n)>P8INv7D4hvfIrz`iy zf#bdbJ`(TDBIP+&Og6SNzjElh4RDPp!_*n}be5(JkMb=QVp=NE9k$~iU2kVliax9N)F}gS5#|DIN z)hfX-pT@fj^4CW~$v2l#`iJS-Fy5hk1?$Bu%7aJ@I=38qKT)?;)-;IGd#}g;J*(XM zdhil`r^_#HU24LzX7#Eb+&gCUOFC@n#>85X{f9EW1^#QG`Xi2EUSLh_QI!_3o__;* zpmhF0I>zOAyKHzFh?b6l3Lg-QQLmnYD3=aC>SDr5?-l@qb->pSPBfG zY-NJC821@D^BdH@hAw==T|_IRUtjwNVW;pO%g8niyj^ggAwN7=*T4;{X~RHhx~{g@ zsZ^jW_K&pGvV8t}B-5F84{SS|pf~}DV8vab2qk+vB24;#c;#Gn)bBIeJn?Qc0eUf_ z?h4`gC%tz3x9v>!m05_^%}y8bG6@pFN}TFhP;)>C zq#AvL^3TEoV;>F!qYKi09dj27!+0S+zFk#$>t{0V&;;Zm_|v+XwkeykAF#;SrD{G|#}y;`(S z-zbSk{Obw;ZIxOtTRJva3Lcjk-c&B_sTV$=8 z7wleMiU_sA=$>&cziA6%1+z;=EodyaJ!P;@P4Eur%yuUl3mz;qM`NEY6MtNSPi3I= zUNM!P!nUY*h7gmovVkn-MKf@QnQb_sbrQMbBHjNjB99mZy?Sgh`6MJ?IS;iGO}3G^?B5WKKo4_|k4A-8M=Y7Q-CNb<<~E zYynF!q0rOrGDPz$HD*iiE;YN6%&ea>A%l2h$LP9#G3G$H@t zE0+leFiqe)tB^OYD-S;}LAh`edrUm#}=K1x*?3v)ehP=e)cSV;jBk^af|I6#*Q{<+ZpKx(au#GO1;cE#yk zSilzlR^Ch!=`y58w&|iT(0JFP$oVP%w1(d-vdl9lL|$-Vk0>08XFDPJ9s%sebE#1&j>6?%JeT^ldZDHnJUB7GWw^XH(yQZD>)eAJiU;UW>X? zBdY5%f_3f_Gt_u_A=SuISsVW}GZNtu3u5vK^%PhGv5;IjK)fseYKXyE%OG|eaO3zv z_+KkZC{4+(0$DQB#1fr&aF%~&D^9Sf4*fc!B=#6;w@higK$Tuz1pLJq68vfLUiy5` z<`N*rJTZmA_?S_~E$+p4JAsQCr)EV1Q%qvK*`Hnhn{yfXXjQ4yuT4B14_jbThhHe* z-g;VXq%CdWcluD?uy74(xs(vw{uQ1O#D9EFcM`qWag2IU2-kL@k zK4h%j<`^5A2=VjR30mOxM&@}s0d~c zoY9ftHxH-x4w@?XJj!e2LbvJ_%6G%%+A+ziQ#J9V^_0#B8hu`0f|1|pbPwrB{Ri5b zj)(x8i!dI>&(nwAOoiD@9_zDBb0QGF)*&_hjt-C__vu4+A*&DF_o=?U_qrEvWI`{F zp{+*ARz<7ZP_}O|ZIsJ!USLVjnQ?PgCfHl&#zG&xcb3&_aU|9hUZ}IWR6ZPg_Huw8 z6Ka1qQr2Ji)Vz0Os#yoJ-)?qr5l#Nx_j081_RnK&65wr>ka;OlWOVba@c0j}c@^Rf z%+&u##-jVcV>vV#)_8bw8gaealwIGtOG1!Ls_M+h6^)bhS)D|XMgCmn;NFeM#h!yX z)xzxTd3}zE3XA4#^xA9Tj#CsDoqcWxwD(_J&a4CDR@;r2*%m|>vRR-l5*?1ZM0jN! z3`gRB(_i5I3w^yLe<;vU-9(8BT@B&Mv{G9#?|AV;%p?5DYJIv^EA$msRo|s5E4yvq zt(V+77k*4+}U6s3PMPMRz;eWTK3n z{2S2ir$fbL8rR(z-{y;?ehVCZSj09uyul8oC%?$g`w-!H9V0R@)N+QqvEbnZKC%{S zg<{LMmh@i6@akfoj|sdZ6ZHn=hB1^4N)owkfoJ03@k?PpVX*W%_a_ri>fv|K;6|8! zL7Z@^dTVxEOf(BA{`BAq4@;HWt1A;!$^M?=Yp7`g)nEyk$+xQX!18oRYRU`$wwqeQNj(_SiA+c{llZIYU#RL&nZ|tqI%AY^-b{PwX>Wnh z+!l=ciXPZN+8q))LlLOr`or`W=_4;q2opGr5Q3#N1H!-6sv(@n8nha3I^MwUZ}kXf zq;hpMP}h~>cjXs*X2^M1yoU{hmrMjzq|IhEGl`AHo}Gw1>jpmsP373m75K#0}#%NaTk)Hq5PsECO1_RdHJOaj;(zF9V7SFG}2);uOsH47_%SQ38{7slKSexp@HbKDi z^%HSLZ!FF|N*uJkoq_UGv@B80A3*MzL)GLO3M8TA!~9rJ=72iOaqdOp*=%k0-aL$% z7f)7*pw9~^6@L1zo!vM>Cpo?XZ0NItc$oU)D=Gve4AZN?JD#`5@0p)z_sIxpPyWN#LH2`yib^5A1B{%73v?nyeRZtgwmMq8%!`+OyG1X zJ)QUFaXc$KfP|4qdbpW2bU*`wP2*@0j1FSS-^1eD=|YWM($^%Z@Fz2Al_72I>o`_?2z}kMVa~xAV%!mU_4-Kf<&Xys z9I;LbP6>C|8C3zj+~RqF(aoU&apCMuYhSpy{qu(Vhg?JEH|!M%p&8)uWzT=@-G_lg zC@!?^;k|o#H|#UO_YS#YVBAC8Y>S&iC~^C2u&wXn|84v~8yrvp|QJH9H zsMHYJSThr&t512LC3(+M~6hqKA_8@7E zt44P(>RzIqmXCCcuh1>-F0Qmn|Sma|ME^g{?09Vjh+Vp(1j&B@z-7 zI21i0yD>2V^eH!YmWu?G%U#dHpRSyMPrzQz|G^t)(Y<`lR9f|$vx4o)qwErqht&qp7_&d}3jm_UM}nV8 zIq1K$0bHUVH{SzgnEufzw&9dxHFCP(J#FohVagWE=~VH%JjsSuRzlgQO$oO~>$e-G z&!bFdAoae^?3onTrF&z{cYjSMMXbN%I`Y$t>in7bZ8j8sdcobr(BVmy=|ktQ;*rfC zIfMh8;YzV;6D)V!z5#hqdYpWlVRs&pxB8ybU6blrd)kCryKiElUNn~oI5njY{Nl5| zT~Z0fl*B*06M7cp#6$mv#&6!)JxV8IMq81-2G{h^KEp9v2FCRBRrtu$clb>>3 zx*CxJBgN&{SS)0j9i5&qM3)-0Dlq>LQL3@eFUTDb9S(fO%lbC6ze-Qaxs){sUxAen zn3ecS|Dg0ymXS2DD$R9*_E3n%-GnUAR_K0*{zFB5DnG3$!@B16qcxBdzx-er+zMbb2?r9CELs{tD{|GcyD@^>_?WtDFH+nZEuTEcb)7W z_hF%R9{HU})4)Q*lOL2g?x)31jcK(LY54Y}fGLMg zxVyRES-B|DvXOYrDN9=43mb_%`x^9?Ad~&`+Smu%m>PkYr;2M8M{a_gwcZ}~)VR(| zBF7g~Cg?WRosy=-?L@HI+}XHVA?ByE-VB!q-KKHqoF`w!l4-@WJDxo7U(**mi{v$L~%mYs%%riz*N{O)spsh`7F z4&FY_9`A2Gl#zTO`S6yypR?QBThcPpw;sq#J(QM`e(+G{ma&7kzq7r=Edx7y*IN&7 z89%>e>hR{))3?sP=QRTtFPxL1q51b8we#|Z;r~J*&HoDnX?Mby7r+|zR21j>t0&h! zyDHxnM8u6hWiotj_!lk$mh87)J9r-Zq&m8Mp;(wHKRx-*?QvUe#k<~#pEw5P5Cke^ zboh(8415V*3mrNotM(6{&SHmcY8^)`TxTpoj(28HbH1FHs%e+@ z7r`_3#6zdJe=a!+s{l47TN(!eRrJ0o-)V-;Gez3rZ#2TuWwc*7V;?-Oc6v(HJ#7nh zak@R2MKL&d*uZve<%o~ zBW4T1Qcg7f=6lb`slB7~Tp83&eSuVlT(3K>8Kd8C!al15LHr%d&nP@&(RCth7qWh@dC(P1F?9gNbUd^0vg@JT5Ix?`pEvm=oO97` zwRPARa~!ejy_{?pLfRwQoyK=U({@5vc0!AGRMD~M!=OB?J#njuG%lr!ud$I+oouMr#H~Yeq+FhDRaaN3}Ib*MoO7mM>(eW?|Wv z{gp?b+PdWe)U`;NKzd1~?b!z2f@Nm@6;skQ{-r~b*u$@v^}7`%0fFX~a=d4^e;u*m zhRAkn1H(JS#z#+_>qXN=%b$LCEq79;9lt?_O&B<9xaKS?uk-E1h&HrI(@n{Rs>=Ua zxGr`=oRS;f50oqQ`(T22&|m8_HFi0=C8iMSJ66oU^&iT00Y_}pIxaM}PEjiOTp{aQ zWFPo9sQK01zkc0msM*{Hiw)I+9y78wRMbGOvTj9nKVQw~L!0xO8K*sC&ej?r%gce3 zp#cHk@7oXiUA^8QOIMP4;vJT>&&i`Mx6lc#-=C7(nWuTKQM3MiPy_k*q*lN!GdCIa zW{xJ<`JY1N*CIec5zixO>rDr~W@Sxs>KBVq35tBEE9NiPm*YTJm|C$lL>gR#jB(HC z{3e|l^Wd_0u4*Bhs>++T5zPLY|e%B1jioe)6D*vk%eW&V~HyJxJSwtQ2=5tdaa_W2U{dyE4Anx|&E zab#hF%w~F!4WfomDc_Lrr>wLE4qpFfg3+nJ7F?RoW(W&=yHPRwJ|tX$KkTD=@`RSM z`JU{?Q&TB5>o6uuHndwb1{us*99}@E z!qm>%kpj)Z$gGbanZsBZ3C2g$!i_q|(~3ZLLPGw|b&C?uF?G_g#Pz|K zWyX5y-cR2I3G0fE^NB0pmHKeUXr;)wET;1En;MqxmZvo=SPnY7Zu3!KQ#GX z+gQEQLxl)oLsc_;nRF-aD|;I43YUZoTd|Io^Mb zxs2mhu%*Dk(ZKJvBFBSxSaT8AP4+LjboRM=epPffZ**w4wA)N9K+&8lq87}P_$RK3 zQghFbqXKxnmqd|bi|i)@hik*=2ef{W`QMG0#g7=%xrmcjm`de^*%y%8;tm@V@iD&^ zc1O>i7u9krtO~7+3XIr1a;q80>z#?fHS8}WmFN^+uyPco6~ zN|NcbmD0T`JIc^F}E{IYrRg!!Zp=uBg$=f2n#-= z&rt=3{DO<`Gov+JcgY1^cWS2XzCkkEjSekFOeBN-IjW}V&)WZeyBRod${6$h#<5{q zlzdpI{Nv5Hh|o&2xdy%A_he%xbzT;Oi`)Q z)33Q0h7mq7h22ze1lK;x{$ea6#U5a*{-YB;skXf;C#Fr|9$cDL4OaAILE9osU-y#=U zc?&={{0*M|{zjOAQl%YtX8W_PnUdvtk|VxJz>K9mZQAC_t+f4}M}3^igV_NS#x>d? zrLPgeyA@u6acd`=o8U+{=%rJKlyqghUU%8Oxw^Y8E-o>Gkw5X2oPJsVJw!>rjT!!9 zgM~sdWW)vjJoq&ATLP3b;L4BQuD$GEo7NBV86xQds=mqDvcYZav+nQ%Zx7Dsm46ds zbV%VBhYKZ+<@bBNBAgKVaw2 zOS;OPUtHWAuL3fw)#@_5(_`-8@7TW^7VzC#0}j%M`l!ZkSeB)e+F91lxQf!yjD**3 zVN<@Z_DuP^)3^AW?`*YkRiwY0ZZvVSGx58Hef#Rlf#Bn^!DU~Un>^#BW|SC>0cHxv z%VTI;ehEAEpicOje3?jvardrVK-dtmRWN#1U$f(|bNox^K}ko8U%I%t6$R4C*C#%* znAY4dfe7)0i#%DszR#hixADlCRSc`a-ct#teCyMfLlqk7E}Ek8z}=_cW$OG%0y`YBx2MJG zZkji9Rg6v>?apA$SYC*8GRx!y*LM2Ym>9V)ioUpbB>f7>lVD=YpHBatBl_JvywG%x z#dm8`edoPB)1sSX--HgkzCV~#Ww-tDipeY4#Cy(bjTnwkr#bW)cRcFpDoUuudNl6d zYRh`vsjS2P5`Ne2=1fT?etn~6xP#{QJJ=BCYNfNhBg?+7SCtqF9N_ggf8w5Q+x0JMwC~8kWQtF)7H^%P1S-8bA`;Lu> ze&ARX`ub&7xlcZc>HhUQTt<^*4tA5R#uO3Gq&7vai{lCp^3~acD8H^Q59Z|DP)-`t zlvclu(+q90x%3b_bv1-bc#lKTbq;so@oju;$ZNm5izs!qHU;mpqXE^FkNIz}?8Y6v zhW!u#mBx1ucj|=*HX^|8(q$zff483lEL5CNs0r1hqc%2ct!}y-Y>S@d05zDIZ6%g8 ztfkWY?jU-DrI!13SVJl}-GvkwvC!5By@>QGKqFSRSJ~1Lp5_`M0A7^J9-g)CM;(dIH@q54btY zT68_Cxpo(0B9nJ?Zz%ZnS2eP1#ssf_a0!+MIld*i%x;Q%mppT<`Q*L2IU>E8F4kG$ zS%nVfYemKx_*`)=J~;j;7)E-mqn-Qx4AFIy$tv=mr#YWV;c=8t50>`4FH z9%b&SE+NjeSD768h4GKo?&OaP>2F0nGHVhkVhk~JWhU_K1YOvUzy8=LfB5?5y)Q2o z?tRJjB5G={%Oz7jPOsf(ckT1U z>1^kYpLd!n=P*HWI$FS+sWI-@cQ4gZ#2v&hRxD@>AT*FB{ynJ~4A{w-=DAvmdHLe` zr)vMFI&4>PE+sPYx8p5q{kBTyf8N#>SM{w~92WGIK1~&!kB`5&RgJqaGZ2z~3p|KH3Dzd?V)hI7w2CPw80=Le@Nm_91A;TV+UOK18~V-EkTNmf}NZq@ri$82a@a^m8 zyvrGZ*4mHx%dykDh7|xqhIZn;?DIS6^}T=HpFplUNJPDS(yg4_yo|A0?g61sDh7Gg zKq2pLzszjXBM)^_rHzxJC>P8Sc7X%p#rJR7^?GxI5Y9~q_}+;{Ui~K9_hDI}?*zx0 zbuB&yd5^+H*Kyy>5DJaiH7GNA+wkW$=GBHp59peGE3}_Wg(qku@j%weZ1!kkCz2%yY?YhSC%%TfNnjR6A>qGwMaMLkmurk`DYPo{{ML z2RSaI89FR8z?{;6{3`a*nI5av(?~4~&;*L@0_ z4rYH>LsII{3W>6wo0HjnnaZt8 zzqS8-WSsp7{=TLD8N*1!5#EuCjJC@*S#iRh4mSewgl!+ zfDUy#j2x7KwJhHQr@loZ%|QymBZgUw@?&M>8Oi+=gX61%r5X?8y~I8cIZ+PVzKPN{ z`Tdoqlm+zX7mNDKop)2_ZV!RwP}4KXVBO_JddQ@}Nrax8%U?(`mFI_7D$Sg!XcCp+ zWFN}WzMdot3{qE1nfrv2`bP(1O9=-&c<+*<^>mc_qYpMJv+V~ktKqE)2n-QM2DiOq zJ0uIrvPIj*7=7oOfE2%>WcY?k8(}^X5@4BVXxkx;yFmSM=(T%k-l2@{RQ=}QKUsdN z6OfDB_6o0c#~Mw|ceLi=GiGdSJa2xuOSMno@FY(TN*?y5CdD4mV(zEs#3ta@Z{+&< z(5Qfeif}m-MOAy5FR8Bp;`iy}VsEEb=#|2*_i@#$wur8xxbtX*QmW&L5YGnt79qL_ zV&(AwTvu(vwoHqJAUvb0sZGGXYms;r3L%ZA^7s>^+62_o-c>dJuMT)4^$0Q*4rRO! z0=RXie4}PC97Ss%lpyTs3(zWe!Ao)S|2W+*J(q_C@;UOJNN-}Xo-B`lOYT-`e4}uI zM&BCzDUlznb)BF#726^n!A)}q?qRQ|pS@wh*gKiWfdB^k{fCn`YGgsWJB+IHPr!l8 zAGF*jj^ZK7DbPEnq7fVct~hGD>{3I08em@d?4+~*+m}K4+w`e`>04)ie!_<+hbpQH z7Y$-sg1oV>4SF)elow_#Nbl)t2H|$Rn{|&o%j+XSQrReL` z&%?)m_zpgcP#P=y2`WnJFnSbOGrx7vajV;BORL*FrY*tBW?tH~3xeSO-DaD-m~F;a z&~|#|mQ+pIHkr^=#1d1y`=2Z* zv^0P3WjuLK3IhQpa_}-Pl){*m{z8sW-eZ0h(r&q=2cQ{}BpL}Y_XBYbkdOhG- zn8FH)UQw6mSXpnr8im$wwkb8+b?WI~m_8o2;T8Oc;=&*=lLB9crPrTQ1ZrrL zct*E96i&$;Z>UB~0BwNm9OmAac+gA|BIsAdd9$TM!q}-llmbX_>yh`PgX+TPtaKCXPV)A<_8lGEzYC8(} zj*|nm+0w9{GpM;V=ik#W_sc2h@z~V0mziOGj*%>1rJexs-xd z0v|dzZs~BwQ@{F$7~iZWva$)Daa{)qEcB%u*Vvq#q%e>%l#tl^!^u7v&5t&hcJ<{T zNh!Z;)J_I?;#al(pyfO*_c@y+j~YaO2@j5{jg$#es>`P~?02a++|UdKFekkcSe9Mf z)sKhUGq6Us!5YVH)yE-;2T~qz_ajroBWxdW)znXvsmsF4Vd5|e{h5LT-(Z;|rUB@M zvF&r{EKcg@VH%=_?PF4)rklKM$S)d5;Qt-xwoFB_7L-ddnu8?2p3{xKE~^*57Qp`=+jX!fujN1>y(9-z-WTGyAah<9Xj8VEkqciDcjWS zr82zVMPAid>el^bS;eUqeuQ=f)bOe0aZsBX4n}J*QZyuw0Qa|GUW_)aYxYc;HiVTE zN%Q{D&$&B~sA{k_E=RHL^zd$9(%;M=f=62DZ7X#Y?vSOp|+BWR1mtvUa}vm1_L?>^xxVS9vd=c2=FxF@;#~K}Q6i zi|g<(iGe(P^yyiM016n2d#sb(Qk2wUMVSd9+NEG=Ez_6ly&!HE)9cxwV^Ocyw8^eYJraB z24T~jq$U3opbOI`r?C#gr4t|#=;nn04yx3c7~#^jvQd~UC_7Q_!t^TQgvx(jkkU zD{!AK4*PN+$BLnv5U&JP%%`*`bs8f3Re&< zy_cim^5yH}a_j+&IM8{h2^-)Q*r4r!Y0uUYt&^o-oa$uPx%H9pZl(QPjE`=wK1bLV zevnD5k|{sN!I<9g2>$*xeESYLe;(yDPqZoNt2707%!We)#KHa^ohjts40z-{kgaQH ziVb$Lj22|dRtRVZRD?a@f2;NtO2>l}hB=O>fRo(|0b#J?UIua;G#wytHCD^L#b&cs zTa{hCG|YhRY#kvO2XUi2!&4k<$UBH|K>L}$5gm9uFG`~rgCwijIunMWC4O30I zydR2XINCo;)h&gvVo<>kw8NcBB?>TjWlHG9#cPd8)M!r74xOT^%VbnXOp{9EA#z3! z$p)q)OYK#s?S3R?2A>ro(Ff$lacaUU!1X)BrSnfe)Cf}V@a7$q>q^OmQaZHHgRvbs ztuULV&NF;4yPL;>g$JA8_R9SC{YA#GywzRU`_9f--5DS!IG^&43}FQB43bRw&$tsh zXwLwPd3_zwNO3{*FAIqsyISCLup(5oERkZb1{Yn08yt${h%t6`gl9W*>|^P6^+Uin zj&Z6PeyXdWuN~-{R3B^=nQ(gJ=)_m{TeLr(~^#e>^i&?Etie66q%M>N!^y)TXj;yEmg3 zz5%MJ2^6u{waRJKUY;IH!AInCm!Tu~l#x^IDkNxu*Lr2Qe`vZ7HhdVL;#B|GMk>n} zIU}+k1i9Rj2~~dLVd@_m5Tyn{oj40)XuSbiBo0L|ic-mHyWC^@^2C%QWWFxqOt3^( zNJ{TxlnK=q85K{RWZ35jlxK(bYueaEoNlFB7p}r3QcXA51d6J1Iw0mOb=dvw7h@8} zxoXd>grr_{g76OLWQ(9s0lFuX^Ei+mmw*e!4$dMYpOU#t^95 z@(qleW%v-}tJ`B;5z*EJYm6rn9xsV4$~}^_a!1`m-WNMl-8yyjJCm;Au^sKdYI{@o z18|^JyN2+a4ZvYsnjo{{&y(W~|oUKfahzppIlYh3&``z}I~`|bm%gkFT}W}(A7j`DKw zNwPI(-k}H!g0f1HMVBYYZ^Hv=?+dN~=I1A+5xi%@j3tR$rmZeM35Bgl1JqPr@V zKm1^=OP`?2ASpvJV`=@XNk)v1d+QdaxQBr(s`LA-B&&U14%VZxhkBb#L=aB@AqGtb z>$}epA&uhA4FI3!COr!ggujg>IN<$QAdzOnP>NVj3mE#{q(D|oakavr_C04Kex$nE zhC1z9E^CE}s4b_%L=jS}FK=gb#Rz9vRR`&UVd*dM4w4a8$iq`EJU-f;XoJaU@|Zy+ z)&wdit}0j8aJ6-4T#~^wL1}qDF1C_9!h>ucTa>L}w1%Rc7>-+7S^G?fdbdyemYv47 zTLIxEvQazTB1|H$V90q!wKDXHd zvbI}0z$aLo#fWqBw?f-CB%;)2-15%lhD>A1kn}HH0!U$x$6;X8FY;L7X&RgwLGtoxPzF(1qcq8Y%$ku#S zXNO{d^`3pu194;;gUB4H6at#zLV2GbvQSCAA;Lv9C^GaG7vW{o>8CC5Hda5nI3tk| zRx0znd5v2^Y22IQHM~Aoi53s|4ehEp7K$z+S+Apj?0^ZO(iEv+p$rfp%@6Cji5TgZO4&TLnZHTqN0&^>wU5e z`;ydL*XF_o&el5~$|$QWG-_5`pK}^9jtrdM$L?I9>fV{{!lC*QF4aRSk0rN=_~jzP z_Q8)d*DZg9RNa&IoUD*p+wSILHIll@5mqK-=t@qr!QefERS(vn zb*puHz~6V@0XrJC@x%qG)zOKd-oCLzfpT8N_NTU}N3*`6A&s?w)7C!JHDn!(j|SFx z`Xj@X_)k>9?@# zs&LztZb#v|6Q*|<^siDMi8&d_{gNoxnYC8qoF8fsHcI-dQP6QHZ*SxEk-25G|Ja0o z)oGaYHW&83ZuO)FOa*b&dV|zn84C`Q`t8U@**fK6ztL%W$X1m%PZvX81Snl%5?0Y8TKZyW( zphH~yoRI_&M)_?*4J~7 zW&@!u4Vu*fkE;9G`}^=gs~#?E7WCeWF=6InxBNer@Gn^jBP<2EYW%d+8@xWfsKx^P zU`{R?%&4gXxdTbMAden!gIb?2ANG5it2QVZ6^z{XIJau~4R5a{5rhgq)nnhA;`WiO zw^j(rkF#e8#mxEYxuGw$F?!>C&p1}p2S@H+tVYwp2!|h#j$jF&tApC{4oX>}$}54O zana-G;*)#6IDWaB$0Bbwr`B!^fJ|s%S8=uC%g_sC9cD&{+fs=qj2p25>WCYdGxMOV zm|fqPG7=ZLVxFIU0!dHdk0mD#;7PmYYwU~)R2MUi6{mb1z}WkInZ# z8qiu(Y_gA--!Nbw=)aGTIt+^_sW_2mZKJw8b5bUzg$0*NQPQFM5nKXFAmr1=N7ySTDs1N3%N%NYF!Q92iGv0qB>q4 zAeRq&uQ-J+x6mQE+pjyoRb-+(oHu?ft_^^H;dKjWYtLit+$~N&duv_G^6Jr(FH)WHR zJ;8;gUX05qjP+?MD6EdEEVS*23g-3R<+9RJe#1lggiX4XF(Kt(O%u8kU4552a|^NFvb~(Jj1EzJ^#s`^)*@|CWbXfjjA{Dg*I-(Ve7s7B550>qaI(^P zyO&|k=m$OYyHJN(bx@nx2yc43`+P}h{O}*sF>MG~K#6>R8OC166(jXN?qs)VAwYle zd_$PPt+*8xLoz?DI~##kUYPVEHEVl1_CglTKd0~)5kav*C3i;Og&w&wr2)1M{cxKL zwr4Rd`kG+zO`TZSeFm^ztnT{$njOyP=>Bpn^7K@dxDu8iP}ASZhMt+acckb`A+t~h zvqzdg@&+U$@)W@dElHtBf&MYuj`)8twLYUc!LlU$MRaYxvNH2yDw{ck%Zs0KiA{~y zhU5D(S0tD-FH}zD*~DY!~^$yv~1Gn+KI*M?9cps1k4@3r~55)#YyJM%1a zEccYZJq`{Q&g1*{7XOOAZ0Y;5pKlBklF{E!C)(OCwk){h1KXoyjHHA{yCESl5b5vY z8GOp?wkvi6snHHpcvJx5`qo~R(mkF+oSH8VKU9O!^eW+fc#^H}EsN21IMI^>I|-HH zK0yE1^yEvOFjusFk<&c(#@KogCr*MEirf;Mo|hP-gJh|mn+-_?(il>6MXx!T88@{g zv@p)7c6vVxXL+A`_sr4|yIkZn1mVmhiJfnoBZ*NwUSeXm<)s`IcfDWoJtmnw_>ovv z^mfJctzXZ22*hf>MUhu(iR0(_vSKnX*DCbF0!te8-^W;&%|x2qg=Mo*j0>etMeoHQNAy*0w9mj9yG4+fygIu(ZxVNz#SJM=Ia z$vdWASXX6m+;+hv^Twy^!%T&btveWEY0@l1%mkWoy~-0OG|4B|r8<&=IF>th9xTQy zu{JFL>Z=oYOKU?S0Vjif(?){8O{+vjjrresfh3hxE0DctHnC1)g9K)N=?}kv`k4s- zg>0v>x6B&57QdUqz`rE?5V%Ry2Qs1CVw!Y%%9eyXN}|MNB2bc3647~0K<Yi*u5N5Hh&E>Edj~VP#OoT@}SOK4i!o(_{X$we430pyF<2u4LPaM012HF zu%lqQP26%j>D%9e@xCteespgEgUq24Umid_JKC7>!XzQ(#hoTF_{b7>qBJ%uaKP$K zZIWJH;Vwu%N?9nEPDt$$5sto(WzuWMwF;GbeTJ*GzXr{l39L5#Wbry^3h?Bu=}GV> z>V2vQW|_$^O7iGra|nHN#sok}s*~y<(OrMSFZtiWEm+s$%TO zdVaejpb+1AdUUVzG?uaM-ky&zx{%WYP38Nxpbo6=C#L)vdB{nRYST>f-qy}AinqYV?=N zD$h#ay#pOOkPV=1d(7#7N31*Z`?sHUpV%n*eOnjGcXh>(nVZP&p1_o=r-DFqn#}UO zJ>H2?fw7d?pOKK&!yK{w!=U9a6hcqe_{gcin&j%p2wczt~ zF%pVk1KGQ(dH9QEWGjVBRow^T$L@jiD;C+utzn-*?_es|iJ?pVL`17V@tG1bwfJNOz@Bf@Iuz-QHK%;`@X2>ZhD$}CgEZkt0GsT>>JpdnjuHj@6eH^2WPgI`8^9N@Wkk&3Urjm_~WRnjlxtz(ae~y3R=+MR-M7GBbJ|b#gwJT*Qt5S?_0Vy7%&ggk1L}{!l^8_a$`9%&&y| zEIlJX#`m4NPK=X>%$h^Zj&GDZz)g$uk!$#k0^=v40f21pXqnSc^yuaGu%DV9wz%Nd zn!l_c`bpf*Cr@S82mS6MzL*;k-bM7WaY4CVM*TjsJ=Z+z!UhwPLj%E{p02-7n7$z!xtTg|6K_{oz7|EHgRdgQ{nt$0sC=KA0q z68Ov+L(q6dkQQg9UXcSg5i;L4&@AF9a}y&kR35C)a*~`nJ_8`gP6H}B;w+OpuZhnx z`Ri4!_kKRWWx&w#6g7YrDHN44N*@l9jWVVp>Bz#|c_eg5^j-+vyQC*PPBeY(DL1ZC z&B11X#|@wUl&IzHIb#$@j^~$&bb%*jRRNU9^sn7=cNy8*r@EZcJuqIaPR*QPn`?DG zil=+@dTNA7eKpe+W4KaYn*sa-C{CkaZKnN3Y*ZO39#`(PCD1a&Cgc|EN3OPWlSyH zF*wfG2)KQ8^+X?kb$=T(^~YFkYCJylTW}n)LVzzX%mVfZ+=x#c0Bs9ISGE1^`}GVI ztwpMf&3$AqQfJJ*Xu!r^FcFV?7JDSDdZ3~9B#=KpPG8Mr#h8s<08hh6gLEGxJ>|VF zJAn4>g4UtNT^3W1?bk>xrK?$OYw%+=j}26LE3duGN^&;V9 z3w_Com*aLAGVIcFp8nEh@)G*17oWc7DgH59aGH%IGf_4q3_W)oIR?*}nXHC-)ubc8 zuPa~jte*Z2HfUC~4fYd%(Uo${wIVVkLpI3m#4E?!z!3y?#^4}Y-UO=%4%25u?o6u* zM)zZJKJ35-wY>3I2g+pyWdwyaPk=UYOZ%ry=zsqv68y8PU#N)p*fQ1;dR2qy<{58E z=Gfk8k*>LQ7ONIoQ3~v1S-r%bDdmFBpN`pn-MF&sqnxA<17G%>tLTi^w}?fJd3Ke8 z2E%wE)E{>W%l9XF8Woa`m=MQ(Sj-s>t|khwtJQ{`XYq52>+(S^^r38>*zbk4f|$JAKh1hX)uUr|1`v(3M50q!ZEU^j ze5*Nkoql)AB%!J;Lbz2QEK}!vnJR-J^VoNM=dZm9cV-TW8vT_6Y)(npD5MGU~ zU}OK16Iy~U#r`PU^qS?_MMUZ>v`Q^-P`$@CPGICOUuu{SFDhhthhC%V;5NN{9UCBsjYo9Kgq&uagc~FB?l9%U1 z4%z2Brj@6*#I|&g>2}`j(_0t#eDJX>Wc5Eff;gdn6)nw)!Q(gz??|n}eVT?td=z(6 zcgyJXzW8XI0siO*jW~jUvlO^@Fmr?YOunVi{Ikf*&%R?qs zwVFje1y&;W$ki&U6Jk_$(1e&iyTGQ5-pfDDqsH`TpnhLY_YbQyR;+bk3W0g;f&+AZ zg=pKU&@bq@_KIx*<@e5?zeoVc*1D>4!UL@%CZCOS;wUtr(Jo`P9r~tL>_VW}rd1dh>UV9Lw=yx>%zwE#Y&t2>A3Yn=g88L%Sv`g8$zqht!wQR{~(-XD2u zzI_R}orLn{eT`)C=^j99(Wd;8S+&hf`ivF+m%Ekm^prpQ-a`gyfM9B99)mK>#9l)& zeX*>+ex*raXvMhNmJaN-q1E%1+On|++C@?k?!JG%VXi zn;+dhZDjlf*sJ7H{sMTZ`7rs#Wi}_#PZR14DX4O!y@~EltJTZXPCx|sdck!TbZc7~ zS2%pc^%laY90l~O(m#98GVqynK$6vh!T|oI4VCfpwj)OLv+$O8w)bEQ zUw>H4d9L5xtZek_J6P=luC1l_=nOr0&-x#yT&$kMPh8bQg&e#6{IJOEXxpfV3(A)0 zi<%hI`RS8{>W0e7BC5ngP>(=+1RkP7y2a0)8Tws1L2t=Fuv5C|(+%msqe=dBpb+Km zKrbQE)D%DU5Rrf5kJmGK_*?!Icj>Te$GR9aLnW-7I{TTbFn$Q{WW%m-bw4c6_c?o{ z{QPOll?P4!gUi@p-Fbf)N>Jf5lMqH{G}>~(wLDj8PTLe^xc9wfivDtpw&>9^1 zM_LlIJzYh0IPl0X|9b{kaH@YZAY-M5zAeP_Cm;zP z)N307bBTe8dSa_OVFJVyTBw)Jp*_T-`~nr-NfpO6V>M7Pj;1v=G@54_$`%Ti(n1}o zMV{Wn1yO<-h0+A&_zIn|Un^gi1VuK8ad*L$*&f5M;j=k)H61p?chj(AmfufyXIh^s(& zj4urL{3G+X}Zr&~Q<4f$7Dt4&yH!HP{aLV7((_07`_S z8Ccql_W$4toW)WU2Ntt5&bx+k4QD<;Xealg&L`fkpwfP{-UYN0;sqoYl{dU(W2h0k zJq_zu#l88L+0kM39plof%WM9*=ALfySOCPv)?5(dDAfS?}*Ghs#PbO+%e$_>+fHJoj0G3TmxGH zSA1+OTd~io5pKM9o6-ADkyLIRcLWETmT$qM*7$GDdTfXWeU{RG8JW02FnVg&w_MC(*C1emPU5ROeYSI*Y+{cJ*UMZKMJZuDY3|U|-6iPR%i|?~W<+ z%l3PPrhMl{QpiMa2)1cJBx+#4UBLeLmDQBa(D(gZgu{%(xuA+fXn8G8Yo+ASQt?jH z2Q?ZJA&Vcl=>z}N&1sU$TWx>)YTi|-)(0&TgqBiMGV(kV@eF#14f0$_ov0=Mi0DW$ z_M8yidmoM_UV~aAt8G_(fEw9}HHK_25w5E~`u$h%^S(AWYCBfDyOsYAwNp<~HWBuP zs4EbH!Q8fDtrX5C8kLp(A}NvIr8y65S!H}HDl<{p`trjKgEf>;Rx-4a=w-|4ZO$AJ zl{FhwSGoSML-p;)9Am~%kgup4Rp*7+l)BizN_vW0B#EZJrOU&>e(850{aI8wBxyDF z2uTN|s#zh0K|h48vrsT8>P1z_`0ReAYWfz4Bb^ksxgo!#yP?j$*b~~?=agTLy40faiGWqDKtaarVO31+G0a*6jq0p7dZN5XQ% zhKuihf>qWote+exu2~(zmAjD6=uc^o>ck}`o}t^jYXPvlGcOPMXr<}v8wBHjwrbJ! zYc~pHRBM{qHJYf2Pj#n8`-j zBv$$5)yGEhTJe9QVljLS>^B3Meso}WN0YbT%i`Jz8>e6-6+7aaLFv)Bl+yq_`lHzr zp~>mzX^_k_RYzXsb6P3US{U8GEz~>t%<5+fUT2HA#c(+~O7*(kLspe(3E^JniQ*Um zgJ!<5>@TbKA4L@>--v%t6)F_9d)gf6dQB<1>H!7vYAe1h`zqDlRKmjf;@=dJes^Z= z3*|(@DfZfq1b4Jq*YXYHy9yN!53N^pvPy2f0I`%_XB{$CdVldnCbra|EAB?~9tT4) zd>$-R_A^4gS;*pM>cFLf@A7(rWtT4r518Z{ax`Bs)A_#Q>$7@(-o`(C+yj@39}RB* zp8yd8?)_z}q);jpil7mMm@557d^xmZy)d z{;iK1F45$r8tPHV9Pc! z9Z(`=vP4SZaeYja_L6r1SbgN@*!5ha8K6{=b=(PCVelKx(h=RNV$kAs}shZ3IYp{B281t&xA%?VMsZ2no=J=(vAkOs=mSt&=koR0) z?|%Vud#uvwLQR8GC7B1o^tVsJd>`OIriRoevV67{xev%4HgLeCT5=u)uNFS8zd*({?dhuE*nlP}d8DHWjM#AXDH&7}vP0 z1{5)?cHe@`GKI+P9q>8d)D97U#p1>SnzCyUmSZqVW?XfyR;P&Q%jT6|2rLIepU@~R zV4g;nc)G*|@_v4L0blEY5S1N$9t@aNsuSTKZtCjAwl!oxcAy$m&G}-35PlO_{-x&G SA$+r2y&aEy@%#k<0RR6@9T;{1 diff --git a/cpld/db/RAM2E.sgdiff.hdb b/cpld/db/RAM2E.sgdiff.hdb index 1a402daba1ba22756d85d0818e27fae1aad61632..8e991689c2ec74628e86587aee943c3cabd9cf00 100755 GIT binary patch literal 15938 zcmYj&19T<9wsthJlZmZ~IWbObV`A$BC$?=(jEQaAnb?`ww(aEQzWcBBztvs)`+Bdc z-L+TO?&>a}fq{WlB7lEM$FD>93xAn9I9k}+kg%{ZGBdJ}2s>L?n~<=wv63)zFtM;Q zu`;u;k*JtDxL6pQk|-J)TamDks7RBjn;MabnOHb|l?;9Uk2x@~znuRIV4*1gMPY&e zq8s>`H_RU@u{58{0O}G+);OoIKGLy*%q^OSX12|X7+%A)v~^0J$qV|LI^Hp=-hLxK zZVVg+?oZdE+fTMbKX629oESE9D9ZdFv_fbum+ocdZRO?dZDrlIW*EBN$JOPV9vh0b zooc$egl4Y~=c~|J}>+JVrS$ zC#~vuNUvSFNk(8%T}>@;)1ceHwk!!wy6K-VOHjOc0-WDBK9gtCnOuu+k>AtZsSvv? z>>YDw^$BLA5$S%YNb*#g^V39oP6zCRVh1nlwkiLT(}lqKSPMeTcGh&DUm5 z2p4txJ(ydsZSF2PA>JPR3fT-%V+HMVwApCal^Uz2KZW0OVG-Bqd4qrnZ~97aP;%CFL51GT89w>eHqn1Tn6?{TXztO2;#nUNSdCWup`$2jh>4N@ z;)7KY#W#Zc{-cmdFtjMDL?wKR?j)!FC=;z-@->e>)TvtTqv>+xllq-D$`wd<{QO&$ ze(iRYZM?S2Jnb~@_s)){XzmWQD^>Uk%UBiE|KCW?|F!D2=e8x&>sf=`GjvcR%*@|v zbN}a#Jlt#JBJUobX*!EVm4cphDT{rM)QgqHM^)+jPsSLOLzeaMV1$SR7Hqbn8kX?j zXlgniXGEft4QT?F8d3THNiXW2gDHaK4RARK%3LZ*zPRDlXHpp(n3oh3u~@^a)bPeE zjJ2XYSgpIGVy{VM@`68J)$Ez~M3z3W16*^c8 zvy%}8$_zhAjumPj$=(}TnqF~u_cuB&KRoy>!a@NY+O2TgpP6~iU>*V-zwheQiMSr| ze%=0}4o^2lCPq+u2%%qfnfg1Wbe)?5#|NuKAbK80gN;W%GJavd?}WONrd?!{ZuBS(-oMPBpo|k=KIw zyjAWWO{(Jl>@ytx<=Su@3}3I2O(l2_<6c-eU#)J+Od#LnV|_Cs9(s&&*-SbyAKW77 z3Z**?Hq&$>7|79U0w6PfhdO8(km_fTVPv3Vi*OwsIiaInb+NQNj_eJVi96RGChCxU z8_kY;4Fd4|TipeV``D*l8C&~EK1#OUAB~kM=Ws9Hnj-|t5%vPU`Zyc zx{OH*o%vWAzn}V^t#F{vVxEZhR;D2SdiGYoV>{_vz3?`5N?h-qUnDs=#ozkp^rxr8 z`}oJeFYl53KpBvgVeg-Sx`6v0t1H!DIWvtO>}84ac(=d)_CzBz7>lM@0^rSwaKY{3 zn!l&;9>(bBnCOAsx~0fsE*xi5xM6ILUae>T1=XphZJA=GX!36nT@EwG@UIMh&n)_L zK88Z=5-+!=7jULY`VVVO3=vb}tDQ<+%Qc0P2eOH2yZ>aP%!|g!cq1%7q>Qc zRSTF?F+H6rkV#n6GDR0>&+zTx(^L{mh)yI8l=lJQQ$O;e0Hrr!vy;B@2K6bQ)Dm4S z?9>ZhsWP`z662H-T(AlGCF9old9T@hvZPF&woVc$=M0z!i$cwmqmi3-V|iQ8ZxkG} zYJJ5gl5xTxR39S*g`?y;@R_tfnn@YvXufw}ARWwli!1)CM2j!t+o!O=E1w!XzTKDCUhT%9Na^!EsAD9YHJk`Ux+>^#fZ(lqHby}JRNgetAe zWf)_{7Y|T(p3}RUCP~*d43i!(EZu*bc04kartEA7^1T-$G1vrI)L>#%p2m9Q*I*H) zbpB=nn|W_y2pHRp^X#l$sw8Q)Zl~sm4H=nT5VS4$jdUko$3Bfe@^wL>4cYnIn$j~r ztm9sr<5Y+OatC&~Kl&R~=#vjDBR(37{zzQdv-F_oxH>DfQCGYY+HDeIWNNjEPQMot z)Zo7XNRWp?j+6?GEaA4_*38`!k!}(}EQB_}{)|e12+{F+cK&n8BDbKgN4zmo%L-m9 zbKC?u(dA;1z&~-6sz2#TQHG`ECQvd}@z->%(&E*7w7Bi@W8@BwUQ4@K$$NJ9ZLN2| zu)sH~AJMqoT!!NcBRY`wD1yh$qK=t(o#0*Xv*#s;|0`1X6QmLF;aWfH4wXvFf5)BL z?$NjLebT?sFE_Xg<#2L~knpbVAIbHaijW@A;Ua#yX7}Yh!7sJ!rLWZ45b6=*9n@y^VZFn;w`p{1#8f3Z zE0z#5cU$TE*4evhD$=^Z$}7-mD)9%ufZxs;#|%?Z{e&ZPaM9>qCCwCSVPqF#q077s zt_u|Yn<>wTB>u}(K5Pb8iIdwLT!hI?;3wSAs=G#6V-Z*5z}>TG0rx69MvHZ_Q2NHh z_PwW=iT?Csxs1TAku=whMD$?avVQ!}1v{hp7ia7peFAxrVFJf%ZavOl!pK2!&I#Dn znrywk(Jc<=FKXBgxJ>fOw!_3!14E1Vj9T^f_dz3kERFW?qTWk;Ig{c6+y` z6>D{HkginnZ0N}K(i+_sNwHur(?)&n*liVH)shOm)yE++gIIU5^!QA5LJlxL4r*iR zamr&Es~K=A7GGW^PK}N8@5Qj(8I8JC^Ivb&Uhj|tDGqI)m=fJwnB;RuX<7;P zu;$Qfuj6l;m6%h6jj>R7Iwm(blZ}e|NuF4nhRIT3CsAG(b&p76{P@lfU_Y264zR2Tpl6j3X1jJ@Cxq;Xo4mBWk5KWI zBV=<&sR2soz_x+>;G)aCoDz;>Rves2XyZQ$rN>kDE35u`u?!6Igu7T8hE`!oCEpRK zikXJdyxIG)xEX8Fdr&hZM|ULeruT~YX1ZY->}M*h+6r+ig|2LuCi}6Z#0!GC6lqj( zO-abn4&xhCESyo-q8T>%6h+8P;MK&jN0*6O^D#FUTF{3Y_!YAE0fkz}hc6vJ@P6bD%xo z%*m_&6EO*`>XfK5Gvf#E$+zDm<_SrA2A*1;R|)?8!6&giuTkTh1ZAgw*s3N0e7s?Z z(Qo0eCh^U@JMK#PUHIzh5dn^=y02Rw_B-Wk@YeMuHo9IH*2lYW3H34)RIKk;wwuPQ zOKf4W5g$^wx7Xkx?1g~dDhOS`-zSUh<)Z4N#G#GCewmteF>V9TgzIHw$~0GKi2;I& zt+R5OMVz(aL)8;}UAjs> zu&RxSz6(s)k-1}zz;?~^uEgF_Ubx+;U*ry5K(N!iemMG>33(mE)_v@0w`9yM(P11l ziDn!7MsOXotRCa$2^c}Ur&=hF#KNr1B5cR}x%zn)W}w zL}Fu)>`0+z+l&Q&d!QS#R%+I#xjJo;_BUQ@WpaGjG4&~}Pcf*$FH|Sx@q~kZefp~R zqZ>kCCyF-CS?9kmZ_KfvJ*Q@H-5AE^qw3H2NwIBWtqBk@qb*Ebz*;q@W&cyZ70#N$PEN~$I5V$JJTtF@S_Lhv>IR(4 zPlCVRlh7E!XK!fqHfT~r)|(D|4MXUiH)|QlkhXDB!o^h z53=^Bg)5v=8N#r$?4QesMHXB&TB&a?Oz1%Bp699ti1uAaOoJ*dE&t9+2TX&e{}A^t zP1hIUC;QS2ei84V!%BYKR<#iHy+ekhIWZf@wQ}QksVYFv>HXYuw8YS+P!Xb)jV5=X zr^uH=Z%Skp#!%0zJ~Q=5sOzTzF}Cco=<90+(AO8^{L(h>{avo1yF2{hk#oky_cRvL zfh-`D$AlNdQa%HVCDoso(A3YaN>lRM03jp;j z^R6Y-ZA)zbtTnVjjrH86PrSRi4TT0L_^$vrx6t+Nx|vc*rvW@JAL)*juIm0_uZj+6 zyiF^Sq%mnkzO%0|MczGUu6bE9%@y~z9cQI7oK*nZ-8~*+!kd7xcF^%;dN;4}<=qR8 z$hHwR@D>jN-OcbaMDaIlEHq^GMW!SZ+#?=x|E;IDv4GxojR1dJpQpy5gIwIPF2|M^)PbpRM z5gvS|h;Hy#HN$tkbG_^MRAok}m9bz!&sJ0*6E+0lzHm;y4y;omf`5zy>Gbw@2zxf? zM63B2hsu@h`7#1rmT-pSWCyfqB}NFIPBOoGMA@=r8$MfI!fK}$^Vr%|-cDihNyvW9 z&WZqsjk7y=R(Ud(?1+mjCY>V{1UANWzv`|M3od7GstY%dO~IK3|RzQiKwDs^!yv_1xQyDS!IH z3#+{Qo-Jc8p#8$MQ4))Zq2oK+`q;I)@m1o#KyCDmc_j}D1seVqHYKgtJ(@xoPHO4X z-+YC4TLNMh{PD$!L~B*ll@d1^_oDh!k!=A{C#;5XX0?_h$GoNif|fKGknx4Gumzf< zbA_^Ck_@IR`gMsGA3tT%+P0FDT;rq|;tQj&_&{pEB28*7x3!gM38SJO=9D*wry<6) zJt9QTQJA^k^|1Ju#}1q?Mz$mAN(2T6RrZ0P62il7YW{D$U3h_*RRghaOiW+nAe@i1 z08vhEI>2}w@l)_WeSrq=(`(OfhIb3uN1kcKMlo_F+e&JiQN~vqPca`-YZ&{?F~LKW zqQ9#LS-R~JZ4hGp_2OHRPodIs=UADK1?pK4XtWvzt*fI zQj`KHg896v6U~ihtMq%T0tM9%tA3E_FH~g)1HgUE*2j6?ah#3#P2f36>g%m5?q2RQ zMipWa0kz#XR#0Zy@3=4Y)u<+xK(DtSV{TU>!v}{7iPkaBW*y6CFK}+|@1!cj&I}37 zGrQ&*XYa&Ed(T2eS8&5W%knh^GW30*@SpF3Wl0x{GUiQhrs|&#yK^%He$&v;W&yx# z@ATupxegB)sn{+Xd$}?`^4;+t?WF&aCGE~p`SoxSa~WiN#UWDEy$QBy_d%xOhRfRS zsY~}tjC)v9mg#!#Vl$K)IDdZSnranQf))ZpV4@2DNeD%S-lsnt#igifPr?+HicPM0 zSHeT0T|MjGaI1uB`2)u=+yD#0X5Rg7eui03svyg%vIaA@D~R|c#n}PV4!s(-7kmt(8vr=$qly6Ej{MOX8kGb!H+J-aY*j1-t_;t2Ch$Qie0T&Jq+a8M**R`0Dt*37{geJKgJ)IyQ%BD z%}Z?W=dSFM9QRxw z_sNt7z<5R(eZw98^i2a0x_`&ej`Qs-uoeG6V(o@|<{W(!-&0!!34S<8b)!DhnPjot znWc3jxH}D>!x%Wen`3oenBHv0w_z%vII(=PoNY$%Pc@cRjDM)bUvdm{K|Q;N5UDIY z`Wf4;PVsgU{}_6OC?pl?F?FcfrRV9fFeJOB#k)fla$M^uwu;G`hk6j!vF& z6Ky#Q_t;}e?YZ-h^Hp!VPc)!#Af}OBrw4^UUG8!tj-zoWhb$?Fvnt3cxe3x19{fl? zAy$;h*BE60)Qaj)NabskF-@Dv+@oll%CtfasO3^$dqjob;?~MT&1gTXAvy+-D?TY9 z>IC&zqAv&v6LY1^gJ&~;+~Sl3pV6xq%X-l7IoC^Xn<@ovgC!R}m8;dvqv#i5aFLta>j?E$a!R79HG5U0SaPMdBcsN4`>xPVkun17 zI!L#icU4=xQO-rKQNA(T^I}x3yhv+x>Kt@=l69gP?P`}-usSI12(tZ~j$kqSa6aVM z!@zXRQJXf9M{>SQw_lF0bcu!O>N=TyqB%dmurNE{-sW0X@98idE(>U*X@x}h@@^XxrXNRekTO9- z{i-dr+;xDHdrTM4U2ocrb1i-xXt<)Ys(SQ_Seq#J4c#n)&?Y8}avz3O(Wv{k)B}y=Q7wAx)^>z!n;kmXRnDm3$%@bRih zWd>B4W7K9fwOH;DQKaxp2>f(L_R)$@R*>Ess{l!9qUrl+&bd@i&SUfQJ^z!{r5+iS z0MydcPTdrZ-GXQ%(w3TqgPV!0^$IyxVu6=uh;|PN}8%D z_WXpj!Atl&^&FJmbembgvt7bvC*827=*X(N124v^GZ8$B1P;!LYLS9i?6qYc%uMWj zR{QTb(z?RXjiRi!U;pC~)->vazw!k#Hg)#r4q`IBGR*R$$-5&C6NOWY;(n;Qvyo&O@dQX(J#xm-r|2UKMMLSekNOy(q zkRBe(s#RH(27;~x*~K}y#Kj#G-+bnPnobu?Ol;{GNrzT*lM&T7jodz112#&UO7!2a z*|o4H#%@=uODdGSd5A;se6kJbynYO>mz-5`rzQl|gl#&oj&f<2jyiRVUdLailvxiw zQ}P^!X`iMo%`ZZYw`}N+=5;+A3#7)C>Z>MSo|aYIMB?76$)4%4Mov&`;N*cU81R}Z@ueduB&kE=*iCM=ACKSq8_c71t zy2E^G?C|r*#vc(j?HZGkVhi(}?=n>$=GR`tn(jqu=%6lEGi;4j>r*&BGDaF1Sybth>HBglGNElEz(3s>Z>;+u zAl#W|Ul%@-r+Jk!;me4DFy~1vy;S>$uZ!Iu^?$RU zY+d#)&t6*Z+E4V8%Acityq;bUbz?p}^=v+GQZ_5RpWmvU?33Cj0kL-uDW4B_H)gw@ z3XclPfUM}iuV4&5zP+71?hf~6e4kjE`i#<4{Ty=~n(FU5d#BLai|Ae^%0_?1yNwJQm&|!e zjO}Zre>aZz8GEq4+RuyQ|8m7_dk{=OD2DyF3reUW9jh+vUW4#AX&9Rfsc^;PNmx|j z&RIEmfB8KR>E9cZMAm`EiMaug26XS`UO8qN;$tnAX($Ot80u!;ba2_H5QdeKyp4F| zs~;IcC6j|3m|R$d=Q*gTXN?2gEOBI6wqa?gsZ2D?f^$yL%XN1^ zF#frsk-GFEn$nik5qnee!X|TVa6h>ce?{ts1kWjAj$|X|;r8jMl)Q9Uz~!1-ECg!_ z!0~*BjFuF3m<4l?le0mU$F`W!N5kzziPS5B4BN`OGNz*nKeUW^&Aul16x+*dmpXkY zBIAr=O=SV35EQWX-J)pwdS_>>tmb=xn1H$9@p8QpuVLb(Ghtr}QWmn=rL?xNA%-5T z`YXT&^8nN}dZfH!V>Frs9CY-)XhNLQDwxnS`LA*lMNMk<2wgbQ1M8EAROO5rqaP-G zgT8Y8Cg!yZ3zH726!n57%-$JA51c4An;&@+B`9K#UpgLZ|d`{O zOh2##QT#Z7(*lqyjz}wqf~Ty&O>ht(&;tzjtl5)!@17Y*LC&A zvac{ZcE$SK`=v#k!Dy+CX5_miOQtM)<%B9C6#Q3@uaa~iC`-~z_zJUZtVAOS!#H~~ z2*5m>>YmGHn5r$FZy)iJY-eaT1N=3&VaV~f;x0wj*gTnVNRD@HLXkB6La-iP*;BdQ z1Y%K_`?2yqDysRctCxuk_Im_OlZffLk#JE{G`bUm?_eWBX7WNFxj@fY5@KGQ9oV&G zt>U9D|6@M3QMe%I*+eROaYM`dZ(Ig8dZabR;kB1x*oi;>tYd^}8URHuMaS)8YSHSC zYP&H~wfE*xA?gQ@!5BixX@>VY?j$c(-6C>55E<)s9InCVywh|p6{%xA_pIWMe%_Ib zm&^n7wmOZ%8LPnhJsNGmSu(Bf5?W~3s01K4S;3Gl5Dk~d$| ztHy~<%6c)QXMCYrd)PJ!5#XVqsq!S=M*H!(=>*9lmcNb+=&SU6^keR3kz7DyDbRn!C}ZcWb2Cn5wE_P3h<%bXB=InGnO+a(UoFrMb{1Xw}#T_doK& zF|nPqh5sN%xVbQLnS?c%_OEw%>)b7Sm*QB;?^*EBhJ4{L5^6Ld&-kH`2BK-8 zt=;P`Vlmb|7?pqY$~IBqTDhGKuU>*S_BJFUg4>;JMVI>PC<HPO`77#Q>pp56`R$(cE;I1YCK@M8lZs_+cx*l7bC_gG z8lxFdW@Q_nZpaa#<_|6*U!oBhog6_Ecbb)lSCYjInUKN}|FUwOOsF$)N8b<&=|qlW zXmp_T6$81YTPY|On6X|~ctTs|^){a*aq684A7wN0wpiqL; zzf(~v2^Gi1S25EZ!zcV7kP3cQwf=lz$Y`V;D(KHkO-#j1K+iBzHd2+9u85Ed*JPoo zjr7rJbIXx?PC92E4RP0S#D@h*smy>1D9mJv5J^jeMJb%)f(oGHP30k2E#h3uqTFNb7Sd6PLhZrq{dU$bG1Rww zIA5oZye#w@KC~V^{!TImTd~e!wQbE9dztX!Gz7~w`y*4g9StYD6C-Su0jSu8D{@(E ziFX3nIXfYB6=r8^J=a_hZ9ha>-7?lC{qX4AZYKjbBcBx7oEmk(Fdq5bolxBHe+e-s zXNr`;B{ocnDTBxW)#}*6=imi4furig_C$>fXNd;)wE3bgc*>QT#6nE02qTFcHBcql zBz6Aqedh^(gRp8ScG;|qvAH3k8mdcE=?b>y=COH-y0NQUqw$azaeG@QMBA#2v8$mY zov-ujvKr2GEqyiC$#q@%&{!2RlW(JYqlHfSP)2U~NVyE86$^8jIr%%?E<+%-$f|I*@?XTX9u^;|43>BoSmr+=mTc(X)Lwr;^reAxyw!3Ze&i&wieoXVQaElR!EP>{ zrY_pw+$8tzdy9}8Q;8Gqt~$SwsoObx<;{D@W{fjSR}5k|XA)bo#fzcqOa9HPy<|>n zKJqTR{5p&7!)1|dO}$(1DZQjEZWA1h*Nh9$q4aziu7SsNbi*4I+``dfgbK>n0WCj; zp&MUtwhVN@qrQC$XDEtOZx1Fw(k470+6^H%4IwxTAwZF|57zU;gGj_}o}s1H^^+wM zO)~DDL|Wbpv4$>KT8Ug2);qzxfp3l*`y>4H()O3;(@q3gbc&|xT1as37>-n@2m{>k zib;oq8Y|~Je@_azTGs?a(JI7DLB|?|l2(mxF#e6SUry`hG`&UZmo6Y6Ttu)RqBisDyZ^Wgvq$xrhu5wAC);APEM%z_lX^ z*UgHKRd}}+Nq8Jsc7Susv#sE?(!5}7iLoJ$m8(wJSl0BK+v4ykdP*_! z`}A-ujmvq?0BA}H{T~NYmcJE*=mU^*4@d9{Tf=l~cAN3=zJ;{Y57RZ-ye;^RjRdB5 z!PNLZc|~Ik=si={y8;~ZyVrT9XXfKJ)ZpQ%12%B{{K?!=@=YeCPmCKd1&#fAIJ)Ep zYU$=!$%aHLP~o?q0-r?l(TP6H-;%L1HijHKWiY;<@z?UeoU70P`>DTIejnZ5>s=`N zn1ZIuMCoBjbC<^&LeEI)k%RftS~x8uoeSgdA_{H0ek0c8zwLh=?!SHgyH$vVZ`D4a^|I95?Tp}4s`*n`B`Dot{6NQq_kD6Wn zk6tqPW<68!_IMrQQ-lvUl6l~E-0&{sU#F+1qn;6*zQNO9=74HG1c5~T$%?}i(^Puf zxzReFU;epo?%Q5=+#aV0Yp8FLkP=T-&SVR%^Rm3b)(!~Ux&{)_&0O~#sIZG-=VIuy z>iCt#gFnx=j0~Q@IrRuo40kLDVf3L$Dj8F8I&tE5SoSCd-DF+aU-f9`vFHiADjKF` z)`XkeNK+vC?pJoW59!tdKE9)}+$w?;@d@}uZiQ%H+gP_2A)^4V6d&@5JVw_xoO^nL z5%@ms+OU*dvhMUGh%XDt7F9TVMME;OGnP{yM5#YoCb)Z$xTlf0myoy#P+k7bc!2B- zDsmEtwv(L;@NZN(_bhBABk>&)@dIL0+w*pCIH8DfT&1`tk+?UI+}rRS0`MKkNS^34 zEOm~s>V}atk%zCR1D^}H+buL%n7dj`#X6e`Olgv1=2&uNpz@tOf|iomV7!tT(^ME+E!!JM)%b_tiJ%Tn3MbuY+C@Z9)14_ zl-wmW50tOx04ZGYm$6|Javd4b3OP(NZIl|K;<|U@x_{yua#^6w?Lx^v?6Z9u3)`)$ zlvYDjgaC{JR^wn5k+WT_8(=wVW6cW=iO%h=H$U^My8+Rmzk3IanJ>yX1|Ktwn*lP^ z;ExdGn|{KxaNd55N71b-bxbJ*VeY>RL{e{I}`wc7!@aal&tk1u<&FJT&1O#+OM99|e zpSBoRg#WY)bdm!x6B0m6`QCM) z_=n>8UGlzk%~EzcK`>W0&&8uRzx#<{7iPF;%K5!0tb?ILkZ&#lA5thJIfIPVZsd@b z-EG~sW*(T3WTeI@rt{bmIHJ~SDq4)=yPo2=Bl4GN381;6xS zMsS$fVU>mFa;mGUrC{wRH&vSzuriSa8L(|RMgi~|pq&Xgktl9?Z0v5=jz*Ovs(vg| z*8sk)u`~XG2=$iHrv^xpPm{U98dWC6{BC)Qix`@xnDpm2VtX=y6H%}6@Fy-*#?;pY1!*Vvm6E?${e$$B@r{6|(<@?u_x`r@Y6mH72))^Wa3~UJUC| zgINh-=tJB0BVS>Dtay0xs`@Wk31=f2*if>E9D*gxnixXB-J-#flz?xCMSc61_+0sL zG5uqpVU@}D*~l)YF`ePwE9f9e>|dhntd%nKO<@q62^^}s^8zLkPcWip5QSxcA%f^E zn~^_IIk}CrxRo^DuVu#qF?9zE&y`N=BBa9ZgnK=l>u)&MENEC0owa40N0T2P)y0O7 zaH+cjWolwhBD7&p%Jg{~Wl+t(<*=0$!)Ew)Jx)r{tuaPQ5!5pEkvJu-utuw}miWV_ z@y7+#f*^ai=4NYF(8NK^+3su=p02vagyJZG^SB~v^Z&jf_? zn-NW4*V-%kkDWsK{;?ah+&VH?#*zPgGTh7e{^|r5FC$-MJ1i6ZIaaG8MWmEMVggRQm|}mX~&eiy&G)ZN45i)k>P35*JYV z%n3##(5i1flRy8=ixu|k@KTFJEAw**Vg~aa7Im@%=5*{daDjB!T(DODR(__S_~4+s zspQ{PH+cWNln)(C8jW2lY}-hP6iM&IKZ5$qpP%bwqzB*PijG|bBQkD~BT?n1GW}Z0 z-X$V&CXzqzQEz`3IGoK#wJuVL&E7qF(WJXRhm#GFG@!@}aTfuFi6BL@P?759khmw1 zp1(RP8U)eX(L=D2(zt`wDeWNO_m=?t8!^s38NaK8!3h74>j8{~{S>BlO7Cx|>efE< zkdBQo2YAig`nRaJ&IS%ELXJ0_f5L2e&=w)KVJB@{NjF_YsvJeCTt&LR!Uj9esGI-W zz(ANtX4v09VRC|8e6R4uaq4Zs9ScbAk@z=yoO}6x8VgkoId7@hC%bwj3U0Xq$H6a& z*eA1kB~wdEhC2~cD@rQ{9uP+TXjCaV1ajjO6{LdR(NdTo{7HL#(w^l0;I!1R=nRczI={9XbwgIQ z;QCyOuI-4f!9&qAdNgfue7&-Yvv~i6@n(j#{|Rf)3>5p0e;AXi2!w3)C!gwTH98g(RUOVXzn$=8EgQq|WS@ECYAPu#n{tV-Xis_6<=> zGJL%RvvzQBX%LYy58j81&-I|B!{iF-ceHj-r8J>VeoIG_d~j2e!s7kzrJhy!HJELl z!+4jSRi`EE+kp^52!?g9sXBG{t09J#186xPx>nD+wz>y6fwegqi%;uJ+G{;_Scoh7ey)$6#JgFc7RnAOp?+ zAa36|K3s!PM+Oc>C6Z%M5Rv}CW&NIkyIzA>K3W_9`(m=)kFDQ?!*85tYY#F=)u>uU znh!}a-9#mUtnB-YJu1In^wtF4EiOr7OUvYPRYLWfIiMqx*d6_TDDwpO@$Yu}+os6J?B4YRr4v69-y?YS!>FEPcXyFY zl3^R!iIWwacf_LWr)w0b-^hW?iADhNZ3l-qB;r`2XK>X{7BEc=U;Ys4ACt1!hheIF zwWT&}&)4%5Mbc}2?ojCYOBBmeak={ePeog$^nc93X18}HwkM}4$HPZxfM<(O>`(ID2? z2a>xEVo2&xxXWOkip~So9>}X)u?d}zcEOI0>cErfh9fQEo0k=XY1A!(;sT6!Lk6}V zQwoop7s5?}H?C*LGc9v>as$)Bv_R#I51oDX9I#s?%R9t7k_T6P)VyHU9Dzdj`BZRv z6TB^oy-B@eyUXCu;<3AX6Wj$_Er@lO?eKsVx^sX3nArlKWU)YP=mXSL{uEJbT>s56 zpe5=N1menMtiBb_{6#etsjS~&L(Jr$>!px{a}~_%vCDoGv!HprdVRiDG4=Viv+ncL z4ZJ|z(;8C@C;J;M!#8Pnz?gpoW(20HG%@DE!@)z-n!wV!NDM$D3I1CGgpiSxA_WxO zpr~RPUZB6I48~6uTIoC}3{1%|aAIHJQ=ll4fMR&KZ))Dm<*SQ%wP$cskeu0r%K_)6 z*VN|t@|h1u5KGg3%DH||nmENZz_vf+A zR2&U}<*2cvG~$E?*g+4OzTb%&rR90K;9`%I)f`mS*U2|JbcZE<3a889u)3%iZ54pr zGSj7G8rf=JXY4@=&NXFLS6yAUXz&p)5~})(BG%UQht~Xk#k|XX&`gQ~F@c|8)NeXf zh34^(O8J@rcw>AfZeAg74#v4FL;eiq)`S}*8wWWqt|4e4{0W{d=iQ88Kp!o~cf5;1 z3%1P%uk|24Hq!JqLvuq8HzK3*t-{ULpuCJ;!2aXh$eb9bTy2 zgCZjIR4^1-4C9H+YPv04QVN740#@`R+lSP^uti;Vazvs8z92&XWp&0+0SG+_J2&vbCHbT zj$)^*`bCYuPuuyM8qd8Y2@fc_py55aGaDar$fI3F%K3)~Rvc~Ug-Q*YffLJ`{McTc z>14;YQ^NhJl{f=3#d9}&P|(jFD!s9zEwL!fqU?FubzDWlVM?8$>yU=52ao$;dL)CG zaajXn)p)$|`K7?KHuB1`h+q$*m`k7M-RS#H{`b4(f^y1hncCH!q6^#s$NBObO-G*F z!xk(7Rf4o8_M1ni32~5!aja1gnYN%VMr-50C34iv^hx}4)hR4&w8l=k8LM+a1PKZ-#QY#fiw>w(2V^g6!#e;<_| z;ekgjeR#sTS803O5@VZIy}2xCUvm;!!QcbrjjFSa2d|*}gc*BfTLWZyYuq@hai}#{ z;@lKk1tvj4lL1d20uFHHG2W`=OI$_V!CvB_ zMdpJiER}yPh9f@6XDq>B5woLHQsqIIJo~7JqS7$+T8M_)-ZSCZkcs7YCG4i)#bzCS zB+(BFP3V85LH9PwjwqJgyX=`pEr+dZZoH{Q?jL@d-M)aoD8cn@rH)hQmYQ4qm48XD za+HUqOf8K&xe@H6tG0NNdzH+x7xVYlsZ<0pQ_Es!dKQ%Nkwkuki&+e$@HTliGa)2d z?Xf`SWG6!;fU4`gx~#0yj?$+A((w&L%)8bLX3J62k&WQtcj@GQ7OUxuq?5fh^KZbu}~5));Nch_t+hC$d#p=p(p<>73;z_?>Z;wgFj!TJ671Li;7 G&;J1SZIv5k#wZ2ZTzZQI7iwr$%^Uhcj1s@|(p-Th71_{{Y5nK|T-kcow!k)DZA*u}!yn2?!;nUIm4fr*)cnURTwP}#)M z)xyYxP{F{+ijav=S&C5I#E?+T*uwcIr4lj7Pc}e6uU!8XKp}|#lkS55lWxEl-q2q7 z67J7y&`2O)j`m>43UZPnxLw?|VI-@|b5`1-A#uh$L`A~Q#u5X`cm$-ZM8yhPN|X|Q zcfdt-4{tC$mj3hW@fHtW`A8xx7F+EJdaDf|30OC zJ58`mPfShWr|0D42>8+odLT8|z1d6b?~d>S8Ky;n$PK!}HNW?If)znR|E07LlyCJ=!`Qk<{)!>^x9Z>RcHQ7vW(XCS)yG~5X zOgUdFm8QZ6?DCH`!^xT$7|n_V><1W?sgYBzO27^xNny*91EJr<9+rrP6r<6qnIEMw zz7!AAg~$OML}{2RGi8WQ8?zq^S+9g(*%$Erw;xGTA|q{aiCzqVp=Ek}4~w1!AW;q- zJqb{mKj9j44EMh%qGg8}?QBh6Y&6K`)ocsSo14NF*>T6ZEOFKdvC9wSvo8gLX>G~G zpxv^0^|99jJSJTg8%3HpE-fBk{wx=$dSZn4ta`NMZ*x3R>$qmJd-pvx*pN4$tdQ^j znU{z03B|VIX6%U(N#UH`ZF~crxhiX(JcMCBaV)43F+d(q^Y4xqF`(@P1GcLnp=8JD~fF zHTetjS@&Kr%;+{Tpv3^&U2*`WWt`?kuB@~`pNPe8HY&^4{+ou-c-yEp=3o|-dQi@^ zX@TXwT;WROsv`zkI6cinN@bLsFg}VsoFJot7a&y|CyWokMaT{IKb9#&42E&r!;v+k z^uh<522~*Kx+tQc-=4Pg!H^=}VCEMtzkt_t-Jw9#soWD`KygD-3788>bIeoJvL{WR z7cw`qO>4L6b~l%HnU0ffV(*$vc3%n#&?&C2lZ)fJ8{_ky*WzJt**+X7E7@*s^jR2O z$h%_6wz&^2sI%eqR(^aou8z$-q&x2C+;SQUBhw(4iS`-5wc7spplfz=Jjf{MXLxe> z7%_kDFY_^lqu=N0aMUYJ3EP!HN|lbbCp3HpqA$ODkptQx6DD`s*du+hf7s*YccKk# zE9^euJVWd@fux7g^TPYr%A3}s#i(0R2SJ30+%+4wr4|En8Y3X-nKr(3cseY`o0xyE zc!c+S_#R@yhfP2>Quq&n+mzbj@;}YxTC&{s5(i%&&GMT`4 zIOGhXF9#q(ep@h+uQ71_O~21N`RG-(DK`I372^ZgpK41lzE*GpASKp5#A56eIcz@u zPBO6RnZ~rdW{RIMKle7sPm%hBtX}-QXy3zaxpzNwFC5MA?btSzs5yzUJG+(@p9K?hSQb=~ zZvqgYrnfG>`a;E0i8C1zq+i>2ysYL#-zk0rVR%`}Ia&MMK81FgwK8xodyjbSBhApR zk0{IqJc%5pM9+6m$K(s760FK21X-0UmXmcZtiwyIxZ3JY)|-=cRo2l}!;{4V1HFP8 zs`9qygt++{d#}=VRLwfgpKb!qs$JF<()2On%ST9iulZkE%eA(ZwNmfUO`RjmTki}O ziF>=m+y5Fumf8DRRl>uT;6=L>HDF`Lw?;5ZPJGtUdk^hKy0$bf)MB-pbx|`U`VTCu z@;T;4$UR6_(@&y|e**DUVOx^T@m-3dThU#f4}|f+4(Zl=MG+uDb1E>(5}br?w0zAH>SV|H|Ij7e z9};(BB|M&w&H0a95N2}l4>drmwNOwX>fHzSyVe#Y)=qkplpEriXkC&b|BR|K`;e)R zo+Rmz8L2zEi`qsbdT!3g<5u%?q->25??68A6GKkRVb!a|wfJQg#;!&(ftrNK?N6z~MgtohfHnqfq~X5$gDD-IIPX1gg0)Tlmx0U2g2??R>u|m9rt| zoMD&*6+SY#L*ti=W#mJ13bTAEHjQRUsD%;NJJ@`1d=l~&O_?O8p;7;CsGWHN2)k{f zxQh5?KYLPwr-yovTr_>Wi$W;@Bfw4Hqh8ldOo7@ON^Y7`Q;{#|4fuY+2w{kvoE4hb z96>9Ehw@Xfm7a}*i6-khsD58){!_LZMqJHgDrk08frHEJQkcP%pB0+5`oToTsle4~ ze{T<-*S*@l+SFSjTFr8L`TF)WXLPk~)6;*aZVyHt1-HDj@7_dcFX|hctId7*DeDC> z-U+FGL+9@lEtZ6^^7El242cI4r3r<9t@l~_>gw;WkTKbHSL^P2)6TzA$*}@5;n&Uw zHQAeNEEdf2a@XlYP)XU5uuBRbdlI8=Aa}3K?3bIL!UWJUEmA9Wo((ZTZ)=8qOIh?Z z|7hm+Z>-vP-)>bw3(hIRtz$QO-Q6z=Du!^3aLy`%u^;{X>V{rkG>oFe^fFKjH^#1f z9f+{tr&P}qh?$^LLv6x|~OW+Q8)k5FKXvdDl@n zy2*~_UJ!C(u*TnWRHpLiPT%`P?*M`oJjR>RaAYK^Yib2B=qtRF$37k3zqo z2I8&Pk!gTo>cR?XqeT(rFQ-sGNd#>SgUAd>Wj==7$>kcy@EhPhG^2Sbtxi(Rgkb-VU?ov1l4_`C5jBkaB&g|k~ zrYMG5QGbE)8Wbs&Mkk_u1jYjC3q+x;o1RleenV^B&fx89Xogx=lZJbb=%-iJB8uoC zFl$iPI|#bb>K>PBa%uc766qLerUK#)ZWuGPDLiglHZrzrxjhjdq*l|S8&j>Theq2x zRB`6W%df}`uO?S4TSOJJ!}?}K%u?>POZpa`Uwk+sm|(E`WM7+F$`3(B7l!F ziquKwy$r_qH|R^cmH804eY^(+rl{|3GX#dme+u8cJi|gW=)->d5UC_yVE7OB{6u@z z`qAd)8}}gbetin}!CLkHQTMCPG5Bb_v7Xm=9y7XD+9O-J%Eztk8hz0Ti=XI-H!?&} zvwvDFvV!wDGKX_bpvAt3voWZBW`_;%2CHRUks$j4g$opdneaFHGYx2lt@=0v_C4sK zyFOnryFOb#)cr%*KjdRJjXZ7tnmM&H4&G|7GqW!k-qM0hI@F~T&cIZ!*y;B6Z`lKw z-h?lQ{X38dx{tm*_NF${^X=l5ia0Iild& zyY!}(=-eM8k?8C~JPQ4*!*dvVO^1@&HQ2C$d+Tj7@cbHq5rMLIN|0ka4vzOkGfb=7 zqEB^m-YVs1w6UA;d8!GTqRu<5K1(XdxDqv2k;}9)3<(?1HLQ(!;l0xL=Q;@;!c(Au zam6!w>R$q$dK`VrbD&NuU%g9f=dS;-+lBI)SEoJ>#ocE*XYYHHy?+bG&2&>~GDo=xuFan=KftZkM~sjE^oRj_f5e4; zI_E&XShnJPsaO1Xixhru$`gKP%m0x-#8x1@zJ6O0YS}7G%8ex5=bD04)b6VzF!WmO z_L-_!6tD8c4hbZOF>Tb=3$&%+qpq@fpB!( zU4~A5@@kcptz|JJU~c$kAeo_=pU&dQMT$eo~B7@$4L4_ zI@aBggv&A;b@?v)zeGA*jObg6WA?mN`uXX)MKha4Gc?k9o?bJZ3!(~9lRAqlY)ziU zVBf>8()T0B1T4bpTP_Cb6p$4J^n@BbrUln-lPE&&9KGISyiL% zUYePDr#Q@1V6waNe0oyY@qg^h~I@D^KuTbS; zHcZ!?qdO$dZO{R8b{7LO!%9`DT5odk1iij%HH)cR@8}d3p8_4w?5gy4+`71fVU{Ci z$_dZb(&$<%8LGGG8lc#s!sypMG;FQVf&1$|$VdV5vJ+AxeROjd!+^dGhDGhCdmeB| z1%wf45>T#_bAET`bRqh2@q2gD>W`9>=i5MrUxHC%6h}6?L$O*k@O+aa92Bq}gc|U* zi^o2fW29`Qc2JALkZJRqXdteYlznZ-T@^Ji&wha~3Gg=W-Jnt8&`M5 zDT%u8Yj6ceYR$`=?`c>Y@;IB5Tg)PPieDabh}p*Xzso0|PIG1Rzx)x4+iz*Imc6>q z^;)D6Dd=0_p;w156-;hYr@eI0*JqS`@g<4)8)=kP5_gGn0XV2dkCNF+9`-r;jrgO= zQi!(diAyBzl^*#FN{Prb`!QG(lUMQ0NJS~_uS71H-l&@1Uvg>j+Oiye)sx`_#t@uzlC91b|u7(H7*Ay zyT%Y_Wv9jvVGkgElAes7r>{NNn1o7WO!6Tu1qL#+a6`;gyjh}q^0GDZg- zlZwXG3^DaMz}doj?x?%uE7%t1xKq(6k5shQ^NVGM{Ix`szERoc+n8Pa$_&%;^b}Cb zh$npvDU1!dzQ41!UVC&{aX~&g+julT7+-TKy_xpS(d1~5dsn1b5-Gl+HbzK_O494` zQGPu=xj}iS=>ai#T3w$pa}Od^R^HziOTOJP9XWcoPPC48G3{KvXh-Yp{EDSIXipwp zzi=?Gdls1)y$_JtC5f|8lc?}w@7Vysd3^|yAzCihUNpI@sed^Rn32sHK~1)pMhtic z8w|Ur!ibRVGKsmxYS_4+dC!Zma%2wrAXU;_mBx#y847XBAe!H{^S9#!#8Gturxocf zk9`&FJLb%0KMq%0rCrLypd)mK|oc-9f2h|8k9um zD@PdVmOtTrTdUYVGug`TES0cPfPFhM}Z#BiN~sa8MdZ0bLyqh0S+y200+4i73a1XC>N{ z1(ckzeP*hmu1T}1AWfZbUbxZe3DXf2A}YzrG;PSXHzsDQcq?5UE+eB7bqdd?yMBC4M#+@ zRzC@(e1es;=@9^p@<~*zvb z_Z2M|UwI1v(yzSPf%{es6#V_Cf&k}zkX-gk?_d=&C*Tu$Mc&e+xZ5o6!pnMLod4DR z;=11zpS?2<$v%`^e$}Vo27sU!eUa%rGxWLg*9ZXlWVvCm{sn|%rcl_BS%Ce{??Mu^ zhw;8RB7=*B2op$2;yrrBgideqj+p!{5P?>$wuaRyllLO~8_(a7i9G4jZDbq}?uSJ(k#kF}=IY7NX7zGd{U^lsubSnVe?#h!ak=O9)!^2uZdeju$< znA8&RIDPb`WK$C#%>|N%KnX z1m#ic_?nW+QMG>eL@7ef$f8*T%chz(dJ*CbeFmVcr*vbHUChT?&8&ib^`ZStX~4{? zN6mO`j}{N5(+MT;BkuRZcj5O|P8il2YSZ|ZjHVX*H9Fep*5wW$C?F@f@Gt@SmDx&L zNo^oSAH8XF-0@LN-cv8743=#5<%A+x>`-&d*}YpC*K&6mHpIVGCc7MDzTSF5Z8dqk zH^yHm#BAX-|G_Q#=GL24B{J*IM2({Em$yJ>tRPK#Zv}3o8b^0Hwqm(pcArs^xwcW4 zU^j2r=y0IE1wGv?QFxuL)3bIaKi&iNeK6UpUFI3rZThK6dJmhAfPr_?AA#%~EkoR0 zz})Pts`g5z6;W!Ke}-7k5bEG(q>F~4Dx<Xq7k9{}^d!*iMbaAm^D5KN9(q7(r8Htu$pijFEP{?3Cs(erid7s`CQ=3 zHJ==dajTLuJ)y2@4zysJL>NfNO_W!9UC@hnFa%GO+6=OE<-xdJG~LtXVCuErIx(Fg zjSg7%S(>@xCuS1dtv8QM<$vYs-_H}K1qwurg+H)qs})|`Q(HCrOy1$ixd>+lBs|qKCNl!`BXZcYp*eH+PwDzvGG=ZVhuiq8QRQPKj){$ ztUX!5YW3uM0w#yzyTz@RYF6t<8006aJXMkfaze)jJbltqL&sjab=mdu>+`!E9j}_S z8u;IJ63)TJycAc}oz5{H3!EI!cdm?brjxKwwJ{&YgNYbQ#De~Q%07r zCm*E;oK38)@cy@z~K zoQdR&HPU=$B$~aGk8>qU?7P#kL>G?he!Lz^mwaB2Jn9<)?5Y9rcOP#QU%Hu&DPM(v zFBjX-_`9;=H@m(Z-3H0$>(90~l=pMlSB_l))-RV+`7wc1?e77Al~yI-=_BFkTOs1^ z{xf6YdM1(Li-+|M@%gReLpiD9Rodr+^vk`W;M;ccQXjfU`fD$-1v@9g`%CNWPW$m% z>DZ2~JH_Mk^YVC=^JnfUr}7INKLY@-uOHQ4hYUTc@2@qy5oh1&8@DWV44wjE=xuw` zs^4nkDDUa_G2>sNK0fdCxZg6i@1%F%eY5h}8&a|V~T z%RfYHdZYmrhgEOGgE=c0d<{c@5BF){TLkf3e#wapcOnUi*}+-{A*71pAX-B{H3?&= zy$PjIr1LIrVxuz7_cN$l%PzT*T3+-b83!8{7YCeVp_}(t>LBWV?@F;%qEK1lffh>V zyqE-kL%OliDsu?h21IPAVs{h)=0UX^ zsO9{2TEZuX=`MN6^8QmjdCZnnHL7`4BO`FVXC&2F(nkKA`!m)m+Nss$*W`_cWj)C& z(Lv^){R<0!u$rKVC_P9GaQ9(1b|D8mo+GuXQf+cDP za_GeB!X;A?d%>XGh+E`$cIfDoVO3SuVWgy~pMt@g!#MJQI)Ft$UmHL0`}5xiKWea& z@C?G*H)bQO(0ikhHt?Dm+!}bU_fjq&TH`cUhD z=21+(-Z2jA2S<;6kkC7;IR<9qv~MsVIW0aU20TQc)m$F>n%jY1rUlE}1;~G|{Odw$ZNY5Bn%T0PVGnQ(5bD5gRL5-W%Ka=8T8Ce&fL~M1 z?OMvc1iEyAu;DScV>DOye-aO1hh58nTT`2{Wi!VJaLo|%BsXutX)FnNatK(0T*HH? zf^7U|G~dkqOc6Qm5&i#ZpPr+&I0lj1nctXszVKx7TTJs2alA9TVU+WO` zY!>q5FfV|(6rVX0huieW)H0np66*gJl6hP-+0ixGv4ud`{v)~j6c7wJOUw60Z~T`F z9=mKe!wT3S;AH)E5EKG{b?kSqEXD3P~pa?=wFta$}?qibxq( z(O|Ni8yUJk)jb6AyI+zh)GDq0yQuR*X}SGtO4emaZ$1gJ7L*@6p{&xydD&%UT`5i4 zsPjaeHWK7=n=Ye)WM3g>+$YRQm z8UFweZo0IwrotWA^5f$5p1j_%=&gn;?cfyp;>7!3?-X6vXuTA5u`!*5v6z(u7|Ljd z9~ov_nvt!?In7;Nn78HEtkrblxPMoV?Wn{%_s4TuNg_@bX+ICvroB^^hKnQC)B;xs zPHc$>!Q>&CP*pf_`{%KhWPT2$$id|E+9SioIGLVKgJlV#-mY+@vclukxpOC`v@YCm1I{OR_cvGmTk)3mpsIW&Xa9# zBA|qW_WUkYG&@pb%WN9JbjRf#E`>{qnodG{_79~X6eV{6yGG$b?bl~*1YJndVC@Eg zU@8Zxh;@RrS?2z^flL31s+h=`2`tKnjkvH!lps(xjP4NOhcf_H3$D^4pbIz9u*U3y zGZbud4lzx6ba>8$d(VWN7zH5>_(@&{GRqQ~nInS*p6rV(+;(`_*bd1j>2832f4-j} zk9fqk>@Eb)A-vS<0mbsQ(5xcX(12ffupMtVIgeX`hFJxyiMZdU45nSJkv6dC%xgUy z?`n-6Z@`CcMTA?7bcNvg!pEK4K!{T6P(ISWMLK0Dg~905&H7a1%r>P+IfZ0&u3UG( z9w~-ELTO#i<#$WfyO;K3aN}@vH59jy3jZ5)lNa;12KN`I4zW8?wcLg}`q}^!XCht6 z5E~K+&G=+db^1V)GshH;uFccmD(lH^hlEKK?(_T1}pf zf<#ZWDVt$}!zHm7pned1K9q{<#qK*$(4z2wpcv4%#x-gJ6()s2K{QZRxc`8EO5wmR zz(N_?qYP?UNwPn2e|}kU#4p9W_dD#Z(>c%Fa^79Is&X2CvDA$3qwK(7hynL|k)eOe z=@nG4IrKt$t$TZX{0HT$Q)psETqK4k}?aPOUiRLqoiR;%uemY zfvh-Vl%Prp9Ke3c`8k7Sv_qWHqwjv!pWD%e#jkhUS?%9j@^$3}bJR%#Ry5!pVXL8* zk;?a%BO=o^P?|#{|9?b0%>C~@KRnN8bJghIVPZ^FOjP7#?1O~^rKyP;XbH#-hDwGQ zpRKl+e`H^iE*Xb{-36U+pqdKHPS}-@8_1M{V;6*pUi?(amY{u zstXk0-dd4_UWtYJQkZx|9`7I$4jvAfu>LKC)3$ZC z_Qv&|Mbx?ZDS`pqa(R0pB4Kk0(Pw8WWI?6)42da2asnzjF+*3v=U6-s>SMZ6w9oA% zmU^a(3+m0HI2rYceZqbfE^oFs_;X2zTTjw;QE*^R9ovf~CON!tI>ObRVA&M_(vg%`T-%Q;=aJarxt8{t1T92WFyX!;s-ttl_PhFMZ zPHANqZ6-^v6hR;=Xs80>>;~opp@v@NK3?^{zWZo##aplbt+R9O*u=XAqkMW?-&-%M zV0s!wuWEDuFf2(=Pxtgc!sX)jZds~EZv8UkuH5Kv-lHWDB`!4D$TW3L^A%-c5h{AP zQf}W{8B_i`jb(e+TJ8K9txsH}@@$w{jb4ddB!>ly_?#SY@VtVJcz&+sx49tac~nIW z%mO}v1}d|-dW{;XQ;gjXxEE->lh`ph&RQ`017b{c2Bu5o^}{W!SueQ5qiWdNx0N63 zd?0ZpmnT)9&w{CtMIf0Jn$GNMpgb?(#V033fI&6X6&Zz2)t zFlXkSHphYJL*^cK4wz_mHd3DnHzE?m_t~R}p@Y^es8^Wsp_MHYA9^VHAtEhO5%_yb zD-pv_A#)t%9m6`%71XehW*)%!8!NcTm=R@Mlk&7WA zkP=Ic2B%7`l*f<+BM2`7)88x(8wX60N%U2U2_lRr=}#1Oyv1<~Vkd z@JsUrxu%2Exvz%CU4TCLD+V%;+{hDgs@V$ZyyZkDV;id3fTEdc>Y(mIR1YZg;eP|` z?Osgw_Qv*xj`qfe&Yk)Zfhw*8bT$j{SWY5Co3YS>awehCEm74u8ZAEgHh-+`L3y^) z>t-KGmiU{t=sZ9P2Pp!h1QW3-=;_AoH8q2e6HQt>Y5TAJcN0hU14x`-23B^!G5g4| z)y%&2+>=M^P5EkQ`&HZv*pn9Pu;31-Vby)dXSH*#gLk&MIQ#x)p!fk$cI%C&sEk{* zp{|j5EF-^tcT1;WeeGq#Z+h6kihKB%B%>_WdfEQn1h{o_;tvhXb0c&k32NywGQD{V zh7ZSD`Q4;mSw87fETZdd_mnoyoNo$0w-GTw4DcO$g=x>^01=zwm&2clh@@EXOPc5_ zLVQU1-kRkMYzHYx&~EJqe}Kg91?T*Q%>xUeLIe#I+TLOxMhn<@fC{71y5#Bwy_am^ zig6T?a~6?v70CvI;yKkQ;AiF3oB1v8G|s&V@1BoyN6Jw+3D4CJ&ovLvH4e|U4$n0V z&$SHiUXOE!%5lIec%xps(7Hxv9EDR49P5+^dH>tVb-vlb-q2=!Nc=Ot*+ql9{g1a- zz{rIQ4h4Okx&cjp+@!J^!N)rO_sdGJRX&K(5D0mEi&OB)g3I`{*W zkT(vV0|H|C{Q9UQ{Vl~-=o!Sn$6uj!n(jc|*FFy@#U!fk*ZbpJjbFiH(^K;un)us9ncF+?W+$ekMK2cNi3+~%|a74bjJoOBAk^RoHBi=sK$PP_EDHN z0&5DcwGb2!;Ee+|xkTUYIAV{R>Ta;c#xIfmIBt&)O={frITxneE8_ zW-J9zpWjG3sTVd-W%Q@aJ1Jo{h^Xsp3IANPkFl3-0l@z8k5R6Tm%VK7ztto5Cpe3U z%_ezQSgvXKS3Hh`=OU_66s8+NYF&z7qX}MRhPa(0VXeJ3BeJ$5A)B!oo3Yv(v7fdh zRlcat(J?<}=qT800lsY${uPsOaLH$b?f^m1Ee*A1Hq9Y7THrMKk&5FW!uQ7ga&x_y zqGqMzH#EnyK1d|V<-!&pPq@8+gB35DStF!~N|lk=GgmRb%LkZmxUM@k604VSqs`-v zf(TRk`#f-fteD6Rmz?f#dl2s`JQo3y7O%rJ6G)+bXd`j@cK*z5}Rl zLrynj1W1YXrA3OR=$73h6C7I?1cVv_+YAj3Bt;ZnM-B>VSHF(dqv3+o7{2n3{F7J| zs-OAT@8?p(;X}ZUH~R|8aS&oV@?+eyY$f4k0oc@Gb>=n&{C;KQBV@B{pi6DR!E0v$ zM(MCht2UgD3lF!k^wqzZ{xoML>@6X%6XndyR3ML-8sDo}{NlFp3k*x7i9LL^I7-jr zalK5-EZ!ous5cdwR8H{?;5wi>51&kMi?Ke|H(94T4l0 z!a+f4t>Mh3`T5i~46wUp-*D>>c87#%T@lbDK$k~{t0Cx{J2d%xVwvLco3enC?G@nq zb?r#}wHoHefrOBA`B#MhEZFN;>>dnYlc7$UywgV`SitQYHY*TK4Pf1qd?g^W+ z%$Xq?x4P?Rz%}9A;cy%P3x;=1OB~=X-A#6Q5m{0hVj-a_-GF^M3NoshG9V;H8tM2N z6~$-18%qs|02$;=ZeT$RC7$M?Gp+4BK*5r#FyBOi5aPP#Fx4B`it`8s6hd>uQf9xi z@6=`H&9Wtgra$zX62X{oLuw%W#aTjtlU;gy<5u-su@cUK{$oqV798O>YEjSV7x)?q zhP2>kFFHhRA@#STi|0X_3GZoKyPGxBsLE8Dgdoq|B#M=6{vkcF*Ne&kqzx>zliMmh zI8!*7P8gZ3HxxYo6st*)mFj#W)~tib$hURR0ylLJJ>8yWZ70~;`K)artaT#HEqXn6 zoXps~%ccIU!?)IH|MZ{VpGjf3oc*+H{d;(|nk<11?>OpE#Q?l0pyg6lDEIy8nf>VnfNnR|e~m zh>_aKWk~5!iaDo&pS?U6LA^U(H^~jS$PGl?{mQE+hN_;HAoblzk{ihRF|&@udhmf( zGk_@gg27#@do~L;A3UUJ<+JlF;jKTv@?S5f>5wK@{&GHc&eI)iqKB#-0_p@=t-dEg zW-GmG!1I5*=iuC3BP%ho^}xsOCA?G6dNgta=0Ikt9|(S8=Y2N|vWn#Z2eMq}4FwOy zx{SPlC{)ge!?K;0wY{p-ma~4S13S3H*GS8l!r+qocN_GR#_nXy;WlKketSy@Prwq& z&S&|eavVO>3A6J31>P%vLZmZf)%H0cIvW0Wuu_3_51WB1J705OWWIHH9Dy4!6W{PSd%sRr&ajyn;xjDtc;tOeM#G?}cP>ZILClvBisKqM@{JKy>^Nk5|Gc=Y z&=k;K&%piwH;cIIRk`Ts6@q3!RH9jr?uTi~Pz&cT4yi!z(@#ER1Hix`sNf&TT77aK zR0;@s>qyocN3;H~>XjB>8rxtyo?qJz#NDu9wDca$JDxv=OO9{*FY%Ql@s%s_&%*1o zBl+EW%yY!2$HPEUHLleKqa~u#0Iq~%>nU`g<3+W)~qO#H+hX; z>91Q1A^*85lZ}goXHhuTPpH80{o>0z$i%FKiAy=LO`Oy02~SDHo>U*Y9#unO@~c#W znk~T1LrNHll_Ws1xTG>Nhh9+kM1aqvDfP>Z1+b4KRV9D8CoI0{0 z&IB+8D5J#59ZR%iwd8^9DPpSUmF4rqLH6n;OW>U!=awc)7!u1bMjnn12_1hbgxk*d@XH2m8P*uh;Y*fv zD=>zDb1PNua=us$k_*(xxF>4K=(Ckj8hupKMM>n2(~~Jw@ZS<_@wl74d6qxQEY(;_ z4!q6xe8SUm|AP|*1l9k*YJbtT(~~U>@X@iK^jA9yP1CT*Z8A!e+DQq~ciuvgD)UPP zyzDBEu!e={P1F>4hwuKFW#m;7?$)Je7z2`QW~y@BW6$7BbIQ8QxQD$&75YddU8<2P zOu~q$1Csh^B&v}shJn*8*DGD_j?WiQqnj+APZLu%CapKGnOY14>Z7o<-ORO4%h6RG z#m&Pptv6j7{3gZ*yDXpUt{`81Ki(xKzFkiH5qN@Ui?u}asWV1)+pgKRH_C0d#va1o z&KU3Et(=8b$m@Lq-KkG}IPNV_pOtq$AMt9H;4H9fKxM0?Yf189zrOlq)>@O<_0{TX zGUAM9!28khGat6+(XF+{iyNJM09w|ydO0r-+x?0#f8Fp0@W^hz8}+n-&>@#&DK7zx z%4EIc9K+tv z8!*|g7NYOpcP|-a&U_%eJz#p5NnJ-@V6rLH{klYoCD+L_C2ro&Jwq$vwB!`RDSQ3@ z7@}`$Rt(=PYHvL`iwRB;gH+##1EQzJLXJneHHJ?o`?R}cKEv2Ph;z5_@V?30`dvm5P7k0=-C z@8aU`xj^J4)Vo!t7+oOfL32Mx{=Q=3LLWAHPTYq1o+cGAhT<`&IIO$2uQ{2#x@J2t zieF6BdFw{=WCU1BF`Q4b`@lT$t*B^kL3m_0{z-E+=PxlPj0d$QfJcTZBT zgW;oDxxI27dGg$`rzwMbt<#!B-qR>9A$m7v)aZQpE%feR6UwiJ)DE{CfgjPtKl$OV z^;kC6NN+@8UuusuOxM~eQtV5;MmoP)Cl%5FvY_5@gi`8;p29gg!w8ODJoK_{>LJ`N zSoT?tkC-944i8TmE!2goe^*3*u3y;Sq8SaF!XAM+V5uuwb7s-k+zV%^kxxe`>37-^ zFgWUZ$tPmo1VMW4vz_!VX`b@DTyB(4e+&Fv!~Fhs11?mzvPM-!?FL2Ff=RsdS@I93 zL!~NBf+%sIqsdHt^fF=K#eK`6FLx|D+z@h8J3I0CLW+Djl#-AEtL<3f+`sb zOd!DeL8usjsA9N>sKn|qmDbjfMb}6s<9L0salh#_{l3w(+GP!8WIbN9-V=yX5fmp! z?j@Vr&Dao}GiG1)o6UOru{FiTqRv$NeF^@2oxq}MW9G-)+ZLGVMa~OroKHfl#~TJ! zhX+F#Z({VUVfGAV+&NWM7QhG;nL+$>_K7^5`${cOHqb6+?D`tiMcUu6SDxB%ahYU= z7`X4ooNmXtJFpx(j!qVf?oMeC(`WAj0Kv^lR4edpGQTgk$I~fcuDkWFLig3(<^>|2 zr4^24724S+SoH~Ev`YFMi_f0Qp;r5R?3P!0Niwk-RpRz@74vhVt75+s*lP(ptfhGVmb!2YaEJ14&DWpUvu zy{3Je4JPW1JX2biv>GjUX#^t--)4*Hqv;*A*9M8GeO2@9AQ0iK(8hvr zH2$-q{`6a{PXsz~yK$(g{XR?Q4?;DuKO`apjT3nN9S|FXTIg z5whbLj2R2n0k^s*$Y_Qi}o4$JNHTl}(381ZARwy&)~@D$WyrvX(XWsritrF=X0_w} znUIwu0C7#ls31RCSMN8Xvg;315J_S;?Rh3+gGNc=B4Tuahb){D-?I8eM>^aZYeOm# zN=;;@GVyjbn?2MX7w0E*17}hIti7fAMY{!V{EJ~De?j3R@#1nweBj{q)dvD3WQrf0 zeus3p$OearoR|bP_p@=55AJz~iWq)b|Lp`cu?r3Yo4zMRVZ@7E^CfTYS=-*i8Z59d zv5S%NRzs`&RO`OK`Hjk)lZrzHL2i+mGF=eba+@zuFQ1o-z$6yy`gFz`q>!0H_|IQ&9H!t&&N|=m(misj{Io!&dDQK(J}_?0<6|-TM@g6Ui?(_4DWztKc}~N#*~h zt zVPvj-s{caLaL+swkBsFETJyY(GppW9shoNZz2ghT*d>ZP^N!abST8Ze2)n?e#5P0| zdTUM=*zm(V1z28H??k6RrG$CiQjcLTuZ= z!PPxO649j*V|y@OF9saKXhEz}VLK40w!6c|2lorc_sd4XK+p@<2|Zs|Rci<8|Hd5W z3k6yH%c8)aVK1UWYGwW67=*q-3%)VZN|A2QWFLG)(Mpp}a}NM^u6KQ`j&>QryH9VW z(E8`lJ+>?7(m~NUa4>vvO$I3i3@HaC4xK4$wAx0oA=B`F+d8Dis$X8+MyMM6tnb=5fg8-dxZqUaLCLF2FV`v_ zc43h*AGP@g#JedZeHXMGZ?reFq)sb5IVOX^vi1H`@ieY{{eGator}} diff --git a/cpld/db/RAM2E.sta.qmsg b/cpld/db/RAM2E.sta.qmsg index 6adb96b..4c7af12 100755 --- a/cpld/db/RAM2E.sta.qmsg +++ b/cpld/db/RAM2E.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600299290603 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 19:34:50 2020 " "Processing started: Wed Sep 16 19:34:50 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600299290603 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1600299290654 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600299290732 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600299290762 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600299290762 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1600299290792 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1600299291033 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1600299291181 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600299291191 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600299291191 "|RAM2E|ARCLK"} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1600299291191 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 22.294 " "Worst-case setup slack is 22.294" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 22.294 0.000 C14M " " 22.294 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600299291221 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 3.117 " "Worst-case hold slack is 3.117" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.117 0.000 C14M " " 3.117 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600299291231 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600299291241 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600299291241 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 34.581 " "Worst-case minimum pulse width slack is 34.581" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.581 0.000 C14M " " 34.581 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600299291251 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1600299291301 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600299291321 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600299291321 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600299291391 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 19:34:51 2020 " "Processing ended: Wed Sep 16 19:34:51 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600299291391 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600301682828 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600301682828 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 20:14:42 2020 " "Processing started: Wed Sep 16 20:14:42 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600301682828 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600301682828 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600301682828 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1600301682880 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600301682962 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600301682991 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600301682991 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1600301683013 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1600301683244 ""} +{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1600301683302 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600301683312 "|RAM2E|DRCLK"} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600301683312 "|RAM2E|ARCLK"} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1600301683312 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 21.694 " "Worst-case setup slack is 21.694" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683332 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683332 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 21.694 0.000 C14M " " 21.694 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683332 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600301683332 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 3.144 " "Worst-case hold slack is 3.144" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683342 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683342 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.144 0.000 C14M " " 3.144 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683342 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600301683342 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600301683342 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600301683352 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 34.581 " "Worst-case minimum pulse width slack is 34.581" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683362 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683362 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.581 0.000 C14M " " 34.581 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301683362 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600301683362 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1600301683402 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600301683432 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600301683432 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301683502 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:43 2020 " "Processing ended: Wed Sep 16 20:14:43 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301683502 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301683502 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301683502 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600301683502 ""} diff --git a/cpld/db/RAM2E.sta.rdb b/cpld/db/RAM2E.sta.rdb index 67b78e97d3bdbfebc2117ec5ba45fe547a35aa25..4760e3f7967d4a77bafde86733176e14569eb140 100755 GIT binary patch delta 10593 zcmX|FWmFwquZBXQP^3^?i#rr6PKzF#;ts{#U8lHvad$bmJH_4IT@LOpm%iUxcYaLv zPBKrj@+5m^O}ApPQj`LKECmhq?^37vPe4(;`=2bP{hxG!KGHI^AzzT2{oNQaw7%0e z`0?{fl#^tMJqUcX4=MBjMl{1?6tzR!19v?m|pAIw6!?Th*`=oVeka`c5*h2jJ zg8lkJwX+6n5j^LZ!&1L4U%vWZzFs_Co(fa&qtKW4!5`=BivrWf-QrT&Of9Ef@j(3S z166_VNyi4Y+pl9gMblc^p&7e8EG<5~9$%-LS>n_y_+z>HwdT9L=kqUks9S~Y8Fu$d zT_#LKPN;4Zg=^pOw1Xoa;8$d7zMnYmpXfSNt}KN<l30#@@ z+SJroi$Bo4)*z z=S`Ru?LCtgP@nsG^|-JD%RVvEVqdY^L!soYi$^Z(^f04FSm^Oa#Tujzbgo@9cN!MC zwJMg-?YTnqGlE?_yL&7RX>;RjpA9d<+EZj1R|Fg6sf15`;ZjJu3c0;$0@U#}6_hXI z%M=_4F^>egu$_DB1JVR;{SZMasBpGY>ng&hDJJS{(gc4ouoL{w^< zAT^_b6SmD9tJcnz--)PGmO??tCleJ8a*ds2XVEH~CdE0TJX>2^H|vUV zyRZC&0FN)uO$CpSZ+kd_hqK9$Cq|jhZ&`+CDuU>j9m(H~#UEy#0e%9@+PALAx%(jF z<}o?*P3nEyZ0l_0T=tUXor$xUQ-w2y4}XzTPS;JfEi5sPqii7;{4>fhPIAQJn4qp= z1BTWi&6c-@nQ#J~I#f7!fU&D_Rwnw4s26dB?+?#?4YA?KzKzib^%`i7z+hBRXZTCZ zH&X5|aXMdKvYqoDfo+681wOCdPFVmaxnE@WT5XQkUa1g4qK;pVKv+M=;&EZ<#D#b> zHu3SzVxO(S5Z$({pf0xS>efeBW_33&h+$!@7%gBMLY`lyyVdZlIoZkaWq3rWp*{w~ zQeqP|Cwv4fO9u+c2!}6-mWTJrK!oC6*AZCoz4?V2?Lv(P;H-52a&ZhF*msc1VS zCvtdn-|h^d$$a4JmSjm`?okb)qdK10_}Ub%O}dN2O7ype`X`j-^f4spqp+$F5?!9V zq6p20Q{<+xUOvRHwES}VG$tWukA{1N^j_)SR`K9f@mstl4`77(_i^ z>;U3^wq9JPz&vjH;p_Aj&%_j1iLuy|h5#4rdQ%Nf^xbaOZV8fWAVu-)W@0DJ-e&U#txq;G7GFeX|#``9PeIBU%9Mh}63}=ISV1q}0{$nE%ecCwL+v^#b+C3|W(C5e#F4E&mRCQk`Rld&~4SEo1`Q|$)OKz690 zKO!O>H9=O;d$(ZmcjjoUN(pif_#$I!NJJs$3TP;yHicV1Cde@OIH2GsD8|HM z0NddFl`0!LR=w13?baP~db124Yi~Ir`7@dV)Vs^eftV*64W|yb49dPCGnsnqg{sK3 z|CV1??Um?*Fw^uVXt4g>0Ix!)ki@U>!;J+;jnN2INx;@R{t*U2WUI5RN#N*-Wvvj^ zk*)I}96?8i@G_7a-nUY3Dl07<*{nbmsL(S_X1y5tL;CCSnt#bVwqpjw{a~G}Kta?^ zVSk)zlxfl0V7ZJ z8&g1uGTc84BdhX5IAC-m*U&}peCGScl%R5b?2WgKY7G%_ZL5KvJ)?u?w>vCLW-tRH zGA7<+N6Pa1*VJ6jKN$)EJpO@`HU($#f(XPdSrJ=VPcA_*{O4OF;(LCq2e&JCuj;4^ zKceYS8)@_<{y2Y^-zRCt+{tXzF~+cP=6+^Ir8cIgZ<_;sL z(}wD!NF)unVu$+U14+^Bm`OM&(Vit{g?b28!g>9|@Gg8q2$So~!0%Bu%+b zmvy60Ry`RerPI>8qq*f8ufCe3aVcgL6|>ey*J7P`5i9k;|I zJ>(I#5Hdmwf+b57B-N!(Vg2 zvSC$ZaoTr(;>BJz8%k}F?OQ}g5}PSyq+0gLAJ{9BQV%ilms&!yP;%pUqMnfFGjHy^ z5ubEfn`pu-IYNp1dQn2O`)G}yVec(YN6o@L7Hb0WhU>zLED`C1y_DKb9U~Oipp4nR z0zHD|h8LEy1tbMZ^L13MCV$&)0}0X!ROZZ5 z@lu{=hr2D5mF_8DPa{z;;Xy=L!LwPRhMs3IT259-wBraiI-b6llRZ?8LoJAxM&QEd8rp5E~ zzATy=eIm9>oUDL#QdePg*ZN)1SW2vb=+J>ZLerYC4rqXIVP&EN0$y%}u&`_O@~9Np z%XqYBIQ|Z-VDoYPk`+3{`|~`XG^`_!p%5 zQ=;F#!FFyn@-stN>%P%<`KR)Aqo6vl5r6Zb4-3O@(Yz_zl3x3+A!re5K33Z#WkW+> z#kHJo{JP#WaS|7ybu)XSc4qqO#{ks|j?+UJ%kF@rNszJj0Q+LDETPS&gIucB2iWvX zLF;z~AovRVT*>cpn3Up#Z5BoK4`UmLxX*>HffgqZpFiTM{8Cstq-}_Glus!l0XGHW zqfkL}Er}LQNhl7g5^|8{BGX;R^Y-lQ=BxTMDT~pet`RU^863)YRVT=3=K}fdJbYNP z?Nw3K&*4!^k#K zRmfWlc-kFC=lhvV?oWFS#JaM47>gKB_?y-jH9F61xKF5gHuRU7Yfwf8&P6GN+mz5) z(fS0b!PfU--`6cqlmDCl#5bTS^E>hjL28V}PWbur`2?Et@&IHIuUzCKweGqY9eFvr3a zF1xz{cgDg@XuK($W?oWWF$I5OmXmg-SFW(acY4I5iHNYVJ)f_?Jk(&QhBXJLlo^g! z7iyVj{?66OgT2Q{?`MD?9ph&Gt<_+G(1_e{cJ{u`0@h7v&rfC!h^6CGHWMM}uGmw| zjW2j@a)+IbQDu2d!<+2Q$)-clw{0>FBXR3d%opGN1g2zw2u9J9jGm?sQfu_4ai=gU z5!mUUV;<1OX0c6KAY~d0JZU?{RL>8K%_GHEEsl)YqDKtQQmBBL$h27S6;n~+Io#Su z3bTFxBUi$+C!b#Y=YuAJEej<$ohNN?z#2zi+Lbqq2;g;-2PS@Msk>nScfyKAaf5jh zSNjd2s8dSjGlk0@74n`xJ%6}R5fzRdTTR@)qnj8#%r4l}K;skY?L%XybF*m)kGz=N zL(jo?K`fD-0t3MZFk*GnO)n0oqK^&k4rY=Q1vE5Xn;_ZshCe&Ptswnsb*6R*Wej`i zL_*#fnW}cdYWKS%w01zM0{^a!oUgb;mNgg6n>JT=@{%56fAedl4N{O#bSnxss=$@f9^_nLDi zs-5ZYJU6<|_k!mR^$*@>bdrsx-H{iw<(S=PNjzt{A;Zs9F$#oCb)hbC6MNfpoM-AJ zWF}h_p#pwp$wbhWNc&{XttcE^D?gHF-v^P#nTJ5lLShrmuf(N1BUDSkX;62hh5RDY z*;r<>XB%={;tcH~vdfOR#RH!YT$}TQN7yaUZTNpknjB1{9HWl+@WTN_1?9k|ZaKeD z*tZ!YXvxz;zIVx#hHHxU10<&QroqD5)@Gi6e*%$iwPtk^U`MN;#Y%bFK2w(bH%?00 z6iRdngNCzQ9dieH%C%1{W}c6w!>q)uubxwP(dZ|_${+r(k#NAbGCh#CQedm+W92t9n3J!l}m`0=-S^#vVgj=tT1B%A>w};4t6IxodB)X z@4L4*vE578vb##j7J6{HgC7Sv60$V&w1FukULU=RG4C~2>C8Ems}aS|ToF|#jvJ7C zN@vLv=Grj*Om3`A{9BH3oegv^TBobD&(m{S*7^}ng&IO}@~!iZ*Qq_s0BuwFK-mNO zvu=XMoZI@S#@5n<6Q+P#9kb9hvJF%x@H{VF?UXbAMbGPhdH?;Q9Dgr4XEQ- zIr`&6GOkZ}{xp3DHN=@I*h_XkH+qL+LEmD-MWiDhW|Z@1AS&!CLS6>{PVDB`2R`D% zfXX~iYyjhR6GnS08?Z%@xliTQN4R-wh73fDX2e_gK^AbHT`Yu&*`_^(OfzTqAq}Qa z04uY-^#iB6#{OD)Dw$?pCyATZc)S-ssNta) zKO3A^{8tTh*GRBoHnmQ~G5I|2+F9%Jsm5~Mi%PbE1yzHySn>S{3CyiV=x_H@@5gYF zzkC+!tJNPGKuF8wjPFk5U~Y%@KB$;i87{t@P}%u`XFZ14Y-DjDUM6TZfHj-Vcbf>C zYfSAf*Tuij77;daw6fRpBgo5#NXwDwWSZ53mZrY*k$Z3|G_}g}=xW?B^@h2R9U_0v z(aC?bzY=VyI}-V{uKo5Xj-Db`d%!RSmBT&PlKHwNjE>$SA0mu>!C`8xT0M!If=*YFe|8av5;$g5H>K%YQ^&~vX`G_&pxhuMXf*Gn5oQHHzW zMTx3qR%6#gh{FY#lyjJTuZ60S_Prt73#?oGMOHv`^uWv>TPqk1xZ(E| zjm)_k+dEaT&n*2@%#v8Ezo(hsuO7>8O@ElVfNN((R3Q%}hZymFNw{|jvAC8fB1x_> zc{Nubx2DO+cCx=E`sBX1z@b&vNxhOqv96pe^on7Ld-tlf+Yf(M^tB-l@0M9HbzOa# z&Z9LN(#X4Ez#!D2Bq{Cs#Z_SoGq#PLQWjR6)FG+*IIW z?!*T9Y%i|jl>gqwZw8a-NR8w5kRXrs`5T1DhCwaj1&M5mXE>TEQr5p#OMeD;aJKS5 z+0e9ovA_GV5b6NtP7z15bf;HJeOv!w1%NwA1c**j1caNA<%7y>c&{WddI`y}e2h>nCY=>hd65rD{_}A0W$|ig=q!|q= zzERys@|hdPxZeJ*bVq^2622j1X>I0+;1p9dznlfc|6A6-gFP9cfwDYtu`s5<0YG-H z#UHdlNL_K#=K$Yb+$m-{Os!i4d6k=ryp6%=2N2WW{fw2lZJ4`h+znCzjfWu-v0GQT zQLCJbw{~WgFy`=kK5mrgv%iw%m|S57{mY#;ts3@cdBOpE1g(y_!JtCZMe*S-Y+p@U zC?Fj%8P~enqOBf1uw#r5X#>bO8r)24H+f(ESVkTOIdD0f-!J|<#Y}lBbk3Ko@vAI-U*`U zG7DGI*br#dTE1tUvTG}Sz*sq;iefyJ z#NYF#kln|X6m8eBVlx)-6!)MNV@$$gjt>(#t^c&!zjvZQq8eURE`&A(Mc+Cnwr_u1 z^p*Y_9K)Q1FJSr7OrOYg@do)}Hl)PRtVGEb0$~uvgK;$C(JZwcBU3$E#DdOThIxp& zQw-8HT8XSQP&YuMFCJSd@TtH{w=5Z4-xr5LtE0v8qYwz*iCMjl4BtiCPg(*~NQ#D0 zobssoJ?Sy6mQ7i($1lX(5W!&8?YPyUoDVm2rjcO+WeLSqfpaIv_f|R5Yj_^CuwYs` zAv|*>y=f-A#v|{yD1sI0R$-kL$~VHR#w5eh|HbXjs?lUv5Po40wO`YZ@HpYe^rL`| zs?3!&#Wy{w!^miseXrZ-DFKtRwNQI`n$WRK*o=-9_-xIQ%=#{UA^~A2SndmjD0A+6 zpfkFhJtMhP=&Q3nMnCth4&Xp!C;l@#Bsww7kgN=IB%!;&XWQ;kqH|Mefehw6PgL*? z++X+HFMTJ*zwDG%Rdy#pDON_OVxIaaxeA)E+H!gsMK0+gap#%$g(vv9p-#Ilc>`-p^PQ z_eQ^fDj0!YxoBm?q~l+$0(Qa{#PY%n6Uyv>?wB7P#}rH3 zCD%K_J4?E;U2R(Qqvp$@gwE*Hs%4a@2uGqjPuphw?t5Vr*3gUaH(Yv3Y3x7dMMFm> ztvvfb4;?BTTzpBS=f1xzT`mx`SUqwyB&=)D;Ya1M=x6*AJ`+`T$=fT3tafHZ?|R%} zHBgy+RlBPoz>TqINS|Z`q=%yH*pldr^M?h7aXM*4Fa^uLAE-U(2@kM9s70-`G`MyGGzIMi``y&7Y~jt37;WbsE%X!IweB}{w4JG{-NdS!iex|J8n0J|hR zM7Ty%>71!KVcKk}-Yz41=`-q2bn*(1*W$qdHE<)rPBE7)5w}Gp$}ybdlF;LS6kpgC z%w{Eh1{O+%>H_~w^oMv3Dzo^5%|#~}1HO^}NMngMXf#;qC7mbxuc3pPKVa1-)VA?f zwz#Nbr_>+fW6iv^r{K2P-8UT_!?`*&!;4rjiAJ|tAVY6eTPj&Ry&oc0pAfk5-xKpu zwLA9FLs}YEo3&iu5b+s~qOFnn0Zaq@DsP3u3ORk|_7UoH6?=RTyK?F|$u{~@MPrHu z#tp@J(Wl1bHcPgl7TEJ!(c~wK92(PiH7F@nMlwlTS4^PBWp;ZvNbnqi#nH%HJG3XW zq`$)FbhXSbdRBqRkF$ICP z{l;uS6r)tg*YFXeDHCWT=f@kdAM#LzLA+)vW|2 zm{K!bH|irhGX=jJ4S>Y#UdM_|POsVc1VFm4>ilatzahHhL%An&TV6r9Ut8Scv_%Sh zyaQ>8P*Mue-r>dqqNgI_c2}brJDM3a34`sBb-WcNsNzR6u8*E;=J|pCYmaxpWXfBi zHtkRCH!g;}m0tEIlblkSj8ZWXvax7!YpFS^OQIPA);X$;3qXIxx65S6%T1Z}qE`CP z2si(v$l3Sm?>d~#XHB0PtiOG${KR>v{?Yq!qNi@s0Vh<1bG9NNmy-ckCsn zA6w~`B$Lk&J;IKg*^kjy9r5>cp_V)c5m(kEgPIkFqsO~Ufih)VUG>jn5|ibHhsx z_E`&%4Zb=}?|h)nWWkA!O&slqEX=TrQ>8M?+Wx}sXd4JS5p^{GO7p|A4^R3#nOk3k z?}=lISS3;^T;PgfyMgWsY~dw_c}2tq?6Q=04Hd#yrtT4rJ;JfkJ?FQd{!Q=8u@($w zKbkhbmsI(?gQJ9K*k%)VpB9R&7s>cd7~40HfT8;5?nf0Mi7M35J|)MU#5b^$MW-QN ztwt-t(zsrPq5Jejzq0jyR&V2IY5t?!Zs-zPZ|L!FtS7|_NRPyvP6=5{1H)wxL)yyr zy&jUDlLkaE*L_59ew8xl;c!0Lwr`I()@8Q{j$Cf-SF0Q{=#XFaYn-OD71ht=(Ib>4 zRYnPfffaB$&LD8cLTy5D%w^JsN7U?D7hig>i^2dJ$LLGi&^NV}!Pl~fFl5~BhNO-L zQtQwd+Dv^CUz~C&!!W*s3vM=Mr+)h0ZQ?_PXQ7@e^&*;6NlFO)=%7%LV^bix8U^Ib zEQDXMq_{um3odM|!>2g1%W{&uS+Iz<4PqnmjiVpz>_Y<$p6rMUoEVDjuq}5c%FH?rFcK8dp6>G&_xRG zyR|E7(B%4xhMceu(nbo=W)K=b9kn$fMgONZ$ay#pmk-syRfn`n#b*Aq8VxRspS7C- zS=+VzkTmN?6p6=FS8`c-!XoiO{6XNEbfjsr^@8;G(E0iJ`PP$XH!py;KY#D^%slrM94m+rg(PYK)u5lU_txbai6|zx>SZRn@*Hr`^2vIWe9~Y)^_#_;jz+f zXN-_B^C(WPf^(y0$8CyXGOsOZXr;W!>{r6#Nynvjr)3r%|RKqv~Y+l|T@Oqv@J8v4K__K{8Hn*Bq zYHoI|;vUB~Z7^X9$i``tfYmH1zKfBzFkTR@^Q)i(O=?qVHsCE=S=3oY(0~Jl zBNn&cKd)2@gfg4|txGxWC0M(XAfHC3eA#W&d4S%IbQJ~SwM1_2DMJdFDH2C3>AmGn zUoqo!CEI=aQ8T{xj%8BLg7q=tBtELf?i;+xl=G{LGlWkak%a@}yr??tG1@~0JhJ0I zXS9IL+gfYr)E+Z4G&<|-G)9UVEKDUB2qAB_PAXX4=9V3GBD|*$ zE7aIeno(}4*6MR7g0Dv74s#ATOzn|U1X`=LKn`CsWU914!<*?N!ZSQwjs(w$r|wg4 z9zXAF0uC|s{87w@aLcxaGih3a8UxQq*BnE**z^L4D5UYlqJk%mc)P?WqAnu}L%3=d zb&|LOod`t;RvlA^;+?YHYN%I;7rT> zy?c<+K}0B5O>+3Hwt>Bg@i>L{$qhyIp6J47=p@#U)>+0VXVXs}UXtlrr$@m*m;=)_ zDLywx)nRa#Fc?e0E{nr0kWcBhR6k-B?X^zx7@&A!&C%?R@5`427g2EK#X`E6*^koZ zq(Zo^Fg*88xv#iqw_0P*`(5*Z!!4VW;Pd|P;t0+4_2#aqjv+xP?bG6TwoB0j@3$A#ycFOz7P9p+bB#pF})b{ABxANfHQ4srS&R=TJWp z#n1=Ib7UhLaxLYLtWUy2ELETZmQMNjxWv3Dha@wa$TfO0_doPx^oHy}uo~h(&xrZ| zBRT(v1k0gQT@!XBR~1%)(QF(qXm_6MkvsAq&NS%0dB{z65QczO5_N3nF(p3qEr%@H z>!V$!vaGObMbnmS=AmJ*Op-%HSsb{L@f5y>y&8lVmri5_Ixr>kFlNgL1ov1zc z01gtr+LA?=Ch;N0E>@pu<~kuSTY@xL-7)e(b8Tb&(Zj9A=|5@jM2kr99W8s_G8*ot z?1trODW+noQl-2RtF#}a9;(zp4R%;<&zk&z4!$T0*wK%SF`VRbd+~ATWC2xp97PxB zC{U*595UTcPh4Wdugwk<`Oi#TCTMa3O)oK#(`D`3T@%*{?mMbTzo)-ShowBri5WWf zK$(~haA))B?Ia4IPq1xc!We5IiYMr%^+0`a`^%ICDxg!|aMlysdGBCsqklh7W}2i2 z#m2?v2=jmok4*!Yjtb()%!t-!hVm&F2<(9p6G{8ipwE`GIodrw{T7W-GuK{8%HabS zl9LwDILAE+Wuia+Jt9@sd=hbO#Oq@C=Izsjh!CZgv}m}uJLxz)m}M2$4%8g8ufXx6 m?dWsRqb#Y=(&HKk6uF+ke@kQ#Ik~4T#{L38Ri9BSLjNDbl_G=y delta 10507 zcmX9?WmFu^5(R?0ySuwP1lJ%71a}R#xGwGl0xV8&x8Q8B;7)J|E{nSa3HI{k&5y3? z>eJPAZ&mfonJU15Zn6%DPz@I5zvq_upMYV2`@fW9{lD~uJvDJkrvC^y4;>t?KpwAp z(9j!N4?SA(*Z(cMHQ}u(*01sN*8Ary>n%k7*dhya9!hE{&n=61T==d;r@e%5svswGT}*zV}#6UMi$aIh8oP1B~R z$Et02NhU={Mr2`zxMV#r`dx6ey}a*#6IU2?H%0swhlrs z=0}mdgQ5`0s0Ui>EO_pT3k#^}8m=Q#-ZtlgxLWLzxU;yN9OeeyyVeg3*wqf5cMT)q3rXh^n`qU*M-n)JV z10Qx~Dz$d>Wi4rQ{ajG2n9!uHZGng$-9`l+aoUImDd{j-xJ>;o z7xaF;>0<%K01F>x)txSHBHcTBrJ9JP`=@R5X%S?^;%ZmSoc^tcZ%mHwWX?eta)UWt zF4Y{Rg^pDNot!85#?lBK$(L7?GYc|Jn;YeQy;*s|#JE>F?Dqov9NCQMdSKtl96gJ9 z?XixAo=pcKGJP?NkT-GVQD6kT$Z#Sridnpaf7%x0mm7U(A1ty}*YymTClXykjZXBoOBUl)+vfiSC1@vg zs_5Ofcdeh1!Y*Bq;u?ON3`P!GChhyNDT~-Gz`fT^`7^1vjPR8xN(lryf|KTCmG$a* zr+<Ilbq zdIb+X1wUoC#=x(99TkRsu`X-I4-NpILP&pYB$o>d^8FKT%Hh#~A!%D?>{Jv#GD`e%{ zI+&6OzYv3_Ic)=nfPrc4xQz;{18N=tDjLEq8FfM)aOp z?zzhs<0mqksKCPth;YGZ>C#cSlQ2y8{ngOAeg_&4##W1_1ET8H= zLH4-1{zXiGURoi0LGuEc;<8fO=~7}tWA z59#D^Gw?&aR({X&DxyuCJK~v49Xdu+t(_Cu@zCelh7z(?+KmCH*>vTAVm(jx^Rnw0 z=DIF*{a(m^p`Erq@t2^~Z3&+b)S>lu~_puSC9~E}IyP&oIK}ARW zk+G=|B)H=iRHY+9_G_Xg0LAee>?F1~rB46Cr~v&SZoODb0xf}n#2s%z$FdG0mncr- zZtmf3g+LEL*RK9vIBYp{rRHH?p_`&IA$+!;a}&eT>5Nl$u**DXUgp-lbUn;sX#S)R z>VI}-=8?k#`@6P<73JsgTKBsx0?)nvL7TYDc>_6LkgT}KEuP^gEXh}OOhzRhJ<|Rm zb0PHT-l#+3(~Jw)g43?jcoEs8ZjMropnCPG?$;W6b(L>w5^ipg%|pzs_PpRf$>pK_ zDW%4+iXW7&tqatysTd%xeH^1^Zhn4#c*(CHOQI(CVK!v_65A41lH>a8B4twy-;o~r zvwfmL2bI^k+?)=L{Zb#rr*-zdDEM_QqkjB|EEf1=e zbl6t5ZDR7G4O;m8!#pg=3BL>Vv9^?Nefh<}Z_q>UAgL_F?a$AgH>+GjgF{&@JHOV< zmq)s6T)*AWuE{KId%E8v`fw19Ir&@}-M;U@LBwm84=Hb0-WVkO(Xqjkekh0Tr3}<1a?O zl7X&7UgXiRa|y=ek{x7hk+Zmxb<|D``0`o4C)BKt_)oF>j_j0AP}rV-_!C;AIN~31 zB>`uf>_8f~q*U~_i-XbOx09|o&|V9==mS{KPvW(-2_KgIGA>I_gaD}0vs`VvcPE8% zFve`d8-Epi5@i0T&VQ}`B+Gt+-=qQJ`ER_+T4AyLamuq#*>n(vG~)l97@yDk-iHYS5Dy@h7A5 zJ>8XN&Yqe=j@c>BLzW%}Uj%N-VwuwQ#XGONWW=BD{@lppEsKr7?5x6!x&FD_K4i#3rRUJUa@-2;Rub6dyP^BZC6(eJ z&cESRwuzQZ^nzEZQXy)C`{zsI^#T;TseanCuMgD0OpP zZf{+9SH$tXN1h}pTuhVQ?E5#clzaKaueO+y<`I^%!{o>5#9EnZlFsz8o^#H%6=Zdu zP&wQ=1{MZ-Ty1S$#~{tQ!ud8kExN2Hd=fhmA#2VII*B4t?L?4GdWGx3?CgGpCF&EZ z+8RgvS#w(1%@D@m>|{>8{P)W67jA4JR3=j-16xe6Pu$LwfOT!8-vz>T*EP!HH{cE< z*tLbqwXKf>3QM5mxI+Nmy_4Roi(gIi^{5X2RD=pBq;`*a3SYl#4gQdZbw`@!r@Pmm z0%k{3dk0lgr~_#as=#{TfWNf0`O~u9hE(bT)V~aQsFq#fS5(2xy>jlI;0dJ1d|A()O{)Bq@y@?7a-i;7nVO<-#99nRwI3~h zhZzKCRN7J8Ra@~D%Q1*`D*}i3)t^^AsjU)D)yQnJIGC!n*FK6Co*g9CkGRsV% zw##`W#V^n+aiFpRyng&8bF>OIpy)TKlu{}E536)*?Qka^aX+ZZ=%{N1iAE?_Q!tI_ z`y=xs=gr6H;!PeXI@|mLi(ZX&T-rSF84p-Jt^|5wzZMqL`>{@6+CpT4YvWJ2VE9Qp ziMmKrLqh$hw_LXqeO$zS$*0+oqXf_8w5G>$nSsID6dA<$op$(Nu0{35(9dIQGrgnb zRUWA}Dvb#q^=kL*Z^7j$u!I&YVGuFe_*B*p?p=Yo2OzvEj+N|okCV-m?jY}(W*It# z+EP%t`IY@6GpsT(Zd{DgxKf%G&1gsRtorfTv^-L^<(5nw&e|l$@)=Fe$>=CUI{xP< zfj|^DVFcXX*#>Olh!JZjeG|ZsP=T#|4GsQP$CsqWIrhuX^yvaQjDiYJ<4AdNA>kvf zh*>_obaw!usM7B3@q4@OB zTzXPPi;3eU;c&7xgp4cZJ=FlyULa^0zsT8I21NV-_J}Lm;~HvH%=>)YzE>zJWJ#=T zg))Iu$xN<~hoppu_92=yvAB4FANIHIgb|G={>^rSE_bY#1M}8PnO6yH6i3UMgLG~_0-E0~)yU3_1@@q+1e&dF z`=a!-nSr}{UHL%@pHAlYr+P`4@TAjlgWGK)r^&d*$}A$H{H6&zrAp??aB@M1-*ASA zV~sScGA7OD{z zm6AJvcQ*2j$xJYETM3LX$m2m!y>|#f)x8%Qb%^SsDg5b!#Au3k5kaEZj=nIJ(~iQb z^4~fmw~3`dE&f_#eg4>7rAzeN*~XLYMJI5bM3x4K_x{R$Tk^Tw z1wK-nGoKCqK5<1jv(A7O@$pz9az|nZW_$7**XLD}@*6m`-u;tsw(W(G#bkHkA~|`q zVKU*gjL)j*oR$Oz8)cdxyg^u{viUgi?>;RJOXuX7+MfIjkDAZ+2pVAC%!>Pp8iMgh zMNYbpbG&)%kyKv*H}g)JA!ijANwKG_QyC?tq|fxSamaEseyOrQ)KBlfU3|$|x5w%W z*xC?l%+V)C84NcGN~n?vb+$WB2KXhl;u@2mne5#ZI`Am>#UMt3fREU;D^C1A?w^YT z4-g*eIk_r#jh&2%-Vw~hu4);UsmYk)&UMO2=Rz1<@%GfoZ^g6$Wzbl%=BxsqGz8=} z$vP+D5ev=Gz)RU@pQB(u^{y=fc6XPkCz--HostU<@I@_tm}Pfn27{6t?=yGp-*3u} zgvj8JVUCTQn8;udHwSF(-BI$oi;jRumvl-x0y6Cv)fnWO=`We%p7dp%ip+Wg8Zf!Y ztgc$AF|jK_^l10%QPxH#&Z(0u<(k&(!7x z$fgk~FI+AbKh~ZJR+v${Noj)oZCBAu96)HuIt%gVMD~d}zNYM;<~3 zm&@2HCvOVKt|60+6TGL>XAvugfErPh#)j{xC@)m3ru80<`2uqZk)_D~5Ju#iC1T&I>F%(4|KG5{W0>JOqy{!5IS zTmZnZwVBSi0jf%Nw+dST(TvqLsnoWF|02fm87Dut$||^)MkO}*G|a%EVLm_3#k`$u zs%X=>dQm{I{n5Zzy_@ry74vr*MNTJ?K!nfu>chQ8&|dauG?HQZc*W*qN}@Q3wrMPb z$~i~}_(q|Ea2@n~g6mdDGWrtEsEF2beeiD*q>x(tBCV)#p3g7R*h0s2|1+B$gX?pg zaV*EN8NbN!!yK(XdnKi`Lm6YacT^Z=W%Dy+Y+E+CiZ`8{!jvsGc{4>SBLq!dvCdfE zVxMr%-~ms4nPE#d7>bIp7XaoKfbfB`*O>NYTt22gEsKxjEkIx0D51dysZWQ0XG>5? zU<8zvVBuo3e)PpZ4ti}vFu*)H53REY_K0HvlN^B;60$(AbC>*Cx%24=lYVa+Dn2f# zBe?*Z#o`UC@&szn)r>x3IyoY~Fg^lpiWML}$WJFAe(z}h zcoLc)kcg(OxdeS1L(OVCJo}sKkI3f{z!{DWj)$b*UL%(SkV@r?v5m5qaM77`T|v9i z95k3o9q6?>!2!hj8>K~IWGb;g{^qV-GAU^;nVqzYgMkX<=iNn#=%xG6p|BZ>3)R7yv$ zf54JKW#fp}lR*jztkZJ~vhAu{IWJi};e^-NGj#r8%Cp|#4*4-oqMOd5 ziYM#{XBKp7dP6`^!bW6LS=GwFeuC6&U~&aXF6UOQTXz7Gt(l|s_(wn;83YUj`$so5 z!pT`!^|-6=3?R94^MV2%Jt9bsSdNZ8K;3y(2rHI}Iy5=%Ycl-_guxE#sKw`aZRBv3 zE`8RRZq2GfGHfKEU&B_*^GYwjp@I-lJ6dGx3sSW?r1K+F<=Fe8iQWQ~)IWF(v|2a2 zUc?PRf-7uW?1^-$IjCY7=gUBYl*Ta_RS~zy8Tm+V0u!rKlwu&;Pgsd~`1)f$+t9c?QPQpZ;5)A#DRa2VISf z5O{cfg)I+4xc158vK5SQtTSvL8rjpXo5<_`aAUoQ_8%ol+|?p>?(l!PAfU;T-14Y& z*YCX!B?!TRd`IO1$$I!CGeS}X=#e0z0a-!n=hc742=dV$k?|G`O2JX#5ke@%TI`A|1I0UGUa$m-HpZ{lUZ#eR~ef)-!e9$D);`$?7%smym^n6d&T?j!?)aB}-4;A|DO1qCnMZQcUT+GmX1y zWbNl*UxFOH^``(%-bnD*#~gITZX`cz*!=|*gZAi4pvIj*XV zas(Jk5hJbVP0zhzb#UA_0L~L$XPDNcOna9pK8$^Futhjoauhtkv~}5b{xh~9Pjo#$ z(V6`RHwp&mB>>Y==l5q6e-x~`$ZrctVVej4>M=>xq2tB&dUU6eI#;l@H)RgpPs4L*EDF?P)e({rCr)?NS4EcEIk~+pH?5g>9X^B4_WBJm4GUl zhHEB0702X2@Q7BIufFd30ltJBVNM%%G~dC{7P#DEN>sE&N1+GbT*M(?U16VfM8nZX z;`Oe%DxDGzD)6DHpPFMG2Dsr_`LI*^0OIRnX%bM~+V-3nm*SfO^W=m`{Xog?o z2!RYPzXks#_wj1)VFR}wVmup2qWT}VL>ymB^?9u~pI|2wA#Q&SR2;+i>Ao?y8j~4U zdYs-w*M)%n^|TZ&iN04c_3?xjlYhxYN{J&JhDjosIbtK~K=YfiL?SMaKd{Zi;AEPP8YzL(!~f2GoUVfA z`F2luu8@hCA?E}2{7*$f!cZHgj zq=Mn^0Pa>fs8|b=id`(%0B=he_3;VEKtqp1M+lnVQD9m+?hzY?*q^b%bJ^hL`7Mp( zz{qmyiP@2IZRq$6MwjOU<$r{UxYJN|J9f5dUIXktVDw8Ly6Ws!pAU7Zo*qmR1r65v$&|+Y?PYQ49lc`Dm2%$ z6H)uvnN5>kq5Zw71|kC=ST9x5Q)obQx3=r1Wqbd1m*RN#MeRPSc!s4Ri@f2TF{=HQ z;$wF0{C-1dE|Y92IvQZXi?u=zpNrNI6!qFvjAhKeNpaU5$@A5!q(57|G7ZsrI5zhHz! zcsU4gJ^4ABT892nTC-f1&2}UH<6X8MokaI(gNXf=?<0{w?cC8!YyaZs6$`@fnEaK;bh;pm^7nX%k-vJAPk7je?wikEWa>BvKm{(l#N zi{jh>0SjOd8IRF-ZD_T9;$$z8Q2wa%t;J;KziVdj36;T&VB__c(;4tz2|O!A?wS_g zWY>}$kXJ!a*&sXd_aCz^l(kC$9y=ST!(9qMP;u!|Yckqnv;IP2fR{H>6B1)rX02nr z&2A2|=@?IIE-goZOICN!hke_XaiuwMHku!3H2IxLB^iLxd9g>b2;Bb{ylJ!Vwb{%L z!=PfbLMOj~VM`I-y`G3IUnSN{Yu=wrfQMBpDM(cFV$3YA*%vP|%_4D*I_KBPt>q~x zRy#fiq61RV^W$FaZAzioYt@w`?VRb+V3$__l@@^+3=4oKXpfOkxap$y1}-jz<_XlF zjwF*BW{zl`X9ad?{drVC01{rMZ86Ix7$$>GGsR*RYEfT^g28(6wddamNw zJk%C?x^X6n3u|;ATc?bw;aS_V=5#eAt2O;ju9nA`2^VmLb`F`>h4RX-Bp0#Yv+4p=KxQaPHKh+I!yU%(=#9{>I zq4{_SMrcm7oiU@Q^+1q4rm{s^vgU~3h}_sy6tSH@pF%{O=hWpV*{L=y$3Zsp1D7k! zMvThWFkfIix(#{PD}KWsK`t*ivVV9&Cv4{-$vGawsb5l8@EgL9bZw^k_;qfxY;E~4 zd76f8%Uq|jv%aX#R6j2VK6SJ>?EX3mEPL#;;?(#w)g-@fkab4C5(|d?HU%-WS+wqC2b@!W44WuwU~z3dpUtjZn*w#Q&dJP5KX)_gjz?Z+2dc1Xv>1=RMhwDX>GyRi=Sw0)2ul(2NXB^ev`iNe?a0FI zG`ORYVIs)m-J#}I)4bet@zuI1jScXf*P7SI_Xu7xgn=kn z{PhNaIyzLQZHD4A&I2c`VVbgNFZz+T&L#v6xqv&`a!5&084=#^@q?<|P8L)qnljD! z@A^|i3wi}C1$Tzhv z$$Imh6{V>|7uF6Z;esxQ0{Cs$8ZB=b$bpYri9U9X-F_MkIIyXgl1+W?*Ld;5 zL*N@mIg6cv({h>-gPn*F{ z%s<^~)SLAqlL7i(ihe8=yd&COl~>pxwbD)+0$dA1K%vGrVo^+)-*#1tq<6A;_B?+& z*%T(NLh0vk*;%Bcwe>~bh5T^pRrakkq97|^YgnZCI1eQK8Y9diXEvag5cH`NgNa51 zd1e&!K!k?;Qq8I$z~+yV^sPY$1&Ft~YmU?}OrSBgcP^K&f=KO)upLOcq~#wloMqn^`=&cDUZKL8#?k z@qGg$?d^GEh(E}s!aiHZ^+{$9lkGQqG4^~9eEawuPX*{jf8QRKi^_+}1jzhaEB?*< z^S7aGj#;(D>_60?RQ`y|=XS)SIe=iS`x$rzqTWQ&Wc^1m2RAVzmE=YAOg;AP_ zDT<~d<>=VebsQ2!dr`~NK>Y6WCX&UoemMBcO;efRR03{HF7SjQH}VnKX0wgO+vth;f;RHS`i;G$%FAdAZ);-x`8>zucHIKbKC;jY4D86r1

    7$FauosL?pNFnU}64$n+;Qul@{gLdq~RDns}!aSQv^C&+wv&_#dUU?o9YhB^D zw+e_;{Cx*}4I`)fBY~!%eP3re4jULweF9QkPA;|lEd)qeaw_NJ_EbudR6=rCiXrq zoTi95wpccdHWu61Y-We=!|Q$det!G>1D}h_#eLi3_P9N6xBLBZz1|M@$79!q4I8Ru zHUj^{fWOng=<4?{EFdKKz$rt$lX|BPoQ4J5_dQ@>XmH@9iT)`AeS?#y3=cT_J&Xvr z?RVg^&+Whirw%yVA8_-#b>OUTKsa!whR#;A?|TDSjk z8D8TduPX0;`{Lk*6ZQtaKX>N-xcoBu`OgerjbP_Pz8bw&W#4xmdfxNmpzn#7+cYm6 z$;g+ig*DYsYY_}rD2mD8#)=88-U}-?nA|Zmth$~!7K@HsF^~LoUFVn1o*n89fw;l+ z`XBd)5GB7<)qV$b$eG-2{9%!q)n9exnDFuC)jv%ta4^@t68f{JM>1U7co@o4c6!uf zx>j+04~pHn1R0#2{<*4SZ~1j$22CAgQudp!R#elQKSqRcCBLFN&4$FY<7tA#Q3>v! zQuhT+!dczsn%er6H3+-vK7#i5%Ab&|F1FJuBu#>DXnap94b{EhoH*wKf`U%a@W$MV zi;W+nS`a=Y3FQVFU!Yx%Niic2bqmbAU+O;(8EHmgh8GSKf^zWnA8XfEErRqX!1K4( zWJr443%!GpSGvtdyB_)>`r``lpFTePqet}i<1VEMJk8T-xY<`L-EqS(6{j1_*=sr3 zUNlC}yf!toIjSYaDt#Ej4aFr^YcDGix^e`fkO?x?^EyP_h{t>p2Wz|w)&KC1`m!dB z)D__zcjHo{6Jz+HpCGd;KjrYKNK0&v3t#)3kAK;K&W>A445Bsl{Hh3Df|3hO5Z?Np6R zjZRdjUUG2H@WaaGm}dg9~kku&5McOQ~DFOu5uS7B8MCjng_W}tqT!ml; z8LmZjx8tp=q1VR%XPdlk;NJVKb|Okix*x!!vC&r=*C3<1^D7!|ehi5-8hLx|Pv}Lg z`J(7Nsrn*%DZH7U6R6)9cZmkZULswH^Q1AZF@?DL8lA$PD3$KF^Fs?_kJ)hHK4WZn zbI-KLHC#`Fq#S;Qx>ha*79j{%8~Q#K__$p4Q){9>PLi(Q!wB~QdrO>%SzV3q5fc20 z18!D8sDfb#1r6XKV{CiFujxLJl+5-!3S*GN7?$O_dk_|QsBO>w2Cv4&(}btmCA zSRqav++thIvJ86}Zc}{uQ}xo2+iVrS_@4Z=zQ>j3myM+>|Nb|s_WsRgm$0J$FZ{?t zcB>6gGWANIpSFRRRJ}zctdw-gNQtcHPA9(Sm0tdx1FF;w9ZfV+?b{^U^qoM=7N6q~ zapwB_syYzH`q52@-H^#@O5-RqDv%vPJp2NB5%by;2_4Y(CW2D#rq2a5e83sg_vSF1 z&PeL!_U5di6*=XvB1K&KsFTi)9N^Qa4es+Q(I?LMO*Fkij~^v|&=wCC-39m2^jH<- zhRfGCPVn&cX+oNU{=S^s*1h~3y!+m4|J(q$+^^E8n`!P~W5lLt8tez*B%*Gvs$ME- zW?^5lQVMsHl8XpJD}2nljx`onbm^zax#LwRrgTO}xeCZvfD4S=%b~m$yDV2Yw^3}9 z1BHQ~et{g^a7Km0H=Qry0`?QiMx0JAirzq4uHWAv8RFY6`?%cwZZH<5_zN7IHu);G znLz2n?Gq3#Qoah%RGbO?Eqmj|s8vaUlj1z&lxVrFTp8ZNF4)&*hlGf44-*yEO3j65 zsKf0DPX#eHlo?X|_HvZ;A{Cmfepv;Su8>?3N8muRq0Gc~W4Z|DrA=qrtfkK(;WsO%}ySQ&7WMNyZ#4 z7U@I!Bx~w@m=mf01tsaYfK1L6e`CdrNr~$4)`hDbDP8JQ1Xa)(GL@1myiCpe6oJ(i zDpMDiBSqtKqTw>hNlu^w-x=@0w|czrW65?JBZ@uwXD!xlh|+x#8BS+n>7Wbnzrb=@ zegheUpSSR;6jvYP8}BT@A^Z*0lp#t#9b_WPDaJ{E0~uk@0h7R5Q$%ukW?y(b!8=?` z+7&M|O%CsTPvjBsGO*IgZA=sKp=c&?*9_B$oL;}I#}ea}N^y3@On-sfX=HPIh#~nX zhj*Q?`wS^w=_56u)u z*ind_kVNsyBdA{sj2_|?h35kg4kWN%ZkVXXjxk;ZrrXk>f}JabvFkw zaT+#dkX?5b^t)Q8dw|56)cN(tsc~A_U9WuP{&;torPpB z^($kgHgo4eG93Ss<7ui4ntOy%Yr1KFcP+}q7kSxh$O8GewqNl$ZhIU1uO!e6ENl0r zU@kC`+Tp+oO6z8Wf`#xh#43axfjHy)4toJYT*CE6otD<4FwqAT}suUh$?x z!9`dFsD6oL8#eeHM1!nTBH2Pd(g8^{?PuvKlic>(RZ421N3R6?#-!zi#e4>wL;VcVGrYfj--F^CuO-XJh(;Qu0tHOrl)?M#snNS`$nVeRaX(4(tW{-iMX>(V zbENVY6p64R;D)Y^a(a{afyL-o{9{Sutg-Dm$ks{8nQ2Hb2bLgNqWd2OFJWZRFCVO8 z_6f_i#FRH!1Pg;g+?zfuahZZ@d5Qz2Bp5}Bz9Z!T-_#aj&VGqJ%zyW!7dbHrd_mt8 z)W;*8If}bMtC-Cav#BLO%;};Kax$)NlCoR$rPEGaJ;^LnlSH&3TUHtE5B7zv1Pz-i zh^q&2x$Njvr36Apui43i+Fm!k(<4&n2sR%d4HJuSW^|P8+M7B32iC5KbO9WxOpr>kQ%VLnrKtuiMjh6Et(9BF?fd zswjB;lLy_hTB))Y{>XHD5VVcQPfq3=Be&)uq$&FR$p0jF=Ql%kArjazna8uQY8h-! zLxKeLAozcHnhO6oo;JLZH-`Rs1|RtFYAE;-draRDEPW{I8)v8qaUk~+p14CS-N7^z zN3$S%5Gb};VR8r4KKg9&1C>AB%Y(jFYk3ID$wJUhWXeUM9W|_Z?ngEilGI)u&^&@m zB;fx#?-Ib&1bL271jRPrt`kz$|6;JzDqUtEwL1f+Yn7e0Ct^#?}kdLMA-<(C1CJ}mR2e6_R{_%KBSuGdEMq>j6nMg%k|<<_MUeHU7G6~4L;A5V%N zRc*^HL+Z8EK<#k3b2&S}Zbgs@PS}rT$$n1W9gf=}vp!(vu==@+__6Sfm}7gqiyk@7 znZb{(W)!Xp4^qeaIJ|=o(2O7XzAaWrR`V{z=^i#zi;qT?%_QJ%nfG(X2{LAwi6Ur! zw_GduizS`|SqcrArZo>LE+V*h^-}*s>}ptEgMtCP>_ z3g$raq4IV(uW`LX{kBF&;6D1el_t-V)J@!C+f0vHq)DR(pN{*&$qCH_@WD&!y{4uj z#e@B)=%$WwEFeBuOpT6T-Ic-QO|x#Xbvdeeg=Ia<4|L!iop$@6--ss>+x(uFa`bXt z@ytl{4)X9fk!Y^J7z!zJCvZAWj9<*lPQ{l!{u3jT`Q!k{h?V+QY@cYGozRK~AXJ zoo|ez;CnGg;j6M}DX8A;-al23VuiXH{J=ah^&^s2gvsIyy@a?TV)V67U3{3l*CF=H zI~ty}klDCGZ3xKQdUkK;?sP(01|L>4)Bt_LS2`n+#sr1(B+dM)!*4M{9gcekX*)p(OHi&SC-$>d!U^1=VM!lAlPtaM^Z{Va_KgY|3yq zsJ{LXJf=tc3vMHipL1aveNSM1Q0y{@EC8&^uPT=Ga8C7aW$7z|y2f^b%{x@vv`X{& z#N=4v=qtX~8|+T9ozYpyLH24hKd%@B7$a$7p>~71D4WDKNsUiX4(99-Kr=0gXJdiF z-4@(9C{7!|6$l0v#jNC1r``|cEuF`pL=JE3A7cnm$S)35&+9Vm?A z6^o6Q+*ELh^$hL@>R$Yh?704S1C||xBp?G>o>Wpru{}57PL?1u#0`XhIWmLLn=xJ2 zpV!3`uC?wJHKOYZ&}qG1i#Nz9pA-p`@E6Mqgqlp_ zxxnx$Xj<5k5y5@K$ej=1oq)&qj<;?_JO>D#*yhwv4|#-s{cu`Yd3x~Ec()_%E!7bM z{z)pn8_^)vqsC0PNJ(xxO2P&x@{sYU)MbZ;pqpSA962=%ic=bv(AQ+dDuO;osO9Ha zEy3Z75UtOa8swi}3Kz7wDclKGM`~E~)k4}Z+jCHuCoPE`1l|kP zv$2QGn~(kThhLxEJXMg&+&!%* z!|1~~WAnwFrqL&3u}g#na9R6`ljLuK?BYaCA%5B(tXh$cSy+#4P} zoLO4w+>9qY`+H|e>?V*e9;qRClN-g!b>ohdzrB226@)53^+syB__}aUknyn7RqxH0 zZlv-hH#g7riVi`~hz!ylqjCE365WoRCz3Z)^^;)+Ehg}Y9_=XfHe%RKxw~P`Avw`& zQWt4jm*>{@Y2f<(Ap6lz@T)sm%(QOm{BzbE-t8gsmv%?FpU^E48DyoQmE6&2sDXM_ z)lt45dWetPLiuH;-~2E?@Eh)A&2MWlp5>IrF#gv9X-Ns~J4JJxMDIt1lD9+Jqf+bE z%h}(-4tz5zJBqwRT*Hn6k8cpCvzM$YCds;Lo)+o43+y`3-epo5iaMYo%ENODzpvFF zho`cCFaBi_Q*%hA1-et1{V36d3V0|=9hJ;&VC5KqNo~mh3_k-@G|1eBB=aA(A^rIS zse~Gqe`+^kK^~OB4pBf3Mg1d^S#5Y~*e3N!)E&4*o3sjQEfVqtt8j*%_?se{Qcy;P zYHULupdwFtqR~IJ@X>0*JJe7xdIRnhHEg#hI&OgX)r8ZsxDAM6_7Wp8P5%k>#UNA`LWuf< z%4sK@(%@13Saqr0q9}7=YAO;GfKpH*)l4CB917E8g? zWYL?T)*ZMc2G}7cf6zKtpe)vfm&!`yz4g~?pchL!YWlvuf72!$IK{t4f#y>niKC}l zu^49y`4WnzXU=oo$*$@D79~1?0)ujd$-=Koq(ptEX2aY^zA`bW%S#6#(s8=OjJTxb zuPn{fSGo+^mG`-9V&oC7`Ey|ozBBG`2_{$oZI8oMo4-%{%-dy|81liTfg^u*_6}5#yl_{DGP2a19u{=gh#2T3V~*cFGs5b)5`+7B)LS_CUJ`_Rc@oUfh!YVxPWIYvHS>PVGM!~@)MYWBfp_8lKeg_#)AqUPDk%qWM@K^I!g#_ zg;S!(2MgB*L79R{$pPUbS>%2)5I`O?^QICe)eYe%;UQG^7xor7Ays^wuc0h9f;XJw)dWhTM*^ zR{9G!YDo(l4-=n&r=&_4tUd#wI)5vkD#{0X9s5zdFmilIK6|iETJ;XUndPbsmM0r@ zKpr)IXQ3t;clc3X3Q0G&i66rG|4+x`0_)s5(&eb38uOM}F=WjkULe0x8T`L4MaecaPy1=cEE%1kBEBPaFYZssY`HmFHZ zPLO{GKqYEX)tQR^aRsK&F3{Ahn;D_=mU9lTy$MpW`OB<|T*1c$cobKbhzfVc-RKy*p@j5vo-?3(O!fxB1Da1x88M%xk`80ObjI~m&6br#2|l>OoW zAR%^~QZx|2CSxwSi9?ab$;j7Jx>HmGFwncW!%=PHs?`ap3@-{d%CLkYD~>sblM;@m zV&&lBNg;Be+$mB!)IM5@_pt+(h*hLvFuPjHlJdllS%UeeC@k?Ef_sZi^s?m3;0b;d zbIL9;d|Z#DE>GlX&J0`f>A9=Lc!E5*xeaZi(H*wlIHe-~DAq6)zTjMH^cYDYWIx3lxxwL~!N<nb4+9HGa5-JK#UXGniz5`!O00>gyo5D|4^5mL7e*+((4BkmT-oY)Hl~)Gu z$3`YWHc|{blD|coYIH{*gZooG?`3t9`y4|a*8^ngI z(UoTTlt;EW>|8*l6_T8c$zc;iM01g#nZMv3q%2gcL+e}KoiB*GTMM!hS*&XkQXXp9 zfqRU()dGj+L}4E>c>Fyir(!73pY(@glQ3_loTs>k@U5P>kVBFzcOi_Y3)iF$pJ@hA z><7mJ5qk>KkpobEDdk4RZL1ZA9l!Q}S*$Wxx`q;RRTv7HZ!FCI$)ZfA`16@86=ktB z{F5xE-wGW<9o)k==jl^A3I6r;{3u@T14}K|0UjZEe z@7h_8Pz-1YjVqj6i*x6rl+hL}(EmcNGWHO>iOu)4v*t(QVa#5V*LHCbzI>z5ftq$& zD9|0Nz;plPuYK4dv7pvj!0nST8zqN2^1eY%iBI2+bmY_Uu#3wP#CT*jdoV=F=_jj< zwOyIK8{8iS2m7e=yMbKsaNiW@4*XXF4n4)_p!BljCYiqcbF4#^(a=6{yIIwecw9q#3-2$@|0qk@F7`}fZbF)L ztk=X-vER@=EbA#JUp|nV9>+|+kzXW!3XDOT6A))WAmi3Tb#5sA3F0Q6_I|SN9Ba^D ze)B~Pr7;368iZsDK289;8)<8+DxPLr5FzJ~`-O|LkWC2xq{zoS0t={tQ@bNjiIj{% zkOJ;_htm_viz%RmcSqRVv37@3-i9&47NWEm8my{R(HLutBlws6w2cE)KD5-nnUwrI|x5n9+TZFDB|9@N$WJm7y|9)))ouo z=kqem!YN`q(0oc`DW-NaXn9lJew@a(W)QMr zv9fq4Tp|nFK+*4zZnyN~m$3f-IND4ZjS}WhZ|4`{skA*#ZM^S%D>;g82Rf0NF-2&L z>|yz^)D47Z`76rcO=Ob}Xi~_lsgg+#KfX3g*HCE8|Emn%iVaA@Z4W7!T2EkEk&~*v z{8$#(P#DLLQ5GL;iB9@|fWo)sk1LCn;nlJdHSC=vhS_w*F0O^V&adpiQ=Gup8rLjP zl2iE-=n%MWDGx?W?x+qy~fGv)gM-$Q_HSipQ} zf>3GQB-M5;Rlxkf49Oqh)VRa^BkOajyM$I=mz9-_tjp#JZP#sBuVVpO#~)570UIc? zVDkFGWMTKZB4Q%a7qG!pOH#Z(r~6n6>o#z|<3a!%u$u7vb$h|9ymj9i<8gtWDxsxv z-*LA+N#>ND;9p;i`b`f>8h}&bxA`9U(ko-H3PUbWKg4FjRfCuH%&@xe^%{3YxBTkH ze#l8)dtj#9N_)`h_agVh899J9Rl7p{U zco-83D&bE+0=VS!7YK4X_RZLkY3t)b<*~Zk>PiwjvN_yo~t&7xGy!y54HG?CW7 zAzZXKCL*S~h=Y+@!y>uPZ@^q(5i8_+dw2 ztt}{IIc&Z_Qh;LSEVZf%XP9f6HXMkmEHUPP}pz9N<@Zqs^Z-`aX-9aJa0JL+4t3h|7r;#)^4to- zMC!X%8O)4h=0uVHY8CiloD-@3CBt1ih~)WV20U(eZ7)nxE>sVBy^^y}Q?h`VErr$8 zu05@|bn(aPmSI!RN4+h|e(KWU=oZI;UOS#u<~e5?*jGD{7!} z#8)HzdXgT$MS3`LfEzs^Gc#cqc9pu)6hsxq^{6y1T+hs3`y%JWX)az3Nhei(F8}n~ zz3qinq3(~sKS{OWvR3J&1M{9v5yjMoNY-G8Q{bPKo62hNhN2IlvCk9ln`^Y5GYXE~ zkYSh{tr)4DR3mwM(y8d2W6Xh?xq|*3UCs|sfdqMC2lA8aH2Glmowc zrP3(v{vGJf*|<|9UL5#A;)XUu20_pN;>?4ch6?f1+oaAcMSX@E2S?WC?}<`tWK@J% zk0qv)*ll*p7pT9oX3Hjr3v2NUCi`ktBg9z&s z2276hcxhHgOG;D=TrMey8q=zGjrPO5)*h;am>{FmJTdjq#z-I1cTsD**E2Ham4Xuo zQ2=)u2)rL&0GH`4w!!cwDlN0u*HoS7()jYg532c#mU;3e4&}0I0fOl8gIkq>eAJ}q zuCc?D*k+MT4`D4hk-1I3ewoi4%id^%l%7pEj_Iz24n!7zu<(+8soPj}%5_2%e}qTe z&nsU%kZre_X}5Z;C*iuqi%8L6rVH_<--Cf(D6H|*KXuNMTY*IVG;i?Fl?inn`+nod z&q$PS^a<8jrdtu*v{z%s3-k3uQ^)o1*>TsH%T}}ZJpQ@w;|<;*Ts^*UI&Mawb4h5P z{XGt`m`RUA$jij^+4K?ouSaYX#ALcOYRin(BBlIBxqFX9H(Pkebq`q&GJf+_|9Ss% zl5QP`Z$WJ9W$G-J@N~;BM=JIfKE_;dhjrJz&}}5;)Xr-t9r=pt*GD@yD)nkVX28du zF2Qs>G9u$ldtMzw|6IB;0CkGi?NO+`e~HZ1au%m9ZPd9PFTU54b{yJO8!*5tf@{ud z==k=A7CO4qe&%esu!v!gn1^{}e>YA~k$JJT_KR30T&2on6^@BNktzNdX~?#4bM^l6RUEb4mG%SdmVXroz}JN5|Elk9N?fhx5b zV8q% z_0=^%bE&_vL@4dYW?s*C@bG$ZO`i^IV_c)f1XzPs+Zw9TRIid~Z4Ai$PGXP?GgQx? z)P(My^Q(r{VA^6TcL29j)I8q>t+_-yKC2Vw%W|UPUiyo zYm19pUgCXshv3H=jDm$?i5Fl>%Q%_bu(EkzipDR_BzhWUfrXxnKupP znQ!48K#IGb`KLVI%B8FOdJl4VTUp@7t_obSxpiknphuUvVL+t*>*)`H_(f)@fIw=;QwKtFHOnjn1d@tG?}_jU4Hp@OkcxEOGu3XLU)W zTmHTK%J;75z2NbcEzd42hN(nXJ~yp3+G>%5o4&t|Z`3)qq0CH6Yhh8=IX~^^0l{6w zC3}vA!t8W@sw>IF5&rAR6_*i;L!+~?8_sAR{Ytnr<5JFk>iK`Phc*mawR~}s?R8P;O3&ffl~JC= z_t@WHy7q)RX4AReUM_i>0_%&Cn75Kqj_OgtjWQJF$F=6Li8&<`|((sYz!Oy6AC+_9VF|K4%6P;Uk~DfXT7Z6g@Fs;>Kh&U>sMMTtuV$W znke;Swl%9IMmo=&mp$R-MU<^&%eRexhuJ`On>fjRi`Xt$_%Fq|QSRSZ5oqJ^>M60c z%jIHV^Fm*gc1_b`uaUe3vJ012DL`L;NYf9fUr2w3`dk@X2oRV^vv>9Bns6*Rer#_8 z{>*Z@#Q}u$!#x+5{lVf{=Ee5U6GJ-o3GHT28J^l$eJLQNT3KB_|JF@7^e>Ap5@#UX zv;}-o>E?K>vBq;dB$eA%L|og^RYK9TJVy6uGm=CmPJlQ~g};Qzt>+rlkegpmhoHQF zxR#3EuS$I165&gMg!jYB3ZkE(Op`yyLBbyD$W)oI)r#Q zV44(=&y5o@;>Cuq@ig%326jZw;{dq4>Es`jL!@Sm7e+Wtz3S8elVI+;t%XAVL+>oF zS#vQx5a32yjono12MX;v5wUOGbMKN&YTP}RlBAtO+tT{C{mn?hhGrxBsN3ko>u2S) zp##Rp^7iU&6E~4PHb$uZYoEk?Eo#bBl+2wR^?I`IGfm-IIdc-KCUn7Fz3?L>ND9dg z8LXNgvV~GOv?DQI%N6srwz$eUf;|VC=RJDQ=>l`tXI#ww2Q_h}vnS?D!>}gGUP{Nk z&Xd3Xs>(V>&HcQFt&jB{2wePt5+C{9Wy4&a7rdL$pu6zm>!%jxMLF}~qS6zz8&&T_4z73gTX~yUA2=n zgFcou>58jB=C>?^jxo5u)j#G0X*;M(j_+GrqP((!q|~O<5^gReVCDV1{3D*oZI7v) zXm){JOIw+I8RPq3k~mp_o~^Qw9vKHpYP_l)ExJk%9*+^6+&W;;S+TZhPfFerMcM|`U4C|; zH`a%`e1&xdZ3Grf^urQRf_F`FKA)gWXV-}boR^L7KJa#tu0T%lQKcVvHq4G+M?>=V znj9)&jB;=|TURCQ4fjvQ%}9H+rqB61gGJ{l*GMWnV{`7ux}N#vkijYwPK z`V1>$fkULnw5I!>GG~vyFfi##8bO``TqhpILR~)v&1zLI)Aj#$e+p=$?QIxk#O=O6 zJ6RID>y0GsCPk{XMy?(Sc|0l;WOe(0wZ$-qfB5{?mt)UR{gy@^AJR}qU%N>B;FVWs zo`6y(<1IBrYqd0j=cC|vmc+Q7X((}jSXvQ!+c5uUiI`UuvT2wM7lR&Zpz|5?t)`Le*$|6cx)>aeUhBMnkl3$ zqI$Y95?m^tErKN0{&l)NU!m6x2Y^ia8+GiUHlQ7^D{c*T`IGWrH^`}mIKEuD-16&p z=J=meoza>L_tY8^tU=TNer(;7(yZ$XCfq6} zEl*tbH6sjJAfT8>*6@BvIItMor_Q|n#t>fOg!1&iG)UK5iC1_C?=I&JI@xb}BN+i3%!GP z*OJUgZ7W4JazVUpFgZZ1Cxwpe-JFF`aj>Q|QEq#oiekFOR(D|ytP zXN#fJ7MLF;hX&VZBYU5bAqq{$==B&5q9J}(ScJ^jI)25?MwPa;b$m4ca?=rdVuPJN zS$hz5QZ)7qTRj&mEh_n#Gsp-TLo0LCeMpySND5iUA{hW(`#!zc#<8jZdPE6?UZE*@#ZxL2ESd#tHtE;Uq-YfB94>oFs zraNm^1nw?h^mxW|;DBC>-`i5!Igv+VCeT+c3sn6QY-#izbj$PQarb>DAM&ViC9Q}jTQA0JT4(}+dT|(pRO4V&7L;jjsr2X_rm~rJWtSY0?@=445{Lw#* z$PU`rK+TuJt}El3pS7{7;OR4pqPqBZ1&SiQG9-8q()geE?^A<}*iEi3={R$$nJoX9 zhP_7P3Q=B1o%Pg{$Jx4h4|TZMi_{WcGZZ=zcStF$CQ#Zsc1Ru>r?=o4829V#XS>}v zaPKaV`gm5j)Ntbk4kgc<^!i=VS}ONg>c?;SRXEBOqRAX)ad5S+Gy&KTDjKDI&%26? zyc_A#Q>ogqn+#=7L`E z0vW`yK#MLl6Tivti1DHkixxBAO}N}_qVaacXx5g#GpllL41SAwwy2;a)q45cY|DPv z(waDp>CT4m0u26_Z0P(AZ`d?+i)gO%ZPT-l#_`^~m*MwssG0zz(pO3)Z23KJ!$hNu z5-a3T?u;HXjvwagoPgsrCAn0Bgk2()6%s(2eD0qSw~txz;u|IdVa`=;Seckro!+-V zaoxqWa;k`zTywplBC+@Y={WP_>q<$XgS!msyW4*>rrjY-B5J%Ki+!cJR};(~m@TY3 zeFJ>%EOM;!(tUX;lyhKU?Pcvb{exK?+*Z-4|N4@Zf#@wZNi_n^w9E@x&UjO>uOJb&hZOP13I-W-{E70Uh(tuKiQ5HEZ>~7c7I+Qe)TOwUPga7V zqN_f6*)<*hZ!y`a{)TujjAi}Tt3aV+dm+#(xk({oD0E5t)?iple|=3buw7&ZD>wu5 zsh-pia2!Tv(_wMitr-_~PN2|R3b`f|8 z=O5;Em@)B<-ytz5$&9<2oKEO7VAJ_l;Aj52Dg(>!->lg$GFR*EIZieHS4yKz*=oI=~=r_tIMp$pB(uJ#!;x?*OMk+bZ$%{!>d3FyCTY1X&8Jhh9Z-+fPJ%Z9^y}N*v3Fk9xA~R=&|rfcxT$OaPTpp6h)=IymJu}i`1Z0Htwm%l za`ny4&^NX7;kXCMlNkTSYeW?j9e$)Q2|bA2PC8D9IXQG6Ev3a{!{u%Du?}x})SDGJ_|h4=6z5@r)Q1L-iZqG9!IThXOSz;%h5Owv-=n>3sxAanU6@4+X0rI#_;UU_^fTU?i%8?jg;HP zdxya-V%Yu95SlX6Q?`=ox%#y33If5kY(N9`-MD{v0P@Uk#?qijf5S-mcd8jkWxDNc zIDDYxi&p3Dyd3;0tB9HGP5cpCht;N!W{;o8<-FqsHc6rnr5KSh`w3UtDAcd=LAXg~ z_iJa?oC;@QFaTTKZ8ouwBe*X*uTtfs&W>OVv()KGyV(1z)lG3qwkd7F+re^!JUfZ> zKYkH0^xrW~N=L9g=N`j!f7L^zR*4d1duzs$ec-fH&U0c3#GJ3Kk#;5MH|!Y>f7j$+ zW)abUwif(YQnNiKuI8c(``qse8+~NjT(2c|>!cZ=v4rnNI5>8#cuS81H0QPkAzg{oc9S3W|gmUWA9=S3T_&3oqO*irLX14U2P+kQ3c=a)(X0zr__6T%LH* z2@M55i{Kprh|^15G+>Krndv>+rF6#XyaQ2poIpyB^27*s+30(CnFc2}1PxH6E%%4U zNTznap4anN!o($KN+_bc_o})CURz_1&%DVWP;X zzM`{i*4AZp>@Y1O?iumUGeSXBq+NLAbV*i7;1y@NA{nVZ2RCh!0>*YZn)NN^y0kzT&NJ1AFa@uvE0fghTe(efTSelgAB7} z`TG+9*}bo^!EL+`U#~|b;1BNWBTnPrD*!{TX_DlRM}ZBR>ZVI~7{kCGvq1@^wgWU9n_&Bg|w!WFrL6}zDf|E;xt_{+x+V!-SRq8Ke@stgm=hl z1d3}2Lu^#$@?5hq@wi1uB@X({RYu$d{;IN|@-Zad8`GgdC-}Q-(g`K-y|8;oV0XiQ z)o4EakC!=}Ye5XHRdq-Oc@ESkY7y>L-!A2+PUDE+Ilb(QD@P%)b=8wqp)o+jLxDXbc_hH)8dopywg z_rZysb_;9ECWFvF1WTNzXsC5>Y1b>n>aqz=D@GzdpJt0$d%d)Jm>zOdEgbbxx%ZY0 za<^!dc9dE#r*!1G(}kej5?ONnPg#F^OUi7Hfe`_zRykUjF zju>`X9~YgFk!~$Gx8a+mr1p00lgmY3qxR7cx=$5+w^SGHdSASbYG9e$ zI*Jmwk@Z*&v6`Ce`Y@5C171xrVI_J&kZt>>a}d6=QpFU#Uu%aw_X@q4SVPew<~Wz9$Ax1a~oLs4A}RzqO& zw#>@Oqp4E#1mNPCl@^MT)Gx~jEdm~n zLDbq?SDRPR58obEO@rv3fqFJ+acIE2`5lsFWs>M!S^%7Dwi(?HQjOP;o!M4{m&Avw zUYy~@Lh5~In2+5@<@=zk57hnVvB*S2=8ua}YiGr0uF{O(XrIY|BN70$u{3Yhc zbq`~J{gR6 zhFc)$@gE?Jg@0;d3RXdlpQBt)xMy?;nY(<(O4*|Cbx=bIdotkLO5w`brTi*%d(Ea3 zymNi&sb1@1UvvOHm2VTV0lcYI>x7bZj^GbHm+}#J!nkPPlu(mE(X{XT4@R9MQ-bK3-|>yMj%pcI!8=)oE+A3AK(cQr#3P~0U27)~;2BUaDCqDPLS{Ihx9 zjTC@AO{^ISH0;~YWyVOR1fVEax0slMi%UiVCpYZXBx;U6oFu71KD_nMhA_X;9`%Vd z>^r4>Hv4!^bdyty3&Zpnv1YfLPYHt-qG9Yq3p860La;sdVXNy(5k@v3JM?_ss(NVecgCLhYmS zieqmMesOJ@#>A~GgrkNNN%ODZ)=U533{=wm!CmXb{PaY)_gf3lkSfrg66u3gcx*_w znWyhzdAguSYiu)|YQS}}CYnjR>poL3ETJgqwUWNqHftY zYjctxL=~Eh-_|3QgqVX0#i{j>gI{&LV((YC_H1_Ica+0@CJO0;h(KG+uyePaQ7u@;G%`#3vxJY=+VrIq>3|CP4!~X7jDGxQ$x! zi9{Dq%kQdK!cOki{ZF9(5iIeo?Fd{3ipluXfLvZ8hUCpitw8(n>GsjUeF+9<)A_(> z9GaXX`n>NVj85)^o8neG2fE^FTQ~h^X2#TjD@WR>kYg2nueaIT`4Y%Hb)KNj?=jSD zO@u4RmH7?pP^%2x2i5UqGYjQwc_HfA{+0Nk_o0jozOQeHK_wPA@JoeO3kvL(On*;0BCJ~D`R7YYuV9HJCp;JDkGq}FJUT=yXaw77 zK``Nrg#;UgT*F@*lh+0wl0ZCg!~>ZaCV{WB@0l_MY(SN>I!XuOIg{&CtMmkdp{4wR4wY_}g2*$Q@ zvW#=9(jwibv>+>5BS_kH-$H$(utj#4FMeOr>k5^RKkt9`2+t9Cb$Cvzv- zsf+wg?+}poi&Xjh->G0-kb3Yg9NkNVGwtY?!0izNLS1fu`58z7Jb)&ii zMZoJim;ivGhn|7QGaoDj!sMdiSM`bZft>*IAA%@MigV}QMSw%{!Jmd0Y%MVbBiREW z4G_XM4bmaD&1jB>5(%P|Y1Gq@qkMF$9yP{3dPr}RA+fSwbh%T$t~@n(lg zmD>TDn5j$LeLki=@?^V%G6<@cA5*YZFILJxT+wiNu5xbbsJFp$yA^4!(h*796={X; zzo~Bs3LCVwpY9INMVpboYmL{0nQ4)&cSV!zKZA;V!)>Pz@d2PeYth7ucsBimGEqbi zKftcxQAIxCRE8N5HRv_UOMKSPQW}bBZS^QbTUnHrVs`_$s>G6b-rPaQV^F)$K|{8F@6-^g)~hA6ygVh_k0o z$Z;Rp;oI)#fO*2!JoHTglHkc&f=`$|3AVC|E)nHdmBm(V4eR5NIB9eELW+QR#YEQ! z`k4NS=dos(P@b<_HBZhg5Q-oiO_Ic~z%K&g(Pex)muDP10gDyRk5t1`>Ds-Ci^wqG zKzsKO=*T6#$ELg3anO;9o|AQBs7#jzVWduTt7|HM>jBWE&E@45K<}$jg|?3KK`tB0 zamQ%k8Wv7Rjj5vx2q}b0frkZG7`HAJ0kYtgI=TexQ;wpK)l$%yEYYp@W&vtq6Hz0L z_&CJ~p2+vl36dlI?F7QU)>MWR0J10Bvwsnl>GV`mTo0mh`ywD5XSI36p&WLZ%5Vb; zL)FM}i(aIdb|bbAct+toc#>fZ$(B}SYMr|EH-r$#7%ad;i@ZO%^fWrmY<4Q7?Ek91 z?FE|9d)QsL21fMLgkF6|8-ea}5`zKWAj<4z6bH}qyX`vdyDwV`g0%DY4_X=Wq9?U$FdP91&@7Tc*Y}~~ zD!((~KV6c~=Pe&0Lhxv?#EdewtZ+Y)`WBC%L3X6q^TFDLgJ50>eGkrOB>&(J0Q_k2 zl|@S;Ck=FiCCM#_niF?5m%Z|5m`Dnt5UhP&bnCB$I<_hze=2Wp$ zdxKJUkvH%wbD%mhw+zn0arU&ya)XR1c(Y^BwZwj+<2;@$oolxg^N{v@i(%*@->~6H zT(>Kl`CiY;9sFEp?iF8BP$-D;&i+Q;@1_Kdsh-4cXIgLm{wGmGR#*uU2u9t9DPsc+ zM~N~mTJ1)o+u5(qoe4CaiRQTm{0NC@OU;02d>G-00|SLpNw^{nPtPi%^}U+J9-@`< z!2#3g`fZLy?hclsI)3z^Vvua28rGrLnzzqLPi+J8kI>$=v>yOWH{u9A@qg<sk#{^! zi46-N5tP?HcVk3JC96M?C%3s)Kz*8UA33p5Dz zhc#VOZCUoyjq;PyUu~w{$z;Z4K8T+)t2G172+?D{k*S6;7}lq@`ZxgBn9p;0^!rqV zJtv-Ai2gqGE{7W*qkXijIm4!g64XChzI5a7;feAmR4*v+y1w%=!)SqEnANXh_!zqG zbV3C;M$D6Cgy9qt6^ZDltNH%EQD%0lcf!<0nFI_89^4lKpO5aVD{omDY|KTd0h0QZ zt^eBZV9^ofP^-@SjHD~IER#7jN)p(tP8s<9a4>HRFynq`p5DgmE=nNxnq69D#?7a4M%F~iE)5%n`m0o(zF|?d`uLF0irSbHsGZQrCe1@vL+|el;21$^U9Y#JYyGS8Dh(G%Kpne_KdJAAl2`REV^d0@~mOY}6 z$BftHl@*3b_U_s|%Insa@57V%RdB5e-KXrHLZr%PeGw0ByWW)6(C$pI!h}k4Rz)4zn|?b=WkFbYD@ni)q^-FYHGlZ z;Nl+3Gvc$+Ky?K9R-2s49V~4DI>-`T8c2)G9UPa{q}i#>NBh}SRK80RPYkbFw+Kx9 zz#9aY4aEQWTY#kn;S!V!+g9)|#Y?~-r%X-=iJwZk|8-bJUXYsE0&U3tj3uainFkMC z!ADOi(Qza6p~w26!`-gDXEmBoCZ?h2u4~AS@MeJk4OS4)if|!nF8v3mEX0IGcQ9~E zbSA39l>$_A6&X>63sSX3<$g7*2QRSj4-9mqmoX9`_t1t#N`~47}I3m=H62O(mg8DR}$JIH?Psme-H22Il@?qfnrh*z#PybO= zBp@2YG%bj=T}FZQ9DK)3{8nG3n6B+bI zG-}1_sU$XF7_X48II^vpe3&PI!>Y1c_B0)Q*k;-SHX2zw0Z9V}fg zU7fl;W7-K9^VHjN@7%aVvHQw{NV^=IGjaA-Rs!o<1dmrN$pr1iGmBs1)npy zXl?LU+x`k{=pS5`)Qc_42P+j3DBq065D8(?pf@woGzPp2^B!%{M$ByS`;XnBHS!h_inTgwa9Lvgk7WaVY6HSM?#EU9 z(ydMWKn?l20VzYi;2d>sKeF)>NQVm%8Kl5es$Zg`HSkPHpU#nEWuj&t|jjonb znEZ){YLzpIA)M+r<3EweKzdxuW`F`wnxpc4eEaQ5UZ7Vg*UBf?pD-?9r z#VMmwhU4VUqJdM`)i%k#wdiob1*^A|SQKu15oU!CHgxiusZ@h21*>RFvVdE?2~Nm< z%rJw^CoYwqaIvq>-$FWi$Edzm$?G=0elLGX?gXNjl?fb`!-Y@wW5B>;>%#`#uyA)5$qhx&$9eYON(aAE5;=1ipnZHT#Wj z`~6({W=k(8gY_66UBLqHc5mWiJ2wiTOvn18qMz5lh46r=#Zr0%<;f|#(&eBtNT+$A zSYOL=CzMcO7A$oVD-rGxipWPFxk0)}%fQ=F`DbNf@l>HR;+5djKS@_M>+(4eE>tei zA}hF^?f--uk0!d@b3aS$hC0kTl*dE|2( zE}@LQn6qvSQ|N5}&*a3cj-@c=o$u{s>=uCm^$x9T*-^;62d|XCswhl7jD1Z@LauN`DF4 zq+~ic!B~+Svt42;V^EfrF{0blROeY>a8%i))uL6972&5bN{sm3CIRH!z!xn)iEZNu zH5+A8x4kfZtGeb25;gY)VYqD9m}xs4S(G>8`;Qgha*1alw)%OYlX`pGeubYx<*!{e zfG#*^Fg1aZ^fU!{fZY0FPoZ%46z!{MFJ{-TD8!MJ!U5Y9wh@iEIhIb8Y;aEl1lFd6 z4WJ<2J}!WWffq~B)-CEhb1)uw{DS>k%|%uq0n604O8ti*2PE>IMN!@uAD}61j99db ztLhCrX?Vg38(9FkgC*pYoxa_Dr`lXeM9ChsNknV!!wF)WVA%}TGuKYMn41}?-RA&t zVM2QJ8g@TFA1$df@`&eChtufx4UH!e?O$*~S#P_^+mPhytGBK(S@zt=@8iI?*3EF# zXn(glfY7Rpujrf+okzEe^(~eSs2)7{DIt(bP8j~2pVxUz@ACYdCov!GBSigmiQM_^ ze&4Bh75jfGpemun|4^6=cm$C-L7lVBY@@xAmlF;!*5;KfeZ~c;V%dzmwjMK2CV(u? z7ezjURM=f?K$zVnq-i?{vDdE%gLHz#hMK=@BZ}QcYj)_k7>v_Oknjm2!2#F!2<3GE zTt%*&o}=`GVN&%<5My(|=r-y2-qxEB@r=RtN?4zBa(yU+(d}8a{U%lYjS;=KSmgAD z|0_!`+$eJ60`9HK38++vnW$&V@^sXOvF(OR*&8GB9~@A6-$rV3KY6j@2Y(Ldd&|$* zs=*)ZT;00#OOBC5e%@v^bEVYdW}+F!c|lZ}fl`AXYITsfWfmwv+71TiB{0j@3Yk4=|@VLs5j!0dBHZMRW1t&90T z{tE_8)8)e$0vCQY9B|r!_9*h8UUZ@^+-iOd%{hi#Ar*lsrCpXd8!$11Q(yISmEs9= z4v8OW(ot3%aSv@1z_su*63}1z02Z)Dm~%Ty)Dym z|Ey(l(a@m`C`aY)4>X0S3*hhwkm5|)Js2e7kDgP1OUZWy5TBZxIIH{?$fId)U;OAKJw z5GnxHlfc~cT0Kn#hjFTb)fOZVNfD$FyF?g$bfC4#pUFm~;$B4a+L(bQ^P zysbqcyo8m~oQl=9EJXF-XY+is6XlV)=-4xE06-)s?-=K%(EHBRgSq%fMShGAcDy(Uo%agE)u=UhF95HpVd6IPBOb2y8cm zB?jnCuUfY}qx`o`@Eoc*BQUN93~ILo?wP$WwoS;Y=k5ybVqNy44RQ&H9W;J^C!@x) zBmT^IaA}wnc5U;`njpXvg89!?D+#$P`TW=S7shIj=)c{VzHQ9%#|GV4YVjvVVOOLu z>c7>@i3*Ql1>Q^7Cs7K#AA~ObQK%|ZS~@Ze3tZ6f5GP6a}?c7*?2-sap|u zmot@$N~@V)Y?O-AJ^oW#&6tl<7+&!=nY=5BE~#&{;KYi!)fl-os+149`*W1}!?@b? z%-Sw+DS8cUKSUQyys1vOAc9T1Mz2zY>(1)v~M-~ zPHf+WH5!PIl@PPnw=eZ%($&5(# zjpK~p^2jORq!~!q%ifac%*`#P{o=qFdbea*s=#7h2u#A!wu!&WWC0cTz0YOFVp6YQ%c>lOSd{*!<2*XE3a% zx>vz|n;9{!`1JUrf7F6RYUkZdtlaj*gv^+28M^6em9CnuOLf?Gk!=k?y z)bXv+(yzxLUwz}bzIkEjG4p)Zz~!L#_pp{!_UslbtSNOP+gZLzCyK8pcMrRFu7$?u zJaB&T@_7?|PaTrnVR-0odgTIrr|jOb-Og>bu_w7(7iD^=`1~y;{vo=flwPm+rTTj_ z0@+D2qx_=(6xZC&XfhK%^B7Yc&=rZ7og*3J*U`m6x;|Z;vvt^`f7@9F3_l7b4)>ps zo({Mg|EHZ~xcNYC>uF+_|2J>NXR%vF!Joo-4*U*Tw>IiE!hYr}J?ob!v?#6Pzik;* z$5==w^WOy@mg@TYAC5L(S7U-T987>ltq3~~mv7(tp*E%X!|ah&XmvYPg5YO8Qf_x- z9Mv~$m-yj@+5siSQZ9b^sRxQ5CbMYAMEg+?jGYR%%Qwu^%#S50hijPi3a zZ`Kql$IGtU3Z|*6m+Li46;uzz(i~ngG6em4Byk-sM0a{uoE}y@!|{R3!-V?q>_07( z9HwZECUZ*1L%irK!!}cLd~nITDzW=bREx0My9Y15ZXaqQ@@(C5O1ASg&^>%JH%ZwR zr9TD&9RHcwSQ%FDH#$tro7`S~BDWwPnloE~-^tDlUldtm+hsSnH$3Ln>WQf@=hkX_ z#pQWLi2CYcrn}pEh)mDnN|V5ZXv^D*b&G++@d9cg9o5t!%5U9j;Ie<`r#pPzuYZ0< z1Oz;kN&EQFB6mgZc8rca2f+Xv@Y!OmGNs(Vw)zQltz671=U18Ug@x<-KQO1u;gi4L z8xl1X)4I#KesX7T&4DHI`amCWgRga3uAKyi!MU)6ZJ!TiPmn^VojDAhAormP#mUnksB-Zy!j$tOx^QiOh z@H~U9q8QQaib&PS<7?lJ_a7~0m2+1c9b+cn_m>)7zs#dIL6TPP!7HW+$A1?jJ>sZ^ z>q2ch-VDe$en7Zp0&g=>-kD)C2DA!l-lNwZ%oIUvMcY5Ipnv^Dy~*UkLy`ujTz6s! zigmyC@`-O(wEfkLK2JytF}=z8TB;FtVEg&jR#`|#;g36z$$QT}-x}X83A;iH&zodU zmTxRn?R4lV8$j!Bsv|+L(|BDC`fmag5cB5K;lK2E1(fM0n;J-s5ao1-WVtwLXt#_tcht%5bgRYn&5yqrRKmGfuoC zfxUt^vS_58a#OVY^`OmvBKJ(Sf57!OvdBD7s7X6zwn4b$<`!?pX{sqOjeL6l$jCrA z)s2!qpEqcxcs)8=Jh?EyQ7UuYGzEdP4+%Wg4VV^dk|l{TtV~dx9c@O_pIj-|)O{i_ zn>zkI-MTb|U%+x(w@=*w=xbtdsS~eDaVLEF{#9aHUQ743N+&uEYF>K!(8nN1-h1=m z)#S_3E+Qpd0t(kMba(%7>P5@0%_0(t?JhtUt)5KY(IPe4X3UkVAm`b2e(#!# z)ai#ZPd_O~Hrj<3uid=y##Fcf(cKiU!lI`$`=l8wtmjaw05Q^mdN-N+#=o|0?8_QV znYY$;C|wwtbsngn-8u+OG2qL!ota)(MEia79AnlH)ZxLJ@_{9e5NG-7B+N0 z=8OOC&z_W^h4fNoB3-mP7k+ZWo$YTS-$vHxqKTkDqlR}GKJ~Ao8@j)mk5l%;A5w9@ z`>tGHTFUXh?N`sIl#gEu%@rEsCA(-v?5&^B;QQJ$cQ|?4% z0i|oy4bv6to9ChPj8`IMUPk8Yzwq*_d&BQuWJf!oT;Hf(-#946)%1IkB$lX#TxUT~ z#~l7^m}lRNJ$*gc94!+y?mqV{;1`F?npY+9zL@R#rSAU8hurA9`%KAMXf?||Lc@u3 z)9_cR^4PV_oJ4n*U?%=)D_e3MY{B|g$(z}0OrMlG+?${2-c;#q|E(xh%qpD8km?kq zeyVsc{?m*^E5yV&Jf~j6DAY-~&Ls#RHCuK)H9^_IdFtcg?{F6!FN4n9C78=Dvqzq> zM0A+r_Wh38qWqgBKa$8_G!^Icg8d|VnuhwW=tK`X8m*K2SCfF7tAo@@pA6hzoZP&r59%x@_&fpB1kZ)M8&t)6p;`=Bw10WTbo@sW3Un z5YPfCppF@7*L6lYZMlxtz3847?5$KH7}eU>jZ!zCcwSk|nGERftIEGy<5i_{oa~}E zFVYNFjZYE4aUPZLZNCyEoiCXZDG*Eas1B@Fya?a0B-Rw(?T;&2^D34nR@lV%|FX_E z_iWnlWWFW|m9?D=YckRG-YaoWi)h0XeL4wcSh?n62g#F|eB*d}XzAuq^qtX#JKK1- zpt%m>#NfNMpEqZs81%`J?RQ=?NxAJ=`d4%y?_g-<_jy}@q&rI6C_T`bLQ3Q^^y z!Sz~cDs0*;LP}UXBFT0mD!D(E9_s6KT1ssC9#^;L93FC0=@~Fxdgj{ z@|J(MPQUzKR+Imq^u$mP%OuV-PP7n$eC=gah=s$a{upeuN|FbM%l(q7y}~%#ool4?1KkX@5W6|jPMnL#RH}4Bu5z;Lp8-vqxg5vQ&mo%}vvTR>Ezzs&gM-GV zFCoaHv=9d+-5^~fi2KpUo!?$bpS}Y&;_OeQo2Ah;{ko0Yy4qp_)1N)k#is^}rPGoR zgrTl>b6>}kg}FB?b6%^i!Ohhn63%;WT2Qqo5F z5v_lu24iqx{EpV zi#f@eE71q4)yla4Tv=T^C3Gq{mPxlTohcGMzmfEHG9M*h+@g7HX2j-wtAsk6LXrTO z@;wDvWN=(`OZN?2NPN2^pDUZh`FJI+6AHu%uIEK1Pc~v#p#`3<1FWj4_Nl4A53}o8 zSgc%A>VwncOvednj$oO!I8!N(GBKWXVO_%SdKMeql;q?YURbzP#&`=)O4{`)d!>mW zF7Bb?C?nK4qXBb16^LH(6Efr48g)`B<4TD;p0&JKKNP2%6K9^+8?K<>mq9A=yl;kL z7;f@OHPH=E_)$eGTp3nxw!iY`TF`{D;GZH4vfog|?q%d@f&K~qy1r?2hn!|VBWH>7 zTZMAX_Rv!382`;lk-Wz#=`JZEbMg~+R4Ix=ra7A#mKi@bQT0$O7vp}NXBVsxtcBy&XQn@GX3!4A74^+ z$h;YsD9!HrmVzB+d>t0`Qf^D(QiH?Bk=^y5b=^fXV!P7G!J6PEpwlMxzHq5GtE}n1 zR)0jP(hntSh2C;;srN&~8I>C}q!fE*o9OCt47?tEtmU%H%fJKP^L+ng<9n69Z>ih> zl7Bb)-XtSw%{w{SCB!t1m@qSJAg%lNFU!FEa9~k=5Ff+Vf32TTCTVl8b12B=T0x(^|ed$|o|XF2sK7*1Im7ow8XZnUzqiPfA$U->OL&<}Z1}fv_kI)zK}+ zCJ6bk>5PipoifzROZr>*_Z9H1$|;R?3CCGNKPGR(Dog@w2Uu-q@0gJ-buxC$Men&t z8^3vCDG0+jyG)pr`n(p9R5O`KdSW7isCLfPGvqZ3<#3g9DO)@<8qjPFc`SV8^oN2< zDD8`s!{o-bP~eYgg$bvNcdQVTNKz2D)R&vT*>l{kc2J~L*DEoYW^C479bFX*)XKnM z!a(u29p?eojKe*gO$MoPMQqtDBTOT$*!=iyZ&~v$2hJ@br9UN*p!Cj;oXT0!2>oI* zaAd3PlJ4wcc6Y;g;PtMOig2jomO<$Bzk(+nM-k&3L?r`_HdH1R33;N-q29f%w z&V;)*8Sax34f>&84N}zzrV-PaPBSUtLh}VyTmA;Ww5wy8llCddda1vMHqx;2bRi9$ zt)M9(2)|aDqoB;xzB34yI5FhvyJZX~`WWIs&GXJ|lmM^|d>czk2k$-VYL% z_>!W$WsV|93m*<%FveI8=JJ=2%4w}vlfOMP5H2ZTDhG9*TY{dcNV|Md+^J@eAsfTW z9N!mVrlI7L$*^0_H_d5>YNMw_%n}=_EcJN4>LlH3tk>O1`LvkLo_BU?{8)IfCZQNB zYSDyS$iV#`rmHykoo1b9nV>^)4v@|3c{A-$^eE%y=kzy!b|!BG!7Kxtc%mm=3+*DZ zi}wouXfp(r^SA_wseHi+zadnjx{s2jKv}*{Kz;OazrK~>bboy&&d45EtJ0^2N{O1q z?~Rz&2N!{Md|hgN#7Rmw=+%W`n6E+f?)x&!*Gf8QQr+K~LML^F=05Wz-o4J&%bkibm04XkbsT)H`!@sq{ATj$ zj;KNdHg&`r_6%AFLqk5&()OLmHOs#*xK29 zv!k32>bIu!V%ta%q_oUPEz1lvJ1UFZ8eL;#`{@47Q&;VaZRvMs-3N^;)PjQ_A5E;j zaVtkYjpf@$ihNBq^Gm<%U(Lrm%JBVaz}8c3&3qGCW_~_Tn)jdjAAP1z2W-z9G(BZ3 zZ|gDR%W0+w_fqGw*_AQ$xIb=|`LFwhDr=HdlMG$WgXoR$M9$ywIcx!Toolx0e2P3vRV7_Q6-hU$!AH@_g{I>xoI(?%n@>zH7@sCr#;h z2ai0t_+IEc==SkCD%8%zpZAsS)2(kyEM_-g(P;3tkZ~GI(HY})oQQK7|CO&gQxnRS zG}IGz<8sfpP%evT7xiZkqPrg6b>p>E@vjE&Iqxc6RDb?36~V`w1`&6h7`dipCkz|c zVHD>Ou@DS1pA*<5eGeW* ze!#!btT4Yfd`Ig=zk&J!`(~8qlxp+g1&v4R+x=0gFOy%iYLj6r^ktU=wuB=S^ka6u zs8j@S4Cmkf`p8mWnS)a;bDEhozj0H~E0bo0*Qw!({`*6TsBmN_y~=u_ki6PQ%&SY~ z{{aFb3002CwbCW;|4HhZ8efb0Nc)6dTTn+f^gnMl_T32rdv@Tft^OO=Z_KJPhpQWH zjy!BKw8%|}vmT!k5fRFDH0`jPiqol>a(w@Mc3?oK!a_mELT~7jt8}@eh%Uo;Pw~$% zezvP?$CYZTWsO))$wddZ4@H?AbB4MD!I%*C-fXg@2bL$1>osFyfhZby=##OuzCL*bQTQ)J?Od?_X&JDJoChrjs)6`Fxxy zQLY>j(S7CoaYd|c?}KjQM>z@Iv6^&nIz>wJ^rD|Mv#T7^of;*V@j1OT)q8KOPixiO zeic7%VoWv~SCqOUMN*4P-O4xY-Z$4ZrI8bQV)~S6htSg6^NlY#n1_{L_z9c4kN(X7 z=kDa|A0GY@307W+dl`9^;J!Vo#p_s}Y+eo$p-N=_z7lM@KP&i4FzdXC#>bu#|F0hf z?6obguyn-U)Vc2TX!l}r+!dn_>Y6=flHikt%C&gyJvzpdSVRgw(1=+4?q8KG7Fsp} z2QqJJg15LcO((!oz^mEa>B>vrWM`OiJHwpC!$ta@YmzZ~Xv5$zGb&Sjq}cnOb+?6b ziKTX_;T4u|6WEuQ##3%X{xSB273@MQ{xTCL7D3V`hr}Tv;puYD7P}KV*8|@q>x8kS zzjsz17+Xj&V}@)^yHh`ok5>8e+ccNgCKu#LDM(2>TRkXxiP)HqGB(wCz;-3TYf+J{ zgnj7cW4ob`d7{WWA;mR+*97M7t81GW)JmS-@ha%8_plg#bo;>rp=!R@CN~;2uiw}( z{=mmapJ0W*=vHtylP300E&H?gCN7Fnn^!vvjkHc)yNLcyAGy)xEDeo05WgJW_Ab#< zH)VF=+v_{b-U#5K)EvLT$4=S*SlG3bETUi3B+2AxrM5ZrntHIPKDQ`LHkRA!7b&3s z%P}c;>-}WM^I1As`k7QIt_+#-kl3wQogdnu$PT5(e{*V z*_^^3IV|X=$+$82Z5uJ6f*)nLcQp;?b)GWih|rJ!YIv-Rw(kPsc0R`Cy5c6c^Ud%7R$PC}H8jbHFc%f^#kO0t4L>v|AWcI)%jMfrvQ*FvDo)FWCWb+iUv`J2=6x{UJ8?U3$c^)D#Gp(O2VlrRB z{M-cA@XB(zb>6I~__z4BRpz5Gv(9rSubgwOR_!0X_(v~iVDVSe^ltn4_b&{%GWc~K z-l}p$gp1b4ShJ8* zbIte0LHth9QefX7n$6zK(DW@ocwzs_nPx!w)6L6SG?h}}-USJQ!;#-d2byM2<<@g_ z2YQGKGNk|dJhFp1_X3O~9W>JFuc%CsT@E06C$z@LjII*cioZ2y%PFD{oi*f#q5a^e z@R&hnXqoZ3dMD``!A9;v2qd+L<1XQ!8X-B1z*jK7?aQ6%ujPSEsOLH(hMG>&*PqrETSZ-1u(bQ=G3BK;pn6Wj zL3`XuXXHXy+6HmsX(LH34O_FCB7yhZ3N}owHh4NWWaQ_53GLNXW6>;C=4lbkRwJIW zQ0cqZ8$?@n^1O3^d3IQA6E>nyq!_A$Ymwcl41 z9el;zcRcmf;GzIvP?C^7;5L)KeZ*1|5P$K_Kl9e%ol! zG2rL=;`ZtLCzb#Iw?*~h-KC8R72-K@d%Xnoj7>-i558t7@=*MCd0pY!SH;txVHPo6 zCm9o5OSqO>oVH?iZqXH01~f(gC@U&*rzaV85xKA`5s-1huJUv zv55ne-dVsMMsfU32n$(J`ck5LLd2jnI>qLor1vPe9+tYMXE%$LE!Cr|tabV8KxQafnx_Uit+I=;i2b=}(fW3l z6MEdcm#*sOxifs^Nmm&)RKG8p7j|=)pL)p&ZnV?#;F|e*;UaGNaW6yVQY7x@Hn60) zyC3gExeX{I`B!^I<9Oz~Y_L5o@?n!okh7l?Dd@-be|CQDzJH$!dtTYDd(^fXg51T# zFWqXvBr#RaSa39tRpw#fK4-@pnBh1$T8g^cf8q*`3%RfaG>|dg`59+_1TQ`UQEtF`q?lz4cC8EO!32-ZEY5*(?g-FO@ z4?d=h&FxE1qwH;fjvmEf^%02pLRf=jm}>XQ-&ov)`iLrmvhiYW-8GlfU_^2L*R*O_ z?!Z}~$k9^LA2@q3)ot_GHuCt^6Ec?p*#`R;)3k(`UmE&N*-Wo$5ck~OZ3&^>BWwEt zMv%tQEC^xcXi0$(QyGC$ATkkO1GU0Lra)id+49qQo>Z=opKg9fdAVS+s6@lj z$;A=IY3Cg8=XxzrB0j^kN%75kPMJx!kLwD^?}ItG%ms+|ruT6|#qfJP66@5^HF9WC zVwiV9ApArgk4k{2cca*YiSX4{U@ne~QfdVnMq?4=XheK8A|y(J4oN=J#*roe7~PYG z*kI#%0u^x(S#nmS;}YkL>+`1Xmrrv6S69GY@(gkwu)VQnu7Q9(9#xGTRdpR#1qaX7 z-3wIDw6Gn6t4a*%M%Q-}W4l`-975;SLOmk@pB{iccy**R4wmHvaLxD>xWbXE7{|u_ zxgDQ3&+~q%k5DQ9=F*PaqmLU#Jy3mE9_@t zt^VRTHkPI!|H^ddwQaFIBP?-=8a74Mokz5E$kR=qZXo*regze=c=Daz7s7`lUcFSFcM#$s2tYdgI z4xzOAHNt_cGFMtqz(d`Pgp1)xz{8WrUw$?g>!voi_;0)qg6puy_2S#+L2!}JS!8VfC zF;BV+FsAMUYyBo27P5PEX%)>k53L$h_AVfvt?d5^!5q?`KHBi5vu%SRF%k|GM8Q&6 z54Gp^4%8Yu1$UZ;8`VT$K211dMhXg|4GEy?TvavV{aX+fiR!T(ZQv7hzDoJ0t!s)R5RP z=jR>>;K_8gs5Dh|2>dFl6)>$z4cbM~>;eyWQS7@u1y#9-yN*^j>W~L`Mt)H4920xP=#YeM<7xPXy8V|s4WkVsb9sL`$u&J4Ty-Pr z`&3VUBG2k$+{Ch>T<~^RPb*?Rov=$&qEI?aI{yIQ-0~Kt9Z_AGVxDiAn+3)pkT;+_j-gGXu@Vwt ziFd>Lu0bcp4B3MZktZrqC$LMWqIZ|n5mb&NZ4RG783582KLWqjFdi5S(Nzk=s5QnO`ryGL@nPRl$__# z3bs4iUq3-eQ*T88V)%`vW$B|+sSZTLd7?1*2(mVmr}_ywt`Md;0XC&zE^g#e|3LaA zLC@k8odvJSrznk4i`?LJ0G8rvFpH6$ExWbrRDf1p2nxfAY`2#j6h#o4N1FP*jL4yn z$kr#?K6yqN@&k(y;w;90+Df|)5_FaP1ylvAY0B~{Q5aFoL1@pf;Qf)N{l9r+VD-CE z?dX@KS@aTU74N@*wR3ErqeGH{c9jw^=Xc%mm|pUL>t0PHG9X?9r#C1lTFT_F=|$B= zvT!cI@dDVsaEzL509*<%z#v$ya(*en@qcZ7c|26@8+XssqDU%bNldADBq>Wo%+n%C znaWd$OcIkNlgE?YoFZFD))p~8TiWeLVUAr2W68dZrEG&SF^icw=e)P~{rCOH$IO|t z-1l`|-|zRju5<3AOwOdC3V&j(N^0SLHpy0S7Q`!$M=mJt3?P821{opPtvgL;OjoXUNwBuMp3y@B@}HWCoK< zEv@=iDcF71A$AHWo$-fSYkO31Q`>M&+i*y<3~;=|4Pwy-5#F)@+)Fkci`pCXHiRK99zD0Wq#btpzUC19zJeu5eJkguJ3IzBujn2h>A4j`>rGB0HZ z1%excIRSe(0f#wmnex=$BlJV(L{$VJ{JI%2%wI2heO)N@MDMS)&B}1tTYi}UQ=24% zK~paTi^Bwl34((5B?w1>KJR1uIea%_)CMAW`~?4KeN%INWO&jbmcI?>p<`-VBe(;s z=Cuf0h!0yCU!PvV0>r4vl861I4S-|EM*+WXjXR#0R#`x_U$3UdnztqI0WgIeZ{x2Q%O}3O8szI7dAt6X<{P?lVcTJ zRU@u2M%a=K}`O}? z|EkyM@#ydo^rBy5q@n^5s}r~bB_zvX-ph#p7>WaE#*AT<+G2c?*yxENtw4IkC6Y#` zx7cCO(W|HH66*PHftb!fveUUQ_#%Ba`qO;!k;fv#N>FnuA9I}f07GonMDZ&jf>E&c zBXlw97FX0}rf6@R%O_SGr6((M+h9@3ro0vprj4TL+Zlf(NUAZ9z6=-7Kyp3Crbq`n zzLGa6n)=NMoNV zsO~83-uYmT<0hY*f}k5IhMy-qN{Z>_kGT)IH)a>BW;N#RN9eu(5*>UGU1Vx#;?BYc zjZ^OlnvBFl!qi!kon2r_38HzNM{c732pVD@YC+<{N08>k6VSlZsYpIY+zuwSUKCR3 z2k9q^-tQ}ijp9U_QYNDOsn07wI2~#NJ7gfDf1%|+QTr{J-E=E1KZ}1(KnPzoyCrAn zqZR|BH*Eoc$!JA>eHtPF@PnCtCk4z%fS2Okbz&#v@G-GtPZunzWMh6Mv6oWr}i^1{d1TR>v6l%`wA6n-KIMhKQ{CBxe#Wv@gVQg<&Bjx2_`YN%o?6gZBPVx{BM zXi$|XZ8#n2?e)jpWkv{9vEH>nKdD?4(=T8S5vW@x&2%KW9E;_0hd$(MEjQ*J25g0Ngd8~1cI^K63j5A@H864HJ?MDsO2}2=LvUQY3uP$9M4%af z-pMq8DK)}3QbOigINZe<{#H4~+SiA-O+*nRZ!e$-2bt+I73AG@d~>5duwFgiw8vnO zvvqRAKuv9G2Cfn&f6?cJ7$eS7!bqTZOv9mLW5`s68%P&j5g}YAp86*pX1z12o#dy?E~qq?Nu^rNGF(x+7lDAE0Q+%Y;No9H(m9YFpsSOU zxd|(T{XqkrNMJ(x=DWC$`D{v_vTRROi3bC?3MPxuj*k%FV z74tOZF;+8te<5>M33h1!zz&9cGE#)#+30V_Wh_NRg?un)kk5&#{WdN6;Tn$u^b~JynZAq67E#Jz0pTV9 zi;+cX66UdU{A+Cw9d#!lP(7qO21p-adPEH_&EQ2HG60U^eH0<)6zY1iaT_?pX$twa z`1xZ_9e^XjQz5$UVt1Yi-0F7H!9V@7H1#h77+lBonH2~yXO z%!P3}Q|NVBkdYwI9N~vl3Mk1)2xKXlnuWL_k2LkR^z@$U$`L+eDG?)DYfGl@>ZqqGd|zA z3M@m4vZ9f&Lgp!w&}(s=93W&W2+8Kcd;mZ1l||OLV!S?%wVJKpZ4$DKW@&4=#qDWS zI#?j(U|WUP=gLIJG`yqiO1D-^ao(Gjh&Gcj?Kw!x8sp2Rl{dolVzQHEJZ@x36ER(I=rNEeqJv3e9FJm{ zL@~Zc0r9p{=Yg3-!!mBLub`v0^|eNC!J!Fr#fE_oQoXlO=+z3dwt3i;RzNSH8}Fo> z|0*Rwjl*nPTZY=?2lHGfO!OREO6fa>s02vsHO`OOf{<|i|HNbj7(-0s} z(QJ!M-3#V5r&qLs5A63XN*Kc_nAIlg*Z#kOiybXtfLC)mgD|5(H0(#E%>y*O1B|+f z$`=Qy%G-D7tpa=K=ONls@nb!yLcqTTZIMG z8p_$g2~ZG+fq+rM!@l(R-+L)It{MX`U)&MHSid;fQHdRWD%uV3K7doPdx`zmEb|4h zOex#1g;-S%1w`G?0i6m*5zlPlKQYDm#L`n>o|XN4`A8?`o}a)un<^IBF_?BWCTF#P zeuQD&4Aex~+cmQn#@`+;+{$7W#x8-evr}Us32)4Qi}y`X)!;Tx{p4Yu0%+IxlEHY_ z84@xW$KhUV>}^n7Eug@=LFop&xokfjr)|*bMeRhF+EF=lWRSFFfOJsY6$Js{H78}j zu}(-&!hKM64Gx;;8~KK`3GW~7$p=wxkhp2_WFu`Pmb|(f*>`~KKEQ?r&8PAaxooKN zBG$=1rv-`$%;os-QQT@>RTMN&Q{dkfbzp6In4JI+?D{(}b_CyAExw)EOS$U?Qx~yV z0YG_VvN5054$)p?fcI!ptZV}Cq3^=4wUi+!S=qWvJH8G@`v8&(tGNA03mf5J-e5m6 z(k(&n_lL)oM)C{V?V*onMOdSV)pg{__34lB*#cAiI*b|(SX>ObON>YGs0ln8NXmkQ zT)%CE2FQFI59->;zed(XyWk#CNINPUZS5gDF=>i7G3eivdvJpg!?L>GTxhcX~s z%Mt(^kwFe>*@@&$$%iRGS6yDfk&K5lSHxKiU*}~rik*IgvFIGeFV^Y zP|+n}U9q?j;*@CGvTwQ{(%|?R3u%9^`j2!SIkFRC{113VKfDQH>yATS;0hS1tRg<5 z4we*y%VyT{E!%F24U+*M=OZew5tUk~3akv_h{!iWOLh1ytCvYU2UnyChSwbjlgSuG zmtB|1VHAMK#vKqn2V+DlgGpjH@(;L<@y(cfn@%yX^j**WKI1FnM5n>->{=0le3bH^ zi@2cuJ;rK*BD0CU*8y>D8T=|HT4X!}rF8(Hp2a_% zYV_-Xj+s3HhlM?V_V3~N>49#F4Hk%*CKzA=TzCh3Z$PlSZy%;$!L&kpHn(S?O!`ujJz%)!}i5#33DO5H?4js~ea*B-Q8v9n&q06ds zx}YDzXP9P&$mOBrD-fVufdYD96j}?+C+G`B1LPU}W#y|<_7zYSTfQv@GF3;XR8dgh z6df85IzBF1zpCLDaBRNr;5fBVK-w!_4JEDSFE^dW*(T$RQ}Ni}Vxz~L&$<)E;Ul8U zSR-1LJu>AH$R65dQ0G5e3RMYlXEEy%hC3+AYJDW~P4fQ-xh>oGbxuspe87Jnpp8r! zYiThDA$$a~WFCMP91|_Bwz4w*7F~xeWndff43C?^{@8~2^mDdMG=*2fg)%HpKxQPB zh4^dL7-I~Ki!=2XNqjsFyzYj>(TMk#+A$A@VZ_%ezy+ueMdrYaZGgpRBce= zkp*!IPh{A0YbrjELh&_SWr`n#_Z7yC`rvS%iSBtJ% zE{4zzjQYXKGFU94+W}El8{EUtlLKheCF}{Pb%BSyKf?r?Hbv9;%Wsm)snQS;6cz-Li(a)Y%M2W7SOtpHJ0LBg-x)Yu1+xZUATX%<#lzCV$Sg=2 zASs8j8QUu8eYpCiBa*l1=`|m(q=a*!f<7DO*@tcA@xdz=Oi+*#sm}AIkYZ`1>l6X1 zLi1^8{7uMyoE-#wnSlll!j9-wMI0kAj-QlT(oqbpAD;>yC*jANYJ1vI!|OOA?QuT?pfuwlJArm6 zL|z>li%YDUhj;UcQHba!419>Hi^jQ%7wUlzH4*dCKo-Wb5r^zvV5d%@pwUmsN2<6S z{{}^4#wf4uq#%-eBgEep{7w>9z0g)ZCOU_sI{1-Fv=lNQ~xou8YZ76Op*>L-P=3 zM|^S9%vwQj=2EmIbdQB;Be8XRwF&gSR zI~y|wb~YrtywyqiCKxZ$(U5gWjoOm(DScS)t*?_>P?pKMp!r(W_m=NFx9d2+_aJn8 zTDryE3V{q?>{Uo~PYoQ8x8diU<)z;mfBJYv*Y%vm_(l!O?zx)stL_#TcXjCh05`03 zw_VDr(mV3q!7HU(^-$RXs{=Zx`|97m)KDLavb~)A&dj#{lH8S#7PjeSIdf{spZ6So z-xXzR`${s)V`JK*{YQQby)2|#B)vOw>z0=0+yBBNa>``y21jS8wUYDr7 zY8sXe!QKZarCekO4G;VwcSe1`>%p?z16N)T82WU+iF4_B@lsE%^igurR?fhFKkL16KJa#BuF3!6Cs3FV#$km=(`folanRIN; zB86J(R}A&Ek2liZrCL=EN?t5dYLbbtuum~^);LCM*ynL8q-T4uuW_=V%k|ueJC)po zD!~<3aZZ9=ShCK(gO0V)?*Dv~zua@{Othb~Sy_P&uc!z-uXy=YQFr5MiEru%ivyPOxY4sr&6ztRbB*uV4bOQohAcU`aDxl{U4 zr@=~eu|tF6mO{~By>)T9jHTr$msvTahgvcj*TJ)x-G^51dwW~bZTjhL zU&838M><-pSGS*7DWqPwVtHWEH?d#KBJTW^8%aBertRL&s-FG(eK_mRswLyqV22l4 zE6#y$r}65s&(!w^sc-H@J8v)OSj@gV<61lXv{og$Dt~`4L%qNzF(9C}fS4F~{Nc`| zmhamIKB_O-g){ydP6Wj#7ryxtZbm=a+j_z{b9cty@2YHmue9b1{#;kvb3u@C{#>WF z532EY@@dYks-z+N?TK-+(%Ncor4x%h5`Ubvuyf%57}8E0l9o;MjgE+y-KS!4a9C3# zNXKG6)i(99qqc^!2hA#Rmil-6qx~Q6y4UZrP^3DvAJyAtvd4*_IjmLaLVtwB zKFTB|Ue~mczVJW4#1#ism-+oEf#ZGqmjsXg?b+{n?ZOrF|6R9H?Ku8Gd-u13%)Y`u z1-t+1B-LE~`|8QN6rKNA-eqG#Il-|5uvD+)U2aOqR^LM}RmQ)_2dF>jN}!!cJ+y|j0bWb`gDqjO z=3&H((W*^DhTVmi4zf1h9=1f!wwE4YpS_W%CzYocnI~L$?I}C$dH~z-$>74w53WnE zlg4m*^4$%?b3cg;?got;P00)G$qT;U${u)G{m!2VM3TJ{k{6yPFI@Xp7P@fil1r8K z7b}h78jWG69En_)8--I^;vfaXjo|fq_q%lJZ#Ow4LskLui+Ar`zPJCcKiAKF$PuYR zYd^6gVO$^MY0{<-<^GC7m;W)Pk7K76Y&)ecu7NHOjeqsmE&cc$Qr9ui{CXmxWX^HT zz}>~PI}%B~vb##z#LmjB*n1y&1N2K2tr=yh87K+$*c-BQ=7!`9lrZw~DgD(lQ*tJ| z(D|l2OV0soLk`%f0HxW*qcbb#V41@kDN=1O zJ5}nq%?{X_wpWvJkz^wQWkVhzWD)m?^q0VS_wv$dOv6ydf8*Nm7j7q5Qt`TScc6VH z(lf*ww;Ei{i|V6`z8CJhN0wjiy}8!KIt)92>?q$QFzGv%8=f`eAA#9~9=mv~CP@q% z1z-~B=S#dDGu@rbOAgw^ztW`oo3{B$+=A&_MmCsepDOlz=(>(=vwic6i303m2aQVzJzx8riZfg%o`bRH*4uzX_bhMOxs+X!PX7SM;vZWPb$*Z7?ecq z$9libef+0QoE(?!dG&Y1&f77B$ZK)e&$O-`&U-87IY8Ci%2D;v&{5IosnNb0=9wleao9;95+j}Did2mMbxJahi!zO{hF2Lh; z0M5JWZ?ofe9Y>%15FgN^UNI$DtbF^iG*goC-W&NRvn{^5;&7!>5=3|jEB5;RYXSoC z%4eknKk{|DX|K$^;kChsTEj|a${%5(>S7I-nK+U)nk|NXqvI}~X@udWY6pSVQh^YEZe^Z;GotY+UG<=>_=1*AcOrG@k=1LfJJ}?)cR!TCy81Jm_79f*`*ymRG%LND{Mf{;+xe^NYtS3je1m`)-%FS8&u}9a zhivvX-6~IRF|lS!PktfQ#LHEs&<=d@dVlhnKP{Bkv&&8EMnk3Y)BD5w6R#%QS5oAZ ziP_omlz<1J+!|4}bWKooTunvw#Tw)4)|$a;t&{TvPw6fDRpMV`ZiJimonNTTEk8m8 zTSoOqtwy^?+eTAHKaK7jT^My4RTSxspqne|gqaHU67NzfPy0@-e!En37j@|2hH!%N@|AV>S_x(3nNRCX*P{48)~s2BVg7%_CSSZGdvZq;Q8+x%v)tuwZLJv^ zSp2N#dj0&ue!GhP=rjwD%GhbMMYSF4Wh7MI?E=Vk{D@QXklZnlT`*vc`CcqvwCW;X#4FTS+~974ISV1rm`%TdiCKi z;rTo*=K05KcIX%0R~So(v5q{V^KFAu<5J1IMUZ0!rPWL@c!u`t;)c1t6 z)X&*#Js*60mDsIL_D@tR7IB`G_Mgcqx4LF`-PM68>fAPYHg z6aFiX@*gN&`&N0(E+9Rjvuoz|^U-TY4M(rT_t*+0zs`BB<)?-B*!*VyAyed(c~IeG zeX`r|tVf{(*<0Y|Yo`<*+n;bOW%q^^m(N1pSw3jWOi0#rN>A6=n40v5UwqyfsGCTVq zd}h7znc)-6bYoO%_TM;F-3%7PHrE0I~JP((+LkK;3*E3IN-J#_9{DjQSV}WVi?!UJSqu4*p iZ+tq1+h)YTp5F1DIcgPFTW5M+KX&huA1blx%KrypT>;eq literal 45239 zcmdqI`9GB38$VtlNq9x2Y||zwk$s;@o3d7tgqaFSLK9;hGucwsc9mslQIeJ$sl>$;xTb&jp;)~)-ZxE{Dh z0Ds4U`=!9U5y9bMyNyi^j~W{9K8^^!9kAQP)MWQj3nOC_Ba@@Xrn@}???wgt2kt(1 z%|B$f@oo>N-Clv$cb^Ifjs(8^gOmZttXsGE<$t$zBXa-uj;r{;_n7tn@CxIugFtDdNa*_xKy2dzqjsv@9u}E74~e^cXfL6sK4lm*}1dtPwvt%o3mpO zzm^XS3chHPQDi7lDzyv3-;V#n75pRC4~^3Q;fY{jzU|YW0Z!YtZ8Iy6U%1wpyFcRl zr!;NUk62d~`>@gp5O-W1sSG5k4;Z>j>X3ct}o# zs4gl{4{GWt91498YAeZm)_z8V_(*iLI|JjdH!PE$EZfehu;}*M0nzd??GVAj4ov8BF@A1QcawX)Dr1Iy(R&qvAXVeK5%Su z=+yl6Fkn16Qrrt^FnW4%`tEt^o(Xg%A!Ef@2bXt9YB_ee)Q>8Kd57QDqKbFsW@w}h z;|}`$wj7jV!np3#^f4g>-}s4I`>DQ`pgC6lQSc__AM7F@G65AR6+kj>b;dM`J9r%n z{)F1wW9rq}oI_I0PW+qEoLH@#LG^ZE3i3)##RPnIXM_he11BVR)O}jKVhhYyHy2Y* zjY(f{Ki~K<%5qjy*e)2xeH07_dEhb-(r>;OeKlFalCkzCO*;Cs6xSvF1{)1K!F?qe zsI^4+T6`G0EdJ0@ZH&np^s$we&<%QG@SotFW8(0xTO>?0bwxUa_8*h_h$T2ARU*QT z^27Pxm&F9X8dUHXYVLGj!~y*{R8h0iPh;`nKZuDU zt^Z$Mqz$y@@7*NnLZrhrheTB7l~dx=0v!<;VeGj*{R&8NbI(-TK$S1TP@H}PkFpP7 z{UbLwFf6IBT;Ri_6kYcL_gR&7b6hMZdfm6n4X&GJa*MoNK7i=#bP{{cf&3I}vW^>6{g<<=fDDy&cYtt5i`$Wv8Hxg>|7a0A6F>cCv18gVq^7eo2l~&C3 z&tG7|W{BcA(qfymX%38_{$kqcqt$UKT zBC=hk-bc#r7b+WI>K(3)o4bUGK4=88RwWEOFspl^(e?D8M7x+@5oPSQVUr63NV&TN;~2fzBg{JBIHtas;5Vtw#$5RaNj+#fE!<%B{~sxNDXZv?6HKCrpB+5X9rGbD1vl>Y{F8wY2Nj0EUF zx8Niwbq;r`h&;l<9TBAM#UKVuUR`2tO(dM06b#-MRW#G9EGCt~W=z-l@OZY$MzLWz zLA@EYNu)_4XHaZDRQ&Q&`AG27_d$mi4omR1bKD5=VhZZ&Ock)YB6cC~P>deoP=0Qb z@@DsdP|;>yL1MTQ+V(BzKXyaC1ggLQ@h*_aWmC9Ql7;Z_T23_T8(JtQZYd{_-V*dz zye*GBty7+~WS0E&A=E!5STjAmH5uy}+G|Q}WLs_&+t`M+ZLXGWs?)~353Jj>lFRd{ z<#-7VHB~mgu9`@O3cIKOWz5zO%YX1+X-V`l0}bISeAQ~pbAHoy@qQ-KUtSeitk`&c zJ@k^o7VE=y)n?_G$y~P4tA%=SHegRqisYX#w(*V+b&yl(qL$KQq>CN$j~P384Q^87 z#tRh7I)0R;sJ}V<4r>w0YflvNK=)w(S>0C|^{O`x{Rs<=nS) zXBsmj)Q|?Ef3oN;s7p!i_bkscA2Ln-p_k7wmB(kNbY`lkL_6Q4Rd$gyy*lQrjfnOn zE9l0R1Evb7E4`4})eLBIiR|R>#oVB&tPPeRykXe1dEoND?2c*cCn0%HlO!QS-fsBp zV7>3)ims7Q(brDaP)=za6=yutlocz`B`dEsVl`_qDn@M~dZM7_5M7=@OqMK0338xi ztbh2#o}NC#9#S7@JNt-lzHJ!byR}K77lVWW;<)6Mtqw*vL`PNz)fT}n4M$Ei$k&U_ z)y2gQ(#K;k7R6JBJkBTT!K|kU>rhvd(6VGm3nbCYg?Wl8Ru!4@zzQfu7EL3Ya8VkH z+~F~KcuveSNMt-_@->=5C|f+k>!?H-Z$)D2J8na`~1I?m=A*j-V z8qTSd7}bCXX^j7PK1-rXRp@~`tUf+ly%wK+<$g@0xcTC*+v@DM;}Y@Kgr7g;FIMbY zFXGb#%YWk6XV8LH#$?4>L({jS6-^))hJ-^b-v&ra%@)De@(FU^^G)N^^4mZA>lac+ zzqYG3?p$0@nF#h_cxW{lwm3JVb84rJ0R2zoOQ#-7MMW68sO$|!o&STN zA)0teML%Of%y{(Hb1d3o5t_({wYK~%yrXqvV4P0BvpIYtp61s_e%%Xtc+>5(USZsw zJff$sUCN_Z+H6H%J4*`Mzm>2NTy-5i{iQWyF~P>M=BiF?d+EiD-y0id>kV3rL@e7%agLecO`r8`JmDIx}GUD0WMMc}+?sy*+a32^#?Sos+ zev4NdA3d_v;YzCK$|WRb5cX47{jZN3w$KVsHvH{1a-TCg0kJA3Xra9J_Oyo~Cv|fS zgdNel{qF|#f}9*H^Ggi_mNto0HQjlh%rO~sa~940X3cwpG3SD&!vde=!fQYH?z;B{ z*F>PZVA30gI(xBzXvRjU3Bu-tvi2k6sDBQ{(UjY-sVx1A%3%K=A)43`eU+x_yBd!sYQ-WN1Xz>nGxl*?sr$M|9Ecz2 zooO7G&E_&BJa|yw{`BnUX89{!h9Le}FAgVp zLx_}FyyWz);Xmo-^9`CBX{YA6iUOZ;E96G99y26VYmR)K2Yn2wy{drBd8sD71%$+N zf5?8xlFf7@Fu90qy_#s0oSVbDFW_hkw38*LN!abo%0%ur)YhQfy&c}K7uT2zqqnE_ zpSK$=lcFKTjd0lhGWKxhgcI|B%0iR7&2XbpzWnC+K$vmh<)*oMlmmZYBgjy^nE?GU~k zesjF0Lz-K}I1U{<80su3X_l|BfNpL&aHo>a0gzyWM}>Gye}VC<2=q_TAR}P-gG2zD zbVQz5W;~EI6fw?BPLDqn;f4(926{bBr!vQ`nmH#!udqgxFxU-xd31(k+h@X}FB;*; zJ=Loc{XbyTjN2P7iGM1!yR#US!7AT7-Kf}I;(*C`ic&gq97{v)=!g@Xe;5T(&c9s_ zbG%T2DxeA83lkNSz}$S9G0)Qw$ZDcjD$$vFiy8gD=zDYPGWq@`#@~wH9XF|$v@y8r zAT!5i@&juLXKD!R;YVQeg&_{o&ttHs4{7hd3$gyovF2OdU3tH2{idyzB~D4CM0a;) z95eU2n<#5)2ijhpu-f&k2tCq{-YcN_gQkNwp$%Ky??Zs4+KNfHUjA)x9nEnR2K3Tq z^%e^s62GUoYt}A-mUq-s9(;sRlO>^a^~Hsqfz)%^p+f|y$u2xYN1aW6ii^FgEO4Yo zjV#&m_9>vi$cst16i7Y=aIt`KdbJ@`oQaCs6v6v=rxVE%HM;t1<+-%)V5Z^}SC7}u zjQ4kIh&Op;0j^u7p?=IaHzZ4pdV*a4b#DLu%|6t;WuU`@v1g+kel;&ENY6|L;8UXk zX51%rMXr2cWI|~w`{g(vEm7M=tIZi6K_ZRXZ14W~@{o#<4d}wgdCryqa|X zNS~Nyq!G%Eq#cU8{Arj0D%SrP=`yhcM~(0V2ueI}a>XYbqIWMmVfMu*9=;Bm?hAr` z;ty#o{#5|sY5fUm$N3@BY17mebBqOmrj8N7f#ZhCf~}iwBbPd9t<67Y1)k|KLn$b8 z+;RzO(;H<6NJj@ID*Em+&eVQ!^+Z`v)X2B1FXw-%bUG%eaaqL{U>iv~*8;Sn@-}=I z+rEH!eh#K0i11MJCb2J;h<^;X+i7i`};>|M6;wJ*Y8GVfHY#&?9 ziC%&lJH4Cj+{<|UfTqdoNsKne90xy5qnj5olpAk?GsjeBy5#XIvjnY#x@OL6bBR4N ztr-+8X?viSKlnF0oJSw|XyU-2!m@}_iL}BK`+B1D-SsrOYckw*kxm=&V7;#~&=cs$ zofsM4dPrSEs*H2b7d10OQcHT9YExoXPiKv@5Mz5 zAid!_>{|n)Vznl3iiG8zKsje{r}CQCoSI}f2$C~w(McjKnH2j$qv}xG9SMea@T7_; z08^@r;~I2Dq~?gl{+=NwYy+3}=?N1gLmD@cE>g7yxc?~d|0u`iuq)R z3O#{>X$OCY$tm}AV7WU-;EKyeo8zQ$pB_k_HYbSI((3U}^lqdB1uXf+h3&8Z!6hY&MhCpQl~sNaH+_>B7O?`1TR8C`gDpYyF`U3f%We|+)D;G7M3m1HihkPVjyy{2eW zPN<5G^TY}$W6`86VH+wsDLO^PiRs2nRG8ca-ra&t;kq+{^ir2+&h$|g*~E}#8Oj9f zmiXp)y%_fxtSm#0@rr_ciAI~tYQ^!UjqwzL3lj9EfDi8sZR z0p5naPygE{yXf)5Gu3kPK{+(PA3qagCFDE31l3XrH31Hp+^)vSd|BNK&|xRJR3}Dt$(IPYF?NG(&nOg{w&Sv~;z4 z?dN4P8LCJ<9!{Z25gD9BZf_s>>vAm1$9-cDG`gW!dT0(oh!WFtzakjqh`8*h@yyqL zPkN;(Irf&*9M4`Ow>ijZ;!?McWu#GDLc=SU6T?9cSmHZ_9&xYH=5Yo$o$0QMMDrXK zpbz0ZtIXuaZs{?$V$vk**PRK+p;~?vf*1=;0&PI+-T82*M|zYurXb$Rw7j0MhjcDX z6tR3%q7q?{U_Td;AC=t_6a}PI$V*8ed9-erI>v@MuaIERb2irNDS0rk8gHmGt#vZr zXk$V)6}C8Mg~Ra?@n6Z02&9*KkwUlY_u(ZdupkoYJ_ zKQNaSCbxhMTZoB}*A!X{=q!^p^;WfUJMtvp07jb;Xx{`j>m0W~?Ep`XDX$7vZ(%sk z86}dRQnaU%Rlx-m88tx(&za#^~c@waLRR;VJa! z^?%AiLE`((9EAi>3{I9HhjMMDS?~tGHa#Mp+lmcI2%7#?#Kq>W=S5~GJc!-h=#ivy zAGRZ=9QI9kdh24-sfOJBqj(iehN?uR+2{;Yeriz-xwFxgQWx_8E!*h%S+7UM^1q;r zA}-Zb12g+oMdDAPb!bUTC{dGrL0?CxGM$J0^2`hcJTEnBY9hPtU(Oo9Tlu?raMj!*}hAS~o&ValEriPJ~NA9p;V7 zk~c~sl5$g|FDYX!UV1URc)w&}J-l2+A$?sma(KO%3pkU1w?$noxq8S;)G9xwcd+oW z*i%PbSwwDG?DV5J%W$bxnxe84sSZSacqM* z&*h{NUQ>WVtD4A)cUS=`54N98&5xg2jUz={Rtj}}^%}RaJL_Znw~2YpVlieTQ(cA> zz4^AZa2uJZ&YtZc>kp&Npe!1Ha~~SOgIY6h;d6^ zv)+E5H?vb!bi20DN9>cojqL*!_*J~5TYhH?VaBBJ)GQZfTa37=z$y%P9I|)Lyu+d= zl+vaOj(ZVvGb#F>%2{RxMWB76{Z$?&bvz_(j7M*HGZOVmPXMLaI{@sZfpZ*W4N?TZ zOuVa-DejBBuX1J%n?x?`jZOuMH84d|1QXs6cSACp;;s_INNLh*^h)9;ab1{`OdW+u z`N<>9@fcNK2(MZ}{DoPrfU*^p$`WKIb!SuU2Bvzbv<9(xLBcym;!UIuTo|$qOp~9? zvB~p_ZZT46%!~J1Gt*i!L2WAN0CFi2_JmmnLS3VvkoTKy_lwe6$8>o|WAa{_D*Ko! z3&gMe<_3zxv~=!OZ;r$3RZA9d$8z@84d84NyO!^DsZ<{k~?#rX_F|7 zfhN+0X}1M9YQIZNw=8-)>UI)gBgCeK)|}(Q#8CzTJN=ys(xC6cbdU4qEM>nD*|w?- zlju@8aeyV5#r&ipta#WoaK*Ysy;;SDnKSi#0~clj6#ZFiDj55v?8Q3p7o;T$;K^S&0Pn0S9q5au{Ef#lJ!jq z6v2cxopdN>K82_e^GQ~!&=i^^-9WZ!L8pelq7d4g_w#IGmSu^}8M-mXt8c@U``QV3 zLkp7Kmhl|cC1!OZ>ykmVoq>YXxCNJtbptRR)`eNg+@2_micUeR(-rG58*wxRj^;@V z6;2+Myip8V;=Cc>_`^``5KkycJd+sfVNWRWZJhYME6eaDMX6c8dfC1~*w3E4SHZ6` zQDp(=d)4lSK|NE@s{9|<(6uOxb1dsTl<@aGIpSe#AWtNV-Hgel3{n^eYEc8H2{~#) zuQbAQN@pufX&l{zmh~kF^+A3oM(J}0d2|Jkct`I)S?qceL#ciFPa-`!`+M|tW9b#< zu0%9#^otVOddfo`e58dl=K55ID=t;RXw))D%Xh@(9$K`AOqyEb9#NevWLG)4Eh~ZT zBnp`S2T;cGToW0y9ee;- zHs{vEmjG-zH6Sx-+Y;XzuNz|&LzHDGF&{uZ)#dGu>69gCq5znqNU&;Qq`_WNPEfQ}kp~<7l2np$XPH}> zmyC^Opj*c$OJ)iiW(AkH5m#jKs0Mx8o;l3n<5&j7B8T(4!FZ0CHI|x_OpiYEQ?iJ( z*O@dcMr%!4wP5aH9#j6`^)j>$>N-VIe|@uvmm<-P!Ka{X8r_aVWT1zc$-5Nuh}h;F zMNxt#dLgJKWWOk=E$1wcpbRW~ zg4`nouCYjRNSQ=V+&x3^gM`b$06ZRl;-(XjaOLCN>!{KfOc;<{HKUcs8AjqGK($Y> zmTom}K?Wu<)=9xFYjG|nmRBiD-X(EOVr-V$v_z+&Us2*)31^u2DU2Sk>5f?ZBt~?& zS^YqaF0WGowNBI~3)v)bNb*V~U?}o!9B%M*jB+C3DP?dfTyBZW005(^;4KBK$1`S* zD3n_n%nM)JfWt4P#RK&OrMPpewPM;gmk$7Rr1ZaRI>3LG7-9>b4`~UKHO!jN*(Y_P zjP|grr;Ox*CjAjcr?$p40oYw^es7#$zhWd;zUTr2fz9s(yO8gRGJtOfKq?s3TC)$3 zM3LfJ6SD~o27U$1{O4NJG6kXz{2G2zGSXaKFb~wF)YdwuiU$7Ic{BRZT4xRZ+5a__ z(I#unjeNZgq^J)NAWp6^dMTp*k5r=jCSQ#KOI--Cvm7L-qU6W{GUh!7sRJ^KN0?s| zo&5z7KOh=w%{r#>T9fqyqPnI*1aA(|K+K^73nJw}KC@b++a=taWC{f_AQvN)i>Uk&3>rvL;3J9<<}y z6nM1?*QNlw7ajk%mQM&RwFBJy+%*}`p1#)&4MwXO+MBw)mAFF;mE8_O3n$w1b6CpeSr1njGg_V7ZPWiq*^1D)gCjz8?` zxLp}qt6hMfybKe1X%-4YF8zy$i+!n*+cd(hT$$=C@^zVXM11i2@6h33A8OMPbk^bW z_9rCi*!bv$u@_#VQ`L0gjkqr!ad6FjQa^YwXVUqn^al6-XmtXWtoRd#VIAVfw@lZk zJ^Mm|Jb(VDe979|1bVSS;Oo=$70UHsYa2Pw?n8H#^{kxYJ7aBvpSxf!JWGV>P91|E z7T66+h0b~D^4^by=c$G{c<X(J>QXKIWm3kHE4upIm|5nBRo~~Oud$2oNQc4O^pZ4@_gklf}yEEAJ*aOh4 zb~?v5r(68_0WUysQq#SaplXnQ2QX(URv_PJI~5c1OW<- z51fZJss`fA)vL6q?EVG(>;Bsdzte6%UcBqPXRKUsU66WoLeuI&yJS^iBq`nCb-19g z^4ACHv&z}Asigf>4NGnL$xJq)ch0uxg^QG|VYwI*tF5VVRC?lI$T&36IhH^=a(i{tO~y4i$&K(+FR>S@c37$x6Az|(0^(Nx9|6Eum~I2o3PJJI$ImubJM`> z;?eyXj?|Cv_`>%Osi^S-=YrxYHMMc3`8iGG_i)?PzY4Usbzk7|uTyUu>U5vY8VgR^ zA42K~z3?tPeU_{R2$GdLdcHD7;}NMgD=2soaNtvaUKOs%k;;lyz;`VAzIfc@7;%AW zaUUR2IRDH%Od!_PU852CF8m=t16Oj>-M{a2%OeAwMX#)}qc5_vg>cQ21@k|{BF7Ek z;MeXNIK|6LaB#_mp<4Uq;}+_~Du9Z*!jsQ_nmFNJd?cVu)_eP`_P?9&2Q25GfKsE> zoh>`XwVdJ}c7UZ#7|99)pED2hsvMt2_I&sZA0W%^&dYFQL8%`I`-%bb?Kk1I3jF|0QF}V$@(}gC(pYa z5vOhGNhmO0DR4Cam&ZV%w;HT>4d!H4l3Cxn9%zS!M`EPBlZm{J$e}+crxQjvhJr_mDd-mK6OFs@IjwKI!%7T z@ovuNo3j2QS3Z#FkxGBdymjDl{BTG9)fcRMsH8MLXKg|G*_MT+?EWd@fTy-DT=|+A zfwT@RhzPqDe0q{yzv?w%ZV(UwF2!->jJ?LL=TyBU-k6D2-ESTx3COT(I-&%2ugKyX zBriI}?(f<)lhq{*`E}%S;oZ1Fy7)=|CpRO>e}T)(2D5KTr{aJ4d%w0xkpER`oA=kc zgcMckachn}9TysKm`4;LZWA7@$P~BMLZi7=zW>!2qm1gGCa<0!>e$|;^DOOa{)x%j z>)t2pl)Bp7jHH{N$ya`hjEntPuBJGWFC{+n6ILq zJrH6$sINWGo_;MI*(@=Yh8@MfVB>tBXNDM~O6fn2Tae0oIHj6L&X{0AexhCcB3^K{2?Z;XXPp%n_k_p!$s=a3St8{guW z>*XCb_!|1JHy2yx+r!@*Nc1!K++_VB_sgpY0sUZE`Ssxrw%55!6TUlisiTAhZ{;j@ zy0G^16KeVZ`9b-Czd4sjpIBlFp9Ni*gsk+{HS9n*Uw-C-jS8yxw{;$I`&$$q+UYYk z6k%bs64PK9V-zt4l^e^i%+~(b;+)m3e@JS8*c?0k1_2g6_s}JeXz>+)LA^${LQ2)ySZBgS=-?)89OQ1b#o^CC%eApf`ohSnlI_n#XzTu+X`mo{7-Oj`d_aD z_u$>`-DxE6AG2&5w0eo}-c7DpD|0D2Xdf2i>cHxJGT-plv&rG((aAIu&sXZ+H8L8c zuP}I++~vjTKg%$9dDiIQvK#!xmW{LiaLLYj^0B=1Ds}Mas>=>tmi~Ts&l`KYvX4=_ z^bfm?5l}C1-3Cf_uM;^ zi2C!2(1S>4U3hWI(5-;JjWz)ow?Iw*a8JTsbOx*Hpc}r>18&&ixMTZX4F1~1z#Y>O z{~?O&DTV-H>lGRGAiZDd9<(!+)(Hu}(pmR@kf;Ad&ox466BqMG$FDQvj9>qVn`tuL z_3f5daCwGDoGtoOk6p-AF||~3sqzWD{S&pcDSDoNeX~vZ6%+i>3$KE|)g1@ua5FjL zksea|1J>}Fa9V-aKK%5>JoA0{Y@Czn+Laz6NiVT+;l^ffS$`#8Lw-VvQOjzJzKJtd z8~Q*RT`8?;92yQ7`{LeGd%e%<&juF+d|?<{zw3O%s38LS9|>VnmZYZt@$!^Pip%*iR~1lnJqC)MladU^naa`Gm#;r-V)w`q7p)=)86KP{yT;*pLi>r%R|8kMHsYS6gRbe* z&vF(FJ^@40)AB3&-Bn+bbv@)Q;qe!;u333PnT@bvq@z0AGx`*uZMbI%fi}MDrfVzXkSKTci>$`)^nY?5sDJ zo}L!^8-}&aI)Pi4Sq9Tc1OM?T$Z#Z`uvJJ25SZM2PE7aKgz0{;d6Adc!6`gX+9Swg zO!(!K+Ya7f=LIJeKqarGi&sh3c#*j@tu+iDS6N4Y6p|11WprH*dWl6^3VBdJT5CDX2bLT8Xw4x)s6kuzst#@BrA@moS(LheAg z;(!%9*>8y*2l)W6-C;32|8uru%A`cyJ*(}InMRuJ{@tmbtCPhY#fqFluTzgSHZj+!v(2Z9>O-|0wxPHjPs&O^2hNPnJD zezpXdRL7Cy2M-fuvwDoU^2PJ!;iL*4;e=s=l5AAL+sgU(o|2Yzna*YPtKX=c*LtP& z?eksdh7iZy7xbP0M+$QW5lNCA{8uwsxqIKzWyJ|AdOtOD3FSfeW!$3U_#u-u_g(J@ zejY7guyK8PRtYCwt^%h?1*UH97C5wfR2;lJ;*uQE8o!G5!&*efy*t$-Z!3W?kY+DN z3I5k4mhS-cPCn(e#W~m+;ePPwh0B3t@~wOh_c!dWq)R^}pK*UM0=|GJbnq_>N0vxm zRCaiyW$@VpbkTcOt;A4p*bU1i+T_r~--2lDhVw57sD_*c-+Tt}B)Q)Jkjv3qfgJ9~ zBps6gFni~fzZj+F7}K4euTJwCmCeez?V~|CGTCo>c#}udM+hp?`vcBp)SUjUZbspQ z-XbJ3g?oCFMd#H|+9P;X0wMegEFh zfexA)jinP;0ApKDAGX2VI*P~sA!kYd%tI1`?Px7PG@-Pin z_(sp5pUCgGQ7Ag{VmK>8kG3z2N*JFfx5KD)J1_Ojs{kCiNsqfZ^x$6_MBO#5!tA&Y z*txsUWYJt2s~1U+bHJv>hu#uQ3HJd`;?K<;#oG`a@6DH}TdU|8Ony#HmC$s|1_ak6 zR=YuzvSw)p!*2z{LKY2{OG(5mftYXH0EbFnEaIBH7w7~(7CKY)F-HoB7hiTnXe)^qkQ&Wqh3#*bce!~}gS9JB^KoZ8iPs-X zHm>nGeg$da;do{?;y`Sv30l=TSe;gV^sFU~;Az<7bJgq>U#12>;2c8bCl7S_AYOd- zGtR>V7UV1}a++HC560;D#sNqwp(Da)*rWua^kG?~aN2@Ij&F^5abaEdSWNjtb{zSM zhI{+l_9uUoO66Q1 z4zSO@3!ijucpaYB3XO&@h)x-s=1Q~Sf`;CZ@zT~Cw)iEv;PKg(TY$yDgHe~#y6*}M z=gcAGq`|v@-^f4QcEbwr$UQ88mmtPIE{nBw?)js@ryZ_T(dl>SorxVIPv&#qc%q0u z9Jw1jxu<3#^cG8(hR=|9so8gO4hhsgno7rd8TXqG{F7VAjMjY{^7mE6(|k!3yZ%zS zOx{1X*ISa6dz$&3IQ|Hna;iifM{U-3Lr3hyQLnlK()9(8LleIS8%hrMg^Vv0dp*HE z=_cmx#ZRh>2l%gqd0ZYHTrf*}_|3MZeMB3b{))zD7?7|~KnKlMoe05kwg!%NHOMyM z$jgo|>pA`fr~@ttqiIJA5{zHA6kzvk*dT8PrY1IxF^iVG##EilSJqq&wJC9H~hM>N+8iX`RPXPSj4bq6mEOi`FeJMgRT~BH*rKpyGoFCOg?#Ol7 zyJ3kZ*%Gnai>`bqI8It_Hay?Xk=XmRpbs6M2WKyh;W|$ItX<7m8dJ#;l(EXPoq^;i zK2VVVKBCnTQ?=y*@Ni^#qxMG{R_V9?fgh}S+=cJbMD8YfF z6SM_II)lCPHKhD-0o0e|yW(}h`)q^*V{80$ae%MzJn%3z#TRah)apqufR4@UWa@U^ z`VxP18aT`PpDDPCDupvSs_z*h#*KaNATOQi!*N{suy~4 zklWt)Ne>=){`^}F9kYC%@Q*icwvgM?HM+VCfaj5p$CF@2%-;Sn{!%7D*=_|0k@bat z>>tKo>js?f(|SF)vCG(HLL|YROVByRsGH4OLKmpbdlmcL(6j~ZNsEXz{#+RfW=wy# zh@bSz)2p{abicP*)_22xJwNfZf?5FWJTq9JJYcaSc_>PhS*Hytu}04?#y+p_fsGe> z#g5D)Cd!D~g^7bvaemx|h_t8IOo266Uw*FiFMGPa{Kq9G=9g1E6)O}Gr`D$66j8?P zdt1Z=`D?80V09VY#ZUS>WiWbi#~TvIz57QHu@_5HT`Yw;I>r^ae1U;=+Cz*xweoKN zi}1^@ZyH2UG%HT5J-vTvog|$^#Kney4|+z#!EKFxN5mC8T}1i~&T~eqS=EgOvn$`< z*5jDxOv;x@^m-ee!tm6d9!BB5ZoDJQx29B0INbgz>iAQ+=f3&VDbEItGT9c&+xOzL z+DaZzc3dN$!2r3(;Y$SY76(4O7tyu;A-s3lHQ|B@{!1$1?y{_R4Y5C_pvDVNdrdvW zaBXO)<(a>zIWmZ5oi7;1mHTO%&fp(Nqwa#E>_&_havLq9?7XK$(yQZySZRVU$#c8|$SyFl2^m%u%@Ju*y zP^3D~@y+TV0t!-D9CI|si~9{oP!x2W_I&%7unr$SnjA-rK2}04UM;4*z}0j+emzX^ zo=N7MracVHf1Lwm+`3yQ0RnP#>&{sh>){(fw3gNGd?uNZy9ti#-qXDr%sLZ}B}4Y& z@u}aL#II3sHBMFJu0k)-S=4 zsNpwBR`}`1#L9ziS(=`&R=#_W^5^mmf{lj{(cY3CA=$dyFV(>xrE%ILVn4cl{>+kY z`cOYzvAsvS?xmnnx#sNvefYF-UQC7>d zC^f4Q%1x;T4eAjG^>nN8B^jNJP9tBtYpj3O|0dnSg>d~*VnJcArA?2}29%&v`tnyB z7y%a8T{J$D?0E+`3+Fw@mT)fU_m5ejwdVCDIdF+xCTl6?U^`W$s~L+jYas#$LRZFf zB0SY|1n+F{(Al~eKx=sUEPHzJGWevhe1I;rPG5Z5`=~wE48Sm_1n`fnKI04hcPr|8 z96Qgnf77}&F^_2S7!xj&ecZ4SuV-0%hqt<6gZ6~xlkddYEAlrXK3D#n)=~;le)V5F z8tj5_y*aa!Cf(tJaH=YhPCawf6+r@oJN|fH(mOL$4mH~6JxiUcTQZ{Cn%x?R=n6r5 zLqodJe3u*~J3*80k|`M6{Gs0TVOb$Px3JyA&vn?j92U1DToz$^O)0*6#V#GZ2G0&mbzxaJl+DQcK6F!#qsoYhzLxtsXL|Tm+8QsmIv&!_eIK0W{?O^9A=PQS+4bSE2DhJOumYPbnmh_J#3>HM;)j+S7~4aLvi z_yd^}arp1SU0RM}IX5izmPoo zSl52LKd7nR5ortz)^>tqbrv7vJ1gP{`m1heUrjC`^pGsyfHtteul4Nv&=`cYOL~wU zhi#Hs_MH-OtONH56t6|w0B6_~`^YMEAjjzZ6yyeLmMWK!s*v`dY}ZT6@==Bo%L~!U zD4ebM+8&AHC+;$xb*7!XONGes{xS`^*IC^IqrT-~Q#cV44NyB71jJ$TX)MpSRbZ8X zV5g)1`xjS2qE)6#HHKZ?(EH=MF+NwpZu}5Qq$8tFWR4Cy4Fgmk`8MmWce$A5g>I3P z*(c501zX6W3+`S|95iF9ebwb->nIDAz$ z^LE(eLIgiU;p6k9yS|vNvM|}MFFn$&ze;`1p7Sd}N4zXNb+9dFe2HB-ShdN?%NqZv z3AP92_>QrY?YCE4^uI7F{^yAq!K9_pY|52SO3(ZuJ3ow%W-mM?g=9XDl+=y2C!bmp zEM8F0iFB$5<4N;~BX5bHuT=Q{W6xqaVz+S8uKKh$U~fX>BXQoj(B zg%Gz|3_PjttEloLMA|ct3T7)h0g!F?&ph(Q0NvIzPU+K#f}3=+V2FoHHirvF=X&0T z9R#9sKCQLmT$AmnQBLc{XniXC9g7s=j1XTdv6XfmwFCb9wxR?8JDGv!Z3gGtiKmSu zF7MDTk0S5BgB%8oXBlz~sdg}@1ps!lL254|mYJeBfwyM)|4cTaplNQpxS@^|ETf)- zE@+xzPuujEo%A8jBd~s7vvNiU@dryyT>IRj!9(TOKU0z4>K48~|4fLxaSUN}QlcSW zYNAJ(;s}0op}fP7b)F4=${GR6i9;Iuw7s(7%P*lEtS!D=<#8aIG<~oH2OknBm2iEb zLrZ}(&uiYRrP*Q{^rMrA>C;3VOfYWamCzut_~tP(LJdHF)X&*0)4iYx`CfR_4ltwM zO1_A&L3~S8S{GAaL<+h(wv}X80@;NJ%jC(HEwIfg=?INDko%^6wkqmYpn#Fsk3mr7 zNU%-VK_y4#;{kfz=d=g39WTTMP?AQya$Wu@{m+{tzZBcp6ILIx`l@Rd3h#SHg6oHd z5VQJ68K(EF;x1UK<7o?Jhgb^0#~ z3J9xSn(S!+jZ^Kk(2IF1ZdiDjYr$&w-4cd#tSmxe5u@A-dl!}&mx;!Oyd5HYpsb8Wb{Q}v^y$yefiII zFE@zSkK6+UhwesE^iknO0)O$VV(k@1%b3|;*!}NN zpT3=T>TP@+fN~b(h#$a;QPxVC5`uqJO$)?v(eL}H{=M|K66)5 z=Wh8S`rJ3Fzn-*zeoRd>gT2^LoTQqu1vUrUyA$Hb=49Q->^oR4&a~Iql4ZF`_)u*a z`O#!9J#kTxWUNkct3ZdATDHQba$~SvQ-z!RoJLa2GoIZZT-(-Rd0Q`&`Z3CRTa9UW zz6bra+bme|=U)ZGc~JMhzMdWn;HZq1=Rcc$@#z|MC^ZnLWuUB#^>ACvzLtE zQDvl7z8y^%n9h7r0Dym>3#;%>R5_s@_u^I#qMT6fZik1M@Sb;u*stPf4gI6G=0`!A zvEAaw^ZorD4a4lUEB`v?XJWD6M2%Fr0{uPOzj<3jlt7{qfxGnBV{ypWsqM2Gba}T3 zvFiV%RfTzlNvzBR1n=qFQGep7bGRymqp!vtfe%+!6HA4g8c6>&Zx4r*15A=hL(^8^C_=Z{t17yebY@B+%NSQ?%nO{ z!d5_G!L!S#9WL7%Enh<{Mb!UOILMn)i*Z5?WtF8j7dpX1N9_>=u5ElcBD56VSGL+O zwn@wKr{=Y&ZNW$8!U?t5cue?-Uh%H2bd+&R=SigUf2*A$wce*%1T!>gL3lLMLj zw@5}@vn-sjqK>KaLKo965f)f~siS~V%Fpp9$TtcG;@>~qFCu#!g9eNIWgE{OiPtiU z7`lG-)u3FCoiGBk&YZB5?4|8LoUQi73UDo`o`6wnlyL<*(BkA^xF5Y zOU7GExd_d_nx zsPe&F9hO5Q-gLIMOpW%{EKhfQ=xqE9k~AV!jgdC}02Zt{ixa3iWrgj_dvr|O3qry>6plOc_8y(QIS%sQ3jC738KJw&J8~j1acbCG1F%@( zs+`$M%#x2Iu7L)$L9{)*m3ucLi5&_(G~qdpSUXPG_)YvuyHb?eijR>S^J{AuI*?L6 zaay(94lGQkvM-sOfpFu0g4B=cxOsCWZfJ@EJlAyGe6F56Hfvj9PG4ht&s+QLra)cbpj<{%E{tp#M}0r2B)nbnkj30Q1!H z?1C%XB#roY!H55hph2_X^Pl$0r0w2vQYEIAH-xD8AO%PLImZafZnzqG$+Dp(?bxzU%nja zygOoRM7e&qb182~x(Dm-T!#DW0Yu|!saHf_e8ln1bokHGLLL3yn+gi`l~(wVANO~p zlbPpd$c}KL0hAr|(Rx&X&k^S7l=2hf33y|mH`i_DSS6t5jC-*?i_&aFVIc3aU>zn0 zvF$z3`vAYzl0xukSk3+)pt72M#xO<+%iF*y%+iffgz|_Bj3;GNkM8h}V&cwZfvpcU zoN&QSiWtIz-(bHUUal_!^3|sNR~hr3mE}EEUWKpD2mvpv6)a0JPR0v=CD(oZKfX)3 zUp@q+(R`TxIw#ruo6b1;l|TI`J#o$mjw{(9%FaGCf@8>XoZA3iNkmL|OQy!~tmBg> zkng%_c9>wrm+MlYN zB0ueSxRb$!D)9JUyl55}LOv%q%NYhIyX-3?A}wGReb~NuTIh^z*TOiwEo=FIp&0R) zWrW`pIXo%V`6*>;JKe3A^CKr=^JYnBZF<`O&n)5L>*ef#ctWv}rnlPooT|{|NpA>f zM+U&U9o_duT50*VEPyF`JPm=JVv?LKDso$(=gwII;&Xytgm1#c!7dl}O7u#@)8z+X{(o0HB#5 z*d7-^3rI1miZ{#bJc1$Vs@&Z|qJ972J9#Y^tv{<3I3Xo!JKa8y7D71l8ZAeD4sP_j z%dLh`uk%fa6a2e?rVdZQ`Th%R-A<(3ZcanYTyVNEzeb^Z@~U|O!+*Hk_OWJUIe38K z_iJOk5-R60_y_hz7w}sdWf6Ph{P|}4`;~$#-f`p?E0)rfaMylQbRonKfM|JDK6Q3) zLjB1~%v&lD9-?m{Sut;HwY`Kggyo{4c#ofl^w1o&15?Agl+!$68-C%t+1%$~~=cf0{ly}WdUVWFTo#X7W^UlGe3sIuyfF>$<%x}0~Sqs#; z!DGC5dbYE(GJU?>TzyW1^m8Z(r__Qr6%k`8fP{ZLQD(d%L2FXB2hmtOkxex>6QCAyHJ z&R;}koQxO)pO&+uvM08~FOjTO)(;QJN@LrQ327A-bn{BhIafFzEXqXjXyRO9(K7EiqbxW?P&0y1Am(`N^_VMthw_AHZp-EHd z?|j?8v?o;Zqn*(4Gp(#cD`)^$`elEC2{Vs0JI$?_X*(9+^RzrQTPxpptloQy*wp*| z^2P;J8>--6v_WjWj%YN%loZG0V%v4$=%w^c)GH#xY0{P!wo}+ z@*f+zGjH()6I-)HO3)YrMiJ3pE|xqgXawNt|6mFv+^M-_X8Ci8T6hipPwch`6?fnlf4n9hL;QRdCpFZ4CSA#x&Ge`kC2#GI##S$;B6C>#A z)fQ$IHi-_H^a$t0f^HE*4C|ux_A36-k09Qf0cVGOeDOaxZqV)XrveE0;<&J*=Yd*L zC#sEQ|JFMog|e8zqNI@nQe^DRjR!Iy&LSH9XPKjrgW%=G@dy!v4YeD5QB@1Fz}ERO z9Cf9?S<^3s^f478PYfIn4JFkklW*eF%J#SMM^GkG*d{zMobX8Cx9+(3-DF*qKS-A_ zSPkw(&I66SR9*&>=U+B4KCxDY9%lZ?J5IwBuO&@pr#mgHv-17L^IfMTe=bizHUrqx z1=Bdv=z_Y?Y1b&5t|oIT8O=g1+A!Yu8$s0U9l=fNK9;4zvT^bpcLS ztU=&d)vgtud0@%|M+|43Z31EF4(;IFo|cq0Yhu2?)glR4Ec`|kw!a1P6Tggsk535u zb7NqKsLX-qzydaLod1$-*49WZmnmp3|G!Uv@kbK`jhe)%WWF00mawLb?z+lTmD9ff zS%AY`%`rGQi2RQ<|ESJ^QjCRucjxwSSZk&xzBrTpiNT7F4ZK5%nHIRmDij0xGR%gL zp_26gBoJOgM|}8s2#5-HRyX-#3)k>nKW>|cUNaoEzaXa0Y7J+Oi{C;k6buCbc|Dt2=UHR5w4B!IW@KQ&50je!ya5THIbp7F3aaDdQ5aNV@bO z#s2B6vJuq&X8V*&yh0TE!As*GOM%3+!||_(E6TIj(|FH6sd4F}DQSDj03TaooiwmJ z_=NI`b+rl|+rQf|s0(LEu@8;}1^5&F7nb|51G{W6C^K`)LKhHHfSIcN>#o?Z;ZI}y zy=fN!gs8BJAkTi1KZS}0uJ-f9pBU|OyL}jNn!|}ulI%-{aq+&@5a$X$gRUA}7FqJU zO&dk_sOFmTr_QKD!h9dW`6fojrK?jxImW-}>UlpFvsy|}WNd4mvVL3B$n@Sv5^}5w zuky-79|xan5ovq| zE1zu0;OiV!Zj5i=v|?*3Om=4tkCT*PJ1L*C){xpxy0D(as;Q%dtvA?aQAS`I#FDPc zuj4U!BQ-wKJ-h^+SLM_;j>eJgS8TR_xT9d*p2^L*KMI>4wS()%#a%u?Sw!w@nzjxcG)H}^1M?M_6KbYAFe8KDfg!kUNE$x#P>)AsVYS>HmK6KQk^>fr zKb^+kP26(0C_k7`T#c`GB)v>O896cS+I=%%(jN8$Do<1splDo(^dOTj()u*vUw96| zI)#0TY*5Es2&1@h;i28xW@pQFANzTE5L4Cmq|`V?YoUL;Cl&S*B})E%wjB%A>NcpQm>mtWyc6X?M5+%;*Tfvl??)`9i zUon#19zJCpJJ;g4X&naR(^Ii8_e+K3Pqhp2s&YNNO&T*&y9HI{`ogor1@lXwpf;_4 zvS%KjI$X4CgMp?48&LG*DgE!b` zaB{oIzRw#a)p(cq{1%0}0P2o!9*;^TYhs`xK*GU3&*%aw@e3PqRFD$o;c*9O!C>uA zMjQgjq2uOqC>dyNB|;pT;M>82|1RGmS#2%{5)lV{Zy*vx%>uFv-f`UTEtvH;f{SK0$CMk{~jT10hv*lw*;1R-R!vKn_l^r$oSr-83ygWfF)XK}) z<3HsaKWjdlraSqAXmI|#oGb9ROMy}Qc84g9Wgx_ktRO_P`+UVgWqT<~^$k<|@8egX z*(JOIL_e1#ijCwwU{?Z~GP%%a24aii8%W_1Yx+aJw}2syH7@-A`lsXk*jM1pT_}PY z=SnesS1_qx}I&2u#ZBA{fyCY5l3G z7bfiJ_q_O4(*HOa;yK3pHQzQ73rln!j;SCmUQ`FOF`^ci-# zKvg{DGhiBA-Grn_QH~LX_S|5gDxgruXf4mUBVAv-~Qd(YEOk|+%-y!WOoO8FdxFR#tC+5erCu9@F>28IRhiUI_5e#>e zB?O?Zb9goia_8c_BDLQvRkP? zR-a;^*LK9V+TQZ)T@3s%s@!w`pJBZ7n2pVgt`od@w*r5z3X;@+(MIjP7;=&#?k1Ri z4`l;kR?Q`jCISySi(d>y;v?7GzMryzq7Q4X6D;~*<@ulGi%$_ z9zXHB&YhCssiY<~-60laL`LKMjBF2EsvrPC z4Xb|*aVg634=0hcp3N$K6ydzbd!{gNZRUi%)TcQ~%Hu0U?ooU5zC@`=01m~M_exsr zffOVpyb$fW82!4<9}udC@pp?_jilJ>8H$|C8w$E_5_4z&GS0)4I5E+miPH@|%Yme# zL5nT@f0TF}`j6-1!pV&>FlLK$BAJ54*Ck?JF z_~p3>_`ElqN2Dmw-t;cc_#K(^o!p>po-_fxZIsMNI?qb`J{FiRqo`?YO0v4n4!fh< z&BuFQ8myDrDA*Q9Hi*P&{!eVl{g~D^mR^bu%>=~Dq|jU8QIFhdT}P=A7PJWB%hYGD zUb?!D9Jk}xqrrmDi{4+bW-Zc_m_@(y{v>vdjm| zi0EdMr6-P^K^@|pmb166kv`p6eWq|y?W_A7Y4+LO+vxWjGWX8@hji#8JW8XT=V-un z&5s6g0Tn+|cH3-C9ia>eP<9zf-_CT&YHWl;8N3~QoswJ%mC=MB+mZSHBhlX?MtQ<7 zptL97ib~P@mR8Y_Pe~_aT7uML3Pd}iwJ2oWrl|}Wq5ZQ?!UC{_hP53_Drv1}Zv2*h{ zC+U+|GF_^>4E{VF177T$cHjNRrgr&L^E#27VA;4&W3x`=O|c~FUqV38?h|Q}CE&(4 zWD*rIga%*CG2Sjwf(HF=GWYk+HkK^$vwXZ99fr!lUB(qzcDr063DV#{u>*a)GKImY z{raPwcK2rg3{snKTjcAv7a*FW_#5M=5+!_gkKwI@ODJEgt&qb50>0ANnm@+=1p&5#}*AklCg;pbMt-Yd21OZce4ue8vn>5ulPE zC>ocd+Np?-=r^tJmq6R!K<223bTs&6&T**eVznoF5xpe`$Mq>1=maZsNq_Cac|LUbl2RHU_G*{hANZ!J;RV%MX z`i+GqqD0;%3wvvu?kfc~CC@hl=`kRk&3Gk#jY0!hKy_&5)8F5~Fjo>R4ZC zF~oYlr?d+sP`7|C<+?t#yEd<;{`SPjh*9BHP@KNmAc)=|(j(PJzkE{6Bu zAm(bEb8i0}R8dra2PgqihaBjMa$5cN)tN&KZUL##!yO)3uB#h=ufAe9;C(R2DEro^ zde)pV$x08ug1S3IFRjsWmet#JLxI}@H10b}aP-gL%BD&gqb?lF)`ANaIsN@iA69GL zk+BsX?J{OzTlo!?#gu}z;Pa4Bnn`X8a54!K-5%+H+=CbQ#rxlKZRO<0E+&O%(|p`j zKxT($yO~!r`dUzb^LD9Ua0_Zn_5%XNpnya^&A)Z{o z{y`{v|JTF(BaS=ckGY-^bdDlSAWT=McZVJ-RM8z-^1AL16PWx-O9kLj0&mILDHVn0 zg{P1)sS=bUwZ>J_bER*9lbc<*n+exBO!SWd(XVQ)yKsZ;e=P8Iimwu_o+V9Dl>~V~ z=s+yBcHQ)zD~jiP2x1EL__6zQ>FGVD4!rXKu`6M;n>o#unN5-*u+k+pj>ZPEC~74<{t+mN3={FtN#pY-_)C+&Rv@!IQY z(S24KwVWDrJ~6jdiB0B*TqZ%P3cY+D7F9OnqNX|ZlAitf0o75~m8a5K8i+CN@-OT` zaP>KvHA`653~RO)g_d~Yx;#2wCqGStVAaJ#tIZp!2UNH-|DuW08G@f$V3v-eY`bY| z1Fpjw%aTtQzZ!PDez(j1Xz*%Fwy0fYy|1ZWn8S=d8=fZoU(5ZvEX#cOw6$%qZ~NAo zRJNGBiqk~W-NCD0xF2Mp_{$VL$scx1V9)w|pCql;r)Xo|g6!c$(0 zvEap^D1wV|ojJ;*pzlX98x zBjULt16RNmGdSu&(tbRB_ou&Xlw~bV1f(BFT}^(eJIAt>MwQ)yey!3EPA`u%zV^@a zO#8L|`?S8G@I}*gk$-CcYRqjY9`{8CRTXpC)+Ya4>3I9H+y*>I+ojVV)I+|5!Os>k zJ?kEL*6HkCxhUJE4*vf3i)v3d?-%g9Z_e%?xbU&xem^A$&$8_>MXEzR+(p5UewV8? z7aZ@0`-hbj&iI8K&% zMxpJZCW(Cki<53{YJ`n*UEI95u@*yIentv+5L13fc6RqD22JHRFrUPQyrhC}MMKBl z?=lCKs%$cmZV}s?D8&gRUZU6_oj?0en+C{(a^>NqH9S#Vlg*>FxkY~I*P-?XC$ zTya|~)9hs&$RPU63X^BP7OV~y5?QuByA5aC-o2zfZ<(8$(Z+wAQ6WAf zDeQaBD0bw~owkOOo%n%X%+ovny7xh_hiQ69t6+8w#=e!n*v44AX9=^alk|%4@O64IfAXjn zuN}OS>DEfH^Zj)`|4~rbJS)szKtEeN=O{QVpKwwMGUqa@6Bf(Xt9q$NLYLU)!3-;_ zB~fU6R#=o<$Zs7nW?cz-5-;KYT0KW>r%L4w)wh$mWuVy)f?K2Cb93|2xppKLL_X}- zfR^t(T54Q!BrB;!EyII3tGhe$ycbq?zizj7sy;7mJ3;Nq1oy|r6WWb{bcu|NF|)T) z$A8&84x%{bIl~0jj&jx)oWleTp|hQ|IVGNs)P)paCoz8ik+h3Dr6 zBT|j~VS1Kxuq{{FO~$NrkmvZd2ctE;8Ml0f+WA{)+J23xWtTMKltVD10(UcYvxhxH)f~j&nT%BU#q`q)Yt8k1Re!_ZNH3R_lvZn_ zpBY$iio&LIO5jhD1mwV+{+B^eSotmU-|MTwE7l!LcZW{FcCdDXBVVaWSSauzpdhHi zQF4fbTbs$^E7GSi_lYHm{lz=Nx0y>NcTYWGJx7t_oUQU}_m$UmXE2p(=RIE0uWJdD zPNhnzgz@$;rZD~Gu{*+kb6NUd8pcNI5v{Gb8>UtfpA*c;hVJt<>zT;=O!smP>x|a* z-R2u03BzZi=G38s>zM6GNw}(-)e#~CGoowdq+cYp9H+9L)uxW00gWtVw96Eam~+gD zNrVl5D@_08Y>=Mi$s^U;tF_dnor6_;+8xz;Xn9^K-(7PYb2hm-@yWw8GNa}zie>Kl zv4sbCuoBJjamoz&{yB}l9QzPs5$gPYN`0k^VdcSrNVD~Hmr{DSvw@SoSAGjj8s}>) zF|u&ol|#?e8yCfHdZpW@kzs*4?R>PgPJX-F zyvgsE?z{6ir=&9twdvpUvUjP#PA_)Njv~#yl3PY{`t{N;^Mo;PxK)w4d!!K&X z9#AMh=gQEueW|vUxv!4;XJNQht9E2qe%NrCXmt@PNz_e!OBg$HF1)|}O(IO+AUg6c zQ&?RT=ukFJ$}3$+biEa`;A|_=y%o09uGAvGkNOt(jeSH~Fa4y2iOZ^$UrHFxza9~v z@XPyvP!aV_#_C8KM}EI0q%8*A$T0YKX4MvC-&BKeGh{=KJxl{gU+KUgwD&D9(K{29{HB~9E!rcTqa`F<_*$Z5F!_m(|&>U^{2*TL^_ zS!#1fg#h+To`#!DC$f+3CiaY%O&bjvidlj=3<&aT3)VvB>DjRN71a(kgKSNe^g z=G_m)ZU-AO6|Ze9I8~@;nb)eTnE!Cz2*m$ zDjJDRO5UriX5zP&ec5M!SLRqt&dp9FO8a(R&TU9$=GaTDq0n|xzfHKd(CF~GTm=qR z!9IgU3up&hxV_LOY>y9eCMZ{&89@}Znd)%%B(enCrHo0It7Zu zq$NOyfM$_qzP7gKNiDfy?bWS4ThdWs#g$o;xp46&Lp!r>FZ(02BSd~$qHGiU5HJwf zM>rbFh=EQMU2Q!$nE)9T)+J<)$lU;~3AT&t>*3<>^~3{x(67i`lD=yxyBQ@>1B{6?pl(KcS_bzhu*tGcye%@xPcV7;xQ(U2u>H77LUIha_{td z$8<5}-Q*;U=Cn+!_zd3y@@lxKfnB7B%#{hw!0O5p%yy?(*Inl<6^Em*>ucv&VX}-v zsybShE2F3@Do6(pvOJM>l76;A+PANmxVN5I-?s;SG5pdJQ07R)M~Y3}5o0!W*HeG8 z=ty%Lk8w0(I)dr=oBC}HeV7R$*orl2H!dbPY$YxZY3B@WZGXtnN!VHetr#L_aB|h! zn%DEgc5Ao6ne1VqRMY7pVW;|M0?Ieo7K9XM7Sm0J-HCGQS~Y4h@MNhLo+f zSc{Eqy5h*fG5+C2O-I*c*$Tfe2E!wYVs%1hu5$*8BUVqh*x5u(a!;aVD?+?pq}^v* zKQz%nl!25~Yck`~6`8g-w(K+t?No2+r5m@aQIOLr)Q;5de| zB-+=uLJYTLi*C@>oRkk~`{temvgzAaEr;kdST2@0ES64JT6wo6x^Bp=1vc&M#Tb*? zEq}n28sgH~=c?HWhKEP`8a0p)C)Gxz4_>)h|E9Hb^nL=J_5@tfF~-vILcw9mBLR~o zehrm~FmGT$6l1pLg@PxGQlEJuK{eu2)>gncQk9TWEuIyS3O2yk?5S)CNY%iOgTGCq ziK4v>9gUP?wlO4>tmdK7(zWdMoWpRvI(dK2h3P)VYi<&20K8k|rWo_Ou zx>+)r2+{O8s^K8tX}&*Sg=#Xhf{+#BR~QrQ#qA~Ua$+;HG_Ny-4R00fB>MjIsnkju z4%U(muDYRG=^$(^VsAD~lUdL|`(jN1)T+MRrY|PPRw>;Yq802l!9lm|bHIc;H2sjC zvC)fu^v~RQIlX*Zl7FV8Jymdb@&MkO^A}ZZWM;N|`N?7^U1h*LomSS$f^xbT2$9T% zWveX8u4q_{$*A75K5L;(4rp@o0BqSRT5G=S1UNcvWpfPg17vR(}b`#^wqtY zl00Kfk6@;fUdNlx1T(X%y9y#=@giBZQ;ypSW|0x;$t1nSDsEGwND>>U)o&rjEVx}L ztD%~!U|KJ_TO^O@(`-TSZqfJrHa`>Kr=(X`3DZpm0cKh}GkWJedPX_EMJB_s)ES*Q z(W0SuhWilavx3PQ0!$ zB|Vpsn^7#sd;hPw_j>b_==sm!40ElQ={9$F5ej9$lXS(rz)nd%dT{Hk?VqfkCg<0l z1RPDe(c}!}P?rMV(ad>z-QFU1Pi`8T%kd-~)bKn#1UsdaGvDM_#*dGvFvi*5mioB; z(zv49$otDoh-nos`}&PUkhY_el|{R!8SI9OR+)MTS-d8b*3USgDNs4pMtN>YvD=Yt z*tVLhXj-p01Z%o5^XX$xnZt)$>#if9#4BN-)3lGd-t_&XK9+;9a9nszD*)PO!)dDr#(r@ z0h1r}P5r!bIcL@fT11ai9fx5VvM}#iQ4ulh$9wiI?g{fHN{dnnqBHX?Mn`QM38L9k zb~o17WTG%aE1AE_Gn7oL{3V8s=qkgTpJ!x-sOlNEN`0IjDrRw?KR^n>>RvXaEC+h@ zUF58CrNR}RWu}C-g7UI;_^W1i8}B4dS+7`@UXy!RaqEVR!}VvS|G4^P$|`Q9GN;Uv zpsAqYa#j`aAGe{+8$Exx?P#=00xP-I&qfvn#yiYXA2*lZ3)YfxlMx)Js;zu7I?DSI zOI8ni;8dN}B3U02J}IQbYC(9Sb*~^z}pqC31jW@ZhG`3V2F_a{rllxKNmVM0`!E0gRvc)|>+N#cQP`ijbzA2J3kW5K>Q z+T0aMqTJHjoR1Wrwc0V!rAQ~<J^D79D^seIQtw_RnCfOn2w8Hr+Wsg}H?7K&)uH(~L;c0XOsyuU^QzQ) z@o2Aq&etln%BKC)Q|>jJ1urFQnXJmhLyUo?rA|tDxC>HSi1INvnx}>Bm7X5|<>hvRG zv`VL5l{8@Os?Dptm-;ID_j%Bl!m5U|#UJQ5o0X~4CT3mUD4${yUVplxt z*Vk)$+N+)+M2l7HlULKvHxqDd7`?{4ZhuGp{kgxWaBoJV{jPcw@72ul}{77Ojx_iB0bz$uG0Sx?M#vPUfE@6bh0uqjYOgszzhsK+Q# zsXv~p&SHr>$fdTtbv4!!y((bka%WiDf<`QeDaMk^;&^#J;i_4T-BjO)S>dwg=Vc{T zUY_O*wEe4h#2ad}uS-zvUdaydDthaX?Nw;o|lUtS+yE(3F1`pe>2C;9+pI(7m zy|SiJxz5#V(iyvhmRkOpO}FYY@S=yHpRTnU-`E7IlIQSnqE^cCqBBO9Vq_S+xL;Tn3{kE5s2qE~yH{P?%BuG_ngNnm+FzVr)(ER5pybEj6wFr7c;33yGG_efIB>p^ab0g19>4XV z@R!Bhf2PSeFM}EXFZWoVysMzmqqV5b2#gSP>YdY6t;m|Kc$dyCkn!RE7biY*{e*1R zo@Kf#h1Qmvx5cgb`5ZGIs)##b<{_e%dRwM78X1j)ue;19&9yVyJ_|Y83y58~5bu3z zYZF>5@N~R+v!{*rp0Al8{;1^YJw!uOt+uHaDPPNE@_UQ7o2$u^$wLSBie3-x?}M{# z-z9DkpIWAx+;g>l(3$3?r>K|nMOiB-Oat+XTZm?Qq|NerTB#7r<;YI6GDho|D^xC) zSL-U3nzWRx^UWIhIZDYbx~b!4_HGO8H4Q#mE}B&mr86v3(7CI05QnBo39m11%dh}m zG5?kdOC{pQ@K?6Cl_z%+1%xNH6qMUyZZcMX5>(XCK6FhT|Bv+wPz;R}lALvAVMYb=-`!75@^PHew}oi<$kb)ycRF+!F#!errPGhO zeUxLUSmT3B5u#6+w0a~<6R6A;tO@3`;eyp!vQJVbwp#?oSL&=A-|5|(5)ZPE)~Czd zQTrswLT_1>#WHl6$5x;aRZDpy`HwBzys4t$jq3FS`FIogt+e&`)Y;c-nlL=qSYyk* z7Q_;9{nx3}9}uz5Iv=khhn~>)A|$v@HOqcHH4LY7k~jK`3@I^tRt}I-f=}#K0wwRR zh>~N2Y0UlZX_c(T(ceW>RRvUPWqv!9)*TnB@1zzC)XEbcE}Hs%QDQ-D4KvX)yOqE- zonbtk{EA~%J8R~EVT4aR?8h~e%X*j4EY`yRB-k&%d-KWCNPER>9rDHa`X`ImTyb;} z{-W#mG?Sn8S0A}lPfKVI&`wKPnMrl~l}SgLy4+D6%S4MX3Io|&pNuaL_+ps;4u|G>u=`)=nbPp zfjZ@P0VB-txjWxA)q7K@Hdoz}xs=RTl3X{s*uI;zu@jhwq^{P&ExTDUWi#b-pA>?Y zikjrUKe!w50nJQTv@y$Gr8irO8&yCS4X-?I_~3^7y-${K!g+Jh9k(B3?Z zINru`IO-|olp?U_o0y`c*m61dtcv`fh&-x~UER)ee~JH8_WrZ`+J8jz-v7{Q=W5zO z_tg0FQg^WZXtHn(1Da|7a5GS>vFH9D)AQjBylf!h^UQr}L2WH#NKG-8NmogIBlV;Q%_DO0^dh9-a z$9v~2e~E-?K%tr>N#7;gj?Lu5$%jPLCnVxHOmzd?uFnjm- zSMfZ|W^?XTcOTh$3+g9LR+1s#$6riMliEejF{HUur!n#$4HO7Yv2ukTg3!(e0bRX6 zun2M(4m0TXwdZLhvtYNx|DEtCoo^=1g|z*h2SFy+tK&Mdz#mOlvOfYHXzez4k@6bkL;RuVH@Mrs|5QWo z>lFu5*6-r?V;~HOlkIh0gb?I5`XNX7?*8bvM;C=eV#7^c;0T$sy&ZA}RUx;Jds9SO zC|2`Gp56A(HLIuGn0|ODrN0Yg-`7DIk3Q!TR9ic|TFlWpj)N-qqgwG`H6ltw3SuV% zv73UbjYB!YPnln?lPAOKO?6o?9N`zyHy^c6P!=XBz(1UJ{!ySehoKJx?&J6PTJDfL ze^96{IKs)F7$~E06i7dC`s%*#M)A5Qg{x&*0|kv=J%x3s%@uAxW-zEKio1tGQh$~D zokW^Q`^Cl)zMae4k~McgbK2himu4v9E6S%d6uJQ+QZ)8Z+{2_>3?o5xV_aa|1LU%H zq|ehxo6S+bhO?&x#rON=x0X5Nk1tNtAbe&U(e{bOJuQC5^X{dOAV&O>Iu9s5V;9d$ zIl;87;AS@PvfzLQoFei70({K~E^iH!N%KAyb1a1%;-2bDULz_0APtsup>2N>%4IKmIx zubpR39Z(L>mNlfvIuD>}ZV>x8!sw5xRHS!6+HsxW?yD2s-GPIP+;dqD`PQg3i%(hN zw?>Jtgi*yr8D_iL+2dfojdk?Wid?dhJOJBXU0rqPN1MKvVhBumDD8AXN(qLtHKy%j zh_gT76ZSbAF68sn?PbNAv>fum6riE^x5H-cUA2UB<=g-$U?UP~4!Zn<96`Im3OpMw zXam)SU6wd(j$OK_DK2lMp=1Jf0s5ePfs)^2LVY)N84zcY$!9G^Be9s^sV)lF0+hNC zlUbgRez`_QkMZ~xEbX42fc^ZJ-6c+-#!ZywaonARq!FA=ie8w6!84L~Q(Am56|Vl#7(0w4flNCNv2W;1WW5?wxPg=X)Oh z$bIf*GIQpf^S_Ms@-GgBGt^aFJc2vk|?F^iKV4X zZks8xx*@l@EzfI7M0b@n1(azWNL{l#z;WIuMbz)0pUJvlNu7dF@>aWH4dBX4k|2+fMds(rG=BSo0qjw(J zz1Ujp?XLW%B=0q2TP|q$YDK;$b{QZX-5=IPGrqQo@ceKDKROKj(@D*L>v@bM15zNc zl*^YWKklXB(Kl5goG|dOu8d_IbOv0I@yqZcUWVeK#6P4RPKQJgHjGO8k-5;GqA)`t z=VlU%yP5)%34mDYLzKrUxK-~uj(de;Op=?G&ZY{7jTOezO6oG%H$feFxhOTx8=T+l z&>Rm!;h>ZPFR7JmDam6gX%)d!`jZX>lUhZBrBqCz840L)OZ7g}6Xqi|fjLtGrklTY#x$pBXfzE6VxZ;409 z=dhD4CaURuwVbLN&es}_^*|j=+U-?DbOMugW2Qs$*f{yfM?zvIWx+Y+C*oM|ByGV= zH==xdJgdYxSKSX9PY<+apqx|0d)})>n8(Gzh745e6j70)h>03#sT$@1tvqs9mXMEx zX9i{qNTv?+x0Aiu>I>`fpllryxsOXtNF{5NR#{p)d{~OA-XR~Z#NL3@5F@69V<65A zMSNo)+>?CV%R;nXAYczzw;P&Pf<;YZ;nOrLCAW$##q#V>%3XQZZaU1cGE(^rwA}dt zR?V0U@SBQ%)GDo#BpjHpmA0}}%R!>YY?|*5q0}2htDf4HFyud}(kdC6U| z83$oc2(GRMY378Cs-AH~?`ceX8jGH0#U+pP?>(R>%~8+KYQJn9W^ymElqnErB|1;Y z|C^l$o5dV~)(aqe2A~%KBRS<1DBq!?X_gZrDU$XMsFH=R(n~7dwIs60N;%f3mXYDx z6c9C8fHtJ`wUVM-b4Xm&!rHnoV=hHQ%7KtvQ{(BO7%|vAz?hXwM*EblRl^Pp5C>AL z$btcqX1S1mXEh>g1sN@1)kQ7}UthbKvDC#|t@m72YDczxB%ngK71nb8{e)gpeBVB- z1M{qPiv09pI(;wQtz&HPs>~>5K=HMtM^MlrWSY8@R@ETPL9(Vj;8&Hk+{eEejIm&! zkd1_CDcL$Jy4?89?{r2Ip6G+laFQ*d$eI0P$YPk9CQ^S?@E2pD$SwW`h_6~?(?j{Gst zp8)+b3^Mhg0x@R%xS{^?31(4jO-GR>C;otl{s}@Y`dJmLWrhTQQbXqlg6)8QNNuuD z4ap#OVsgAu9kEHA7T09&ugw`I=e&wnhzDaDQz7*%ms9l6#nFi}+OEzKFABX@BD!71R6EGh zO@c<{wsi{pf@SvuGPN}gzB0+)(^Dg$IQeY!Q}SyP)em_(ASfEa-@m-n~`Iy)qiITK-?Mt*r0n5f!|=7 z1X2%zz>%WRi>e~B>Q#3jg)&2_kOqL9LL{ViL)gEmVa$;_Sg~WuU=x3@ONA9Gd(q2$ zTk$?1wy@<6)`ZpNVHa;n{ExvLZ?EvCBS(NqGv2CI;+zNh=&L*o^ZtZCzvIqy@r!-m zau(4T81!NwysWS@*;W-;0Agn=lGww<7!~`agHI}WjHB-u%~f`^Y$~{2hS2SCR2QdZ zTaob$;UMS-aUwL%NuSJZA>QqLq=>N-Qf=E^G&8y?*ld~o7#8V|MB1y&VYi8Q`ZA|L zAb_mY)M1O+Ht&rN$2T|_8;i?F%j6~3aEiUi_KwtWzB5LM#!!;#pnufCv7)#0z6S*E zC<~Av)BGa!9SLe2Th-%voWopf=)|{?X-(_gYnXds(BEF}lC9MDxfVhhHMln!ZBer`&vm9I489bzIj>&h0UIGrvmNJW$crD!3*Y7q3Q`@Ai+B+#R@LokR?%W? z)xDXD7=0<1Y#z^HJ5=q(lmde>p(B0KW;nz|`)|>rB27_|=@e^sMV$EnOO0`$H`zA2 z^ULvWqnb~Hp6Hu8Nk*Kx5-kJq*22L(wN}G+<}nI5vgCYK3h^4=S}C=OFkQP@K>o!g2pf`<03Lp ztCPCr@ZhWG%b8B&OtO-w7BXL~1CDDb0WWohs`Uflw*h_?n+-_ih=DEFR5w3_QH{SW zDJBPGTcNxrd>rzQx9enIOs0pqoh9V=%aoKoGg+!DOJLL>!aIuo@rSRW4eaQ(`mX2* zu_NU}|1y$mOfu?g%a||}2w^cAXm6#(X@&c&H%U}>N1ogn(SSrM=3Q>YAki0IP`#H! zr1z_qb6m2%D-zepZD8#j)YEZH=aou<%og!4f?D zG0auC67PFd06e;A@awV*!0!-(W@>4V<%!DO}&ByL;5nt zOptrb0rpoRA)V$o6JvqWsNTHly)NMAc9v@&SsQi$WL6ayPS1}i!~65cw3r(hg{kjD z*~*SG7E*?DAf*XHc784apT7Q8k5bY@N7t$tyiFUD?JCr6T*q++y9hv@Dv zYB@>~*dwYGiEqe|(EhMyhEn;t8;z}3e@T?YtQJ3pRxKil`8_>k#lzT{E7>;8X}l2w zAwyBCAT||k7IJ7~Bc+1Ie$>5jC&=291x5gF{xvtO-#3qS+y>#M)&)Lna));!U zj3hfZ0GxTKZK6cBx*cX{c}s*W0p^c|!vDqbB!f1uyQ4z!g2h}HScH-fQ}LHQOoz+X z1ueRy)G++wBB9LvH6Iq%AVKs^19$u~lYYkJ*!nHmhf9DTyjVJcdN!&`=3F2{QGw9v z7WIS~b4cCYBT^EF@&?O|$MRyvk)HthlLR~92}w{PI4Gsq-(c23wpK2cW%H3M=w?_x zjPv98q_RZN1;M*q$~go)P)$u#N8H6vlVF?L<7?eW#?xJ7jj;T6s?@qp#BHRI!Y{md zi_d*ij|t%|WHwH0;u{1O-c9ya>8vMgw=>w=88bHwaayd71>N-9S>Qa@U1tso{RtQn zXoYIZOpI-;xg6)MvS2v>O?<_6!{;#^{|Uo=F`4T+pkISIG%`<^Aty(?_>4~#rwmtJ zmcdYIkZ$=`EENQ&^F#I!OCY-6!N&QrA;p0cxfII!B{QrCW$JMxl0!9Iqnb3B1%la1 z>1I`(Vlp3Ml%*6uhpD!DgG@GYN>Lr)Iqh?S^#-M5tm$QzU_nnJ3*vHRPLqGAJs^z6>=<8P4#tEaa6IR zyQMk-{3ywAol{x>MY2Wp>U$8RXXPY#bWykrXg8~_HkefqpNWfP>F;m`goo+&ztMyD z(cL@RMBj0T{V3BT0dF-LV;Tnqsmk!yX@U@KOkzDB;mby#FX62Z$1()|K($##fm8q~ zS2&{GcB!~TdyEAsq-<6@9vn^Xw`5 z3P6b)x!DJY5f>lV)C+i6ez_b^A_5Ns`d;|FgtKg#vv``5JDmzyHQm(#>^* zvRuqIqHrQ3P8G;g+iHk0_i2t9>=-H|()R&lCy?|M5>+MDXo8vAM<|Aq%%Lr&so;X9 zGHN%Z6tVbtEh`G)!yV&wg`D-?e%7}ID^^|PaM)%vFSa^*y*LLZ#Zm*+lvO&|1?43g zQJyYjLVzi<6~BdkALK&safbUeI~YT;Y4^ZW1FlZ$*CmjnQoO-<72XsTh{Vi*1Y(q5 z8FYjW_aXHdk?<|$wAnMS*1M0qfzK^z#VG@4$pZ~lKkSLMwq!{a5;cgL{3kWxnR21C z+TIB==Qku0@h8FX@EXiX?WT^0lJiY%ba>$E)|s1+Gwv zD{h%Lq9)Sgq?r{SwI>0aPXmT`zVK{J;cKuHc$eVwbWjwp-c zDbFtvwlYui^9!JuNHBc}M!F!!NUUyyq8@?~IRaoY30AB5vjF7xQ73rJpwDuMGO)nn zH=M({@hXy|y9O-OW0nn`Th4PSWeR?tG1na-tG$s=-i|;50qa!kv-s)9o*bCXLB;rm ziRo1wCaiG^k^MZEhsy~X#P_q%y->YzBvA_MVKlmgj4vK%{&zvfl-;_>Nt9;5P%b0S z*hid8dGAkve-r^>GnJ;IHIbP$hJM%pY=)pyLcAzltL9YGd`+p~-BvNxUH87f=fo$~ zQLE(!P=h0W90o@otK365@^B+6DdqYLH99dyw}0Z2#uOmS%hyP}Ul-EjHwI`YXb*T6kaftf|H=*V@ zs~XvI-^^9e%b!tlm=Pmslp8Gf1XGw|Ol!nn7?TuDG|pK(TBgAMo^atcC`;D}XG*xy zl2$=S(R!)7b$Ol4)05Q1#!r;z_`t%*wC{ubw=A00fZ{zQrR9o<^$f}-9O#TeUl<6t zA5PUNA>8gkl{2RZXaz9Jv*aDg^0!dI{3ZABuz(oeH$gi&(!?_DCke5XIP~DKgtI@1 zpI+?15-5A1T2rR*zbNCRPjhUhIbUF!^oHjNP)-dKA8DR&jU-u%1$f!O!7tV`Nzn@n z@~o#IYd{(4H1Mg49|Q1vMColp=mN8rgs+$+)eu*Oj70gsFccl+gRv$_tA(7ZrS|uA4|>_0!PG4UHYu^;-9;BF_@CLs zJcNf=$2}mY`63SYV#wvm!^f29a)^I9pmmA5S3}Oa%!faC*T05@_{VnMm(;=uvm8*yu9PCC zc~DV53(|X#wQRAV(d{WIzS`JYl2FE(d=AxKigbnN4bzq6*0yjBdie@ZF zS6!5XIMqc~7+$s!PNWc}xpOV62#vg%dh2SQD%nb*64>$ILPOYj@*~-V)qi)aS_xqv zHyox1DXB`1n+`@ruwj&`bh7c%DrC+K_a>)^z;-TGH#GJX?6j#n8o7apUpg~3RIA)T z%=q@Z9BP@kvUH(=Ibha6DThnEpGItzG`pFsK_rZkjnQ~wvI|);zHUEw;{-#X5bReY zo1|FM%n*Sl4(8(MHrxk3&2FZ6xkXt$2NN>^F-EFZ=64&Z@$cI#KS=XK^f*dnEkrX3 zzrBZ|Op?cvNZvZpqOaW^ZKwwoe#QVELA%uV3 zN}0YY&E|$9yhElEo%+BlekN#Hn??SyC(P)yFK5Z!sUL_MaBpUDOQqvW6jmHwQ*q)) zA=srx%*FhaMr74RtZtDHp<6}JbfoY~R@A)%t_ey!baSDbPcZdrJGyU*Y#)ivZkRA} z;)xSdi_s{JsiHjPEVll;4)qxF*!9h2n9OTQ=ZD);47Nef@-uvAHYj@;wlHc z^cVbWwgAB}tqUTB)flR$lGVZ57$5ur`lrEhs3JF&Bes+DTw^CpE@m*#trv-Bi=OiL z%&Z+oG``AcMK+C)muJdOL>YuQP-ETyf$@alFNArhv4vF8r6`~+Bhocy_%GF8g48I( zHVY3TP|V6W2@FP1JzVvaU-cr3%<%;$+R^0Liq^KNaup`X--c(XTD$XI3R=4PLC$Jc zr7DIcegvOQNj2}JfEro;$*Fa@P^=>H#2@hv^lmNZtQ{)X6mvTpDXT7uIcCjj^P2+F z!7pUNS27%LcRY^0D`QHRKuwayAa1g;Mt)z(t%!Ok3aCcV)hZ3BAJzXHeh^h&7Oc@+8%X^6}kL1EuXN=!wiUQ72QDpF3o88_u8URj_M$XqORfnwhK?20r$nu|AnM!<8z)eI@P}MgIrGtB64Vgon_)QS z^Hq344x&8}a%?zWC!J&*G^P%<8Js%Fjv^1V?d8A|?3vOUS!oT_ZdF-78e>0mi^(Nd zlD+9aN*Z6O@w?l`gCVl7Q$|qO+?6$O4NP_7ZzA&!p|}t6_bl+4=GChU^u>4L)&W;f zN>;u4!ff$Vh=P$+#2@#%GAUbV2byXK<*!}HwsH952y`B%75tlu^aKW=Qd0V3d^NM^ zD=aN=xUA5BWSW#Xqve!Kno1ev@sePx_B7!dxX#VxD+zf{8T0K@%)o7=mm;c26?l;W z4`u!sPbF>1O)+qo);|yFuU-QoILEFdlHeMayevr}+11YKbw_8`R$_>^?5Tj#)(;;# z4~m1gGxoLJu`X;Gq^u)8PGWE^W*yjMr+Ro*^1~J`aoQ6BU*Hb)rBF3WMOG-O`+@05 zQOh9QVe8fiH|kI0X}RDM(3W%K;e9ku$rtcI-Eu{&ZrEvGS0U{+o-CpGD|F9->0#Po z_2Ny$MQsF*5m}>3{Ko5(Nyfymh0<*B=QIhfSZ3G)K_dyO_a@a2wNS9I2n6#A!1gYh zZ@2)9$2I&w0Mz(r49e}02ahSgbr2pafmaHU*1=W@_?wKt*SJ?6Aax)=K|7QRMtHw3P)re@Y8E`Tq3Jraw6N!p+N{M3wueOKvrmU8nmx=;(g=!`jtr4kgxW z-tVt1mw6qfu590Z`&iw>k$6N3z!j}`iMtsy%W_-$|PN9gf?UGr;`E~PtV zH7L8*{NfaHIL=_IdV5Z9!3Vz;g4J912}Xl_ZEo+72D-xL9|akneGr)AJ|eBZn>4xO zPR|F!<2$eJ2@m@`aH^DkbIp@%DYE|ck)!Tj<0f0v^LsA;a|HbeH$`u9IUaCe$S`LI zRcm_fHK*297Mi}Blb3k^n{E5zz2WArhAI=c<7|i82-nW*J`F2kUe3S%zr}kT7n>;2 z5XXeyKaM`ku=$>UAYtTj@6J%=MMGMIgOy2>iSF)?+*=j@WE?{0O3U8TL%MEc`BA@B z{!^4M?P+`eEA3AQoj_K9*XKpF{I~a;^VSAy8krZp&VB8>nf~#{vfDSM_x_mg8}Po( zld9QpmSs=qE<`Q@<^cP2{vMS8U4~@bnID%rbo)+tEGtW%VoH!9TMjw<>~kj(k@KYBn^j-ST^d zq3f8A>u|xX+eJYKa`Ogh3+*28*WLa8V#oB^qUYD$7XA`#d-lEY+cdK_;}5HRZT7o1 z{!uENy6gJ-^nAx117{`}-QQh5hiEqJcNsVGUb?E&Pd5Hn7i_#co#XWUNz3)$I_|z| z(mi_n?6Z*r?*th($>%Ie%N8Wl_I_~{K0kH(Px0wPO`<;&OK!d87qYI3EL5AfA881D zF+aWVd|g30`K)wb`tPp1Cl9~P|6*Z&MB#UG_*2)n;f=qzWf~caea0i+kGw1}XGwwQ z`Q^n1{3kCyrF-Ah%qh-o-0j-Yc0J1bmdP%zzQsR70nMK_pIB>iuz9j!5!!aF?1`85 zaz&>t(XWs9#?2o@5)~L(EF%&aCm)sFwJ?sGsWXn zaSr{sak}CKtqylCb`J9hc?Y0f#6x$(B_NtJa}Oihc)zrtmgiTB2V z$^V-uypetOuc+X^icjoYCV0X>@vZhP<*%rSeF~qyb{XHEIzRX9!K(QOf642TCmvHy zE&B2Hk#yEk-y=JA-2Qr;|8}&ylbqs*1ysHo|5A8a)dKGc6Hbzom({#-TTkj?qAE^ z|K4szptZph`i?6p+Mmf!dIGg4KN>zy-E>`Rqg3$pgZ{?4MTxJy?caUpXw%o4C8e%z zPgv-`Kg`wp#Y~HG;_r3k*=rmX7_ui+TuSahFcAop-7r)cmd91Z7 z*zkGZBmtD@^bp|s23?*IpTL4czoID!pIJx1i#)XaNh2f2Lir~rM z-HLx7p+9e}Pj&2=IN$JVGxx=qXQ*%esy*Y^Crx$}$K~xgOa5oer0b4G#R;y+={n68 zHO|lIg8wB7bFV~_4OR9(Tk2z^M1`B^jhDnXbskF&D=)}73b>0v*Y-8D(^g< zh|4hDatFDrKGP@e``TB0BzDfx#UMB_x4XsS=b-qcioC^wf5^Mu_v<&OC3B4Sr@YAB z6ru4kCh#@zuU}>OSWErN5%3qrkgm`i<;`;f8Z7fnqACj(GnQknZEqGN4}^CYANhXo z_po=dFTC+G|1B&=m(3mYvN|_i9WfNe8Decf4{jk<9Cw%>v&)C$7P@BAcye{9Uhz)r zbwL`VM%E^kvdZU`<{$k(QYzi|)Nt{d=)=v(PaaqKm%}gdujXGxujF5PVt-YPhIwl> zuShHGqo1SmZi|`LKs#t}K#uB0jQbJ1yxy>g*;wfNpabl$X0fIt%$$(I;t8+j z?r>suhsCzDq}GtkTlQJX$TiUoH9I}_h&M*OVn<-%6@Sxnz2duyH}5n(T5;$)aGXk{ zPMO}Jq|@UcP!ru`bL(}QS|XB!RyoAV(c?FT4*g{&0`U{fh%Pr)kZ+L|D&$BhNpQ~4|t@d`RVH$>gtPw z_bIN1DS{pWJ*MfLCb!cC?$H~!d0c+SH54wYSg=j2V%9dziaGP~@M^Jzo%qt7-EaE4 zE;VT~RyK4!5NlXd7Vu8&C^NW2PAGeS4J0+F!WjYAPc6TI#Ye5Ir`&7+&Ycwh&hRk8 ziSBS&yx#_y=eeNlp{U$SG_^>vPA-do;QYSm$yl8=SyO}wkF5kS~+cZoXJJ~w-uL6&vsk2G|lT(e6rSC zV}1v`neXsfzN*XS?6aoPM_uCagfB=d(`CJn*T8sKsQskbA0mxk0v%72ZVuWQCb?A1 z68sBy`r)73LEYcG>(g5I^+uCUXVvR2=bz}9@xo(w=anl5`peQk93A4Oi0@c44P13D z%w{xw?m2+73p{i_Wn2-}hWZ3n>Kmdq(uQr1v_8vE!@8+P5o`m`MSWA*zvkikAZk7B znflB^spZ(u=hsbKestDP5p!-GrEg1+aw}Nvi0u7i@Uw3XKCcERK9!d3SR6t zYI#{kEc7;ev=~rce0=aQ>Gdl4)b?r5r9zwA;yu@!nU8}PjAyq|e#1BP?eF8<<=p>~ zD#;ta)$xAQV;j>=!V{;dpIdI5IFbM8{&0ynIC^qr+q~>KU&z~^lJB}060@sc&-<|L zS@}u6)vE^{MiC>7FE*8t9a`shw-hR&LtJDqitxBR1PWyRRB5bBW-P2PNhJnN^Q zegfdatfae6rq+@iGqzcG_-<=*B^Uayvr^uo5l@D867KjO-4pi8NkXMEAI@u z**aId@ZPGJ;-_Jj-y6?xGFM*Sdd5Q;z0xh0b-sP-&E21OAHDmg^=Bx(~adK$EJ z*v_RptH8WVA{g3oR3~}+1z}g}+|vFIgvxu&dTd6x69j{uCJCqx)9iP5k;z!5Lqjr8io^qhRY14o^cgeHJYt)qy&WBv6uD(~g zM}Db0J+AKE)N*`N$-Exgs*@huD(2XIx*j*`GgsU7MQ!8J+g!x^Beif*amR4UXaUvG z)?}*dj-lhzuK9~3qpiu+t4IB=BK^rHy&ZuL7VM61oz{8ZDdZ{mB0 z&Qujm1#G!UR20Bnj^5n2Th!v7A`3b5-AdqmZqBA}qvi{;vU2-O*z^&OW`)JsC6E>T033m{q2v7 z>~_b@P73tzzPG_AJBW65QNYh!kJs)0q_xz^BV&W=kBw~FE0B}EW>DzYS|3loO+B>O N|C2fsKvxFf{{S6R(zyTt diff --git a/cpld/db/RAM2E.tmw_info b/cpld/db/RAM2E.tmw_info index e73b48d..590dceb 100644 --- a/cpld/db/RAM2E.tmw_info +++ b/cpld/db/RAM2E.tmw_info @@ -1,6 +1,6 @@ start_full_compilation:s:00:00:07 start_analysis_synthesis:s:00:00:02-start_full_compilation start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:03-start_full_compilation +start_fitter:s:00:00:02-start_full_compilation start_assembler:s:00:00:01-start_full_compilation -start_timing_analyzer:s:00:00:01-start_full_compilation +start_timing_analyzer:s:00:00:02-start_full_compilation diff --git a/cpld/db/RAM2E.vpr.ammdb b/cpld/db/RAM2E.vpr.ammdb index 61df1043fa61c2696dbfdbc9ef36939f29de9275..20ebc98044a9b9df3c2802be750e4828029ceeb1 100755 GIT binary patch delta 522 zcmV+l0`>ja1n>lqTYo|V00000001li00000003|T00000004La#8$CN13?hoL{StJ zzrk89>;%P1Ed-I6%3rXR*x6X7&_+K(k!US8V&Mm9?HAZdjG$R}-)?5$kz-gQ41{oaBd=0UdG*e?gQf!%|B(;wIzKdL>4 z_4~3vKe1k5&3}3!#oMVgnQC)>~9Yf3&F@DKT9JWj%N4Ud0A{IGeR{9k~p{xy)P zo=4!jvi>FTd#Ps&xaQ08P5A5k8&48kxjs67lAZ=Y^=F*x!~Q3vrwu;M?+$pZT3V0a zC+=65_~wa^^=$*!`6YUDzB-@N`a9$={mlMsUqFx6=YJUS!7KY80&hsaR)OD2zv4;k z+?SlsSMZ;b_#@!omG}zqJ>lp5$b6i?#lHl8{oY95C*4Xt?9cn1@lrnaAN702nr{}) z^<;Yy_1l;JyraJz>AzKfK7aUo!^)reEq^QDn9d&n00960cmZN$U}OkjU|>-2?9l>J M3<5yx=#xJJ8Ks^TC;$Ke delta 473 zcmV;~0Ve+N1lR;Rw9!47j}L?Y()#L{0K#&SlS7K_FDS|b{ZmR*1dO|H}J@ECT9W$F5KLk znfGRP_HMoR{=zv|3zu^<;ab4mb9nCkmv`0NUdGdjB)$*)(|^0dApd=2rL ziv0b#1>5%P9e?y^4|AM)qx}GUkM*u2KGd7=XTK7-&a=&1&i@ELtG5k%oBXrjzh%ES zU|W5v|4H&4!{74X0MAtC{B_{={<;=i>r;PwfA-JE_{4na{ONptKu@SL#-G79y@${r z{vxja>`#KfNM8edb*{%M_^!Af%6|m^HovFjPt3fb_= z|1P99G}tg;1Hpy`8xCv`*zjP3!A1ZZ5o{!|k-o*EU>Y`#sM1_ zY&@{>!6pEk5Nsl_iNPiTn-pv^u*t!u0GkqQDzK@+rU9E4Y&x*%!DaxP5o{)~nZafO zn-y#}u-U=p0GktRF0i@5<^h`*Y(B90!4?2p5Nsi^g~1j9TNG?Du*Jca09z7lDX^u% zmH}H9Y&o#y!BzlU5o{%}mBCg4TNP|Iu+_oV09zAmEwHu0)&W}=Y(22`!8QQf5Nso` zjlnhn+Z1dwu+71?0NWC5E3mD>wgKA~Y&)>+!FB-K5o{;0oxyei+ZAj#u-(D-0NWF6 zFR;DA_5s@$Y(KF5!43dB5bPkZgTW2~I~43Nu*1QQ06P-wD6pf!jsZIs>^QLF!A<}> z5$q(elfg~_I~D9Su+zcL06P=xEU>e|&H+0Y>^!jZ!7c#15bPqbi@`1dyA^iXP!EOM%5$q^`vj z!5#p65bPnahru2Jdlc+3u*bok0DBVbDX^!(o&kFn>^ZRK!CnA+5$q+fm%&~Edll?8 zu-C!f0DBYcEwH!2-T`|T>^-pe!9D={5bPtckHJ0x`xNXmu+PE10Q(Z`E3mJ@z5)9d z>^rdU!F~Yy5$q?hpTT|s`xWdru;0P{0Q(c{FR;JC{sH^{MEb8GN+__Q!G-}F2sSL( SaA1SLh6fuAHUij)VE+PPTzKvP literal 11836 zcmb8l({305006*t?AUd-ZQHhO+qP}nw(TWTX4|%v>|y(b-aTJHKtkagm4qV zO$;{)+@x@m!A%Y~1>BTyQ^8FQHx1mhaMQs}4>tqcjBqo-%?vjS+^lf3!Oadg2i%-+ zbHU9GHxJyraPz^<54Ql^f^ZALEey8^+@f%c!7UEA1l*EvOTjG-w+!5}aLd6h54Qr` zif}8ztqivc+^TS^!L1Ir2Hcu(Yr(Azw+`I8aO=UX54Qo_hHx9fZ49>w+@^4w!EFw= z1>BZ!TfuD&w+-C3aNEIc54Qu{j&M7{?F_dI+^%rD!R-#W2i%@;d%^7uw-4ODaQngS z4|f3Efp7=G9SnB}+@WxX!5t2F1l*BuN5LHpcMROIaL2(N4|f9GiEt;uoeXyh+^KM< z!JQ6w2Hcr&XThBfcMjaSaOc6D4|f6Fg>VBWzSHWEkcMaUN zaM!_I4|fCHjc_-?-3)gN+^ul8!QBpb2i%=-cfs8acMsgXaQDI85BC7vgK!VQJq-5< z+@o-h!95Q51l*HwPr*G6_YB;#aL>U#5BCDxi*PT&y$tsX+^cY}!MzUm2Hcx)Z^69{ z_YT~+*1>Bc#U%`D1_YK^)aNogw5BCGyk8nT1 z{S5aD+^=xI!Tk>R2i%`uG0001Zob8=kZyUE3h2Q%t26}Hadvph!0JdeLK8agL>QNqy14E7` zsbE`nbqQ*q=x;xxks?oQm5Xaj8InLAZP^sH$i=<6`Ehh`^Dw^}T}PAQUHZDxJ3LQL zUfm5JuCA{u(ekA)>2x-}pS-TfE2%_(E{4u1E5=$-Wr_(Rvk7;x<{CE>d)c;TPA-#@HM&o(*pOrU1MbW`c z`grwlKc38ISF>~;%^x4K?oHEA=`@{uOe@hJ<8+$6^6T3t9$xTX$(RlxM za`G$HA~Mfu|FXmN5jm&ZJAU_;Y7seSZSWS?Pvo7IAISki2Fm6;XCOfiI;7=97RrWP zI8%zHeaMe);U8|fOpt%F7CDP2$Uld@{vYE{bE-|`pi_3yOpt^6mo=_IWS--@(eL+* zIXgbcKDj5`T$>gli0q|B49ZpxQc+}~N$yKgzlzk6i*iZ10AGhb z;sbmg`Dk){*rysqE*kK$xQ=|(?RC#4REx+*r)+ZEfce~NdFR%ohLwxR6uE&TQ67#t z!#Cj8=#Xs@Mxx&@jux}WUiz787TGADpB@Y$VzOs*g!tYW<5DX$VXk? z?M>vQte4zYxQSep_mXpF(_&{tR?wQrK?6PxHKB(-^wV3aMP#2Nb}QUO=E>5T)sl32 zO0{bhipU}&4n@cB-d-$jIjc93kB;9`JFb?6hqys%S(dgrIa`*Yh+BA#0viR~&f)^f3DkK2*0x_s3_V&tkWtWp+!%%Pe5ci;YM{TB1-a57uLHU*I8 z?~0<8PxsTibo8>BEuRp(TtVbWY+-Ynpb^Ma(1?mas6q*;2xKc%n2JEaVp)&=CwndC znuT-{Ppep%oWxZiV8Qb$kg(7JE0D5K5i5|g;E@%GS@6sX3gj$w)Cz{M`B9^hPj#u{@sQ zWrY9+&GZN)Ff>q)*ijgwkpS=b6nKw79%G&HDmsizrXz<0(iuv0NFbo0Vuu7W8tVfm zUSUXTUaDuM6@4M7Q{H*%Vt|M->+ z2T50n9mGNI6)?n+-wIKP00Wu|vJyyhEX$XQ?plR4%^C)o4h3!{km*=nlTq|_?}U^< zw1Y;Z1d<&pMoJ*wp@F0X0v<~Wnja|$d8ptkft<%co83X)W1!tbLhd6!1Xi?baaN#- zj*$JxuWTsVh2c!axe0_oG*FsA5M*65=5>HD2)&bnAP5b-2_!*iI!z!3!lPsYArPAT z5)6UpFfD;Fh>oceh=kA(lt3J0jc)Lh-wq1VY!@IJq9V7%0uWK4TLK9Y6=@|96H%d7 z0!a~`wGxPm(0LamMRaVGKw{)bx66mz2whf%)QE~^5(tjaIFmqZM8Pu&L`Qh~NFY73 zgHWUdBBqfj`nH=*Q@B|_xIqIQk*D`Ez`C9@p5*415 zK#C+sjuk3kMoU2{C6Ff3VM+-EN>rFq0x1q1rj$U8V+DHh!v;wX9juhtJR^g=lMX=+ z9#%^5V=@jk6@vs~90R-bdn<0VA&Z7fMrp+yZ2^qF{j<&6-#l!6WYE{w*MBh|&eLc- z8Kr-{uEd2G^Oskjabx(0>HS}il{Z;m9}2Bhzc#aZ=j!UMxA&xoOVNEPoW!a+b5PwT z*7sKMY18js!9rXJNx{S)1q=JkfE0Wxo_nBRZMP-G(H!(hKnZafZ12|TJ)1ZVP(j&o z@!oGF333uX?dCmDFb*-2GNBvQ5QhYfE4U_ln)k)KLIIN z_h}9`Ld>WWpAy0y%N%YLeBy>ZP_VvRXB=fSKDoFaVn$u_D5Ea$!T~9mc(ki4+@T3b z!KP0&>h^A_m80T}M*>QS3AIlZ>PCnH?s&Ab=T$IB5BoF+J0ZrAmQQnV$KiBTneizS z*F&r;BtE%#$5N%ENaB%zafpE=@u|e$46&?Gcs!PS2@4QhKoahlKcJ6p)<48fD62ex9$0Qw(7Q(_cf`Alk`&6Rtgs5Q4rwVH` z#9*BGbkI}_F_OrR8-YECk`PZjTRth+4sl<%yKLV3V53{%J+ePq+)WA{m8Z8~z4r9xFaH0a z@cB(`{%mOUMH2_r!slB4YTHcNI#19nF^ffMy$;rrA( z6{(UkAJgHPKS~+l;c}ERUXk@jDRIA~OBlh=c*V1%;1|Y<-gK{2D-8D;AK_7sQpV>= z_@h+I{fgcWW1VWdpHm&vv7SFlb=+s3#w%C;C{_6COIK1Q#`{eENY!y4{M6g@AGM^N zYf0|6XvU{{_~R4ft&);99%`k2_+-~gqVvHwV%`4OM7=rQpHjxFRQ@Psyx~&Pt}~1s zLZV&w_Bf{kDdW3WN&>0*xZj@}$yR@qGBU3v#Xj{0q1+_FgZ+6J)iL+xQ_3@hOYtjK1;NvHti(%>wSxCt(yaj5o1Lio9WDb8Y84 zgv9tRS-|Q_A=yS^g+x{Q9ht7W6PuL^ZO!zhz1d*_K?$aITc7 zF~5?N1ove`<5!P(q?Gd&J<($x<+{&3IA(l4kv~eQNx(hkQ(>GnO6>dyt?(t!o=fFg zDG4M^Fg`D*q~I5ZQVsWW%J@Bl9x3I#>LSMPm-a^~<98~Qqm+7Dm%XAp&l3`L{4H53 z_ea?3$GVhU%5$Dji5lN5c}aI)^;8!$N_L-fp#sU#jbArjlKH|=N`3!%e@dy3hh8f6 X{5P}JpDzDtel@y&^V9zUY10vMc*dI> literal 3096 zcmV+z4CnK#4*>uG0001Zob8=oZyHG!#oy;sw0NJGTR;Pn6UjEV#+zitNrW)4KW>?sZ-~|)E_C226L%CRj2CKtzS30*Z0$ltIKROxGi4SPWRrM z!&kS1`-{uVS~h>{YcZY-??$g{@=9vi9}k1^^kK4k^~2(Y?D#m#n?LUlr&)jTRwj*C z^;eSZKMZfKGSe_wJ>L*Dd^{%$y$PA(?JG@Cx&&zd(bJ{RL+^r@(2zYmM??4A49wVm<<)sJMut66(%Papa+ zoJ@=H^516vIKS36ryt)BCfDc9|L*RTe=lO}JOx=B)S#ey=OR?Z28j6E(fb}7vk7AM zKD_^hiPyqcU8fqDgMbHT=Y|T{#wwl`e;kenlY$A`fn#WYFuFc(QElyFHMv14b=2Sg zIXwI~6%m=|=k%!7oC$&Tl zDr0iJZjgbF*agEN1D%m$-5~!Q++O{9_b_G05wcJ@A9c8x$V0Q~h)iOTe-^VQr)UFN zXmtAVkO~MLG#{DV)ZTD%P|n3f4qDD}WFNsrXgNSRBQ=nbW?g?g8cut6BP#W3AU`da zce1q(D-tG?ABj^PcA>`X;i|e0ArGbT&mg%&I>+Vnxzj?#IkSySG*6Vc3vVL__4)O(4L$Vnthk{fI;3;tbtCi4 z(yqmnbaX_;MgHmYIZYccMF;QRo;=)eR&T>X!&_=s+;%C_aTktjbmjWS$=PvSin!Uh zI-C=ojKAD+b^Z>r)4cDwM6rWcBC_9+jb=T>?O{3&`=XQa-Iwv; zmI{lERL&vXa@28Jj<|)mi;Tq9QoD{vt>iJ8LwSModKY=<** z4#jRZB=XScfZAbp9ri?IZzJ>cc=L3TdHQ@VCv~?{H+h^_hjoPy@859|VO8Ojt13v{ z@xYavjiipN-DOxV2a&o1w7_;fT!|JdJ9~QXupI3VrO;MpojTxj4b2wf=X zD#%=@@G61i#j>i(yA<*l%YB0)GUS-}qdtKUh61<}h+rs)D}nsQ!P`THs1C(OwxLeD zJk1Dz7zWFV2*faSkeEOeLqUlNgfYrfI)$vrSTuenkjc>SX9Agw<%yL-0w>s(TnZtY zp+M0Df*C3nO(2`0gV6-@8H+6s-(`@}P;zbpX$=+VCT_rn(J0|iS<#pRq8rOII7MWK zawR!8V1{E)*VKOiClp9=^tG;lBnQs`3T8Q2WKST+QQmW-xO}(TCCLH`q&XDeoWeni918OUsm4){`mfyhRQBq`!LE?ZpwW*nZ4 zp&;pu%fm4g+lj*)~bXgTpdw{SFlnbPe{QEnH_6kL&&DJdAyVqAeU6H;)+s79b*C&hWN6_bnGDb9l%8fUu- zc2i6UI}y!;l_Qme6x?t-zvYZNMHhEt+SYYaT#G9=@rIYMQv6Iv!gfr9u$!WSF=aEY z6b0OHGrwI08=G}!s2b~L{l4XSFvU$t_413#?TqTNC^$ zWo&gOKT288-{VoLX-j$hD3zz7R7=~;?J3oHx`rj)TB|HPwIlN=fR$R_*vP_bLJD=Ct@wG$K#XAZ?c3-r_)FhCH$aDMX3lT-!$rz)_V&) z)soU!(A62*_LNeW-F;8#!IiS!LmiJ&-SBIly0bhh6~2;NPh;(~-t*}x_0%RRp11ws zmCCi12hTHl_$l3}vtQ3rg@*_lX`G|v5h#^LnxJMKwx?7ljftuqypk*G<3YblsSh!> z=)N=(Dl1y+DfLWao>H?8+Z$7foQ#{``IJ~6aS@MF>PcdYN~JMR<>AZ2>Vs6zN`-fy z^`7>4lnOtgC$jD9grBCmX_!yKAI=!-BgW#9%KGRmPnl;LwFFVOb9+)*Z;ZA|^#ixq zblV?(Gpjzz@w}i1_lc-G>xWM^?)~8Bjg=pHj@l=Ac%P_mUhzDpf+s1WKFITY-WYz5 zpgwc)TpkO)MG*D9E1t(xaG~1jY3j#vzUd+ryz|vJ?RwrHgkR0oH-dYfPr}c<>eS0K zkrsSR8SBs1#G{mYh1jA}Y3$C!mod}_lb#dA;6kZS+dPk`;7S?mQ%mDfsu}+HRDEx= z=anz`@u^Wit-`ZX!83HDe*D*u?b+ssQo##8CXw6F^57|=sG$(gN(G-%M9n&Qz5_pe zHm$~yJug$ig;M+9^I1pmY+BT5s^=jU-hAqVDbLeX@H&#T(^&efzc}HkJd%d{tUo2} zNvSlJKI_B#J)I0BqEzr*zo<*@p4}H*DE+42Z;}u^oif&6rHV%>_3fA28&m2^vS+0t zn5NR$A4>%Jl=U}m}J9Lp_ERB7yH!XKijAHd*w+ZTMEGU{D|=Za_eQiA%#%lCmp m@O__2#D3P_lJ=w27Ez1muS^#II{(o0;_C9vPk#aN&ooxLs0^0? diff --git a/cpld/output_files/RAM2E.asm.rpt b/cpld/output_files/RAM2E.asm.rpt index 2cc2e37..f002d49 100755 --- a/cpld/output_files/RAM2E.asm.rpt +++ b/cpld/output_files/RAM2E.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2E -Wed Sep 16 19:34:49 2020 +Wed Sep 16 20:14:41 2020 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Wed Sep 16 19:34:49 2020 ; +; Assembler Status ; Successful - Wed Sep 16 20:14:41 2020 ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; @@ -90,8 +90,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+---------------------------------------------------------------------------+ ; Device ; 5M240ZT100C5 ; -; JTAG usercode ; 0x0016E4E9 ; -; Checksum ; 0x0016E859 ; +; JTAG usercode ; 0x0016ED59 ; +; Checksum ; 0x0016F0C1 ; +----------------+---------------------------------------------------------------------------+ @@ -101,13 +101,13 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 64-Bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Wed Sep 16 19:34:49 2020 + Info: Processing started: Wed Sep 16 20:14:41 2020 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 4524 megabytes - Info: Processing ended: Wed Sep 16 19:34:49 2020 + Info: Processing ended: Wed Sep 16 20:14:41 2020 Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/cpld/output_files/RAM2E.done b/cpld/output_files/RAM2E.done index d21a61d..39c72ed 100755 --- a/cpld/output_files/RAM2E.done +++ b/cpld/output_files/RAM2E.done @@ -1 +1 @@ -Wed Sep 16 19:34:52 2020 +Wed Sep 16 20:14:44 2020 diff --git a/cpld/output_files/RAM2E.fit.rpt b/cpld/output_files/RAM2E.fit.rpt index 939dd58..a748e8c 100755 --- a/cpld/output_files/RAM2E.fit.rpt +++ b/cpld/output_files/RAM2E.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2E -Wed Sep 16 19:34:48 2020 +Wed Sep 16 20:14:40 2020 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -57,14 +57,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Wed Sep 16 19:34:48 2020 ; +; Fitter Status ; Successful - Wed Sep 16 20:14:40 2020 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; ; Device ; 5M240ZT100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 199 / 240 ( 83 % ) ; +; Total logic elements ; 189 / 240 ( 79 % ) ; ; Total pins ; 69 / 79 ( 87 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -142,28 +142,28 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu +---------------------------------------------+--------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------+ -; Total logic elements ; 199 / 240 ( 83 % ) ; -; -- Combinational with no register ; 84 ; -; -- Register only ; 25 ; +; Total logic elements ; 189 / 240 ( 79 % ) ; +; -- Combinational with no register ; 82 ; +; -- Register only ; 17 ; ; -- Combinational with a register ; 90 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 99 ; -; -- 3 input functions ; 36 ; -; -- 2 input functions ; 35 ; +; -- 4 input functions ; 90 ; +; -- 3 input functions ; 42 ; +; -- 2 input functions ; 36 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 185 ; +; -- normal mode ; 175 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 9 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 14 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 115 / 240 ( 48 % ) ; -; Total LABs ; 24 / 24 ( 100 % ) ; +; Total registers ; 107 / 240 ( 45 % ) ; +; Total LABs ; 22 / 24 ( 92 % ) ; ; Logic elements in carry chains ; 15 ; ; Virtual pins ; 0 ; ; I/O pins ; 69 / 79 ( 87 % ) ; @@ -173,12 +173,12 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; UFM blocks ; 1 / 1 ( 100 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 23% / 22% / 23% ; -; Peak interconnect usage (total/H/V) ; 23% / 22% / 23% ; -; Maximum fan-out ; 115 ; -; Highest non-global fan-out ; 25 ; -; Total fan-out ; 850 ; -; Average fan-out ; 3.16 ; +; Average interconnect usage (total/H/V) ; 24% / 26% / 22% ; +; Peak interconnect usage (total/H/V) ; 24% / 26% / 22% ; +; Maximum fan-out ; 107 ; +; Highest non-global fan-out ; 34 ; +; Total fan-out ; 810 ; +; Average fan-out ; 3.13 ; +---------------------------------------------+--------------------+ @@ -187,19 +187,19 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu +--------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; +--------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; Ain[0] ; 56 ; 2 ; 8 ; 1 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[1] ; 54 ; 2 ; 8 ; 1 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[2] ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[3] ; 47 ; 1 ; 6 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[4] ; 44 ; 1 ; 6 ; 0 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 115 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[0] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[1] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[2] ; 43 ; 1 ; 6 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[3] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[4] ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 107 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; ; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; -; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; +; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; ; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; ; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; ; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; @@ -220,8 +220,8 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; BA[0] ; 6 ; 1 ; 1 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; BA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; CKE ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; DQMH ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; DQMH ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[0] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[1] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[2] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -230,31 +230,31 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; Dout[5] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[6] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[7] ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RA[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RA[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[2] ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RA[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RA[4] ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nCAS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nCAS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nCS ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nRAS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nRWE ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; nRWE ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nVOE ; 50 ; 1 ; 7 ; 0 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -418,7 +418,7 @@ Note: User assignments will override these defaults. The user specified values a +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ -; |RAM2E ; 199 (199) ; 115 ; 1 ; 69 ; 0 ; 84 (84) ; 25 (25) ; 90 (90) ; 15 (15) ; 9 (9) ; |RAM2E ; work ; +; |RAM2E ; 189 (189) ; 107 ; 1 ; 69 ; 0 ; 82 (82) ; 17 (17) ; 90 (90) ; 15 (15) ; 9 (9) ; |RAM2E ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst ; work ; ; |UFM_altufm_none_e4r:UFM_altufm_none_e4r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ @@ -507,17 +507,16 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------+-------------+---------+---------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +-------------+-------------+---------+---------------+--------+----------------------+------------------+ -; C14M ; PIN_12 ; 115 ; Clock ; yes ; Global Clock ; GCLK0 ; -; CS[0]~2 ; LC_X6_Y1_N4 ; 3 ; Clock enable ; no ; -- ; -- ; -; Equal9~0 ; LC_X6_Y3_N7 ; 14 ; Clock enable ; no ; -- ; -- ; -; Equal9~1 ; LC_X6_Y3_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal9~7 ; LC_X2_Y2_N7 ; 8 ; Clock enable ; no ; -- ; -- ; -; RA[4]~2 ; LC_X2_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; RDOE ; LC_X2_Y4_N6 ; 8 ; Output enable ; no ; -- ; -- ; -; RWBank[4]~1 ; LC_X7_Y2_N1 ; 13 ; Clock enable ; no ; -- ; -- ; -; RWMask[4]~2 ; LC_X5_Y2_N9 ; 8 ; Clock enable ; no ; -- ; -- ; -; S[1] ; LC_X6_Y3_N6 ; 23 ; Sync. clear ; no ; -- ; -- ; -; UFMD[8]~5 ; LC_X4_Y2_N7 ; 7 ; Clock enable ; no ; -- ; -- ; +; C14M ; PIN_12 ; 107 ; Clock ; yes ; Global Clock ; GCLK0 ; +; CS[0]~2 ; LC_X4_Y3_N1 ; 3 ; Clock enable ; no ; -- ; -- ; +; Equal9~0 ; LC_X6_Y4_N8 ; 14 ; Clock enable ; no ; -- ; -- ; +; Equal9~1 ; LC_X7_Y3_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; RA[4]~1 ; LC_X2_Y2_N1 ; 8 ; Clock enable ; no ; -- ; -- ; +; RDOE ; LC_X3_Y4_N7 ; 8 ; Output enable ; no ; -- ; -- ; +; RWBank[4]~1 ; LC_X5_Y3_N2 ; 13 ; Clock enable ; no ; -- ; -- ; +; RWMask[4]~2 ; LC_X4_Y2_N8 ; 8 ; Clock enable ; no ; -- ; -- ; +; S[2] ; LC_X7_Y3_N4 ; 20 ; Sync. clear ; no ; -- ; -- ; +; UFMD[8]~5 ; LC_X3_Y2_N8 ; 7 ; Clock enable ; no ; -- ; -- ; +-------------+-------------+---------+---------------+--------+----------------------+------------------+ @@ -526,7 +525,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+----------+---------+----------------------+------------------+ -; C14M ; PIN_12 ; 115 ; Global Clock ; GCLK0 ; +; C14M ; PIN_12 ; 107 ; Global Clock ; GCLK0 ; +------+----------+---------+----------------------+------------------+ @@ -535,81 +534,79 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +----------------------------------------------------------------------------------------------+---------+ -; S[0] ; 25 ; -; S[3] ; 24 ; -; Equal9~6 ; 23 ; -; S[1] ; 23 ; -; S[2] ; 23 ; +; S[0] ; 34 ; +; S[1] ; 29 ; +; Equal9~4 ; 23 ; +; S[3] ; 22 ; +; S[2] ; 20 ; ; Equal9~0 ; 14 ; ; RWBank[4]~1 ; 13 ; ; Din[1] ; 11 ; -; CS[1] ; 10 ; -; Din[3] ; 9 ; -; Din[2] ; 9 ; -; Din[0] ; 9 ; -; CS[0] ; 9 ; +; Din[2] ; 10 ; +; Din[0] ; 10 ; +; CS[1] ; 9 ; +; Din[3] ; 8 ; ; Din[7] ; 8 ; ; Din[6] ; 8 ; ; RWMask[4]~2 ; 8 ; ; RDOE ; 8 ; -; Equal9~7 ; 8 ; ; SetRWBankFF ; 8 ; -; CS[2] ; 8 ; +; CS[0] ; 8 ; ; RWSel ; 8 ; -; RA[4]~2 ; 8 ; -; RA[4]~0 ; 8 ; -; Equal9~4 ; 8 ; +; RA[4]~1 ; 8 ; ; FS[4] ; 8 ; ; Equal9~1 ; 8 ; ; Din[5] ; 7 ; ; Din[4] ; 7 ; ; UFMD[8]~5 ; 7 ; ; always1~9 ; 7 ; -; FS[5] ; 7 ; +; CS[2] ; 7 ; +; UFMReqErase ; 6 ; ; UFMInitDone ; 6 ; -; FS[3] ; 6 ; ; FS[0] ; 6 ; -; Equal4~0 ; 5 ; -; UFMReqErase ; 5 ; +; FS[5] ; 6 ; ; always1~1 ; 5 ; -; FS[2]~25 ; 5 ; -; FS[7]~19 ; 5 ; +; FS[3] ; 5 ; +; FS[2]~27 ; 5 ; +; FS[7]~23 ; 5 ; ; FS[15] ; 5 ; ; FS[14] ; 5 ; ; FS[13] ; 5 ; ; PHI1 ; 4 ; ; nEN80 ; 4 ; -; always1~6 ; 4 ; ; UFMD[13] ; 4 ; ; CmdTout[0] ; 4 ; -; UFMBitbang~0 ; 4 ; ; UFMEraseEN ; 4 ; ; UFMPrgmEN ; 4 ; -; always1~2 ; 4 ; -; Equal9~5 ; 4 ; +; Equal4~0 ; 4 ; +; Equal9~3 ; 4 ; ; DRCLK~0 ; 4 ; +; Equal9~2 ; 4 ; ; UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component|wire_maxii_ufm_block1_drdout ; 4 ; ; S[3]~9 ; 3 ; ; UFMD[11] ; 3 ; ; UFMD[9] ; 3 ; ; UFMD[10] ; 3 ; +; always1~6 ; 3 ; ; UFMD[12] ; 3 ; ; UFMD[8] ; 3 ; ; CS[0]~2 ; 3 ; ; CmdTout[1] ; 3 ; ; CS~0 ; 3 ; -; RWMaskSet~1 ; 3 ; +; always2~7 ; 3 ; +; RWMaskSet~0 ; 3 ; ; S~4 ; 3 ; ; Ready ; 3 ; ; S[3]~2 ; 3 ; +; always1~2 ; 3 ; ; always1~0 ; 3 ; ; Equal10~4 ; 3 ; ; FS[2] ; 3 ; ; FS[1] ; 3 ; ; always2~0 ; 3 ; ; Ready~0 ; 3 ; +; nCS~2 ; 3 ; ; FS[6] ; 3 ; -; Equal9~2 ; 3 ; ; Equal10~1 ; 3 ; ; FS[12]~1 ; 3 ; ; RD[7]~7 ; 2 ; @@ -620,40 +617,33 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RD[2]~2 ; 2 ; ; RD[1]~1 ; 2 ; ; RD[0]~0 ; 2 ; -; Ain[7] ; 2 ; -; Ain[6] ; 2 ; -; Ain[5] ; 2 ; -; Ain[4] ; 2 ; -; Ain[3] ; 2 ; -; Ain[2] ; 2 ; -; Ain[1] ; 2 ; -; Ain[0] ; 2 ; ; nWE80 ; 2 ; ; nWE ; 2 ; ; UFMD[14] ; 2 ; ; UFMEraseEN~0 ; 2 ; -; UFMReqErase~3 ; 2 ; -; UFMBusyReg ; 2 ; +; RWMask[4]~0 ; 2 ; ; UFMInitDone~0 ; 2 ; ; CmdTout[2] ; 2 ; -; Equal39~1 ; 2 ; -; always2~10 ; 2 ; +; Equal39~0 ; 2 ; +; RWMaskSet~1 ; 2 ; +; Equal27~1 ; 2 ; +; UFMBitbang~0 ; 2 ; ; S~3 ; 2 ; +; UFMBusyReg ; 2 ; ; always1~3 ; 2 ; ; UFMD[8]~4 ; 2 ; ; RWBank[6] ; 2 ; -; nRAS~2 ; 2 ; -; nRAS~1 ; 2 ; -; nCS~3 ; 2 ; -; nCS~1 ; 2 ; +; nCS~5 ; 2 ; +; nCS~4 ; 2 ; +; Equal12~0 ; 2 ; ; FS[7] ; 2 ; -; CKE~1 ; 2 ; ; Equal10~2 ; 2 ; ; FS[11] ; 2 ; ; FS[10] ; 2 ; ; FS[9] ; 2 ; ; FS[8] ; 2 ; ; FS[12] ; 2 ; +; CKE~0 ; 2 ; ; UFMErase ; 2 ; ; UFMProgram ; 2 ; ; DRDIn ; 2 ; @@ -662,32 +652,39 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; UFMProgram~_wirecell ; 1 ; ; UFMErase~_wirecell ; 1 ; ; nC07X ; 1 ; +; Ain[7] ; 1 ; +; Ain[6] ; 1 ; +; Ain[5] ; 1 ; +; Ain[4] ; 1 ; +; Ain[3] ; 1 ; +; Ain[2] ; 1 ; +; Ain[1] ; 1 ; +; Ain[0] ; 1 ; ; ~GND ; 1 ; -; UFMReqErase~4 ; 1 ; ; RWMaskSet ; 1 ; -; RWMask[4]~1 ; 1 ; ; always1~8 ; 1 ; ; always1~7 ; 1 ; ; Ready~1 ; 1 ; +; UFMReqErase~3 ; 1 ; ; UFMReqErase~2 ; 1 ; ; UFMReqErase~1 ; 1 ; ; UFMReqErase~0 ; 1 ; ; always1~5 ; 1 ; ; always1~4 ; 1 ; ; Add3~0 ; 1 ; +; always2~13 ; 1 ; +; Equal39~1 ; 1 ; ; always2~12 ; 1 ; -; Equal39~0 ; 1 ; ; always2~11 ; 1 ; +; always2~10 ; 1 ; ; always2~9 ; 1 ; ; always2~8 ; 1 ; -; always2~7 ; 1 ; ; always2~6 ; 1 ; ; always2~5 ; 1 ; ; always2~4 ; 1 ; ; always2~3 ; 1 ; ; always2~2 ; 1 ; ; always2~1 ; 1 ; -; RWMaskSet~0 ; 1 ; ; RWSel~0 ; 1 ; ; RWMask[6] ; 1 ; ; RWMask[3] ; 1 ; @@ -707,43 +704,34 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; DRCLK~1 ; 1 ; ; Equal27~0 ; 1 ; ; UFMBitbang ; 1 ; +; DQML~0 ; 1 ; ; RWBank[3] ; 1 ; -; RA~12 ; 1 ; +; RA~11 ; 1 ; ; RWBank[2] ; 1 ; ; RWBank[1] ; 1 ; ; RWBank[0] ; 1 ; ; RWBank[7] ; 1 ; -; Areg[7] ; 1 ; -; Areg[6] ; 1 ; -; Areg[5] ; 1 ; -; Areg[4] ; 1 ; -; Areg[3] ; 1 ; -; Areg[2] ; 1 ; -; Areg[1] ; 1 ; -; Areg[0] ; 1 ; ; RWBank[5] ; 1 ; ; RWBank[4] ; 1 ; -; Equal12~1 ; 1 ; +; nRWE~1 ; 1 ; ; nRWE~0 ; 1 ; +; nCAS~1 ; 1 ; ; nCAS~0 ; 1 ; -; nCS~2 ; 1 ; -; nRAS~0 ; 1 ; -; Equal12~0 ; 1 ; ; FS[3]~29COUT1_46 ; 1 ; ; FS[3]~29 ; 1 ; -; FS[5]~27COUT1_50 ; 1 ; -; FS[5]~27 ; 1 ; -; FS[1]~23COUT1_44 ; 1 ; -; FS[1]~23 ; 1 ; -; FS[4]~21COUT1_48 ; 1 ; -; FS[4]~21 ; 1 ; -; FS[6]~17COUT1_52 ; 1 ; -; FS[6]~17 ; 1 ; +; FS[1]~25COUT1_44 ; 1 ; +; FS[1]~25 ; 1 ; ; Equal10~3 ; 1 ; -; Equal9~3 ; 1 ; +; nCS~3 ; 1 ; +; FS[6]~21COUT1_52 ; 1 ; +; FS[6]~21 ; 1 ; +; FS[5]~19COUT1_50 ; 1 ; +; FS[5]~19 ; 1 ; +; FS[4]~17COUT1_48 ; 1 ; +; FS[4]~17 ; 1 ; +; nCS~1 ; 1 ; ; nCS~0 ; 1 ; ; CKE~2 ; 1 ; -; CKE~0 ; 1 ; ; FS[14]~13COUT1_64 ; 1 ; ; FS[14]~13 ; 1 ; ; FS[13]~11COUT1_62 ; 1 ; @@ -757,6 +745,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; FS[9]~5 ; 1 ; ; FS[8]~3COUT1_54 ; 1 ; ; FS[8]~3 ; 1 ; +; CKE~1 ; 1 ; ; ARShift ; 1 ; ; ARCLK ; 1 ; ; DRShift ; 1 ; @@ -808,62 +797,62 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 151 / 784 ( 19 % ) ; -; Direct links ; 54 / 888 ( 6 % ) ; +; C4s ; 142 / 784 ( 18 % ) ; +; Direct links ; 49 / 888 ( 6 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; LAB clocks ; 6 / 32 ( 19 % ) ; -; LUT chains ; 14 / 216 ( 6 % ) ; -; Local interconnects ; 299 / 888 ( 34 % ) ; -; R4s ; 125 / 704 ( 18 % ) ; +; LUT chains ; 13 / 216 ( 6 % ) ; +; Local interconnects ; 306 / 888 ( 34 % ) ; +; R4s ; 151 / 704 ( 21 % ) ; +-----------------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 8.29) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 8.59) ; Number of LABs (Total = 22) ; +--------------------------------------------+------------------------------+ -; 1 ; 3 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; +; 1 ; 0 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 1 ; +; 5 ; 0 ; ; 6 ; 1 ; ; 7 ; 0 ; ; 8 ; 1 ; -; 9 ; 3 ; -; 10 ; 15 ; +; 9 ; 4 ; +; 10 ; 13 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.38) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.36) ; Number of LABs (Total = 22) ; +------------------------------------+------------------------------+ -; 1 Clock ; 22 ; -; 1 Clock enable ; 7 ; -; 2 Clock enables ; 4 ; +; 1 Clock ; 21 ; +; 1 Clock enable ; 8 ; +; 2 Clock enables ; 1 ; +------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 8.67) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced (Average = 9.00) ; Number of LABs (Total = 22) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 3 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 1 ; -; 7 ; 0 ; +; 1 ; 0 ; +; 2 ; 1 ; +; 3 ; 1 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 1 ; ; 8 ; 1 ; -; 9 ; 3 ; -; 10 ; 12 ; -; 11 ; 1 ; +; 9 ; 4 ; +; 10 ; 11 ; +; 11 ; 0 ; ; 12 ; 1 ; ; 13 ; 0 ; ; 14 ; 0 ; @@ -875,50 +864,49 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.13) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 6.68) ; Number of LABs (Total = 22) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 3 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 4 ; -; 5 ; 1 ; -; 6 ; 3 ; -; 7 ; 4 ; -; 8 ; 6 ; -; 9 ; 2 ; -; 10 ; 0 ; -; 11 ; 1 ; +; 1 ; 0 ; +; 2 ; 1 ; +; 3 ; 2 ; +; 4 ; 2 ; +; 5 ; 2 ; +; 6 ; 2 ; +; 7 ; 2 ; +; 8 ; 7 ; +; 9 ; 1 ; +; 10 ; 3 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 11.25) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 11.73) ; Number of LABs (Total = 22) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 1 ; +; 1 ; 0 ; ; 2 ; 2 ; -; 3 ; 2 ; -; 4 ; 1 ; -; 5 ; 0 ; -; 6 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 1 ; ; 7 ; 1 ; ; 8 ; 0 ; -; 9 ; 2 ; -; 10 ; 2 ; +; 9 ; 5 ; +; 10 ; 0 ; ; 11 ; 1 ; -; 12 ; 2 ; +; 12 ; 1 ; ; 13 ; 1 ; -; 14 ; 0 ; +; 14 ; 2 ; ; 15 ; 1 ; ; 16 ; 1 ; -; 17 ; 3 ; -; 18 ; 1 ; -; 19 ; 0 ; +; 17 ; 1 ; +; 18 ; 0 ; +; 19 ; 2 ; ; 20 ; 1 ; -; 21 ; 2 ; +; 21 ; 1 ; +----------------------------------------------+------------------------------+ @@ -977,7 +965,6 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 Info (170193): Fitter routing operations beginning -Info (170089): 2e+01 ns of routing delay (approximately 1.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. Info (170195): Router estimated average interconnect usage is 19% of the available device resources Info (170196): Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 @@ -987,8 +974,8 @@ Warning (169174): The Reserve All Unused Pins setting has not been specified, an Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg Info: Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings Info: Peak virtual memory: 4767 megabytes - Info: Processing ended: Wed Sep 16 19:34:48 2020 - Info: Elapsed time: 00:00:02 + Info: Processing ended: Wed Sep 16 20:14:40 2020 + Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/RAM2E.fit.summary b/cpld/output_files/RAM2E.fit.summary index 355ceb6..06d81ca 100755 --- a/cpld/output_files/RAM2E.fit.summary +++ b/cpld/output_files/RAM2E.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Wed Sep 16 19:34:48 2020 +Fitter Status : Successful - Wed Sep 16 20:14:40 2020 Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V Device : 5M240ZT100C5 Timing Models : Final -Total logic elements : 199 / 240 ( 83 % ) +Total logic elements : 189 / 240 ( 79 % ) Total pins : 69 / 79 ( 87 % ) Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/cpld/output_files/RAM2E.flow.rpt b/cpld/output_files/RAM2E.flow.rpt index 3ae128e..eabeaf8 100755 --- a/cpld/output_files/RAM2E.flow.rpt +++ b/cpld/output_files/RAM2E.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2E -Wed Sep 16 19:34:51 2020 +Wed Sep 16 20:14:43 2020 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,14 +40,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Wed Sep 16 19:34:49 2020 ; +; Flow Status ; Successful - Wed Sep 16 20:14:41 2020 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; ; Device ; 5M240ZT100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 199 / 240 ( 83 % ) ; +; Total logic elements ; 189 / 240 ( 79 % ) ; ; Total pins ; 69 / 79 ( 87 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -59,7 +59,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 09/16/2020 19:34:45 ; +; Start date & time ; 09/16/2020 20:14:37 ; ; Main task ; Compilation ; ; Revision Name ; RAM2E ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ applicable agreement for further details. ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +------------------------------------+---------------------------------+---------------+-------------+------------+ ; ALLOW_POWER_UP_DONT_CARE ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 207120313862967.160029928511600 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 207120313862967.160030167703488 ; -- ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; ; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; @@ -93,8 +93,8 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:00 ; 1.0 ; 4575 MB ; 00:00:01 ; -; Fitter ; 00:00:02 ; 1.0 ; 4767 MB ; 00:00:01 ; +; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 4568 MB ; 00:00:01 ; +; Fitter ; 00:00:01 ; 1.0 ; 4767 MB ; 00:00:01 ; ; Assembler ; 00:00:00 ; 1.0 ; 4524 MB ; 00:00:00 ; ; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 4522 MB ; 00:00:01 ; ; Total ; 00:00:03 ; -- ; -- ; 00:00:03 ; diff --git a/cpld/output_files/RAM2E.jdi b/cpld/output_files/RAM2E.jdi index f4ff7e9..a754f33 100755 --- a/cpld/output_files/RAM2E.jdi +++ b/cpld/output_files/RAM2E.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/RAM2E.map.rpt b/cpld/output_files/RAM2E.map.rpt index bc0671a..5c0d3aa 100755 --- a/cpld/output_files/RAM2E.map.rpt +++ b/cpld/output_files/RAM2E.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2E -Wed Sep 16 19:34:46 2020 +Wed Sep 16 20:14:38 2020 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -45,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Wed Sep 16 19:34:45 2020 ; +; Analysis & Synthesis Status ; Successful - Wed Sep 16 20:14:38 2020 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; -; Total logic elements ; 208 ; +; Total logic elements ; 198 ; ; Total pins ; 69 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -157,34 +157,34 @@ Parallel compilation was disabled, but you have multiple processors available. E +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 208 ; -; -- Combinational with no register ; 93 ; -; -- Register only ; 34 ; +; Total logic elements ; 198 ; +; -- Combinational with no register ; 91 ; +; -- Register only ; 26 ; ; -- Combinational with a register ; 81 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 99 ; -; -- 3 input functions ; 36 ; -; -- 2 input functions ; 35 ; +; -- 4 input functions ; 90 ; +; -- 3 input functions ; 42 ; +; -- 2 input functions ; 36 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 194 ; +; -- normal mode ; 184 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 1 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 115 ; +; Total registers ; 107 ; ; Total logic cells in carry chains ; 15 ; ; I/O pins ; 69 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; -; Maximum fan-out ; 115 ; -; Total fan-out ; 854 ; -; Average fan-out ; 3.07 ; +; Maximum fan-out ; 107 ; +; Total fan-out ; 814 ; +; Average fan-out ; 3.04 ; +---------------------------------------------+-------+ @@ -193,7 +193,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ -; |RAM2E ; 208 (208) ; 115 ; 1 ; 69 ; 0 ; 93 (93) ; 34 (34) ; 81 (81) ; 15 (15) ; 0 (0) ; |RAM2E ; work ; +; |RAM2E ; 198 (198) ; 107 ; 1 ; 69 ; 0 ; 91 (91) ; 26 (26) ; 81 (81) ; 15 (15) ; 0 (0) ; |RAM2E ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst ; work ; ; |UFM_altufm_none_e4r:UFM_altufm_none_e4r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ @@ -214,12 +214,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 115 ; +; Total registers ; 107 ; ; Number of registers using Synchronous Clear ; 1 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 64 ; +; Number of registers using Clock Enable ; 56 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -246,7 +246,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[3] ; ; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[2] ; -; 16:1 ; 8 bits ; 80 LEs ; 16 LEs ; 64 LEs ; Yes ; |RAM2E|RA[4]~reg0 ; +; 16:1 ; 8 bits ; 80 LEs ; 8 LEs ; 72 LEs ; Yes ; |RAM2E|RA[4]~reg0 ; ; 9:1 ; 8 bits ; 48 LEs ; 16 LEs ; 32 LEs ; Yes ; |RAM2E|RWMask[4] ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -268,7 +268,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Wed Sep 16 19:34:45 2020 + Info: Processing started: Wed Sep 16 20:14:37 2020 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file ram2e.v @@ -277,22 +277,22 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v Info (12023): Found entity 1: UFM_altufm_none_e4r Info (12023): Found entity 2: UFM Info (12127): Elaborating entity "RAM2E" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at RAM2E.v(101): truncated value with size 32 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at RAM2E.v(104): truncated value with size 32 to match size of target (4) -Warning (10230): Verilog HDL assignment warning at RAM2E.v(551): truncated value with size 32 to match size of target (3) -Warning (10230): Verilog HDL assignment warning at RAM2E.v(568): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(100): truncated value with size 32 to match size of target (16) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(103): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(544): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(561): truncated value with size 32 to match size of target (3) Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" Info (12128): Elaborating entity "UFM_altufm_none_e4r" for hierarchy "UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component" -Info (21057): Implemented 278 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 268 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins Info (21059): Implemented 39 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 208 logic cells + Info (21061): Implemented 198 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 4575 megabytes - Info: Processing ended: Wed Sep 16 19:34:46 2020 + Info: Peak virtual memory: 4568 megabytes + Info: Processing ended: Wed Sep 16 20:14:38 2020 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/RAM2E.map.smsg b/cpld/output_files/RAM2E.map.smsg index 1a16445..11cd769 100755 --- a/cpld/output_files/RAM2E.map.smsg +++ b/cpld/output_files/RAM2E.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at RAM2E.v(39): extended using "x" or "z" +Warning (10273): Verilog HDL warning at RAM2E.v(38): extended using "x" or "z" Warning (10463): Verilog HDL Declaration warning at UFM.v(72): "program" is SystemVerilog-2005 keyword Warning (10463): Verilog HDL Declaration warning at UFM.v(188): "program" is SystemVerilog-2005 keyword diff --git a/cpld/output_files/RAM2E.map.summary b/cpld/output_files/RAM2E.map.summary index 741a5aa..ab29896 100755 --- a/cpld/output_files/RAM2E.map.summary +++ b/cpld/output_files/RAM2E.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Wed Sep 16 19:34:45 2020 +Analysis & Synthesis Status : Successful - Wed Sep 16 20:14:38 2020 Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V -Total logic elements : 208 +Total logic elements : 198 Total pins : 69 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/cpld/output_files/RAM2E.pof b/cpld/output_files/RAM2E.pof index c1f36eac0d84a2623242045d9b89be31bfefa0c0..866d2b5e1ad39c40d15eaa09c28ab21e1d02ce4a 100755 GIT binary patch literal 7879 zcmeHMeQ;dWbzjFHGBYxw)1eK_*l3teNM?w!t>8~25y0-ymV%pN5A6u?WhpLLYW$&% zt-QVV&_I~RBvS@=1Qo)a7+R>jq#kf=v3s2ayM#z9CK+4B^4kYBtOn%WXGiQl?LOUm z`aAc&l@ycw-9L(F)_3nc=lst1x$kN3xqCw_7Ml~RG5@#X|BbsI+`ewO@n`j$w>(t8{*kRaAsPEZY!Q^)eAkMvE&H3l zUcPME?Kj8f#;(B8y&XHZ?tE;^BeD75;eUS+tG(8I;s4%cBQ+7J=Dx8Ph(vL>CQZX* zLt{kxJ1-0?&&8w#z$mCd_L;$*06!c}Lh>FxaVdQq4r;?>x9DFzD z)a>g(k(!vg?40QV@Q4cdrovEsRz9G76~_-EdKI@SFAG+Wj`SOP+WIpB{E)QtLhOa* zyIfwxp`DZnX2}a_0G-8+r>R$2C;Wt1p%B0qkC=a6cxqeul?bCD^-w#!E0R70H z?{S4%yWwZ3lLtV*mz-AZ1wwhA&PsBJbiYWuXOt7{pfu>N^bwzKUk!w!0H0yM)B`e1 z4M@TEp>9o{^IG+3Uk@mOI4dpLPfI`hw5IW_>ilW4Fih$r?KY>+jjF~GfjT7`3KiwzRAh`K*`iuYRQtiy^%igI%F}S*4g+t`u2Y+J-+WL zcka}aPsH`SXZJi1TTnA6SQNXMJj3>_I`L%g(Bu2u*r!*5zVPbAgRup%Ij=2_Er(x3 z{Y`&yUO#!wYePRg;U8$Hxz1$w-ZKM7!c=(4%6Fd_(f&1ihxVQz(4V?XKYZfUYd^l2 z3O5>gMd!|C=#ju3`u^~SuI6v`2EFeU;ycFN-uI;SKa+Xle>!qQgS96!ZRvIH!PU=X z8X9ij-_v91fl?YZig*P`Avwqv%T4zwmC%neRKb|1wFpun{O%k&3 z36j7kQ(t-HR{OFN;ggb`VHO)FK9Ze|FR&we=!T)t^v~=MRz9!jk}!SA_Dbb@#Pi7= zsPG>2AE^ResN(y*hd)-ELNU;I=}kjV5uHa|BLCzw3gvS6)BhqjyJNG}->pl3+FjKz z9JOklCOM^=hmCxGZSyU;^EXYx9^|=h?)=Gxw?1*H_F{i5c9{FGrTLGS<+gm8^&_Hn zkI(<_y4V8HQ+pS38cE$e7391cmIq#4Z1Lp21q&u_yx4N>UL)VT_LfUIZ~ipBcd}*y zH5W!y>p9GG-6h=d))sa<}U^{`|q}(-)P+8dvXAE;c4Nj7J1a- z3!d8p2ER}VJ+@?1k}w6rsNW4viS5f8J1j1`%E}+-xgdQNgfdOR82G%bH20k3d4qca zed%B&DowE+N~AsXgJ1;IAwIn3@qY=0g}8L`jl%xgb4%X^-P#{Hi$bcrfLyCxo}n`? z_(XpII&~(Pu2BgJ(}m@?MfmJjp+F7-U87Wuuc5m> z>@A{@;j8v}O1^I8U;xWIyQ!~qR&pcDF76Mq`I*i$;+YU(7T1ukg$Nvk>9_hX>t!`1 z$yMXWv!k%KA+ihDjNc-ZC zIg6&={>mQ>*Ic|Sw#4=y<0}&LfB3FmQh#CNe)sNVc6k29t79>1U&Z1N#Xb-VuBxSN zm3_B<>HNBh#;2Cp`)@R&?|q*ZTr~)K&4w=xuerE*?^Th1gM+8q+j4ge?jP>j*%a?B z_w;1Gwd#Y$o?c=7FBA@ZQYhpzwa?~qO%1&VSNCMrJoD%M>y8=w72}7T4;sUqMHOWE zJji>VrAP5`%qow%;dqF;RqD8{KNZo{%j9QK=?)2*-Bb*faDl{l)_&E>YvO2&now+) zBcpRL@IHl)?PF@rqSRH$eaG@0Oc%I6(JvM3`DVbp4J78O!RJ1ja9O_Ou^(Ob(9R9dC_wlx*{vn`9Xnmj+ca+T4) z$>z7!DNx{>`BI_}_7m;Oj*cQf9B({duf5^Qm*&PEIQjUAnnY}2Z2sgoV_mTqC-&=v z>AzxgO>J9UEVh2mi7PiP{Bmsmx3|Vt*1kLS?ECK<`Tn)@Y6~^*=htV3e~_8?*gt-E za_eB}s)wfi7-=I3>pdEdO^*~x8Bmtw!B#`|__o2NU@oodg0=nnmZ z-_`z|m9Jmw?a|Be{OQ7T*r!Ax!~Hk(;f*KTGEG13?O%N))3fHb{onguB#*hf1NDZP zkMW+s)bvOnPj+W0}5bSvjWx1bf z(r=8?E;Y>OA?7dhfnMO}-k={dpb$#*O^Er8{^{U*E6TH=b-?!$kT7|-`S(~}kwm@1 zAdmSuZ-Q}wQ?(DP=Szn?oTF2iXywPVRz5(xI?7XiNqbd!)Y~k1$U2bLbl&PWauHn= zxxUH8``_Wtqg<%aGOPb2**L>W?a9x|b6hA*{lIvm6Lm!-VB$0%-v2B^n%Kge^3dw4GmDRdyn!NK#hkv|P|O-Hz26dTI+NBT|wIj{wL z(c+8=y_w2o>?@ukgM;s2Cyh6hvPb?qNWJCfcv&37pU9FLqU+M}h##b50yyAl0fK%R z&lRPDe@be-8+A~@lyWK*^&jJWOdFd=)SkELlA6NaV>@mA9IQ;y@wt1&`q*19FSu0~ zok{rf(aDJ`UQ8VR74VBRMTwfd;_I=uo_;9?`nMtfQE}?(7o+}zyly{1`xgGR?+f*l zp_&B!uU1SouD1PGhJ5A86ZGAMKkHlYz+~Am^q0JteBz^R0;Lr??>DpiZ36VC+;_&$|FR3@RtN0e-$KH36e~|gwJpA0S=`f zg*@#t^}ic?w!etBuTW>6@tMC2Fg&blgjZSrte!G@rnH*IS$n{9F{4R`+5=_lQBa{ogju^dIJf43pKFn7R zagMi7iw}SFE5?qx% zS9L|YV#&g#&w*Z~pAY8RV|r2TZ|ZXK$>wtT1FFESGr}g zS%I#vyF`skdXnt7s>Pv z&qniiJVlwommX>>{9^DgPd=1+bDjI|KN-kAf9!Aj*F8TIzfeMtifc2UaGyah?!PHs z`97%#2X7Z7wLqVdG;u4>^q+707B9|tM&vrH_%8mwVdIbfny#CQ@PKFQ(g8N(7fB); zdyv@x?^Pre@Xh^H?Kgo2^|&69mu{{DuB#{yID=gVCq9yZm1%$}t~0v_#|%E}sTlmKKD*lUDj)0rUFUJ!Pk672@Jv0<;+uUw zTi%{aYfmtPtI0EZB0F(^SM}TVciJz*-{)6g_>V@ov(H8El^Z9l zJvbM9A4Yb2_V4?%?7{B?&kghM15JJW`@k>o`#?o}Hh(6+m-%tIU!U)1#nt5L|91by iSna&&Uxe!U7a;$WGo8thnqkZ!<3%AejK}pz8kc|y;_X#C*tHXBIo{`bR>cP(^6A{@H+^KWcks+m5#F;UE4myzIN* zd2s8F@MGIrw`_Z+xw&y$_=(1C+qbs1gqK7X)h${Qez0xpbDP6UBTK_|%N8$Lx_D{b zl1TXR#%(*dKHC_6?3rhO8eS59{BOcfHvT01@aC;Mpc%R?^mQ2d-nymVt$X_Km(e+xtNzNvrVA0M!j9ucY4-IIrijO;FtJQ|rCo+R>K zI5eWb(|p@7JPEmWho5EI#xFJ zeLTpQ=)5}oY-jMRa>&SO{Lk~H=i?M9;NOI=^?iJI>`V2dF5}6(<&iPtmkj)Hl=esR zKEBL8=wLuU$8ullA3zzm9-k6EyVYo;WV$6jV3;SVcR%=}WZRywVLw&E z!)oDKPy+lT1&4AY6zw@et-YU-eN4HTn1uhHufKt!PEO17K81*qa#Lh`Y7CA*pZ$e> zPm$F4miC+TkTFnaSPxEmPNpxNaU|j|l`F^-1GYJp2;^6g=d>fAW3|bQv3|JlWVW~W z7nb?w2I55&cZ;c<92V9&WqXcIZSER&CmyLR2>*u^Kzl{Ld)uf**!nn(0dkqbNSB(Cn~Rp%!&_wQFWj? zbjPl}7w!!$%+@T}5$HP~cz4})(R^jF`uIx}s&r3$niP~b#nC?2R}avjS9{xwX6FUX zugSlC@t3bG%-8Ro*858vLo(UE^Rsu($48o)?%i%q$M^nnd;7FyoeTD#8QT5P#3#+k zd83)3#QpKZ|1pq?L>}7H)us8Id9Opqd2**i$cCTCpkAUgN7EUbI$@r&)V5_X2*I2plB*LKejGN^8_Jh`poaDxwJ~hhns)R2f=Ow^b z1=c?mh$m z4A=pGA1bKeRU*$t*a{;iA=}iet-4IOw<0~4rQ7%a~}ToeJ2lEM@|l;Rz%kB zIdPEpi9A@!a}BOr)H%lw{$nlopTYYyTz}tW2diraa=Pve+d)1x1;r>mnb!52(s)cq zJ>qvDm-S2iV>Q^oZ{>PmIoRh_QW;+X4_#<7{Vn(fL9dVVlmH#_BF5)lOf|6HIPA!2 z02ci74E#Q(`*}^-(=toKO`yk>lL*fJDCVx=9_xnOxWqnO$2-LH9(I5q#b?GZ2XQiF z$~DUVrorV?wbXw&|Dv8T20yS{==%G?YA}wpxRH))y3cQhM9DwMJ83e;Qyp*d+|l}J z5IsX^{T$~(t?p0ka>QcX3bws6i>rEs3}&%a<40{~*7udEkuWe&Csq@$tYn z8qohP9anvemFkFYa&FMZ;CwJwvs}+qwx48@eq6L%(VOT&{3n}&{^K}Z=RDw?r!z`g zXL3*XJLUsI<6CXO?+6uNy=!Fl?!rBxm-81cE*iUDTvsuu=gUR|{AlRLt7Fq&+x_$E zIWOlgzF2j~)vFs`{LuFg)59}P&tCWb)sc5+%@0-Hm>sH`TU|6OLi+qvKW2XC>~(W# zWcMd?7l6MI{Hyhs{uE`#@fsd_cT3Y}mWA`Lz4ej$={;Sm+LupS!%xM0{*}!B%U7EA z{L7rtNALS)B>v`sgUQIg$MzB-|++Ug%f!ApZM&VF;Y7PShEfa2V$f=A=!oMSSmrA))=WRm>A!gF_~+ zIT-+(=wkQ z*Ey$@T<$p?tRKYB-zV33tlfAX8yAQ({7;qj^|=*=+&J-k$W5GWL;s|;{*eOcaD;b} zalCZBbY)6PQldlG7y7DtlZ-3Ea&q|7>t})vx~b`W^YE1CP{JU&ULc?jOWsgz+oCG5EErPFmGQ z7jNu*Y~Iy7LLsf+1AXwR`MLLMzdiA29s29;s(s>G%{?`K{{{LFZ+@?~YWMt=jo!Z4 zvV8}x*4%S%kl&&6=)Z~K^Dm7wO|0A=&+m;Vj~W-P*Y|3CRB>|uy`Mtb|9al5=F!3<3LXC&zY@GLlA%eT*jR# z$M+`2#cV(uHTd>@96iSc&QX$VSI>c4`0YeVrQc5-oR@q)Po>}k;Cy@uoc?b30ez2f zjG-%iK33Ele$Pamx48di=+HNU_gL;f8dcaI^S@v}3c1vHi)Se*v9B4vV0jEQDCNj~ z3+LZ0_(A^|O5pw``Z`9{_Kriqf|*K%89GJ?sdH($Z2M2^_4`OG#aW)|8?h5E}7Kf6(_oZ8ylE3@4AU(M0| zmxn(5G~W3ys&4tG?^i3TJ(PR>^wq9s7I=DJ{`ogCJbY?o=Z=+kop3Gda%A;%yvx$K ziunA?WG-!g$9W(O zo@cE%W;``e>c5-gtgLj^Kn4-+k zxIT&gP16H>1Nw&1q2pp=I+JKlSpt2k%SP+-+%m^)I!jK0Un3K)M1KY6qwxFFM9=ek zc2)_@k$&i%=dy!8xGWn+6kDcV8AadIXPfep@@OR9SE+yWdF;S0Q89)7!JHAiPu_0~ zAJALz!siSVEoNZVhj{w><@v=PrPToCj4ikaT+#Y%u1o9n{@^!WCGi7qpfQrp36rL9CG1e`LfglE$ytS6?owp^wkF|@Qb+VSzM717 z^J@mt;lkL5zkasSs~>z}_U<)z*G2zl&EZ9%P;qW&XwhrnXKC!ii7m_@oL?2XyKdJX zDnj=?7&=w+^H3}SeotZYlZnaAUUaay_|DM0NZXBX<>%LRs+x*BdsFNemH30TcP^L{ ziQcIAR#ja^@t%s^Ma~cMXtiwFIl1n9T(;o*mp#+*6UoT(sd(2x9e+j1q1``e%3MnR z?W>nFr|!3K|2))nbZyVTQ69M*H!7h2P)`n@dr`_T-5KUUYkaOhKMx*OmcJ}q$`AN; z%kMq<-1KaT?;%7R&btD>2QgdGq>$zM@Nsx-S02(K1VM