From 91544eb60da958d433d4e31ec40be3f3840952c7 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 9 Sep 2020 16:35:14 -0400 Subject: [PATCH] Working on MAX V --- cpld/RAM2E.qsf | 5 +- cpld/RAM2E.qws | Bin 1104 -> 2098 bytes cpld/db/RAM2E.(0).cnf.hdb | Bin 4013 -> 4007 bytes cpld/db/RAM2E.(1).cnf.cdb | Bin 1259 -> 1261 bytes cpld/db/RAM2E.(1).cnf.hdb | Bin 932 -> 932 bytes cpld/db/RAM2E.(2).cnf.cdb | Bin 1264 -> 1264 bytes cpld/db/RAM2E.(2).cnf.hdb | Bin 1020 -> 1019 bytes cpld/db/RAM2E.asm.qmsg | 12 +- cpld/db/RAM2E.asm.rdb | Bin 1381 -> 1381 bytes cpld/db/RAM2E.asm_labs.ddb | Bin 2736 -> 2737 bytes cpld/db/RAM2E.cmp.cdb | Bin 44506 -> 44492 bytes cpld/db/RAM2E.cmp.hdb | Bin 18334 -> 18357 bytes cpld/db/RAM2E.cmp.idb | Bin 12990 -> 13242 bytes cpld/db/RAM2E.cmp.rdb | Bin 15161 -> 15182 bytes cpld/db/RAM2E.cmp0.ddb | Bin 79791 -> 83577 bytes cpld/db/RAM2E.db_info | 2 +- cpld/db/RAM2E.fit.qmsg | 77 +- cpld/db/RAM2E.hif | Bin 576 -> 577 bytes cpld/db/RAM2E.ipinfo | Bin 259 -> 339 bytes cpld/db/RAM2E.map.cdb | Bin 16719 -> 16723 bytes cpld/db/RAM2E.map.hdb | Bin 17646 -> 17606 bytes cpld/db/RAM2E.map.qmsg | 39 +- cpld/db/RAM2E.map.rdb | Bin 1249 -> 1249 bytes cpld/db/RAM2E.pre_map.hdb | Bin 16276 -> 15789 bytes cpld/db/RAM2E.routing.rdb | Bin 1504 -> 1460 bytes cpld/db/RAM2E.rtlv.hdb | Bin 16046 -> 15688 bytes cpld/db/RAM2E.rtlv_sg.cdb | Bin 28267 -> 28252 bytes cpld/db/RAM2E.rtlv_sg_swap.cdb | Bin 813 -> 812 bytes cpld/db/RAM2E.sgdiff.cdb | Bin 17115 -> 17110 bytes cpld/db/RAM2E.sgdiff.hdb | Bin 16382 -> 15990 bytes cpld/db/RAM2E.sta.qmsg | 44 +- cpld/db/RAM2E.sta.rdb | Bin 10731 -> 10845 bytes cpld/db/RAM2E.sta_cmp.5_slow.tdb | Bin 42567 -> 42968 bytes cpld/db/RAM2E.taw.rdb | Bin 5280 -> 5302 bytes cpld/db/RAM2E.tmw_info | 4 +- cpld/db/UFM.v | 269 +++++ cpld/db/logic_util_heursitic.dat | Bin 11176 -> 11176 bytes cpld/db/prev_cmp_RAM2E.qmsg | 125 +- .../RAM2E.root_partition.map.kpt | Bin 2909 -> 2883 bytes cpld/output_files/RAM2E.asm.rpt | 22 +- cpld/output_files/RAM2E.cdf | 2 +- cpld/output_files/RAM2E.done | 2 +- cpld/output_files/RAM2E.fit.rpt | 379 +++--- cpld/output_files/RAM2E.fit.summary | 8 +- cpld/output_files/RAM2E.flow.rpt | 69 +- cpld/output_files/RAM2E.jdi | 4 +- cpld/output_files/RAM2E.map.rpt | 37 +- cpld/output_files/RAM2E.map.summary | 4 +- cpld/output_files/RAM2E.pin | 26 +- cpld/output_files/RAM2E.pof | Bin 7879 -> 7879 bytes cpld/output_files/RAM2E.sta.rpt | 1060 ++++++++--------- cpld/output_files/RAM2E.sta.summary | 6 +- 52 files changed, 1203 insertions(+), 993 deletions(-) create mode 100644 cpld/db/UFM.v diff --git a/cpld/RAM2E.qsf b/cpld/RAM2E.qsf index 6ffd6e1..12ba41e 100755 --- a/cpld/RAM2E.qsf +++ b/cpld/RAM2E.qsf @@ -36,8 +36,8 @@ # -------------------------------------------------------------------------- # -set_global_assignment -name FAMILY "MAX II" -set_global_assignment -name DEVICE EPM240T100C5 +set_global_assignment -name FAMILY "MAX V" +set_global_assignment -name DEVICE 5M240ZT100C5 set_global_assignment -name TOP_LEVEL_ENTITY RAM2E set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "22:58:44 MAY 05, 2020" @@ -49,7 +49,6 @@ set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" -set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS" set_global_assignment -name VERILOG_FILE RAM2E.v set_global_assignment -name SDC_FILE constraints.sdc diff --git a/cpld/RAM2E.qws b/cpld/RAM2E.qws index 1da0cc66282b5fa63ca949f22e495d58062b2dd0..914613f927ec9216aaf17c815973cd837a079437 100644 GIT binary patch literal 2098 zcmeH|&uSA<6vn@aRSIr|f(vm`N|7R@P1?j(SJhf|p_@`SMF{E4L`&18wBsL;qHYBr z#8>DmxN$28?iHWF+WPx$W{kEXSgMG4hjZ@z=AL`z-1Bc{$5f27YOASDWoqc5y4uhx zXq|VlQiMv-Q_bm;CUl#f6p2mNOGtD;6S~T&SogIC>Y&HF*R{gx25*-^3%aaZn$$e& z7~O3pth(%GAoGS=oM@xLr~C5b$S3RxJ-@?-Z@=__uN;GJ>ak{2<$g76PBjHD^JW@b zF0iWdBv2WN3U*akRd^<$CbqWVQ*fW$`Ww6#MN-tjl08VD&7|Xl#MWsrkP}#gJ2_wq zkw1qwQtpyzSXV-%GUC<&Ei!kB*fO`>aFS?`;W;0tbd94auChq1)gK9AnM`BEd_{M4 z?=WAoVk;R9My+GqPfSJbfT@nU6`bc_7YlsHwO>~=9=&<}DkxHP5_NB-6C>o*655Br zpTK9p3#@y?sg|ftqSeFfR43pg+1{A9F^_#H|0HYTt^Xp%TGf1 z6AmH*iNp9{B}NF%`X?%6itBnN0*l;ea?JMamh3 zgt&3y$|0Nqp&;eNH3Sq65kKK4fT^#ltGoK`*s|9Sw$VOm{eSAKuCA`G?yjoWyS{$? z`t^?_N%9|h+n;xc_iy4I{T%t=Xb;@~d*IV_UjIes^)NrwHF@04(K7TQih1S;2=si0; zc%2FlCUy4qrz-d%!ffZLbI#l3ndfIpp07w^oc2?3Z=Pklr#5Uya1E(3kcn--wtp;DA;& zVq-p}I^sd^czW%C3ic+o-QYntJkZ5w;8x(Q9@4M+FMQE5CdeCd3&IFD+6FA+F1OpDGgZ=FMhEh#WH9S># zD)&_8snk<-rYI;leV{}iDA5N>^nnt6phO=i(Fdx&!84-R@iDR!frS)%vKh!mAd5ie zfy@G#2C~j1!jRL;r08W*^fD=WnH0TDie4r~FMpHu4XgkKEnbLrk7q%liQg2B1j_;~ zwP?av7b3)eUE+ujK-IaCs6{0fl$ckdsYFAGLWx|7ObHo{6pD8dx?m5Y72aL)Hq7aE z$S00)`a86Qj^p%qXaOC^>F>DNQ1|)<4p8^PQh)S3=`x^cLBoQ=g4}}4g46;X=S+VR z#(!a;<1o;180a_*bQ}gc4g(#Bfm?|Sz2UCR1>D|nigJQpGSQV4_@mvU{p<+wH*xA4 zpFq>q!8$fv7NImk^^HeJlFAeEW?|^u(3zoAL(87#zKguQnUp=#>b6fmh4a(u_O9$) zp2EToctUhmM|`-X(V4J}Q~|?D&zfWc2!9b;qWBN8yS@ez0i;8_XMYNc+Rsh4Tt75- zhuc6-n2@W&R!2M2&faL~V0ZX}9dT)AdZ|C!hQE3I?W@aYol+JP#B*5N-<=F$4{D#4*LT(Y^C>XD)9%D#2-)+e?Uq60VQV-l&m;Wa`uQ2KN2Blg9terM9A47LVwN% z5pp(&ki9^J>;)p^>_G%)4ifTC-CH6EMP@`03Wa<^Y1`n95QCh$)WW}A`L_e7%N7(0 zn-lHr9(>|<9lDbrJ7`$xp}y&%F6FcS%3xG-QFy16c&JVS7px z7wyd;Gatx>Kn6>)U`dvGfh>%jg|V|RcGd{8VYDoamW9!>Fj^i)%fo1S7%dN@xssbjHh0^TJ;1HT!Xmi7U=Of>7%Sb`1I#r$p_s=OiK7h5*ja>? zDi-aIlCV#w&IPO}md(x`5_c$N_}rmciF2tYJO-Mg7(`;4*0@Cz9s^B<3^YkHa48=- z&c{zURI3A23uxeC#Sy7iJAd2*b%i5*rQvH2Uyb`O6(C;&L z?{M%DiYcXdaxu!|p$4$|-R6B;54gJ5CU%$4XC-^C1mL2OkDbF@u78%OtLaG7lN=?J zU^$gxxovXu>CPuWpAvnN^l8&4QlDC7xuH;kKB$nBL!J(~JLL1mhRbL54VTXxPAfR8 z;Jkv13T{+zQ!yN+-(GMGZ@-&%VuAx=0es<-nNAp=WPuh1a0+TM@X?=>rvk1D0bHW-iNWxE@-RG~U<}VEA;a^D z%J6(LGd!R0z<-N#xpG3T2Pc#l;=q8#0|AQ{0v1mMEY7UdHyFZHQ?izZA?s-vvZjV1 zW*LUqWf)?ZVTfgh5t*iU3ug9QldFH|9Jm_}l^C^quh3Nj9|35^?-ybQ6`nDJDpJpY zOQcvmz@p_+#axErey_?|IKY`dz@hC{(L5yvFn^pYw!BfEXEu-LneF3wW&?Si*+QOYHj(F|IW1g`O-XoX0?{s+B#W=nU14hDdpHqNH29#M>N7~kr&o70ftV8EIf+554 z<)o_V;*(neUmqJFONr6=8d>$pjm2UN7b2KfT7Nl`VHVsskA>45eUa_xhKFe{nP!pN zG>u?U5spVGxGyRQ9<*XnQ^&*|U#xXLf@u-MMhsbxAEYv|il(@=sA!4}%;L#p@yxT> zFPZgVMKU%&iw7+#tvnm={VcXWWBdJFD~+-FIh*fgW4(E7V!_bMvW0Fpuog$h%gKiO zK7X->cw6KQy=d&YJa#}HPb!bSmB))6uTaj4aaj0wpV%e%>L36Ta~RP_qNgce2fEb* z&3(1<B?C z?;Jc#OQ#x3d)-ogQQ3zb=WRQmFWjj%)Bk4Ej<(qEtOe2JzDMemQQo5J|9dg=mPCpV zaHf~+l6=VwRQfwCVwNw{;`sG>6o28x^()_1HpCvH7R4npk{65O+xP7_hOUegwLCE3 znDq|+_Dd}XKegJ7R8nF( zs-%3IkOz+&x$+I$qJJU>(eley?3Y$7vn{`{Ej`=Pwk^N5Ew9^_N7XP4YJa4X@+Wo* zTUIRU^OkK%ZJ%Sd<#RU#pS3N&wYD{lJ!KSo*KMDl*+HYzX!CWu%hwTUNn$OjG%o zZBey&mytOrH}xr%z`K0M4u7h5_}qfK0DVd&@Q(X@)g0kiEb4Q|;7uwARY@7y_9JD} zyEOFu04`ehMv4Dx|0BP>Vf{wCB{&gRQTmih;9c6^^OS$EeSU7_N<$~*1uOO^1^Afh zw$5bBUwQEgk>`iwo!!0d+NsXzT7NRx*&baTj;6Jj`s2~gXuH;*)__ImX`Q+O$939!_gdq|KAJHr9#! z_~iJ_Mmdol9~{5&KqoS4K8fH-=A=z)dySaMdygl{NqS#6-(9)5c{|?>=+3-G5qN?rg4~+gw>)fBpSOu1($X#_P}IMr@NgM5?Fv`{U{Uq_(;` zhtmCJ_7n-|g5KJk)xT*yJG6s~d*R>0yBDU{$OHV`mVdPq-nZso)8qF1+o%_Z_HTYK z{F{6K!t|O>{J!;XmOillCDZSLf8}b7LoqI5u)uS7!lVA>8WEI06Fp^gIe`DmtWW6+ L$NvJe*A3PIKU>By delta 3491 zcmV;U4P5f4AFUsdTYuXP00000007+r00000006BI00000004La>|0%ITvrjk;jjD^ zN)Z)E2yGssHVC1;KfAVzgzkFRR;=d7*>#hk%C$D$jbp`Gx4Y|Bl&Cxa&q$T}3J3}D z#uKkRz%w9Jq(1Rl3Mvm3Kjoh=-^`hF=3H;0#7Rhld?n}3%zv3PGiT16nK{?a_3PKK zzb{FW|Ipk1yhXHs6YcQl&=Pnv)DbLfM^7&wf9 z!x%V>frG}tKd6rUoqnjKBp;=prbgFLBgu%^dqi_lnZ9?@;YZvo!n;(5l=)!>_dONxpPISJbz!PgWyS~et>x=moH8CF%M>n z7>r3o#0wFF@k|lJaep%0$Gkh)9FF?Y;vOOy&y9Dt#{H`iJ2v{_-sGzhQ4|hnS0ggk zL!u)Z?fK2T0@rs;~2kD0h62>_lQA!5(Y|uo1u_fO!D3 z0Hy(~Gl(?g@se~37#Jt1!v2F?wf88|ht#5B)c;P7TpVy4w?pL`1Er`7FU-o7-2 zg&oiY=&X$RaLJ%EVF{%IhLfCCsRUpmY=4pRKfvzVDnKNV4n@!26cCM{nQXdw814?Y zfH`KuTo<-7+MafHM?;5phtFG$i`&zS{m~Zu&HA^lE}eElnN2WW!|LA7WC(lE6OD&k zSW|b{AFyG|y=PL%KY%3v0FwLzNb(OLIeUO)#|e_NM}YW|067~3$k`x3&ISQ;Hh&0^ zvq6Bw0s#^W1jyL~3(g!k=WDv^C$RLyo`Giu~;Efc6n!4D+zg_va1E)&}3WVUq zc)JImxTwQ)@?!@B%RTfrJ@ln~)?XfMkB6I6x9!{*gS|Bv)O8zWGaNOAo~7sa`a4`j z7z(t^kLDgKJk;<|(?fI7q4MN;sDFdQJY@k35_RKU@2I}5tApgU^>-k>cD+OnW63)=FaEf3oApe+yDa@NLWNopsr zEoa3{m2xLkwt=Zq`Gm^mFtyx}$Op;(IUx+EBUB#K7YcY z+8v-ScUz#Cg0YH){M|6vW zc_ZIcwR*1S!WMAJ;LD3GW|c}4(hgZ}5OplqeswG(>R3k9v5cr=8BxbFGPho-cR2V+ z#Z*!}xDeIx&;!`|ZtK472VCE43%jf5vx+@;0&r2N$IfA{R!i2^a(|@dNsf{!uw2Tp z-L|;-a_0-6FNwZL`m*T@sV}Xv-4G~AA5f^tp-zX|9qM^w-PN=Dx~pdnPb+vK)>D>#C;qbfZ-3uZ7ZoYFN967t)<+~OiRCkx-8X)o+yc>5emhW!A@BX_N z=g7No$HJ=Z9S-q#JAZwnuPOyP^ub8CrI>!A0nQ_xStDFS_*$x?_AR4G+Lo#?_soh|bub_KT*r{^ z@xWM&AlP~_ERV1(!qNz<1f<@*nGG~#dMZ^pzK&)_x7~v4H z!69OTL&O63!WA=JFs@_)76EVydNI&3pNpp=+!X?WFjs2B7?ofh>quz^>S~g&A%^Db zjG_5jWN5x#8Go9uX@=(Oo}u~L2wGhBl@i(lP(mjnz7DuJE8ya=fQ!=tE`G1HLQr8E zFWGiOkgYca*?vP1vkXD(G6XTq5X3S=h)mPZ5N7q%e$heOo$}wv9UZ$@Od|DtC zzlw+n)T71(YF<47E|I==ABnb01#`!SPk~j+{65OuK7R^@TSX29TZKZQR-sU!RVWl@ z6$%Aeg<@>R=2JP2IQXMym15?=fhcO8#Su4yaAqO;=G zMYq68D;70XC%)&!Qs*KxEn>70qb$cOsVuA_DIP5FE6mJo+U&ZuXN zJ%5+S4#?w0<*~Q&c(daj%2_ZD3;&)IQGy!=Apo(45nTv9Oa(WWt6eTnaNRG< zkye|@RJ}bveuD}Go95DLgi6EWN2UCDREm#BrSy1IQn*JY9e7lZ4Ge-_D3xmtAb49^ z2#d)KPCIBEFys)Eom%w!3^NjXZITyyZGS?OcjKy+N7Mna8yvn1&tBeM(G8(+fXz(hd!K;i0uL6Y)*nPUEs%hv&417XasIO5n zz5xM-2e`d4NLcM+OQ+Hu9pIno=UJLUFTN6%3J@(RUw2eS@F&jz@WgEPY95b#T7TGO z4*r&2@t7@A`a8?^2aBB5+4L?A{GcL{->`A|lnUS-Bfqu|K>+I$dlc7teMJo;@Cr-bqrMgQNkk+&qF z+{2Y#v|I8Wqo}+_`{B3bQ6wJ6T7SN+WMVHLwgDR@yYE_Q22Ntp(Z~aXJYpSU{E?LS zt1w+g`8kE?T^cwk-?nVOw8-}iUp&VQB<0tQ);Mwf*h({8NeQD>NcpDSxyKD(`I;Tk zzly`W@(Y&j7nUuvBR{tzJv-92BfqjEKeZ!|s+CY`xRUZmb_tu7Et>O&9e+vfoKM)1 zPu&ptq#gN`5Q!0RWJb&j)_pYKxN?)fAPzhyZ+Ygis+YEf4kZ+Plb&JYtWRLD^ zdBOTRs_0+-A35qH8j;8A>p(x+4a@6!IBr~JL0^E1O&8aOG>Ted$cg3C;|bt+r> z;tQ7vJU1L~@9b{XPIOMz`jg4_*68YRG_AeZACI<2TebeQ=9r&YSbsSFWNkX$8*TQd z!$IwZ{?6X8_Tu*Ra&5Bx(y*3iwdro{YJa-v2)mbR)BbpCIITUAHjm$$u4DPp$ zIhGzB9KErkV;Kz}MaJ`oU0Cfd^?EC3 zPH(JrpFZ7gtu1vn)_=}yEU&DcdFje%>;eg|Kam>|CP$FA&hGWc)4fS;W#tH3_iOAa zV$cn}wKc1M(|UGb2N(ClzlC=%Os|m#__;0r>KVn%Tl23d;eT(>zm0lvVE^X#!@s%r zFHEoL!f(sJCZ*kT|7Pg}>t8bcVE)xj!TYx3S3Z|{z*u)IbpRa4sMa zM}WwXKqMp_0TNM1WQ4?)ckF@H?${&Gj8-H}%181ANLU)1BNx7{hIgVxPytq#VB*Oe~@coT;Zy>P)`b76n)6by82)OFb)-ac1-F zk~-^FjQd%h1i`s2MrHA`Ool=Dvp{W6$FC)rd3Vh{Ez@Ee_RO)fdFwJXFI__OzRStT zrf!|+0ZvABSbtSB9u=qr)%0K8h)W9RW$Ciwz%`+9e4eucj_oIPkv(bnXtFGuKw#C5!{Un? zfW08&k_rTXnY1JV!PxMm6$uCoEGa+$meo=OAOL(3VEy?A`n}v3^-GidlN)52d{7%m zfV0l9d`28M(=zdKfJOItL3;#w@$7k=>`kVFVVoCv7AH^2r!Bg;UkpdE=bA<^Jok84 zVOZ11Yk&5Oa+ma~L5tyBP2J#*tO%!Aa7yet!O#+t;;cJNA0MFUHgDcz=JV%pN<*3;aZ#@jF5tzYTtKfjru# zi7Tjv0UD*-yTAvIp%d_77of8?;@-Te_NJg7Zh$|O05g2k3Msv z_Oftf&fI2wdzp^3J_9EP&X)WylvaIHM@x{;P|Vzr2s6I{8$~wk57~Gw+4NL-0G%PP hl@~WKuQvcj@z)p|qwxc^ia+1SDs#s953_0mfdSQclM?^{ delta 801 zcmV++1K#}Y3F`@vTYqi?00000004jj00000008R*00000004La>{!We)IbpRum%u_ z<$$;$fw*via6sbJCvF@$Th7>lC>eWX&nV);{3u_5#3uIDyw{uCEzjbf91>|dDtC8P zzp8S%+kTU}uIqo0%TW~lMc-Edvu*oK6bw6q^H`s-{zI#=p-K=kF(KQOGkL!oe(4G5Z`uBB<=10AL z>#mB%ebyCsUcBS3zw|~OMdry*j6M_g7T48(y`x&_FStjq)1`^<` zGc2DG$IY}%d>mlWeO}PEAdf5e&~g-d7mb zH1e9mqJP{cy=u{7I2V)wNwczO-pC^>@d{L}FOF3J~Ih^VP98s*ZhGt%eBZ@S66td;cqgn6ajv{eLPqyz+U>^!S zwViQf6?$-z^sJwsKj!vz?b(jKp6`olx)UGnm4DeICwYONs55>?sN=W6Z!VBW+ca?n z)i6M#bbA;0z%ld!KI{W@)<)c$H`U%0)Z?vEyLNx<>`md@y5^hpT~%pxA@$K`PBdN? zj?9_ctnV(+QV f^A&mnU=)9iu`wDyP^1UDo;0lFNJIOW8RBj+e>QmAN?%1J={ zDSyBxuv6Z%fH3-)@(Y+>IH z=Gn<<5RUU=I0=$8iG%P+96PXJkWQwsCpi~yW8oA2zdAjy<+Z-Gcoly;hhi|ke8l#U zL5QKyXp~s!8Z4p6E{3@U(>ZcdM8ZW8xhY~1X0b)g{nBg@C!qze1D=ZXT!a$~Ujg1P zvKtL9LHEdkW28lOW(h9?2DRl%UXf3o6n5BLEpudrU7=Pa5_KH8^0u;Hp;qc7`Y{`o zb7ioy?v}%c;SENsJw-2T@@P3#+o1^hEuk6*L}3b z@6!VwhLVb6%}>oBr>YO3O=TLXR*9xk=UJ+D{danpuu^ z6X-R8P7~-7__T_2y2rdlPWABb0B{iSwICJw>Xd#yzfGmwaO2m|ZL!$qD;9x#%k`!# ifF3>zpog!nuu}c`1iN~{CWNSeh$cU{dIz&l0#yO$ZX7vBX_G=ln^aB$ z;@9{l?z{;zcI?{0D&lR)&F*-2y*pmJbzRp1#x~|Fd$V!+bi!dREeT3yzQYes%^yC+K|3c@=*<$6`3Sc)<3M z5fDS6$vAV+HLS#wsq>KNIoP!B>C} zs^VIMOV9(xKpJ^va+dHiV1#x|8CR4uZwR++dP|O+vJL7~B2iDu#@b=upbm8seb0t5 zj%}WevCFfB`D2wWF;9QlRtTFp3Ab66U_kU=hw4rAu@caCtVValW63aD357*>* z5mL>z0jcS)O^8J`h_1#W?b=GuR{FMbum_)o7yfrr($3oU3QA1C{EC>8e@K9jBS8b z^yY#wOZZzUSC%jyLnp@{7`c3&9q1xjlJ$0X+|1)jwp#fex__VURS??Hbeio;5KOYk z_VA!W#K4z<>P{!G9hkrvFyro5aq~#x(SH(mKkJXDKJHl8d2Ib~Y-jO(kR_7=)Od=C z9I0i-lb!>f#c^NGIDr!F|HGz9qiXqhB_{zO^2gS^%>w3DLxlP z&Tj^L7R?zd?thXC6o4ud;E~n9ARf3iu->9h{&|o=hjYp5Io;NC^5SAhbu2awH4m{4 zjEs$7AsP~%W1EL^7Am758RKFtnVUduzKxG@QRVKOE?$8gf9OWq{%t2$?)cD{wDSZh zGR@%EKKKuc>vB<0_RDSKGOH>7uj_e+fJonwm><>IsekXt>X^@YarU+KC$XF4Z zM~{~0jaE`kf9WQ-YWh!Ii@-7X&x7$#ls^fo^^{CBOV7< zWSIx#B_Hl#pR|rPN?xUDhY8-@9rtz~xD9r^qBI?1a#+B0MXMDT7_M!;h1B5PhIw%v zAsF-Y(SMVNkMEDtq1kM&9|6AL7ALScf&cjgP{*X=*=C$KvG6j@2AyV6>QoOt53xt6 zW?(I+tgO%9lMA!~wq28Yw0m*ON(q+Dk*fG^egl2GE_p9br!5ZDdtX)xeD?DjE zWJVcl`YB}fo-g-$WrnZGb65VEB)`qBB;G$f;W(1MVhYx>uCvLUR|}iWd0nwN5)Fk!#DxneQ`sKd^UaSlJ9dkrC{EC>8e@K9jBS8b zv~|IlCH$?FD@z!Up_Ahej9fm?4s;PM$$PsyZsu_%Tdn*K-G9&aDhO?8I?eVa2qyXX z#o(Yq#K4z<>Q2UQ9GJiuFyro5aq~#x(SH(mKkttwKJHl8d2Ib~Y-jO3&69BoHJ)N3 zM{1eTxaWXpaU9rmFLCf#$C=Li`Di;&h7Qjz#&zQJgZ)~{P{(Do$za&2J_CFr#pj}! z@|(e)MRSIVyMN>Y1)$0Vcw{v&hzD*BthcC>e;#De;aswMPPg@(yto)r9g9sv%|omM zBV!|2h=zpc*yf>}h0171#<*BZ<|a^^Z{uTJRJl8+i&r4WAG(pYf7{8GJ3cff?L0w> zOf&ek_x^+8x?B{L{c_v5%xcR2>w2CcAkudv=0|mQ>VG@3I_7g;oPBNmIDB^&GFHUq z(WB*gqm@+CU%JVyn*I}ISNnMlf~#iPd@Cygs{m@!6w@i~X&x7$#lvGtJnI%OMm!Fz z$TAPeOFrDgK4~3on7q!i4imh)JL>H`a2xD+MQJ+3io;!@RtX z5R7^H=zqz>$M=WXz-+eHj{skAixXI!!2f&#sAE#`Y%|WASa_A?X{T9~I@N>EL+l4s zGq9FZR@Ue5$OYN}+pbAH+P%1Cr3B07NL73{zk$A8mpr09ZblKRV2y5J)~=|#9iFrv zGNX(&{S>l#&zF0>GQ-#8xhwxnk{_@uiT4jrxHzP*n1Z#e>ufUT)xsuoURP|61dn}3 qvhFl|!cPeScUj`ZT1NrU0vww1CzB?7w8qDi=!zMs;}^3k1I7WF6J>e; diff --git a/cpld/db/RAM2E.(2).cnf.hdb b/cpld/db/RAM2E.(2).cnf.hdb index 659064a629c2d0e4c15a9d7db9c601f3929730f1..108cb11e47729f5e1812d29994fdba27a78f7c0f 100755 GIT binary patch delta 612 zcmV-q0-OE(2m1$*Q-3}Q000000065300000000OA00000008>~00000004La#8y3X z6G03;69NH3K?w}$X<*V)UFD9F+H>|f#$!9qoIjHM?i5M*a^90x`(fYNVKS5K%=%VO zZzZjyz4L0dT4fj?G5=xxSd%_E==U%DKGysLU%xS1@cSNfQh!`5JByRaH!Oxjt~%ZE zv^P2?=`9Gq@ciGIWv#6Bpw0X6TvWaB=oap=hCvKP%i(E)zJR8vW8vxcnEesawOHj|M>7Xhm z8+bK8t367RB7geYOp&0QDH512yMx5E;OnXLmXp*)Xp}lZHAivkA~>#;w~?eyQ2s=z z^9It?30#vp!80D@NyibqpAV~kXZ?D0T;6&A8wlv#PmNk_%nyxvWa%}OywB1kK|rnE zcnxb|Dk*LdBA&Lpsiah9LaTA;47&b(2XXzt+Jd;zx_^LmR!#3M?+*K0+s1L^LXx9L z)fM99mpN^d0R>(4PmeNK}su$P}Hqt$2iQ1h15In6}lhEV^?>6PH yY&WeXP7_)p=<7^3=7~l=DQ5bfnybbmB14x;?tihDvc^rnd3{8$9eBLs z_qQ`iYDw)+i&v33FPb;kZ%7Xr0WlP9b$SuH21{NHt}gB(cm;4{ICwH}$s)8WEj)saluxEt$i0o&!v8V6 zC5pyM+eqyZAdp7U>gGes^VDcjXg>V5ERA+RifzP)s}8`eoS&T5?|%TZrvg*~3yT>f diff --git a/cpld/db/RAM2E.asm.qmsg b/cpld/db/RAM2E.asm.qmsg index 8bfef0f..9bee3be 100755 --- a/cpld/db/RAM2E.asm.qmsg +++ b/cpld/db/RAM2E.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1591131665199 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1591131665199 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jun 02 17:01:05 2020 " "Processing started: Tue Jun 02 17:01:05 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1591131665199 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1591131665199 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1591131665200 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1591131665391 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1591131665396 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4523 " "Peak virtual memory: 4523 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1591131665549 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jun 02 17:01:05 2020 " "Processing ended: Tue Jun 02 17:01:05 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1591131665549 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1591131665549 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1591131665549 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1591131665549 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1599607698989 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1599607698989 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 08 19:28:18 2020 " "Processing started: Tue Sep 08 19:28:18 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1599607698989 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1599607698989 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1599607698989 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1599607699289 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1599607699299 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4524 " "Peak virtual memory: 4524 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1599607699479 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 08 19:28:19 2020 " "Processing ended: Tue Sep 08 19:28:19 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1599607699479 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1599607699479 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1599607699479 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1599607699479 ""} diff --git a/cpld/db/RAM2E.asm.rdb b/cpld/db/RAM2E.asm.rdb index 76b8d1cadc42f6d77c9b22738b6485c0e9d4f62c..a0759a549d8d8ae7948eee8ff9e64f5ddfc2566b 100755 GIT binary patch delta 1066 zcmV+_1l9ZH3grrrQvtJ)R3m@gZyQArw}Dc=5tRUec$f!-5`^uuX;B*SP}g>nhMKgs z8=<_Q_1&Ix?R|AWLfjYrZ=i(V+}iP7Y6mquzymAo?au7ZZ)SHs_Rh}E&i+KN)oORp zYxR2VZ46zlWipASV&278zXr|=2>ns&zhjR6D*!&i@;LyFY?25yy9j^6G~?7?!{;{$ zbH54!2*#@2f#w?+OPZxIQ99LcfcvmJ8uG4k`xHd|b$k};3lsil{0sNt+`=yW4AZ3x zcV#M-FfyQ>D3+Riv%--aLJ)P1JfDC@W6UFrOU%AJiF`>1xrwq=Z&Po(^;k=#TSp?5 zt$ya)M5ac!b|bTAC#`=+-C<|Y^7A-oW!B`@{2a0=j^E6)aE(zMbyth$h1u0;9!jmn zRIZKY4ofsJ+F6bxyyOf(QjcGx%_tww!AMxVPivwBDQnO*;II+ zG-{L~KTGl`791E(ik)~6hAW64z#F6jHIj0nL>%L!%NP@BK;tNp53SUOXQ)HeO+`F= zE)@k5xyZM^9%+XHeiKy6}#E{J;*6Inf;bz!QaYoT=e41 ze@Z&D3h5GN3I?ZPG_^=bh6##1a?1J5xR)BKaKx86e3n}68*~R5D*4RH)Sponk~x-_ z0JHbo9u4<6htbaI=PwHSbA0>JNfZF2Fn5VPna2}XhjxFlxmKEf2u|0Uj4W~=1}v_m zeDCEshuui}@El@!5*Y_rx#tSOb&LaR{hgK3%kz&R*;{+l*RaZVJN;Ga%QccDRvffq zZH2fAm_^~Gv6$FcC>qOCQxsv^Xc`l4(hdS*EYwsQDyL@!sX}zhu#dzqbT>-zW3T{K zldFKt16C%&Y|=qdx)-{RF5Q6ZGJNM$e z`UH2&8T?9zt-uS~AM^)38jZUT?q7g^B9be>S6qK5kSMM~;jP~n$z0FfnUT~(a#E-1 zEl%@f;{R9FmGibd{~DgTmo`Q-ZDc|ZR5n#2DIS5v8`O(MlG6xJ@w^tl1Cg5{yXI)RzJ>MYxl?9-J;fVsU^4jT@2o- k=d~KY+u8bd{*d!E^p2(fRNG{}?Y-r;Ub|0!0h5UY+)^169smFU delta 1066 zcmV+_1l9ZH3grrrQvtM*R3m>&j~hi0wt?_|h)4l}IMe~5U4-qil@MvgA&%{R5wn|k z5=FV7_Dqj=l6g4&Sk}4lzk#rPHEpkF9D7lg0~~0nr@N}VzN+qe^qilcpZ|$otJUtH z*Xs4!dlns&zhjR6D*!&l@&y2mY?=x+TLph%nsMrH;PV@V zxnG3<1Y_0iK=XBsCC$>9IGgCVz`frc4S83&eGa1jCO!-Gg$e&N{)PK+ZebUGf$7qP zdoq(s7#Y%ToJh^SS>s3!A&5FhUQ9rvG3FDDOU%AJjRQ$XQxoTz-l5)3>!FrPx1NYh zw)%NsQ<)jv+KbJ;9k+iTbcdZmE0`u>E4OB9&Cd~=;`q&J9&Ip+qwZ?)yfC{O%|ofR zn8=OM{4vA|Tbn|s&F86}aC*76l95IjtKG^s7)CY-03O+d8su9^hc+XxL+#r;UVF#8 zMIEo>x$T7#+@HqP(N7|kj{P=a+haBbs1zL3(1dDdEZKHc!okm-Ap92 z7gAAZ71OV1<4}J(nrkl4zgp^I84(y#a+TO5u_16HQOY|~LuyBE4nIr9~#HoymXePTi|X2338hm3S%XMWfkJ+csRuetvvq$^o65FQpGs;BM8DX?%a#> z>Qme;XYgwswgNBcaL^z0XykW~@2$W;73me=E3SVNNEBD0@Yo-Ubgt*_%t-1XIjPh1 z7N>bS4*o0Z%6VI!e+$nXWR1~G8=2Cv$|p*s#UrqIgL;Wbr!>M_Jg>#?L&R5c17UOt zi|ZZ#3l;Avtu(BmbkbBv$Jfw1k-;-j=X-^NU3Qk3%2&vJ^a#rJ2Vw|XLHdTY6 z2lQAHJ8-Hva;OYK6}|Zo2~<_+QH3bvfH>h=nfN6;v%ieI4<86pL zz_lY)eG|%-LNj9V-r*L$fv{D`5o_baQGGwdm|!Kc_YFuhyHg%@zV0Q-7? zS>Z)vQM^OKz5+D4aQWr&-?su=F2S@^d}Poxg$l~lI)}Je7pME}DeBBtNh@Dqzr@#z zz+DadD4^d#Qd77cz?PM2RDzFtjs0dw8aC>Fe3DNWiho%(5SqTZ@TQhAAuo8AnjeN8 zfp4ThQUG2(1o*%d_S~5Cw%tQuiyrO-h+nMc*5FyuFYn-NTz(u)>DiKpMirex-5&Ng z{LW>Z2`u#cu}4)fkVDTln*TC>+4mgY_C#D#QdMxB2JPF8IJY2=330umu;#(m1hM0j z8bs4GaerA{;?`5$!?4@@CCmt%@Gq&IGO)E_1X4xA5<5!3QJ6;M=zHZsyaumlxPVhK zj4!svo1!1PMI6xY<^AUG#76y_{GMTdpKiR%?XS?A8fWtc%{j5MTcJ_HYE7tS^>I7E z$gKB7ha_6WS?0(Id|B+bceQucZ;R=cO=JCgo_|+ogCou$b<*GTCqf(Eh8U4cC1W_2 zeKDo8hY*YaH}e8(!0Ldy{3>vxd}5#}!C@)(7Ar|U^}7My;y!jMBKx6^TE&HNT`jS% zo%WG|FayBx1Nb-(OTVv_b0KwV4Qc3kM;YGDe20P^IKT)O$)q;3Egm&I2Lutpc&I>+ z7=NC>rNas*g@)>f^;CQS=Cmk4bB_bv8H*P7i9mCr^$qUuPv>i2gdPNPw=RRQ2uSYfBQMY7$qJmC2frzek_i|CPj%^A9e}2 zXTpTDXAj`~>ri(QBsHiV2Le1U)JQHMW`EF4`U&7kLkkSX9uhNC)HlQZs_+bHB#!{k zrbc-mKqmr%+hr@VFa;!ei0!cG)7Y*M5ormr^*kKvg5Dat6>V=2!BT&$cIm+W$>NR` zUzN||Ouw+D0!GB{t5t<6V2@PsnozfD2+qvYD?ls8lp42JxEw)AmTpCMKli>S(SIzU z?&Dm<5MlJ69OVJ|Ga5ctjtu^4jd`~$IM@H@OA>o!&$VqRsb2r1B3jL-{VQdKXSlAz zy>+}>s+_Ij#zpAg%%Px_`c?%A!|Zq+XBR!KnS+YP>^mS(=}@g7v(vc`*Zqf4iyn7gd(F;STQ zLlAsnJ-hf9yb1jFss!CMzYGlexP5sFZvdK#q|aKdyPtkf7~20(u)765_kVD#udVy$ z5f2BrGww~*+Vm|&Xy9z!fG!A8_W$T!U8F*2}vU1mu z_Hpn{UKfP23)(eCg|OQfGf$6up%(D7co$C^^JDRDeUCRmJN}G*7g)K-2olc1xd=9* z|7Ktr?{bP(K9Zee^$J&KBmN7RqvFAT{F1M2x696Dx=WUn0wdS+15nD z&70*O9sw^#dFzN*xrg(gmsQZH;96}u_(H+ZSjqB(n0c+wv;U#rsq+l*Wnsj;&D^9$ z@unyKmdC`0+N_j^c(CHH-C3P8b2Z)Csi8Sxh9_egg)^f+7VqqnGk?-1&l{YP!qVjJ z%mNiYeT=k|72JlGlw-_36*>$|8}u0ODLJ={d6PqB*x2US2KKHAG=nh{4R{ex`@%AU z#wbn|`GOfy3q=`xZ}HKQz^qx%6zXAE7e?<1=YfhkXagy}J#3=eT)nReq;c09N*3wcI)4^wp`N|Ow}-&LHRkh|#13ha#g@AQS~X!CIV6AdC^>I>_jC{q zNFLzSAm?|It1|2^W_ahWaNBN;v*NuvA?%BW_o`Saj^lJme9HBnnZPR3O>?xzP6DS& zJ=be(G@o*}teBp#PUpk0^XUJg&nC<8XDetO!opr=^w*i@(|>6I!;wB9Xm{B0F;+JUi@>$i8)$5a5HAnekGKhky)kRJ0 z>@#r$u{x>cnuf`Hxl{B)iX*Ew@tv%N`5|50gU%YdlCzfA+qy)Khdzk&G1eH@KrZbw zt~DZCXW>OCEr07YW()-_U+Nq-5d?>)Dsb&HqaFU zw)Fev_splScmdy3*L<%uEx;F(JL))KunM_a)48<}g<&9Gz{+tCCnes`dy?7o)}$BA z@ay3vA={kbh&!@D8Sk(ooMTsIX*f{djSSj+P-yfhekb(or0F%0yJe-;0i^bP#USAu zn9F@P!hanXc2?rnVm;u=h^R<9ZCOQ@eh+)3 zWa(C9e-e9&mTEV}JFT|VU}(hyo7wOaevdf7TQ__YJ~z?rNwefQM-fYAiIcA8joUBR zSkKA>zq|W*Ce29fafqS}kqcr3_487f;O3YQA;46hF`CS{K4N|FV0fIDgeyQB^B5jDBC~<9nvS>1;j-!#NptH#{m< zv7|zKafmV9PA+=5$<_kzEhSbj4oSBHM@Ot2Jq&x=88MbU{$awMkER)OQ+W=)BVteZ03&)}^R(X%b^!}TuH^ntg?o}&$-!=<%R%XZ QQihfLr0UXt0h4J8M-!kRr2qf` delta 2605 zcmV+|3exql6|fbMTYqf|00000002|~00000006KG00000004La)L30;T*npuW;}cM z?k3jWUCUZyikV%>T3HV!$wM%KhLJ3%mZ9-F6x#`jxl$EbH9>^ZlxpJoHo%Nsox9 zXJKCmF#udX+82BV-&SJ`Sj0zF^cg2wpds*^V-vUyg#6&v(0!i zUBSWTf$q7Q2!DXwSQl*bfM;vb_u%WKi?9Z%H3t+$;v>#;9t~bKoJ(@p*Qqmh*lkJS zM6D_2Ed5FxNG(H3uy*(Is)yucL5IYJVwiWFxeIIBU^>T&{@vt|4*| z4d)`##@JI)$8n0|gTSf0PU%x7% z(}LRq8~t9d0!QDZlk*4#3_oyB{L6K8o!|DpN&iZjC@lBR;#p4;t!%VW@0EDki^+jp zN}FNVlz*xpAOdaL{z2S$bCU=bZs<`&N#&xUEmlF+PuGIuuM8yrgE}@Sa^Yrk> z*l!hqF9$Hs^J)aR)q7s-tQea!*fAzvOtzhb_2cCmg23uJQyO$ynZ-(d`JBn0wqCx6*WB!x<7@@`OfQqly1igFQ^QV8QK z1R)usK_JtPBl_L2qo-4|U=HPQN}|mJ9B8l0h>|XKYdXy}GKBMhOFwSxcbk>iDUg(X zCbH&%Hl+NfFa~r%*o$&&B+atuP zu?ZKLVQ#Z)8YEkRm-|!gnLKXO?=xN<+a<0lXa(6r-}NGR!eBs|;JMDRtu5m^Hu~K; zkBM(D0ps-h7T_7m_CtIClMn&5&Qzp)NPo6PqV+B~w`1Ms}>{cI*QuJb3p_Qx0H7Ogz-bXdq)|;>w1ApxE z{M6?g$NO^Gn#QQO`j*sO=gerV?*xb|zIqAU>+d37F^CTjyL_$tb^Yjs;c5B-b4?5g<7 z+kDfg;C2DIpAKgJM&rD-lk$|`@&cwjq=Bp4G~$SUPj*YRiqYk=#OXFdp2Ck=n6iEG z8qFJiHbrQ_tjb|-O{U{+_y<*>sY#FMUYI9Lq?N&QY1FNQcG%g7efe!-r*u61a+Zsf^>Tvw=NX$5aK&1&G#J(=Ky8jisW3SHh61O>Q+}-#FgqiJ?gh1(=CL znR7KCQ@mHnwMNsbGq4r$7MN*PV#YkPEO`s&-xlciy)e&tA-+8dZGY%&dV83iTPyYZ zCFcG(w|^zQaq34UuJd(JQ$_W?e*3S%=Lw{9QWdz-?g*D6=C`D_&LV= zo@iV^G5Q#&iC21WTJ+6%elR8TQ$-#8kn?g-chbvX)0inos$qj<*m`>zGe6U4ioSo= zfLnd6EQ@GL{jYKkGXirb$MhZGT20AQuy{Ph-SpGUL6r`*ZuLr&3S{ah5WL(6#2?BM&e7Gjryw#3Z^0$yBB zvE%nEo!VLvF@Ikv$*r=D)PC9>C;Y@?_-hRj)6tk+gE^N%&fqFp3)&B|by=hqC8> z&-BrNtbg#e;^Fl*&>LgtN{&J{Q7ssmymZ!>S;hkzv3*(By~Ba+N=_xK|Z3=O_8v>rlvUbL@}G3^nU+0+)1Kl9qky zcf;;JhWHT<nNvlAD}hV`rkMzZti#MWFh~kG+sD(2%qCFXA!hY+6_rlX*EHf{$Omz z!nmqqC>Unb#LY$`_K&kLO;$w~k)Nb0e2Y1vBhMN3_pwJyHI3B)T=HP1GB8!1$vm{? zN`JelM{>Sjr_OjGWg|($A&04h+yL%R%E=BsRxtNDr8vvj6s*(A{SP6Q#{J_Vq{v}^ zq(&NkxQ`E;aCItuqs+-S#jYvyU**lQcDStJ*SNQb(XyTuGtNe%g!hH>^tvM!vt!&z z9ejciyK(VusF(UZB=B8uFAIUdu1FK?g(9#L;BP)xt(tDZ6nmXTYjp%Mi*e%xcV4bE PTmJKa=Y{_QlWGb_#%V4g diff --git a/cpld/db/RAM2E.cmp.cdb b/cpld/db/RAM2E.cmp.cdb index 096f4601b5eb65b4ae8dd15cec772d2234185a85..69e44ca9ed2aa06d257b26941c314f5313067ac9 100755 GIT binary patch literal 44492 zcmeEtg;N_&&~|BmSZSd^ad(H}QmleYumZ)UxH|+$XtB0faZhk}r$~ysyKC{_37X{V z_q~6`H}lTT+%t2tw>!JHce6Xs9PyJUPbvwp{>@(h#`}M$Z{z9Z;O5FGD9rzcUy$*= zkAw3UMj>G##y4UDfX2H zKQ&LD1eN_ic=8G7e{i(&f6yQ6BpAD$weJFRiFbPH?%smqcOV}^drG~^WY75Ogifq3R#(w_3;u3{eGWf_(5?DRFivo*8J2LO2eWK_=})z1eI*G|l$ z7)_b4UydqxU})vo#HF46?QUTs@npmLfpDdHaZj;N{5OU=u!n|4jKTWbvZP%3T<6C= ziXp``mjE9ep6&~TG=DeC>dyVuYlwkegUiPA;ujOme{1E$KUZ|Xqs}jvfreAHQ=%aH z>WcB5i$y36-$o~0R=Y1m5zJ65)SNK2Q`}NHu7O;i9CS*=r6FrKo;o=L9wirNM()$? zceTgF6u~R`lQ)iB+z=gWH;ut=w*hA8mhXx`d%R$^rt1N!6Ye@e-7gmy0@E5bZUP#j z70um)SD5MPi**MA>UO)-A$3wc7lA#^9n~XmH81=j-9c~5ox=B5t`Un@ColP&>|7oL zrfRpA*Uy~+5^<>3Eu(C?<{jps^0Vts*zV!WZ0S{WSfg5bV$*oykH?qe9WEX5Bg@Sz z{pT^M*_pgJ%~wfP0|I6LV$l$_Ty(CPl{&OSgI4ece%OK0`RN@Qer&^oESHg)mER}P zPt1r(11#YUBRztK^c~V^Tg}VOjw@xIWT^Fdzn|tDx8C2B+~5xwQjpt;T)&ZF*?lYj zyO=0cdlPLq>f@rBqTOB{Il=M%ccn{VeBWvgL_I6QoDD(Big05?2(u!fY=~V48(Z4; z%vpe4@*?R?cmb%Y0P;AXx7fQ_e5vhME$2}&DQhxyh1fA>L+(O{#VgB;AFJ8mc0LH_ zjl|jd7_#}5dlt)UqItsl?t4p>2detz`O8|FRACoUulHH7NH3OmC=I`Edm8XKQd*pH z#Vh-Dn;~!oa+~O6d>Rcc#sn}N=yt{}+n?P{q1koZ5KOSr3PEIt3jiFK*Z>|IvPKFf z%`!NjJ@EVuc>OIuQfq^c*)^O+p-Rk&!87rXl*0Ny&nsoCG0~^~jvVHxLlbQownRr~ z`%cpPLA^%~Jjei^Qkm})7X#-G8zP;L{<>e>Hqbj^kkm-{5>y)fHaHr3AwQuSAEXaG z0zBMa2vl-(lvWBNd&QUVH7^|G=4mv`1Xf_%*BUGJ^m8xK=T{TVC~3La0bk94cF_F# zLLj&vauIII3qMeMppj_;Oh}qjI9dtp5%v@Z1&>w3M;TvTBEa59MM+`ysas5~!%#Ou*p8 z!`r_Gv+E>q|IYqg)BH-PF;2$wxsw-DZBE3PCQZrmdaJ|m1SIF!FP^VYVF)E9)L23 zsIi?SxrvvG!NZsFOAPk41xPixc5ih>2LnUYay_8-m$~^vs5w;QPFrq7W_O^Ky}Qy9 zeQDT$`9F5Uw{?etVYP)FAFiz`4D+95 z4j&$8jKQ_R=6k8T`)9DUpeF+f`wUQwqT+gIRqEX>=k^)=7{SvmFtbp77Onm;H*x)Lnn>tJBq_Gm*mAnv!$S! z-A1%3$O2l4X}Bc1Kn4A#3p$(7zfFP(9DjD+(8P$New}{Mx>NAsoPO=_^D36uP$!`;r1yj1W9HesTEi732e;BPRZe4EDD;gEO z7I@-6N@IhzrP6fTIdYs=lzu1J_qXCY)yk9iv;Re5s@e9w8h`C|!o2^hoMNjT$=VlY zaLZRo!-UCnWfBJ_ay2G;OYL4_)t)BFJ7wtk)r~TfS?5G<1HIO~VY2KqickDU?PKL8 z27iBZFNof>aZ`w{6bzGnsw(kR*ndz+j*@b_;$HaFS~cu5hN>D?7!xb?R7mD2Gnu*r zY5&a|Uuhrq`BYWzY3cGLwbXv;Q^wzd`=r zl=}bR0x8eVJ-xBEdT{RjPQ2>B9uC)~^*VkJH~DYAXtwJ26J*Ijto-ANh%|j98%Nno z_6l5)Aej>EkRJ2~0b&aqv4G-4kUm{%79S#~#3kcQv=CMSdwNpxGE36FZAKXa<>4>- zQE83%PCE*JW{h?uU!Eyxei3@NTfm(R+#Db_kGI6kV)vgFh0lrs_Y=?J%5gixBE>>|@ml3IlgQi7XPWzJ zyYKxJYYR4W>BATWe-7Vkt%Y9IF{vJPjm?Gnsrgtk0I%L|>CZ-Xej-YUj(dfCr}&3* zIdTd2lG%cw>}z++HGHn#QooV`L401coILG(!u*HzPU~1#Mct7hN*cLe;84g(Q)6~t z(&#+VGs3ww+e1?t$uM=FCo5H=zz|%jz+lSEp~gJ|I?V@^;VvpIc+l6$0)3)nrH(mM zl8mBo<+M~*t~SdYt7b!w%W%!7?xl(HxGRpN?WYFWPeLoewY{OyjW%j0-RdqE${DGBxmv=_w27CCrbbq-$({;4-TC z8_H-UVOQ&WMAdDk8$p-H+TrkCYj9QGfTl}At{lZUlx~pMwC`t%VMh;7X43W$$#UiRxcf8FLf$V+yz>8nXQjhohnNP_TLTA4PE)fJ-Xp@F*E=68KZjz<57 zq-v%ytk{($?y*;JI)&d8lRRtj*qho@D9!;m>tEzM1|Mjy!EeAjZLN|AF3k*8H&tdfrm_nc=A{a zHdABT+D#UJ$K*=mE3I|uSO0adq-p-r(x%_>W)$jo!%5LIO@K`HS+(Jkc-<9K9x347P~A9IDxBgb9s$yYL50@mwZFU8PH3pdfL$@In_IW0`shR@359Um(r zgf#UY7Gw3TP{-U{4`_w*r&N zoSnCnn-g}Bd7f?M3WP>g2CJ`h&c8xGFPIHS^InKP0U*{##h% zamBldrU5dt@T3?gue9;CjIHyy{-jkZL(w*uC$D7lweW55xURc}(ltjVt-SoVWr@di zP%)hi;JvD8;Of`B+2mQ>;^0>wP|{i%u@1HQ^wb9KR5FBv?~xV|AU4?F2=!TQu{W3< z)OsiEY^bxKbXmuI)fe?8%E|!DND&}E?5sx|?fhTeOhku`LM%b&x6cvQ zXdXmZDKI>7Qxfu15mK8I%-g_pb;Y{);c0kAWc%2Op|+~uYy{iH2NEZ9CteR$dHf3s zxfy#o!$JkQ1BkLw!}PJ7cJ)H?W66S3*&sj>>&?sI7O~c&#({kjB83HE@JX`S87uH& z_VG(#Vvh61vbh(}8Ff6+{<-6b5>bTrLI0GyHxi($wP{@KT^K?w3?SjY8<_Zhj z=26w0)h{q+*UPO6S@d(vns#TOvWkGZlGVa-9o>hmbB*(X(uLQBG#s5@$M=ttm&?k; z2kK?EHmdvRMYC{2y7!06I5*ZOdBEV@*&qz-;BghFhxjFM#D7&fHYgXpo})l(zF^m( z&M{LBzBV#K4LJ!Vy#ilc)gJkLBIrOKC7a2pu0Qta&Ws>`lK8QlRl9l1)|bp2%N%$o z^dCAhYLG|I?Bw3Gsbqm@?iL&sJb=d4*YkaI&P5vAMb+?R%hj0nb?eu+SA2;5BfiG~ zC+M|Ms|Lqg@wI8M(B|>Ca%FNe5^(Jfeb?Lty5Q+3Phhr=%=8r!asT-%%uK&y-Z6K9 ztYi9^lx*}KIt2F-UTSRy676_6fi<*p7QD(9miexKcNzfy#ym4CW|jd~FQ{V!WlDkY zwfEJ+z`}(y}SF8`x@oSD3$00xPNj>uMCg_Mg{FeuNy_QG9i5<=x zQiR0Mmm!4^% zyukQCcS+_)!6fgK*PS|Q!u{oSTn&_%y=R$;(Fij}AD=Qm=o>Ha;@qM=g=Bd~j*=9W-G# z>DRLn?Bv5B1!qgZz@fx1ZA<@2BVP>G%^S{*KbHZ`D(%WqOy?Vf57kcHpA(-I_q|s^=ec9|(Oo=B z#^KkG9gQZE2iWS)%aJ-PuE-P#J4yS1N2SPjwvVMbcGwqFgjv022gLHf7(y~4sl-DA zG{XY2dtDV|hB$XU>ZD)-JFLsQ9+$6wN+ci7LJmn0b~+Y{4>j=S+YS zk>{n ztJh#0bNW7(HN7aJs+cP$q0(EB_0Nq~m1)21|BD_%*)HaL98)TwW8!!n&50P&tu*4ayIi1PO~_2FQo!ZPg=s>>3`~1F}u0U(w|K) z^r#6|tlG_qPTa+l{+tyf_ZB3c7x;YC&2C=ubd!O`KoiH$#%=8N-Y;g$Vb*xV&)S(y zEA>hdi3iSgzq*Si1Ku4(6;@fKAc(QAdILJa=U54=BRxqVU~P;v4! z{-&Ec3cA!o)~t3!wC8Vuywj~p$wGr~yH>BG^RvCqj%C`T6F(LrNX|I&7tOPJfeKzc zI|)a>Aem-1QlfF#fCl2f|Gc{Aj6Lj4{5-kgE=uFC{?k+?_D;p?#qpzJ5DyE>kV&n_ z63s>bSc|)yP*(5nS91M%3em;$uqm(`I;Uf+}*UlEv8 z>?uLK4$aC44BMZCX7zS~WZ@DvI}(=gB%$Lj=kM=ZFRSKmWv9EpD=X4)<&U^CoGKpe z1WVt^?FiXg1%%+Ry_w`L5K@@b81VcmO2lu z%qZ|n6aS<^{z|M7F=hKN#2>fJSzymz?@c; z^1~IdNu01rT(uHulO^^&1|^;FWG6&0Z=+7I|AT!fw1l*k_T|y4IgUA+hJ~?e;OV_Q z?6pQf&;;anDC_m#hok4a9LbX!%(PpAeI+kf%&T$)MYmQVm51&^nJuTYC zO$=GP#xszA+{pV&nF_F!+Gu%7j+l5i36zl|k6KE3>1l=*(9x6qXseh}*luWnCZ{8o zQ*=A`Q%#z(QcA7p*$)Q^g?t<}xFp81#k34`FvvkMjQLD75kzFl5TLkt03t zuJjLE=HR0@Xx}TFEbQ&CSA%TH-h777H)}*jySfiL=NlKyNMvUY#z#os=ol&=tMn+z zz4%xjzCmW__#AFpTvoV<{s1?X?liG}zO8gOme9bc3X83nNw9pBrMn5DC)Dv1hWL-S zbY=1I9z(Q0`u9mOkUpDB2uOLFUQ=kqjp%hb|L?TLccVHbhl5ZT@Jm2g%K9?yjlf?f zln8rz;%~a(5uR%;Ej9C9-r(l?Yx0tPFEu0^<=&94X@|meq@ge~||AZ=8voGsB@G3R5EC1GBDSk}FLaoVTTpH#6ZoG#p z1vtDl+gFc_9ryZ~?pG)lwRgyj$=*I0!DHo1p~}G^bL5~i;F{$)v9uS62WAd+u7lcQ zx)S8O#I$NHvZ85X(Kv8A*lz(MoqGfj1N+`XpP=i%V&Y$A_(WHWY6I4#_aPqJ^=(Vg z^Sdc}drO8!q7~`F`&tnGtT2dB`@RB3g{auM(Eb%C?d)wi-bsRNqY$b=o6HLT6GJPt z@pckDCG6(!#~?E515G;4z+fHJ%#_MsywuXicB6B2AVJgTmya;*`-S(N#h{XE$zQnj z<4VhzMNn`u%4iV8w7Ww#7WSIfM$fjak|h#%+pQAGScL__z1b)eiT1ROra<7|&~JV& zx{OECYF7BGr4`P;(lGed@N_v`Wj4V~cV6(AGd5meO*cAk3SKpM?7WrM$NPXK`URce zFj5LQ@QV73M==>)OLmeuSUN#5ZI{%n1D)CjNI(;DRwj0Xszk8*=Zc!Zy^SyexP#4n zW?@Cg=jWel*SF4VY`E%YU(_~wSorqU+stm-Po{@ z)bVU0HDc(~3@ii$h%NM;n#wJ?SxRNm1xP=;532HO!J)GT0t|25V;m+4UP(%?Z&${| zh_cU2ef?dJ!p@-6LH8tM^2!*h%VE9t5n{EIiT*wX52CcMEPUCsjKTenb2+%j{pMSs z7ZM}!{xP(~4=)o8WstsOTk?I8HiF@JSVWH>H48lQ62k<27@fZaY&*}^+mXbDcaXN7 zr!9NiX-U3yfW2K7DC&rE;nz57_PJJ33}n@IT451eoM|$^lCa+7?2>Acw8f>3$QNNR z;nMqo=*8*?G5s@0H{w2+YJeeq&Gw#J_xkCdEngBv73*(^`GNoPADc%>IR=Wj?M=eh zX_qq_OZi@Pd%C(N77uAt8z1QNT$|A2M%$H2^%W-Sk50+pnoa?FT^3M3T8!DHL#5d0ej<33)?E#wfi{VQiKf15{ zY69*l@?<B z(!tZVC>rd0=nM3yQIXu8an&kChsBelCA23zo7NcFdna4cV+ptHpbnsnHuP0^7+dv6 z{oV{mO)3~dm(N79TeHK5gb3g_|Lr}w_)qSiefW9ea-4NktlgCO^3^v~HBQsW4Cak~ zPJ#76kK;yp<4iCvI;sabzdwrce~=r7_D`sA!%%>NtV5={Bp8}2}5dz zwH9vSyJnsmqClF#FyUF*`Tb;2mL+FwE$>KKx$qR7F zM>J!xqC{+6!oMc+@Sv+65z8F7N<7;H;8=7~%^LkLsNj`2e5RD+o$x#jT>n7`z)wyy z5^OuPF3fU&2m5XK&ZU+>E$NGZk)vAUYnd%Rn~t52udD<$@RWuW6-r%D#zjBc=kD1D zspg$U_or(q-xb{DI7|#|}u3chRcPh!!n=f?t zW>~BqMCr(EX`*C3KYUtzcN{Fo_+4L{wvTfoS%ul4?-}h^Q%3y!=Scj(vN24~4%Jlb z2N~3$wW{E2G1A~8nG~P;w`~{g**ffpJ5$_X(iM^22sCnhTU+l<9)(pW+`~hf;2KD_ zFK)lVLAd_(#cI8J1hBuuchHE!V&+M|R0_&M?xg$i=VT~p4KpwKCa!DRNN++a+Xfcs z-g(B`er6*@-Ztc=dmKAYTdRcWe^a$ME%aq6+k=u3;}Nk+Zgyw+?3usm-C84vi;N;^ zvWwP9vc@{GsbMqpDomQ*kBvlO9my~RDVdmiwZwDojbw$5#Q4admj+f(cLHvvq%O0c zsMLO2bE)UDAM=p?wITRNyHZU_5eYIBA-^}-7Zo*nm3aR|%VMCxv$;NOjEPWRZIU#x zi&QB@+>7NdF&5gh8?gQ7DZsh<5iXag9dSjAk;|bcKa$nG^NJNBxYhOBzI?!1#CC(0 znnEQg8w@ejAVXlyS3Tzuui;qJmUj8h^e(W^tXnmb39LxDJS$=5R(y58b^04OKsJjj z$Vs;x1k!MEahY&Rxo8BDQpSid0bEFD&2!)yorR46SSU~cvuhvMv}SkAIePrF{1XNs zZ_Sc?hV5tS!Eq#~c~D&y(87`H_UOi4GeNBiwzY%ufBi~g^}5Mc6Dq$y8D<&Iu?%K1 zkwdAj=(>@gVxHXH5>`TRPkO@GBx4X16obu8)6H4^w=#oub>B#mk)8x z7-LniT`?vyZ6f`V#|ah5UkojLeD~ZhoK3a^eNtUvof}g_(6f^+oql|mZ)$;pC4qr2 zYpO2ImEigIsv0L_fs(7YjUKJ9w+&(EclGg8tuHJ4uW#G zS07E&lwmE+_3OIWxd+GqR_Y1bJ7OIJLxu;|-RtX-%LiOZ7`bJdmhPBqvcW*@b2Hr) zfo7Ym#^4j*k#3W-BXOsBSZq0+pDI3 zDVm|!GTNpW2T1F`UJuOI#x0-1^DG@=n)=l8UPiq5kS%`I-*hv(d?>?xX-*8M=-Pfo zaHhXqTJJ1Ic>IqQi%1)f7pN-OQVR`H5bG}xFJuyo`Qt4kt)`_ny=#36GF9e7hQ*Dy%pEI zP8Wbt34{k8DC!W%vi^k$24onu1Pvw?paTH3?~fg{;?ZpH6L*~eNB+NEyZjxIZ$A&{Hi7>%g7sF; z3?|p}{<1EBT*M*OMewsJq{7t?_yJ|oENHM3YxB+M(iv2d`}RoWb5r5@@>ODMr#N0y!v92w+j0tw63_zD( zARY1R0JqY_!?nbr_1QYDm8GEr=Qza%KT9Gl}X%RnNzY#SwidI%9l zv(WE1j)o?CW+_o+CVsS=-fYmwH4XixWX&UtW$<`d1j&es~E^i;u&7M+mefhXaTjSx)NuJc$%`L_waVX#Lq2f_7r z$L~*Ckv+-`&vb4f9Gs(NO+{Fy^$*zMZ&8en&La=K(flI#)(8Lbjr~3a%_B(L%27YZ^$%3sh6qH#P>Yn059Ta(#&Y?h{y`1nVG<}UH5=iIf~Kej`dz9kHcv+3_2aJ4hgMFmU;P6-uQBwO+wW`&yr*IligicxoIs+DNIf>+RUK&RuWPANejgBr_y? z0G~G;QN^8t0B1_)7_|Kv;l6#G=FX^h6UWPTjf!!hkFC)<#_WfA#D7~k-GbW%`J3D# z2|R`+1ZjHhPAt-cH2o<{%`1pjLL$!-7eV;*7(?qo$6hi0-R^t3?=DoPcKO|$(V+$+ zgt7|~Pk2oVJpKe(qG-5;GA%9F>D4j>*r$WOq(hYpobJ5o$2gGV05e)Dxh*ZU@t!HM zM@c_%^@*AL-Iph2n`;4v^1~Es8>gi>Ntcwgop*dt9 z47iEG;{Msl+D2MiX*VdWVle)!9*}zrt-^iebozLdMs|QZ` z$I{&9%lmuN$d*JZ0(gB#Ed76v^hl-{;WgDLTfL(M8*tkKgo0}{mcyyw7i=Q-SrP`< z2mq;;y7QYq@yFuPk^n-m(v=hUld#$*^XpS*Wn0WCYM#!>K#x_FSla5~3{(GFy%W|Z zzq9>Ga}Ev}2T{btTX`fJg?|lxa>^(5yS|vd4EdJ$ydxP6h?Rv0ynhcae0t9^sRjy* zarM3)2oGjdK6})eVM^X;s4m$k8AZjVdy|I9w~sf zL)vMBNzZTGFX2&5a%v}M)gA3%uD62`YaV|RC^nu%<~PW~&80|3)+ekmMrPhWug}S% z{WWSrj?Dw^y5>ihI02oXm>c&VEhfrV3z60!i7|x02vJI@?j6NP-h3yYm6OcN8Momo zKPeKjK7+XX=0VVcL+~)>)iuMqWVX>U71+4-*5GsZ^JUn0gaw7l==?41ROd2A ze+C&;=gcx`ta=H8iBYPmL2u*xdSkX;ZNnM~gF|`(}h6OP)BVH>C00+mY9yA&cm~ zCx@?U-P*E&VeeqZD9KGzoEmu78P@nl_n@g6OXWYmkviuOOHZEv<3Vj0#WrXz$nBnR znh<;>U+!w~(YjA;A1&Bf|&OVc(n}2LLc`=a+XVfy2jj)|h zG>mtSD(=etK$6!D?ZkC|NgJxo6e)gkHe2AjJzk@HtneW_b)`2gxaFTHqBvoi-wmW)RX zlgQt~m!i~@H-Vqog5Mp_*u74Tw2jYn%v?Aty+ALYMSfWJPEmHMD47--Nf*TflTIg~ zm8cCQs>X6Wy6*E|$xY;*(RM%QGwHFR1Eek>TTXH9(%A?-Jh1Bot6V2}KfgAZDNp~o z?Pyim+`=pn(;5L%_5aKjRX$|hBWhw&`Ky=gRd*GCl}L)!+eT%v4NAO{YK8(TN8h=@^k*3FGt@#sLv1xm?{BU8mc_JcH(Qt(-bgJz zJ5l*GeIsLGx9E!}7MKtVhc4jl3a(gF%x~il%R6&>Ni!57(CLt!|HzNeCh=Cx>^|BM z_up}OheyQqHf<74$uy7YUDf{8#tnKX1ri^Wz6z31!D%4*#FP}H-( zd*yu5O<0>XPtrbjC7v#opeQPpW(Sbh^Ziv2AtCeV%5~&j_Z3FEur7z z&73{++Dq+;#m1nc?cW=LzgDSMrW}5AvF4IDM4$H1IUb5s9b)HT2fp`a)RZryX)|1( zygv>Z>`q5Ixzf^@?5FzD5rRR`p;TR7v1l7P zdKTXYzbF~&K87UEo;gR_3fh6oZ|tY)sN_Cp7HX} zk-nm*OCtup^T>`Mq2!5mIjhV#6BF)i_qJ(3hh@j3$=n&)IaJk$xw4UIgFpgixC4}$ zT`}-Agi-rjQh*At(0QN2vKjHzsBh9z|23hujtpd>CABr_W4`#WgoKPlVVDLAUIHSV z2WqB{mjhbew=dW_`qsU(uf(LjRN($~{{|eHZ#aN&lr=AE@-fJ2xp{eqSXu{OGQK?d zhElq1aNAspGIVnzdeV$6y%Gz&g~}5-Ti2R(a@a2q_I2ntuad=>wLj0`ZCY0=6+C_H z;OiF)B1U?6MD~<$HTD{eJ;MvLl&JMgiaIvchUG>%Z8QEDXtDjr;ga}LKpqzqWCBQ1 zB^JX!Jy!&jGnhZKW&G8_VK##VKVv4a8v(TP)_3;9t)-0lf3BD|1XDMg&Yy|6E(y4j zK;k!yRKeljmaZk1(Y}-!;c%kxj@=i2XYT3@CPk<+T(XqZ8VfRm2>Z~_NpdWFZta;L z;leCO9l`V@pF=ALUOdCFZJF;;=k)Ue%y|ouc7bQ$b{X~d^MYmEfyN0Y;T7E~n1yu* zLT}#f#eKyNl2~j$R9ZqU{XfL+P(4HA`ZDZE1w)eTvBR^IZnWb@9>jhj*a1-RViiZ~ zAq|BGBcwTWiz4MYE(tcoxK&2prau~L4_+eGjNHbOW8%w*T?1n3S;CkOOezs_+ms=$ zALNK-2nM#a{~|qg06z>d-;6@xL4oB0z-liF#9kVI#u#aVPs&HzG_ykrM9P5PSVJ={ zlZ*iG2k~5YzFV>d8Uy`%*t~tQk1t6&Gn%Lj6Gu%b6S8-B1NoOps0g!{npKza7<#Mv zWsMwE*}TB!spy;ibmxoVlle>E+$=XXmv>|axlltQnXtq8Zb_O( zB+D%N8NqISG4IA4GHGI9B8q#%B8FkuZbvBKh2EVe|1ZEm`+3TAv&U52kNH52y*imS ztp+L1GcNiHnV1ZdJ>J?}L(!AMjPt5qzADhKd4ckP#Q2ISQtbP3ql6y`Y=~a{qaV%L z9Jkn1+v|}{8KFI6)TIjX_Gd&|Gwl#7KbMFP==S>npH)EMWf42n_BoPu^iSK_G~`l= zZUO1;r*Y#g6A*;B#>T$ClEP)SgRloPs02YG5EIZyhIJkLvV`FY~ z26ONY@YgmB%)G)6!OMg9u{Tw``mUe*Gf+LYV)6kJGmlMT+=-dQLzg zXK3)Emo7vye3-g_2I=rvQIUQ^9K*1D_I|LSJh0Iq8G{@Lp`(;f5GS8_cO7J628_1V zXElxBQ9$Yy6`_Y#7LVNMXT;rh(3^0ZH*#?auMWJHQ>APz%;?pQ4j)9O&1RL4vB;Hu z2z=*xdYUs52e?Yi^;nopi(wyRY`jpFjDHh60it!Ai9!;1MCFBthZBt;^}(;TobwOr zU2fVwrO}fdRh%cAyODf(kFO++y=W>fiEvTUs0e#NkyZer?1Y`mx++)S{@4ssNVK-RxDDz_DR%lsOzZNL2E?ABV%Z3uTA_rX=Do zJkuYQ3di4*KYt(g}AT)^uv2p;xdNkOIcS=Bw31~1VWKVw7D)^4TIU;p4m0d`-6Fha>G!4MZ?sU%0B;k>yF`NH%QZ?;z;)Hu?EJYC!_>E^pZ3g#yDl9d?Eq{<;(9MrU*nC=tUvMD#i zF_cuY`zPNmSbtM)2SbEluv0A~9a@N8czn#uyz0tj(%-hD{u_6;mCvTXSM#@T!jc;1 z@OYAkuHAP!fbAs7!rf304&o%x2w*)%aT(1-jT7!QU7Z!|YH*k0$h0Bwe0SyD18nW0 zC}=?9J_Wk&eW&2{KFLlh2HdvikE_Lixe1+ry;+;kFSk&(H!H@P(}@>(U_`N&W@pas zkdpVPBSQLd6h!ayVp>!`_P91b8lFL$Hw*b%U9&UOUwgeN4v?i`(8X+& z-BAQElOyH&X#o048~R3F5P@EU9_j-y-qI_Yt>hn8SGRHz<4Wk;5cZU8YFT3ba(r4!i<~YFu3^6D`#5Z_jH@y3I&4bc%w9UUJVW{_QS6UM(-|HVRs* zmk2(jE7VKO|4*E@u$-r%*KJisQn(n#d2kMj1a>t}64?*isD4vswFUIJkg@>6rihun{C-Jm`s8s){=||9}5%uu` z&(!wW9|oKiyw1TI!t*JoDF{v5;mgT9Z9?0Zt*2(VuW!v2sv~y$<6kqsN9x`Tb~rW* zWGT)6hqk0r5|bjKZQY9}-n>cIPQguu;Is^mioQA`dhLAY8#Zb7k8P*Hup zJI}#nC}cb+$4j0aUpp?6)i9iBo)>gR%@Kv{f+!P&BTa~PE=It@N?g5=@)UbNrgJ5E zf-Z_cG|6qbt_9T9MK`2bOD!C*_N}hg4RFW zT(mNuPf>zb?E$vhoo8L5*>}u(_lJ9IN!3qf6?t{u9sYzVJvHCAXL`Dg5m+nllAQ(v z!h`7@LI|);VwxLhB5GOJcS#~qpm)mQH!?l2T;fhjl0edpd18l?W=Qx8<_Dmg6qUE_ z@Ghwo&DU|yDJffVXs`179!@Uh%>dk`k5ttb{(%> zp>|muyD?`nYF;V$#o zl2-Y6O2GpP@)ynH7l1#hSp&~&R~Q#vuA*7Cz*qwh*xkBG<^!Kk>Ozjm>OxwPv30DQ z7>7R;5@*3Yd8c@BK3hZVkHn&*b8S$UZ#z_<|A^bC5j{u2-d*~5Zi+wYR3v<~{WB#b zkLT>P`Ahg|Z`gZfG75;M?H1{=_P|q2eXrkjD@CyXOSPIL{*#QWIfaYoVUHe_e=vl^ zgg+}I;w;L=UvcdyOjTQhqDq#0SeH?y##+ zK85Tk86^zCBBY=7K79wYBw~e%hE9UQ+M~q0{^V8nHck5#MU2i6*v4#N37WD$&B{l5 zmE_x9nA6B(6B9^XFg^r`U%kiqiR+VYpm*<&BecjVCV2o$42Y~dM-}>E5xXX(18_Q@ zt((@!<&O)Eez6}B&k50?A>Sg=&Z6KeXg`f<|G1~}RZeTm*%}EhR7ssjnqzsF;PxL_ z{EjBNHy1q+!eYhXyy~T>DH*v9F+ACNT_|gZCN}JiwV?ZZVQX|FzIjD@nbDhZJ@I3T zp!2_Wg3QfCse@-QB}?5uW+~4&xDQ2ZE&shRscR#|ftcuWyZ2OjLoO!r)IRZs?@e#X zSF*m>Ms6!JT}aA&=`j$TH0p#nwc1MkSpwglUJ*$&y?DbHD~Us5u4=G)Ash4V%fG({ zQn>heBOi0*k8?%Ej)YVa`At5@`uwTs=16*D#dal9S~wrU5eV#AR;CEeX14NEPZqI9<)At4~$9ny$| zbjboD4L_dm=Y9X5nfu%`=Uj80b7sz%9ttJX_w(hbN|FT{in*KUU(j%>WgAecfKzA* z>u9pB7^BR6Spzmcr6drr{q0;sHW9)I5kH{&cx7*yCS$@avpO5U8mHq{NOyUYBV4Q* z{mzAJ$AyL>;=spL`%?-?MPyj->)3pk;;RXMr_#;^XRQMRiB-et~ z(ZeJJI=E?v&zI=y4z4xRv!+M3R1NK;g%biTmwp=`xJD@=aYo393hnhQ0UoYuD%`0v zOHRql#f+!3i2tp;URCrw^Gi9sswC?j!5?6r9%?lJ7>V643*m( zhoZaWqIADXQuA?^{80L)vKJnrecY#MMLlu~8U&zYd*L&5C!V_NQd!A*Z!L*$=-e=Y zxY`lb8bL!mgfWs}z5;MJKSmeRX2yQcH*)!Q#5zv52ybwD=O41EVJB%3K&siY1Z?A&>9gwB^<83~R zDDH{VUw#xpsQp|l6T#0I{P8yBRCvTEZf+O2oOP^I9y?hg2*;6c7&!htYDs6wKI@_S zH=~;B0GR8xH}7y+nI8%RA@wZYhcwWv(jb{ky>HLOF{yP*k$(6}Yu#$*7e*65(!%lJ zQ`Ywkx&qzY&g@!6(QR(-1Gr94zlD0QV0GBacl}1 zmo-VqLlN%UCfuswqY;ArS$fsAY~*dseL?u=z&|T`o(T|~NTCD0lE`bSCsv2(3wg(}yslfO;)trogFHH`uR~1a3O_x$ z3v{~Bc}HmI4)5K<_7ZX5lXlmjnY2j}m!f>DTHrYL$>5h&nWIY0m-=$Xm;7tKu;j)@?chcZrK=~DHrSfKHKSR8 zI&x_32T5wC(fn@5#QuOurcWem0Gw>t;8L(1a_J z>t32{i#^2O&?hX9UiHk!km597$(vzP;a)Yg=<%84cC-7kF!5?dnc%}TvV>x!G~pFl zx7}c4K-E5bts7nTfsD}=(~w>y4mWdq${s<_5}NTJu2?hseIw61?59whYwaGpAmxb| zvwZXr6MWhQ9}yz&BxP^^ugUEk*c3N4TdT`P33+(g9ds&xvlc z!KQVOuaK`r{ErB`-KR?2_+aoE|K^vDm=wbLYt(^ryP7i{EQ%RsQW@VVH!VZE*nKco zFZ0T<*KRI=2hg)lw0p%efq(DOji*k({i)OA(G#RIBIXUkqzKvwCFqz!eo&Zroiyx| zvTH42=7GI=Z*x;T-VF=&O-f>y9+vgoR77@tH_{dAz2#*pDbwQ8Ak>ZM+~9NX65H%k zwM@AF;}B{DX_1hS+ziFY-4Hh#GKtTN@?T1!HbEdfi?||6sQXCN$A%($5kBmp=ig~G z^9gbW=yLEUFtR)F0-1*rb{r)f=Ewunh{yx?0inj5+qVW9M4@?jCY1Y?fPL!bsZ_D${xoFSZb_i$s5-x)^8-;OgNzdEjwM}mr0y~JjCO$4L<813Ke{?;rV zml}a`?tNkrzUS^Y(4t6+xr^aCA#0M{?c`qVW_Jw0^JZ(WO#rT_r#?Q$CDLbHdHjnH zHdmFCuMcSuS^RhARG%}_0XPvf-trQ??}lIfOGX-g9>;rlM$USaj{ac{c1qY{X#Qc) zH=NT?sUVZH)0 zpoaoWTfWt`eZw4gKTaq-y8ldaKu=~1_a<0jIr2F^*N?P6r?>k9+c4iOb0f(n-Dej_ z`>LbL@*IEr{FWO|P;cV~81pbpx#3Z)g}FsZ7`6QpJ8f~DF$nA3#7`u$hkc|1fcxWT z7lr6Ev?SOLyIrR);!3-?}(!d?qyANat{)T)mc zr;hBk2;7~px7G-J@7xvtnSq-Y|OMla-8y`!4vCl8N;?o%i2BNlnWS zS$v}(ysY=G^ZY8PMwZxQmno;fmMGZ%qK`%O49CYW2~ZFGebYd3ElnjXx1h9gLW zva#)12_?VF#Mec|!xLIgH)z(49E9E=S#Q8dxe>QwM1Ns)P1dPhpcN{rG)3f9(&**oB!cz8C!)ekWx%VJUnK6e;eXJ6jve(1_Y7T* zzWhR63#gm@2mLjh)W_+A0_?GiwNE2$;;dwoZ`PB)Kp+pmr}@GLIYHjWx0}i4{9AYE z3|gpN^a$J+XNkE$U7?5Geaatkp(E6_xj}Gg^g{*Ls>}}|vw60NM3!WS^n!Db+OB?z>P+eTS~q6S2ovu?Fn(<39K+}nuKNwj zE^1GPws2Ph!33QBlTs@MLLa5}uW(VL1OneVB}E_APrC8mEjIEP`SJi=S*|aFVH5=0 z{-UJR3vS7#TsI~~p!A$a97u1C*n`CGzXW<|Z_b67_6dYN-=kwDM@0IeRo|D($%GuA z2WddtZTn9dw#snbQ>|5d+PK`wg~#;4auVP(KQ1~3_*J+p=1=p zp?1+5+80oh8De;Ha=m6)A!qPLJnMhwmigDp7!mJs<~rtf<>B&OiOh|1xCUYv;4u@b zza?Y%^=}ibdkKk5tpYhWC~O?phDs^gm)-|w%kP?R)7@2&F+%07DJmkq`PZ(dYr{Vp zHQpsfQrml%S-8IWLkNlpmrex=`97yn1l9gK;ei*;#0Kmi;>fIEZk@z0UTy#CfSDC* znJkv;{Dh%@VfB{#+$OcZ(Fa;H7)Wb^KK=BIDZGJvGOn2-^P%==3HRp4WTv0De7o6^ zEB&I8Q(gH;I(ia=Ese+_RgNBEbHDQme_q@(p^%3iGQa+UZNgNqY;Fj~Lyi_OYjTved>ApNCHTV9RN%3%@QjD`7BW#Gumc6{Ed0XPxB z_4tF7Ea;|?u&VMbxA0^;NNmPo0>{$G7Je;H$lwMkx%ha?&}@K4eZG)`#P8f_A;jh0 zHBLVtPB3*Wf#E&NTD1Jhm+>s=6h<7slkzdUsdAs-PV;08-SQ`!l;SC~HUAU~a;_Lj z3{6?8lhFD~YPF7|bW$$eg@{E~V_alVtskJrbgDG{$}34ARp;N_orud69v22pjS_00 z-l<(-gq!F=Hf!u75V#)(flUfPsa|&tlJ{N>{Nz&}*xp7C<>*<_i#rgecJIPRNWqh< zb<#(XpI`kTaSnVJ2uOgxIK;uObHIYBxBc%5r@MIkOIg$*p~xZ*JW3$B_aQ76gsB7s zD%T=5u%vA;dk4+!*c;Ds=9PifbQiy*iGNq*L?d;1ixy&^$2*uA+i;uKAQOy+!+zc%kKvx6_4ltf{Z8=j755j*00pQe#a(K8DYbV&X?dVhHre_gNwBdu3gHtCH{YRbkxG zU8vR+^&|*-qT4m0V%%Bc6nQ-uN;&7Bq;$gpb#O^d>IQGg){C4;|iToqI|AL?3sR>eG9bl13xndB~pl@&RNN`G0^V{Rz^?j)gr$Xz@m zHPZeXAQ{c7LWnmmzw6pyN_#-LiHQ74uvpnj^4A|fz|KDIDdv6n^EBaT2F4@4l&Wn4 z__n`iqfq+7N&1#Zsq2m9Wi+f!H-Zr?(#^r=W-E!smR=B{;2S68^fy}BPc1fbJPhyj zh^YhrhDuQBM#z0f=s-_}v4br0H;GCwnD9ak>)#^sa{hbGUSYS6a#E^`>^r)YAUY+^ z>p4^j|2gAoT9Wbbf7dBmL7b$8XNZ**B7YUDBF63TSBL7E;h87P`2lO)a!inL+a zax7zAPs_Db-*$$SA_taSw~t2eDSJ!9 zxE1>D9e3)3f_Z)(lGX}C6f}~z}=>VaAQpka@f2uk}K3Zs}|2&y2H)TkmQ!&i^ylcYf|AP19%Bc-R!rk!q zR6!o}O%~leH^)qAe7?%uEX}n_X%g-Ay?Z~yF769kUBI`yJz^-C-)$OsV!gy@$+oku z3572*86oau&M_)nXH{`K8DUdjL@03q8?0LYw3A7VoYH*fl+a>yW8o>6%UBumuJL5i>obEG1_RDm(8iP1_Da5rOgP6lT* z&RQX&)=$!8Ax7YS`P2PbjWnJxjuA!LznD5F2>GGGYjXpoc0-&9dBMpHr55SeLgzov zh%bBIEtDtDKGe>G$Gvv}83e18?xUp&W{mp@XJ=XKHAHGl=s_MzUGmJGJ=f?offp9_ zMU2z}|S7I6Zt@e!_ceDtU&*peC+eeEHDJdIt{5dDE8$+JCh}9U}TN9A~ z1-hAO(m#@%LC>JVKcj12PI@cuV=jO|t+5H}m}f8> zwJ!?V!}Q5b<;WM{i#vT^_I^FX|Pu$fZpp?dvNtqu^|7)`PO9wI3Bl;-JN|U?z_% zLWEnicL@4-l7k22zrDCkh9TIP4v$_841v*!$t)-zsyEV;wk!NUfI>d2a1qO;bxJ8J zuCMxOmb6c@-3HITb!Kq?XXD`3?ent>7}UUiJkatQ%6 zsI+U7Q@Pj8@YCt^vE1ao$6PNVKPX3y{|p0M*Jzxn**KOXR?}ugQm38cmVb z>>3wd7$Le=4Q7{&8KbeOf0$^(Q0qH zkt5q4%_z|P$#U8P^vc{rYKWBe0J(&&;UO(f=_bNY0Qc|HT)wKPUDTV^u&EtP33S*W zd?budD!e3>cs(nIo6Mja2!~cRC+-^s<_+5qB>J;9Xg#5rK~p~ZF*=dk!m!W;FGC{c zDCOB~t3O0N5BN#DYV+?BANUl*b6gEYE^UmiC2r1WCMt8r8dbT ztQ$TDrw44UdFN{m}HxSnF@kE*r!^x{~< z!$o~Oa#Aj|2+KD~Xm7%l5*}gp1t^&gI&N54*Pkc85443HT!fN4DpK_$17yN0T z))ubHvD-j-Fo-JHdAMNMOcr$C`QG%&rQ>6z?lzxNU+OgdDW_Fg;ZpnWC*q!pC_8;6 z&nw*-54zrHZ;lFq#8sIrY8HnWp7SNUn3SrQ$X+Ktl35`#SDF}Z>yTp%juW@wpn>@3 zVYfmUPS}M2q7JPiM4+Lq1nM-eVg08!0FSTtYt|z8QXIy2#+BVGzZZ>Er_IEjnkAy; zWk9dj;R ze5LK2dd}k1%+Mt2(mhDQg+tu3tE}|uPVq@OFsooO###+pyrAz>62n)JJ=7${9<4Fs zUz}fGiTl}>mmJ8@h{UIv8tjkYh;(Y`ju{v6k6n}|YHWUleDQTqFf2|->=Kj_*}3<2 zqXipVRWTn+7ejn9P9mN~h<^feMW3_+!c;uTit}c*3urKRIboyr87%fk?GKa)L+Reu zv1dwtlbHSe>BiVafZqLF#5mH_N8Boc6+P5lGt92OHguV>pk#IE?zR1Z0vU8S1Yq&Q z3=cVXyDRbWs!l}NdcnaNeL_7l10W9{?KvGsrw~qdnSXnN@^B-enO8o703+tK3CxwOl9f#-pBp(~9fd7nm=%uRl67JW9K}y&YMQc6XYgwnC8e`pe zUSR}+4>61Ob%u3`k{?hqb2X>ad!x2ZU`sB>6%$!_`?-?Sh^Xzno`UKyh>c)17j-AQ zUN9h}=+S>)%bEx)8ASreJ?vb6lP?^v4u;NjlZ+gCj9zWJYOQ-t_C+d*%$kQe?If1^ z9<(`U)2^icKr5a!jacHl_j{W$2X4_=?l)AAaAf6vL{|`AsZ5 z>Zp4dAnlV-4rmsWl22ucJM$)Q-C&&dyrM83dTziE*NeB|J=bB3UuEn*?S_g%yWOuA zhvFrX=J?lz`l9;IVciw!%D=p`(0_EMq7+adhN@fGka?11iq^Y1B3vj%-VYvO_iE-# z3uRTH&@FHQqih2{2yLcl@!?f19`0xTuNDx3omo*Dr=Z0=MZUWn9ZHr^xUqq|C~Cx8-&2> zeo5UbAS}&{xId`X_+moam>b>D$()R_gzEr!W1HYhY?#}M)UBu;)0jo@Cr?+#On_du zG46wp*X9xm-flS9iX{yPIoIqJQKw=2v&rhDQYP5Zc=(z8D=x~C3;iW`y^t~<`op1L zlJ7>bgv@P7PcP&A#t7j}7fi@hL;^eM?~NFRVsEK{aNt&+Xd4-~o(*FS)|fFH$t`Mu znaviC3!xGZ{n0DLJxo2387asXgC+Q>05GE=>MKP!MQewoc-tM_m#S{%`IaC0p`M*L z1do_p#0zOEtK0C(rw2n&BZMTKGfs_xTmALCO3G1s=q1GJ z#}nWn9@e0TRbY8XPOKlX`1Lab^%>eja;o+h=5rRkJ9NZ7@s!YY!jm>kNYddwH}qJY zLrJf~%z00#fC5dSPglhsThU*5vx)W*kAgSo&L#`}SR8n~;#Hm?885E2cQlX<^Wd_g zenz{A9`g_xPEDt>N?yurdeu!1RoG!`@4%jDlZR&$H~hfvsVFEoHw-NS7{>?mF0}du&*7B zjOi=bf|KXtAL~c!s2)5;=&Qpn@atKsejfDP)_+MbAv_+S?3Q|Kj$S)HL9I%$(-n}{ zw>9%;2Ax9ARwBnc<_N44$@{E74#$1T)ClxQ;<%fmX$>zFcSG#K&;`&@ssr zv-OVD^S1>m!H*fIEjm?yk{;zsgRuiRG5pjQeIxIoJ;`Xs`O9FMux`MOMvpw+D!e++ z)7I2=XF7i%XdOu6=xkS#F!Jg#X*&7zXV30m!PXQl6T-2@kq=EGiUJ4A4f^pL(X!l^ z*~>DFJ7kAcogD4~{+cs{Rk!T!Ptn-6IEKeXI2A~J6H>0cmX4)iC7Pcq>LUBdU~a7G zD2JBth{Z~5;T^ao<)_X2n{ZC!oA+CS6yl4(2k^{HF53at zON_z=O>!i2`Z;7><<*qyk#Lhj<4#DkyHvW?J%}+^ooP+1Prfe#IpaI=t3s6UR^2D!%-0#@lu+F0vcIKZEEt3@M#54sF4c6+4=QeUD zKG18Wz7iRmLsp^wZb%T8u9r{EV$6EkjU<(Wgd{|qWS$r>iNk7J3{eYm5lcw}kyU)bTsSE{v3AUjA*9@eGTSJrpm{h0XJyl7^|g*dL1HiQ==)lC@Ghl(9qa;@NE^NdoW& zx}s{WSJm5jhd7Ewhd)xcZCxelg`nw$9y%I(_={7vrUmYECSae>7CKQGS`y!oV1sCy zpmwI)=7A+8q_jv$31-Gmz8XtBy@}`JnuT6GZ)zvh#c7(MR|DI%kouWu_gSS}EE;I255i72WWP8_LzB>TgZued&|^CGnk!VzODA%FM0(QINvUnELFM)ARj; zCsEyg{#k3(d2xTt)O9YJ`Bt&+;nO#0=AhUEhxciaD`3t*^rshsb;=&WzW@T?Hl3A70exqdD`;bzIzSzn9*7--{*9D-_kNSOiXCftvOS44% zR`1vPDqs*=$>Vx62NQ+)5rsM7AYu({ed$xzkd^#{1oQ7+nSa=b{-c@EYa4cVi9YZ}#U^YF@EmFgYqNSZt7#s2h5e`D z_^s8}dyy&0GEBew)lYFQ>aCJfuUQhJGE6xVu~*aTOW~N#y3WH8lXJaHbt7RKSkpp; z_`cmlzOQ*8(rA3tKDpiZ?bxSzK4+TG^%~J5&iMObKKdq10v-9wevpSkKV#ZJS%bV? zm)H9e0tpKw6n#hX;3-P`6lz)MhwJ%$ zHin?|bRU#tyWtbcL+ZXG>*=)~i~@DHX#y&M3wa+baRt{sdlDj5#xNh-pB8QBo+mRP zFq$Zxz$EA?*=1BJ(pz-pffuH3kU$Rbbdf`e%2$4U{X8jx>Q>ui0o>DWmi>EiFosV` z(qgBR?(@-cpfE~fRS5Oz^^a#QIgPdsAOa*q&3;M+pgVM8QGEF3jr&U25$D{21oTyK z`kw1qcGPoT9`*I?GmN3;^^JJqkIzk0AY=31VL8X@W-^f6RX@wS!Ru*})ZZHKyz|0D zjB13$%0Mh;`W`aTgk&~15#W@&I0|EvEq-pY174Jm{ydqjTFi=}w_9(e!IUl3eIN#T$I^21q8@WP5@)mz#Vor+G;`psd#@e} z6C`9c;!>fg*@JGZ#Xdscz_Rs8{(T63U@7|-eIdD1QS-tCTG<-xDVIH9@n=HAB_vku zuM-5c(^TQ=4~;WqH%r0|z|yCmS+%{XC6h7qpM_8%eRmSq@^D03q#wiym_3wMdWzX7 zlSy+Q95|Y`+APw@=;#Q{9e$7+l80~39G!PC)OMtC4wB`#{tyMnI4LNRs87d^|L9_< zw4f6C-Q7!Ii~1__RenBEbXliKgiL7ioC-o#E!; zP>B-2X_a3Hm50+O4d0&$pfcUlK_mUwihHN-xxuTs8oRqdEQ=yDVoz`zmYx2P|Q zU#FleQXu4SH$$Z~2?z?<@TJ0(b*HtM?HOT>2PW@Biof;S8OmwEJAOP>_GUaN^bx#) z;RLEi%EJpmV);S?^24zQxpOXFNFf?pHljj})T1%5iC=4#j2}69Q9V^Vrl4YOG26OM zl)rtK4Fa5i<0LKWKgr}H$B?~g4biD%W6YNdJzEf3)Sp^P#V;fQ~IfErK}GI&MHTmd4KSMWl)HT#s*7~sg-B+LAVMzl;XPcvIN-&o zg@pK&QW@?_oMt$lDEn()1Ko5p0poOnWqSA)PJCd$rKb>kwIOF26hEdHY=}YlEruA= z7D6e-%`wToCozP-Yp&TJ&wsM3%I@Fq1bl4I3&h8 z+RL9HYWUG6=4%XnL6B^w?F-avOcDgg0{I3$2Nk^?PkKF{XbJs80qQD^yKC>*E@q_o zLPw=h5^7Nb^2Fg`0~cfH`uU5r9ERs6OQD1xIOGmdFijf*RaI&8x2WG|QuELonV1pG zMR!WJi1MY>u^*A@m|!J;ibh3aUvda3{P$A9CP%ZaO992-%*o+4@9c zM{A?E{H3?O8u#M_#Kl6t`q;B5<%!3hUFwX)VupgMC5H(dzL`tI8)MUn*$C(s2n1*wi zldHR<*2gfdG`uR!e;>Yo9EYR1|0dCSJQn`eJKksMOZ;4wMdQ?L(gbiVgF;3TJJ~dy zHL>Y5HbVjLun_ghAjDk5wLv6A19&|MS37e#^GMF|T{8{wLsg3ozkjwmdsFDb_W670 zx-4W9fr%A2_LmVvZHX#CDX+?$s!pUCVOcyouyQjf%;-2DC||-VGf&Qckwp;3YA(k! zW!b|4p>{Re_(RtyQuOFEnX|rD5=i0lae8;7kh2SYRa!UzG z#-k-iu<+{~x_RDpvqBD){V?7=jARs|hWMTA!1$tD1>>oi8)o6G4l7DD32Ps*^+~s|glMRt}RytM6?ir{e>Ai4d!aoT7Uj zpn1m?D%J$(6>L!sic&M=4$Yq2sdUXfd|oOK0JzH>UA3Z)L3=-@=3%(cZ{xyC(k=$& zJrh|txJF8`)Rgbr=68SK*bqBbNBY=WMT!q(VX&a7k7SHH$r(OVi1940+pp?Xg#tEz9SrqTsuRY|F>EgF20 z3qq6*$NKkiFXve-sS3$^ae8YtZ_QCP-=xg)u37v2yu9? zK@F1lCg#O&#uy`pZC?XaH^TY}>)ir#hZK(Z0g3W5# zk6JffJ(v#bVNa;f_4n5|kePAP=mc|et#rWaD?{d+Nw>&L##P<~sk35YakY1R03X_D zE%V80111iQeU$1*G&dkSm8z4M%uhVFn2#o<-c(e09v!M-tvHJbNU~7)HYGNc)a{#Q1Oc2MSB#ujEvgwCb3di`yWJ1`j(lD4*zW0&jK*#d?j&nHVpF zZ0ODS0JP=`NYNwalIO`gl#r84{smTkrqlgZ@-UGi&t;hk7w6qrkMieG%<^xr_kPdP*1i{N&(@JxHO?WcdNo03m!%`0Tf`BC#FK^l%KL~kMggg zBE>1e-6=#?x`@p|a%qalm9v~EF(oj3$Mk~Ay)p(=jP~(2W?c^eYyQ?;p>SdkpekPb ziS8PeAKVx0uK-<-sE!Cjkb1Mk>aY95`ujf!a*!Qf3%N?{$;0u$td9KkP*`uBj~~kb*%8($6;}rU zaWF%Yqi52a3PM~9=M&5oc&tNQcIWos&pJzgYMF`P19oUXU)}PlK&fL|+qg z>)V8}2O=5m;{E?n0^!E~-~V;!*#e)W2}YU8`wF2#vXxhB5Y}-N8$stvlr!9hbmbrH zXO}}>HgKuW8(O*Mz(VEN<4FQm7ljd$>AGIRa$H>g*Jh7RZ)7(wphQ^*M?1j?*$7Yf z(M*u!0>u6pS3{f9n081Xn=v3p;^uM#6Yf`ka>ed|2O%~-W&O~&QN`lSSsG@cN=-2noKZ4Bxy`C7w0GeQ8Bsxj3CRDP9>IP-Ssl}Jg zypWLp)Btq_s_iyHNV<6^Au#6X82C&(U5466p1p0q>8OkTVfR>tfzaMOiC=-6e@9>b zC!cQjY4u_oE>&Fj`AS-a#qWQM_Uhe*MUBvaa|0-2a(<>7Rvr|wPVF@?o3A3%1`QWrkjG5t)mPLUyU^$hi`|{|e%UlRf)K!W)#sqVCz5wE}MKk`9Lh1TxUb+B?0 zp!I{D(t=aKZF3c;;cnf%w$e*dyqADl*V*$jyp}WuC@jb* zh9?FW%T18UrADKFL4*n+K$&d}DNk;W1mmVeFR)%!oZ_QKb?cjK@@9tAmovK%Ms_*K zgMbVURWDBcT|P`t+9OLLWi96y(Hnndk?Y7Rc%~rt38MgX02OkA$<+oBH_S%ptYL0K z)71)fm>P+ANLJWO6J z?L9Q@^&jQCH~ah$b6B!UBj!4i>L%(XdU|7iIR}2a1${D#>@AoAEg_`^dvJ7?czUk< z3bEhB;Gw7tJ^yoI`eUHO8ylf(HjX9?&%c|Q2pgxPYtwBZmti88O=2Io5PzZQ!?6)|i&34Eppk$V(h-7NY52mnnpT!+e-m}OR2f14`D;4K3C)69u4-YR}#xVaJtI3 z@C@u8eo21mW1JIv;m3m$*QR(7o%vqxg{^>~J?v#|LXmWoFNOpjmgc79&93L^y9;YX zKSP$l&uf56NX~TnYD&^*o}=5LX40^VZ8U=mQTzM2qtbU7Ugd0=R}&<*%*Up|nPh96 z4Q86JbstQ738HS14?cyz%Ax{rZ)QWSfytNfWvw9K1it>)Xia;SRdp)T^z?)o@VH10 z>v(fIcos9RPB$0Ifj3vLq~cemD5P18S1pp{lwezpuV5Yr*4Xb~B}Yz{%3cF%roDHC z_QHs?rmu;e9Dl02w;@-S{b!@wn6l9g>nK584!?pH;e$_DwYMpsc@2s@e^XSJzP4^KKkc1mWCN{3ompsWR<~m?? zTqqLVNi2VZwL1sOaUrz5EN6ivX895#+Q)6sNk*)vu;-guQTMefDzz^Uc2{|aGicCX z15N48PX+OmK5` zDRm;JYIGmkZ`8>!mfk&N)tnz&>U%MF3^*>S2gq?}sg*=H9s+dY16|;|A|FAZsLJvRe*?WBSPuJJ{_p}K{wz)>_QYlKUVF7X@=2u9nM}fRim)xI7s(4z z(^EJ+WCqCf6pm1Y;V852^V(hMUP^ATNh{R%GUL$jck+W!cz{5m0qq2kyvN;~)$9#v zV!t$!%zB6m93_0s(1SuDWnx^^Nov-<9%c09HR=btJY)#$a=qs&9U3g03;FXhN+b{% z&J!b`-lHm(B)0rzlywtR)=c(=lh*sgWp^`%QiCCcttn!yhswwX4CH46*`Ufx;gK-4 z{rXwpA@#oiYP^JDn*e|n4U@8yf;q*NFkc^-BI-CaHXU8nUl2JL*0=b*^?t@3ib6j1 zQlFjEiob8rIgrh=Bg_|0K@2e{oQiHXqWSZxWvSo2)q-N{TZS9z z)n@o$Hp|Ty0fPX_6Wn8#=`OC>Pg|I*15opc4|Nn_Z9Ur)z(#pbhk_JW+7~VGI)(QO zjPn7keoa3JK+GAFWd~zSoYQUIk_TMk&hHhF`;ukY_yH8^DGHiwBdK2)`^J%8w4L!; zbws;En*w6H(-svTH=G4p-_2JM{}T}SYVhv^s|b7D=Qjw{klf=Sehn#$faNpf|4`gP zHzxnGM(Ily*$o#91IZ-O-V3M0$HnfSpeo0?#*e(K;v)oYN%Rt+M^~~X^5{skI-%kZ zxzA|Ez%%de-O5YVIX6l4`Y4_5g*uOLAipV0^2Fyq_S2A^2$Ck zQO**miiCXoM@}ykzVPvfgKxT`GDm6NCg@%jh8qVh!Bmh^uEqS1r}nScPf@W@B5!G{ zD@2{6>j8~0{}a^<(h+aWCzSB$D=2)1woVl!B1|_ybmWD?KG3c7v0K}0H@|+j=K381 zA5BP}b0I)dlrc?%q0Dv!DREBSO=U$W66095*ZtF-avComtW0mO?hEJP%^HlcP{k|4 z^~U$pH#Z#t=}w9wvVrPF`a@o3+uR0TNg;AU*TNI9>LkJ9v4lY7$CYOFVR67|_S?)I zkksQ^VTQ$?7mBMHA8J2(Z>D084^D&9o#kAKwv!tg;st2u@58=C~+A{&*tq z@xpI~7HC0wxi& zfGp$gHZ2M@^2u*-+YlAp6x~H5XAXGu`S(j)DOkX=iK~e1SpO$VJzu+)ljMQ-yWui+4ujulTf-sMu$n@nn?h) zN|;(;g?;3(fM6?aV$VOvECr2mw0KzsC{7i{7GoklAm2U4IO;!V!f6{5_%*WR8>8=u za&v+>$4bUku4l_KE0vI%gV_J)$$k0juVq!tg2Xa&+$xOT^Gn$&Un+0d@V-g`K~z*g zRVZ8kb?@`N1CiCEX}Iz^u9i(`SsMuJnoyFE<1$i2k+~}yv`0@7FXl`F@H=+susFzL z*5+4-Fa;a5ncRD4Gf0_0*gR#W*nWxt)Kui$G#Z!3rOsm*WS6lRWZ%C}dMFn{mVMAk z{ZCx-apFmBS;PPJ%gmf{4QIf?B1#`3U>&+jQH%*f!a%RN28x*CkXs(~a>g;+53|1D z9ZwgvECD=vC``uq<$4?gmXUp-S$OdaS>Z|F$=0|8YMyO&Ft`dwb3Np+aFgu7V?IZ% z3}p(^J(nF?*Uj@OA5Mi7+5+Kf4jc6w*p%&l{lm#K(cxBPje^mc$41%Pj!S|Zj#r7H z>oN-kWY?GqFar1RYjm-21HN$o#I2gnU{zem#7!Xo5008d5Wl_M%j1yz0QYc;-fPcE zpkLUqVPIehgpxrGC$;q+3TZ87vZ?R~bPwN5ABD#%C`oP@K2+Ty*D zE4d27y1Wc}oEJm9{aTNp2>9wr;@AQreQvl?Iltv!Fx2Ui|Kl&kD|5&5oW2u54fWS} z)!dq6)1&LMw{>hx9a^9+)kHT9D(f{F?J=slUrp|Ilb!9kE`y36%()EsnS$Vg@r&nJ zTeQEVrG^&$V$ddM~nCBjZ)EV}i`2B;9dzklKmHAlqzthx=F51)n zsA$t{C$rBN%i6PInR|m@L9?5ko68}bd1!i2LiE2jqFH<2ndijR@pgMgV#Sl+ZTJ-& zL*Q~ksG)~Jqh##(ll#vBbA6!T?AQ(`0^{}j4sJc#>}%4={yYAxx9ta;+|j#Yf7{it z-HzvoM|bCfn(WuTO{VkT`2Hez*sag4Q1vac!d_B*Q;@GDV=xO<>!igN|2;9AUe!qO za0OMHTq?ARUnp)9*;%9JZ+5S1|C7_7pCdaJ=!Cc85O3Pu800I9$ms9#mN>R8U}i67 zN$Z%5gFBegXMa1JGftg;R^tD5I``&}n_D{$~MYYgbG=Q%1)LPvQCoh*|#xEWeZs%$;3nks8DMJ=E1bK)Lcy7MQ7O;Xi+B=xwtULamI=>@{%oR&Bt$wGE&SF7r z7H|HDVdH+7!7Yv{$XHkLJV#>|BK6q0=5@wowSJWa#Pb;c)@c5@Tn0X#7IpnpT4~B- z-dYtqachcl%>{Q3%V@o~lKIzPx0lR1VS6V!Ps@1!JAX@+bdX)Ng@O8A6aZ&TEnx7v_Ckn*~;M+Ps{h`qsVNWgT>TPosg|peKJmWxE%ST(WhzJCE%@+tHH%P1myvW*PQ2g=p~k%S{mIJ)+UGRerQL*{tgyfQCk6lI+3DkB$Gq zn1xL}@WWjEhj(mXQ^$T(oYT4z;dk$~B*pXl%!+fvmE-P`D4%Mg(p}q$TN>&*sXIqh zedg2Q_=PosN+42Zpu*JRE+4P`;Q?R$8A()vI;-j=(U|mQr3l`#aOfKVZ&VJs`2LaK zW%<>x{(HkMA2;S2(j*n_)|AX7sCMaOT%U~zJyK3|zF|15Z&qi0|IU<@|Ag(?4y-VW zY6I58nb`KF`=uGg)eN7Y+cbOHnX88jcm<*{J_RAh<^U z&ai?Qm^td=5P(y#>+L_w8XFT?lB$-m3if{CG}iRIRzXCElcsu3wx|i+cLD)k@LqVO zr&M)xIcKK%?^aedkmg$R^4k;{#mkJ2)l|~`N#IiIV2?QpISwnA%WfCF+UzdV*Fu;2b_@T%N3X3fnwElVSnv&x~f7;K2lXd`*qRPe94pVyhQvU{^B z8_DO#Vo$^zypVWJ>IbO3`F%ggq7&}rL2tO&{71s7LgGgD$JAoP^EmV}Y0Uq;e^4{1 z{iv2;oxDD0TbIaAB_YsGGhl22`Z*9|L4Z9+F`&Po69!>Ak(e(>ufr^0v}etFGwt2p zL3V1K*?n!p9_0i>5A6pOAHd?5Q$*55vpL7paaSGg?u4|lR5>H;qJDe6u=vq=wbf#_ zQ{GUZvEWAJ3e#IL#jkjRkS z<}(_BTy)IL--}Xm$F*NUQfl1ublY{DG_DyXji)WE>=Js`gyt&V-%_)Ao-S^4CX9)i z%x)QBUU9l+6l`mncj0(27$lJzGxTsvgE`_dS>T72SQt9pxbAn15LmCW&HZ~$%4nP_ zm!4hzZxs#nlUlN%8`TD~A?WvTr-0F%<)3`mniA7TrqXqcL)j2TzjspB*XK{QU%jbx z(D${ahr4P1L9|hI+c{<2daC(=kKc*3s)z$gqQwxJxS5`65o#nuFlTCm^HMF$lykBL zHC$yAvuCqpg_~6hw4W`51M}7zaaCBR$B?o`=&T}f=ar^r9l>mv>1B5~VQk)=1BV4Y z&n;&Cvl|2mE(?`~-E_MrMhFfIgndgKwRSfTon?K+I{6%zzv!O465tqiKfJkhvg>_5 z?U#1{h$I2D7x~Bm0vKE+R1eD!ulg&~W@Ok;(M}IRUf$PGuYpzz#RLoTi~sdF3_wX^ zHS0ImVaMfXc$S0lZDm>f^wp8P?($O~@MsW!R^BuPvuwiZ=r!owDi2L=j!&AVHL zAArBH{BXP{7|mOHqu^B1a8J+sn2eG)8wrN$udWiBI8!Yjn9Qh&u#L&7)Qrq(YS zuMU%V+-ACId+~2V@BDYUYesYLUtgtH&V!Wm_iNmqXFjh@({fpGh9XWa+1&qUk$gY% zbJMGgtAs~KMw%K#*Vda?p=#g@YQ>A3Z*zRVLbtpd_(IBP>*g|7PoIoL6+s!1G4$~7 z`Tk(p1$}vOkV;l0BK?ibH^}Qkw5Za4hRZC=1&CZwx>@{hJJBS}(8QAcw`g+)%Lfx|6eA>fqx$!QLif)ox8GM>E$9=z%f{ zqB}O^JYQP6mR|GaAsCkC{rE{!`Af>c`^#nlXBltF>**P3?UIJO4CZ`tA-RCy{(|=( zF|Qc6m^driue$5G7=lSpOGu}7djQOVj-r%ya{_Eq}b^Iy24d#r9`5|lgdQI+x zxcj|^4-2=4OiBzhkT0zy+GgGjGGbSm{>R%|q`+Y!xm1;*mZP5>zBYg~V~oN~UY#?j z7aOruGqof6OQC~(1n?_K%#+2=zIQZ%lf{;vmyC8k*AGMO_Dw>6|quRk_2W3y#FF? zc1MU>ob?6w%%$v(jdZ(-JC&b%8FH}v9@yppy;CGs4Rc3&HkD^EwYsG->bsjk-lpTR z6^+kb)FFPK$5W#wd=a9vGE>5UyY$LKweJhJ-|Nevr#=b_?sqj@m-+j9Cnqf_5dmoe zRGciTf8C-sP(NJrck0$e*`wK7W5zK+d%;m~$h4({q^ZIz^T8JrFBlrQw+GgUJBWNt z=;5P)aEH7gm%JGwxBZzOs?}Ht^S*}Da28pk6AhtXj#ER283#9ffOsj%;wmI@6?q;` zw0T(#Tp+|&7IlaUuwK>#XDpi%+-sa{dtqR8<*gS{yE7)6RPuAoSQ^R97#)0GQ#dW9 z=5)u{fntvAz#rC28P>>Q=qO9!Bg@HgOGjlhS~OU{_KV+_?*+)9=U~UYVbg56Al3`K zM}inQAkdmgxz^k8A>hLRsRXZ;^K^%+p3R{&I6G+NHN^HSBMSjIu&z#Qi|Uv){1AeN z2L4I-IL*F;xSucT7P*1rq_9)%uw%@Ewa9`Mm9@f%i4f$?KP`K)JbE@7B(e0R8HoZF zD9Zp+G|=LV&e&ro=(osDhYP3qCFm%Tke}(t8D(RQ5Ys|KU&m*J>)@L@kw6l5jBoGoM2E{KP4kQfFD$gT$t@@g zQdivhV{-UCyR>6#W23}&1-#Ts8fxdl?2YqDs01;rV->fGfg&yiP)Jwg47 z@UCeo<+J+=QuNaeQs57Yw?i&fyFl|?!4v*=nwwR=sJZcPzLT~Gwfda4&mpGtHw_Ka zzRZ4xE%!3M<_g{_J!N+)_?Sb-Wl>Xa@P;CI*3fLMV^6UTri=EwCR8qsV|MaQg}W!$ z#+v$B9*fV7tpS^Q)4jyyXCFQU2`3!-QFdUO620yeFFFDQlwC+d)|q+^w%IR*O>qpY z0KGx^?zT5SadNo)L} zg~DG_b`GI2;l$E?9{v6EyQIr`?)Zt-p{|%pi#%61mDz5+L>1;3C+PpZX0}|jPqA%v zH&C3go%reTzMgDJ)&9HO?xN{)qCCR_XmXG5&F>T7Nr#j21LC*N<;XdGpjd7nWZ~(* zY}ekcp3s6@Xl0d9R&QI{I@tQo)$RGRLU*CXtCexLfc9uN=qB1-h0cmk;@`~hBZY&qo9M4&`QDkC91JcO1`Jo1Sfqbwq8?8PEa$!8qBG=R2IV%T z+rw)QXWw5F(SC>%OcXoH=*JN=t|036OmtTu_TVz6`tXS-D^C&T2eTichVbn&*Y&VV zExBvn%%`C*nN90Qc?T#So3op$Yl7VoAP}BsWUy(t(|+&h#Em^mOr;8rLQO5lj$>v_ zwEfoYS=y>=E{8WqG4F75ymK}nY(ZZ-BKOb@b0Bvt1t8*$N^THC&ihK}n*iFvu~ z`8m$f(Iw5BV-D*=MA;z?#Oi3I6M0bsu2la0Hl)S7`M4GEhmAL4`#|?|p)XyS?-@Vsj8k;XJ^Q%_@mifa zrfT__y?o5SQSG}_7t!Z`TYpuYQvv7LG=E#`i_Cb6%J{E?j8{^ll+KDRCc9MWj?y!M zMh~MS+PoAGor0vnvSKZR|Vj+6wAmkx8pi+u#bDGvlkv^d02qp{u^Ty9G60xnFI_8H`16wfdrADfkoG8rDZf@CI4HQ~z15{U5$2k<{&H=?*Xzja z+Ei&@hRD^|09ZS9Y?Ytl`Gt9D`#sS17vvQIAFh z&oZR(ikO@WM@m`$4#_TSvwTm0*B1AN!M?+TccKuGSqT7E>%&mrQkD*TxG|#QonLaK zSDx##O7@t8JsBe^g$o)5?9Imv^)c{~mvIPm_ggV7+^xLsk=x*|i6t}7{jAls?&ku; zY7_isc^(zK+s$SNU!p5~KP+fROD%ZOiKXCySu-L+timkV+}!gVwOG$|mkptky*O?KHkBqP6OEp2BEI z|4?nv2z9P~>!4##T?L3lZtM^wVBj2&+^GH$6>wqE(FPI51B9+UryOqH?CsX%c#(-f zr^!@iLZ1v5N#i)byZay@Dk4m-{#*YIbA(!!*HE`QbvQ+oD|Ir#)4NE4n`(L8;4#n@ zk#!DVZ}1?f8DRf+hNdC{=54B&)LDSF71LxSrW<*v=>WlG0{#Q{Hj`^XOyi9!rVD7N zU7?QL;!xy^ZDWMSBXdYA%5apAFFR&shUsbAQikVMan^a2^lS6>iGN0@Ga!f|6v^)V`YB$+z zpPaq2cD60dC?)LTLCQANI_;ceFYB>LcWP!;tP&jZI|7 zNzy)Z^$1jplwl0|P*B`mkTib)-%^ z)vkT^-cXmJ?;#^ouX|;z4x#$VkCeBs()-rU8?TF3vGj8(z~fyOyIWn>@B7ZHX>Zpt zX8tQhDEcG3*OoI@&4tEZx&y9bek~*D;(6`iSA|i;4M#F2Od1&q@K@rzE8@`b4%usZ z26S$0)xuV)dE8H|2qJ<}y)ZrwtxJlG7wMjkO)12cCts?x`-Hom)%SNv8uaicrYU@j>o>{>43GgP%dK9~Ngfm z!W2b(W|*HB_?uQGJa@j`gm8|&UY-yNcP7iN$Pw9mU_W1GZ7$MX$cRlrZ^k1cZoq2R zIC!XF2`-C-Tl5fLd#e$*d2>>S=fTl{ts7bH{wr7&+9iONs@h9E?eRVtIikiJU38L! z+LEU2r*7G4SgSP32SDv)PuXHRjiGXPhhf)SU+7T6-}_G{Tee>Tc(?ZtE1mPNW2!M0 zBCnyHE;z;`6Y!BC7JU71(QxzQI7JS!UavdhK&9nNcW*-6yDjL`?+wsMGc@a>Jgg6U zfR5JHUb)g$SXQFDvjD$iaXa>{-CL^CAI{j4ZGBvrthV;rBfR&{xuNv4Y)+{u0dF2i zq5nz-LCl|F9G|q` zwtdleflINJ;GPUKAt64O!Au5si{Fi2c2JiT=CZrl5%Az0*#fn1+}N0;{RGA=9Q^e) z@w8hkSqwMd5AJS=)CS|nr|>ncpI-hHBjWw z8?XV?X>O}j%@6}T0M{~-%EbsAgsfWT_*hiPx^}W(BDt)k_S@y*OoC(~d-F#MH2vlV zXpkK|o8m@&ezAM|CnUk|p=G*-*73+(prQXHy{|o~U$=|#Fy7g>^%i#(?B+_UMc^-^ z`Iv9)kpsCQr>6vBhP0tCF$f&%#zA zDh7PQ&4BI543x!aCN&6_M}<18+#bLRwApfcLmXOefIutT+Q6E}lsTH3s-qbW^k9_w za zo-!<6d-xf2ha>1U9p7L`kBaCBPpgNt;NP}QQ~8tpdSPB-Uluw{7_R>g+UYwg{po@Q zP$yp}=1XZ;GY}ECXQS+7pPlhb`M=h`R`>{XjayT8vFzUX&EF4FLR&*5&)|$SX0I=J zgIht3ESu-3GciIh;bW+4n!=ev1OMdZr}TaC)SEB|=tmsjTc2_K|K|oZ3p@6aZJ1!W)wVf7wBddizjlQ*F&K zWfs{tgIg;qyna!WcM}1jS}`5;NSwwgX^<^9c&COh#0xKYBUD>`TYg9v4ZNtXSOr%u zUbsX!;F=PU*kOM3$6QR_j(=Bo$ZY=i9!vE0VloEx*zKbc5LoHCh3BlhZ81W?BM*Cp zUGe>Sz(Zxne(v)ZaVdQYaFwSzLmU9UGDQur3hl|vDj(jVz4!)^#p+q`I&7~wwO7b^ z*As^!x4OSo1m8_L;+WNz@H7%5V>-gAAJm9CmLi%vMK=x8DUXnx9z2ps=tHulBb^!^jYrpK*YPh(K;z-=p>xj!mg5XV$p zciYj*N#SQ&z=`Om2mK16Im3+&&-~zb({iIb23XSQjkr?gAWWq7$ZQ`AKA*T5n=b~x zk^#KhkI)Hv$*^&;c+iN@!KseTfFGrk9t<_7=i7D+0a8A{Qi^jH#(a9lm&ZN1%()o$VKK!4vaPJ5|MBGCr&h1;rms&7eLeC6Yq1F94WHpMhNvcwq1NqWbgw|seDLr_8D zba;mXaz52*OAzlsJ`sso9lg*}={Ijn`NQ%8Z(%m#&V;SJWonm#k@zR9dP?)2L&8zn zk+6c$Dg7N$Iq(X5-FB`{4M)#@G!pFu)E2jIWgnc#94GU@K*2ES?0)YurdM+Hjc=kkReYjX?eHa8nZpU~?#qduZAs;7>(mA2z0j(fZN3o7c3O3mZ^K z@5?n#nO1uy_V;MJ=PQ39IM5f4d}ejz*l#|=vB8b=CKgNG9-P3+srkX@ILb#%1XbWJ zu!ySkiaW{SvCP{IDJsyqtD%QDeS-ahisw6V-6S#WCNmOUN0x4Z--ddHsvALcNEDC% zlyFGE9&^YJ@F2EK#fcU%vfA`Ag~(BkpuKyzi+e;)+x+gSvH13>)}enh85XwN4J@(D z)r<|9fLi8=n8Wsa2?#*?Y$OKCTiym*-4mv|Wm^c9|FUiF#Cf(DsSV^*nwGHJl6gk8 zwbSWQ(Q;GztNstaW6ZcG8v3%EZ%cuD8a_YA`-~Fh>}hnm^EF2pdL=>}aCjiKK%7Xg zh&o&J?eO>lov~-by@b!2E=b5O(#;(Qv?}^1KZ9e2mLrYxTnoyanjxec_N_9m^zn^$ zkzPnAoxA@dGT^P}4-)*^EKx#joLRx8I*OUpf1GcD(;D(#Uf*dm{N6B(fPnZdi0P`5 zkaJ-ToRn13-cjUH=4VdOx@y-2W@zRGbWt&qoC}O>e2D?xzqC@f$yZ)yibJh&cZ^XA za4^}~J3#b{p--`otg*0RB(|2)yaRN+l+)5rRoC6AQmi`kT??UI1!$|)6O~Zy4HbU2 zXdRxF$=;6ArbV~*9e(IwZME>x1K9O?+SK=gtqJN7VsgLMFR;aT=4>mU6l zP&%^q`>V+bPaPahxfydu>_Zy@;>91K-C&5d?+UiUa9+kHLi=pc^%rTO0-%9`(%OuQ z5=AmFDDMAD4Ti4+9e&o3g&25hN=fxI)u(S>Pxxz3YedBPBmd#-muB|j2FcXrQnz?T zHKccCy|pG5D?HxQDw*1)#!k&u^}KPEJfTK`Ap!F2Dm{z@MfN=6Sx5WnQS=N56V zPKT7F)#km@HrYn%|O^GH**#cjFUeQA| zhkA|{#Qd5)049|2wIR^6*qf}Re(GiBKjvBL96W!2`j;*J&s%MAhG~oou$mDxgF7Pa zu0V{RAp0kqrBF$@1?=XI_`;=3*LB&}tT0Hdf-ksb zB)~oHWS*Vl`(D}qYWVIGOawK*e#(gROO#1cF@zcjmeaOU8#$i+!uA90&{@V#{9p$q*04JsQDZNMl`Y zhiV+bGC#= zPWl=J4C~!wH?P*y`Rf>5@zt*%re)ib=zl~$F*hLnOS+(E0*i++3^6(ON7aC!Mi--> zWiiZ2R!!F@C$Bw5>e9%)iFSMqX(~J`BZX>T8WSg@IkC|WovIW1d~Yw-fU~H267$D8 z6fih1GvXz-s6H$_8}Te2Ik?SWJ1ArhM#gP1v%`sB!{6`t7jL_jeTAE$g>X>5oSaAY z?LVnTLyL^bEy>Yw?(tssGm$&5n4?<6I6Jt+E$D~+H?HNFlp|<#1;>_vdIa%bbVyGj zoc`lk-<7fYGt5uFfNa@OmBKtcNgLpO8D>}`4G3DB1S{k&A@Nk;-$q>X7~uDt zv|#6XL`TelhPs3%g_7GOgW8b^Dk3{`){Tk3s4qBeXE0liJO83zBe&{ywM4EV0D+K+ zXY+2jKS*fbuZBCMYIv@N*w?pWbs>o%EZ2m|3y!{X&o<1rBgcc_DaM$Lae4l3=%<{| zuN=4-0p?-l&s%G(sx~%1U+Vx>;sko7Ka6dJY0~co7jDtTTwL?D1O*p^Fh$ma&fo13Y5Zl@HY?+P*- zulMLTp1uC&YP)`-{iO>Jcs#xa4`fA<)9-T+v=ETwIr7ot%sqoWcvn?2@EKoOsgN37 z$bC-#LE?=0E6P_6l=`OJw~n`8ixMK9if$s6{nt-C?6^{FpzqlJ`&_q)u{P1akK^BM z-glfpDZ^8M^s53B+Z=KyiVLqzkN%Og4^6rHj-_Ax^f0jNcnwne-SFw^nvZFki|1bF%z3f7rY=Y9%fBZ6-wTV_Ok za3{uRTD5516%*ncQ$Aa+@%zg6r$IG%u9MdWTt2y!ixd_+fH47VZTfYw(R>#i6@$lq zm>*jtg7NRpI5wTVdq-(T2hv~y99n~q%_y_;3%cmBjoiCjw4!)by%caVa=h`1?)@ko z-P_U0vl;V4Fy zC=P$yy{rSMsv0)#g`+cjrHV0At-i{TOYdcjD^s>ORyU+J~ z|HS+7J9Ez54|C_v%$Om}&Ob63 z7*VDF0T^0%{|A>V{txfbFlxet*sf6?qXp|KS|rf zAtZm2^;6OXE6DVn?L}1ENo{ukWJZfmqX;r4bg*`y-7>FDnOcNAw$zVs&yvj~; z#5-7z)esGC>M=`Kxo8cw@c+sQK7;WH!o5yUDmtK}7bDBQx^p#CyaBXTTA718H4*^3 zq`8zWgSO6#2L3GLqCr~7;o|ynbJO^Oo|qZ*SmdvreDB>-Cs|tNrbkZ`m#BOat<|l; z$jU-$0N!=8UFO!}`sh&{sAH=7K?RO<(vjFRE+F!(I4qH_jR)>v^YT)6RCbZ4;Rjra7ri<8ORz;R2HMVv!*DKJjt=OFYh z)sO`coYDaIoUeRl?Fqe|pTC%P4~~mKN)AoGrqXJ*y7<;D7dAe+%P(%_8M0*UQ*mp> z`)uPlI!gjBsC{ncW!S)#FKZZF1XcF|W^EJq`M!!{^%<$tXsr%6mV10-!?Vup8 z`+c#Pu&$&+w+!)Ds-HEn^IML)lr~KEy0!7!pc~$(;`HtT`+u62MtxoMT7L@8=32}u zTLsyj-)jWWp)-dhlDK=b9$T)y<*4j7t@iQnqV1%yrVQ7*U|S`7-O0eAB!v)*_3*Ow%*`j-I^)G!|Xz9RtQX^fA=O4njg z=fL}<>?^miYV%7gVKs?taOutU-3}%9Y1u^u@lRCoa`yF83%!2Gu?BLuE5XNZE`Xq{ zuQRf%Deh>(TI)`(_Un4kAsrL(Js1md@4hN9W|7vJIv(;@JShyCx=}6$9ezB3%-rYC zVOHe(@9)urxajWPQI@=V=Y#2}#Rc0S&928C1P@dmOszaJlXCg{!A0}}+R<46Z;b*! z3|{ZFG`&8$zqbZMEv|mja_Lt`^&j3p@X{_0L~gD4>Y=tCdk;ynZyVgV5tp1XfGfp= zjd^k{-$%;myMRs&M!J>S#LH_`mHqks{iFTm``LeLb^O?U(57;yhR>$;pbJVBd*Bh* zi!G24o?2K*Sa41OuR_!?y|4uBS7%CGEQa10TOTAHe1C zhGS5#sQ7RSV}p&!9%C1P3^aO28SOtjLl9@sAAEGO0{ zvc|ev3vD6F+V6^?L*?;c5553*JUt`CWF{Y$alHe8wLDOFmYYeZX6roefS@`1v3p$~#})SKg}g?`=T9f{tI~jtSFw zaUb@*nj9PQ*TSFx)VW3}jhuMBQyd)2+J&m8OL~;`^93P#!J04ugXvD>mP9F3z5%Ri z{SP0kxy*yUzl=rQ!1Eti0g7=@B=W@TKK$QLm?4^!u2S!#Z+yC_+jK`Bbims)aaDDc zuBQrUu|ddw7(bI5iZ|OWz(Tkt;JKr=t#emr>hj7}`WCgsO!mzq(r@~DL4-xD(Z;|5 z0-Sjj_QnWu1ACZ)pQ~J%f%mEZ5W|`*juVcZFKq<-;Hc6bW{%|AyQ_sFq}|4_zj3p` zbrBlmcf5nZMvgD?fFiPQy*DH@?nNRbqMUxlUbof4+~#XMq#+x0MdEZVDCCQI(&5b8lheLYA(QRcQ0*Y{3?3!? z+H+&yv$D+HDDxx@Vf5c)|I6h6iq!vq1tN@SWp|O_Zv@E2(hSEO1XqKiExrY-io6b5 znqAg9=%sxnMiQRA5gk5gcKLVdR|xF;k1G5I3>U!*>Rj=>0$S3LlgC_gpSO{3`gvRNPBHywi@avzR$93G+I>E_3{} z?IvPh2$OwXo~#Z_mxzJuRz#o4ysS&l80Nav5Gg$Pv9TVEj=g>Hn6D^$mo=ZxV65M$ zC{%O+WiadTxp3Hv0d`=#RnBa4W@vQ~VxF>vF}h~0b1uSME^jh6D&4#OT2+Wh7P z(W!9KS9?T`^t?Nl{_e2bWNRngo)bA|ERNOdmc?-40@%$h0dS8S3p&~kvP?%kR#FW* zey#8|I&Q!}X@8NG-5ktH9Y-Vd%}b>(eWt-sr+g~7)>OOnSq=Y5yu(%VjHUdXy!$QR zu)2gZ-v#}tsebLUs>intXZ4smuXAJ@gxc-h5^x@btM@zYKX0z-F#Hm1RUr4RP2?Lf zYg1Fwih(WWEN!DDH`n-xX7s0L=~V)2;GBh%3J)7Usr2t*A55gvdTE*rw9?vmAId!F zrkU3yJX+Dyu5Ah}ppTKQkV)pXBGS41vm!w|RKfJ>njHN8p`a}?dFuQ?=-X?Srq3%f zOIe`p+s3*ZzStF(5vMu+)ygw60SOJA{DC)!bUN2vVLb(#s64v6C&qGCCsJ^m>)KS| zZp;PCnk@P@X9#VN)4OMIM}YX4vZ$Q%lljk$W>_*?KK8OFREK&-bL*eH^dYIjd|@7? zPvcU)dxZyeTO{X3*^M5_8j^(OeG9m@3+Z#ds*mh3&*X>Xe-Xh@*E)(HAA1-*`AhGW5Y^x)Ir-T_KmET z@cT2;4}foDX%y1*AR7EP^u0o9GSh~(Eso*yI(vD3!b7sVXxmwLecHVCC#k=crvxun z2Rey0^{MHLf6Wo~Ee>&SlYJTk=85(riSnPj@6*t~4=A6QK(5Qt1Lu2vAK_2ZCI5Oc zp2KQ(>aCW9glZC9g~i>L4XiesLrJ%}0vux-B8&;Ot)jF3PUo#Pzwh*y9ggGdIAlAb zpD8w`(NL9s?1zvp%ilg~I+_$Nuz;qc7EIayyp9_OG%}YPm0aoME)cWb=*z%_3uBD& zVG32RwM=J5JuVSm!Zn>8#-G`4(oA5&#<0A?AS!oK7J~^P^|r5C6RD~TqQX%#ufI@P z-JCdGt4!4Y=cG8z^R<;|9(mYswnZm;+z!|fF*EZ}ptFqj_g}8jYnOYkf(TA_e!iWF@T&pk$uGc{JRJ|$O1PRaWD77Q=b6#n+tyT^ zRfCSO%jOParR2K=jw1DrO8Xs12ckfSOCkTavFNREA+X?}&&IB5K}WdBYBvW5^_3vg zYidZ3vfydH1V9pL8pED}AK0^SXI64`FbzA1L)Cu&R%_}G&hrFg zx{~gB^w)1Q!xQpGRS|4ARF^S_4cgQlmH*cGReULBrHZ-ak%Cg#jC`4gA2$M!5@qr3+q8H?1y-u)NZBn^NGY zkzYrEYVLwT=>p2>Mz{e0^mJYqEtjs+(W5x=Ydtp-J%|Fh^Co05Cj%`Nm7YmWQu5-I1l}j|=2M!hZd|#%0{LbW&c90>(px-&i^t6&E%Xg=&P!-UC0!sgU(s0* z>g{kz@{S7mj)i5be=yENejxj{JQc zEo)23+@yJ1*S5CZant2n`c-_EpERte#MxFg2TFaHw(q2!?EzF%zE($v#fk@p&ebTo zNZc-WT%UM3`-(6jz544Fk>jw3?12Fd8BoXsh^issGnQLW9MD5gSkW!CM@(n?-kml* zq?0qL&r$J_nVzVHOI;>YZ9A)9gY1i+O38Zs%x=?WqPhwsMr5nAGD*c;VE318riaYs z)rxBwh)pF=nUWbWHJaH2$~@q$p1(HqJr%lKmB&GZj++ojknCH*2FoqpjqwN7?=#Gk z1>iCR{?bv)_M9%BYh?Oo?tb*^8GHYoV&Ly>rxsXnIAPdI?b|F~WWq(a*xip0bZ>-{ z5Oz1Np8#pu>Z407_pD+9%~J{p(i5wf-37dHh0tF?LeIzkq9ua3tq<85d8{~Hd$D8cw^4fB{HjRSg5D$M$1}%SWM^b+SkpEGp_G*{CGL*( z!7AB41o{u7pMLrTG+7c|cd*Oz^KVPaGsjw8{!ghhVg@%U3GG_!kCBf5{HZKM-DgZT zF z8;*gvfWIYi7RGZQd|sMZEke;S@#QMW5F9YontuJl%Hx=Q*{e6M=V_Csyk8@!3b{u8 z=9`P1(~CFjxKGgCp8WZYnPGQNYKP2My!!7FVezjlc3!vT@aV+WmODZNU1kPPY+cS~ zrWKi|{v^>UIK36jJ;<%!wgk$`AQglRjMy@FBj0>G)^NNHn}OsBo=&mP*ZDfOE@pjX zLvAs2NCu1w{Q@cqi3vQ_*8}(@?!AD#E6m99?s@7<(ZAl!bMi~Qm6Goa(R*}8LAVzF zgwj)8g{f)5LDQ2|0%k>PuI@A8waWUwppv~82vYAVILLH~rvwP|D7O?O&RkCj6$Vhf z$&hJ{GsoeF8;;l;Dt`;UcNh7#$DC@A6hmd58}yH32R5!^x@P>x0nTo49p)_tN+Vm2 z$SLr*=toflsyadcAeQX@n7C;0z}u2^JhqRzN>EIFU8xO@!~UlSd4H+x`fU7m)z*ur{3kU{W?Ll-C12n-J8nh1A zjcwZTVc{dTId|n!gCo^mMI=8U z3_N?)VdC9r7;n{XB0#o`B^Ky_BA-flqB*T}4o{WSDS>w=M?iovZ?hUXDtMFHsw6b2 zvJw=e+8V8LpHv7A4-rk}Pt*^49dg&d9((z-f0)s+0{&4WoxS+_=za*xO3bk>2Z=?1 zMv2%-M0qL9FudOhTh4hF&IAa2v7R5%732DaW9-{N%UWmBxC~|bwIOC@x|B_b>|3of z$|#XuSy8$mlg--;3e9W56*6;@fo&(r73`O&(zF8pA}0Lq%A%6-@juM(6H=2`6TUGQ zCjF_B6ZVfv3!SPV;Y?FJ4v z;2gD^JbQ~YAP2sphHpACv8-%cjEYM23m;q32pvpSkz1S<;zSj5FEEi6jiZEW#vcYL zzr%JZ=Ic2>?AcK(YfH>t8{vJ8k?VIU?jfOXx0utafUbFJ;rZJY<%F}3b~0yTSvO*S zCz&_iSmVBu)WUdu@?$aJ1xl>!0G6mbq-J;hIc>=eL=APSCRQn5v*7Z$F27eaCRm{2 z>d%9mpB7X;f&|jm;a_!+Dt!C+uLg4?%u?YNd_&PzrJ&5Ord%AP&-gWbR$iq*b3fwx zm!z&bz}E7|dBZx8K^0$gw1cw3&cvDex$i<4)7Vzxvv~X`Bq|I3$_gEjY$@{m`s{|E zi5|in6^<(Fdbjn!>_O_bLrS`JG)FtvgC(U+sD#{2wap9o#&1lcr^=`Tz=G-~l(#k6 zqvL>Pe4(S5>v~D{RfmmI%-JaK!;;@E2D1NQ(eHWjp;%j>xL-KTcwqHN1w6k6WIC2e|l`eCl5w3$Y1*g+jixXq`y0 z4Tv5&23?r0@T#-_A=Z8%pP6TCX&N#|cok|DgcF7dldcFWLGhI)j(FcK-%?#i!z*%* z`obAfHLNK4#3*6)oUSE|6<0YYWb5&WJY;P3?b$@UgakhE`2m8vT^g0SW}$f*K%08`1+A z9W>?f0X9-TC%ggt{Glf0;t@1^9{VLrjg4|RUCMgkxzudj)q26NufJiJ_X zB$>DMOy-6&;x>U5{9x&C*j778n*A%(=r@j8_jNtea~b8pYifolG4dOE;^HF>N^1m5 zuMlgX;8JMfpG1>PyNUQP~<%;s~Iyhlvvu&;M@wiR2g71n;Gk# z4_G*b`d_j2F-V#j$o{hdI1?PWkKIHkzBL8h3T%1rX-c?-gh4>J#BScLh_1A{4-c~2 z8uk{RtWE_pXGKW>Fs*OLSQ&9@v!f|hr|H{|ai zs|4fNkg-OT0q=LM*^)-QZ!OMyYmDZjuNpdz97$cA_1YK-D;)bHJp1c#GRA2z&jZTq zQvbI8K($WrZ*l-XRF4;R2fe+qT$Jt&t|JL0Vcco%dvuMPko$s`DTLIRVils(@`)p` z?CQksa8G-z6}w(DXLZhXG1>E0u$~g5+JD^Rg|kPmyYm6>_iJPiXs9~0oCS<>pu>Nn z(Hi=Y)!h@gI?5|l33U*J#@`&T`RdvmJtEOSXRW7vD?$ck5rL(Cfx=7cHlC*$K%`Ii zZ`dArf*U22ke~18KO=Y;m=AVfoWj&!_o%V%-^vLZ#LE~4RimWu`KJ(=I}UznPa6r! zEn2h?3*YS}rr~w>o|@uqVLUWfMuclI_-VMjPm64CDT08`;IfU1_id*Ybz6IU0TkIA z!uRzlp-Zcd0gf?r7cr@^9VEL`wXWiS-A`&n&k9tdOGN_RoKS-yWF_&0@MLe_)yn$q zkl}ZSc0)4KCXsYImm$@VqEF0G2Oevd6V}WMry+E#LB_MerU01%VvsbNBbPE0G=Rtu z3UZ#-&o*mw;8XEwZy8uME9C=ul{|!)oKVEFN$T(7cJwr!M*JZW|0yn%jAeC2<1ouE zLK`1V#PY=ENB$rFI)!{ci@9BKs95wFTgD&j5(8eKh7z=7Vf*@cEKC$IG9i z^L?A01fmxg@5h8?@K_(2dLsE@^ih!^OJImaBF4gPMf@`2zUP+Xw}56tJWl)Dh(4Fx zI@eAA&_SYI{fzo;2F%#SnAt_*^@RX8q4sW1|N7_G%>kzQ{O%GNROcM*rw>8nbQD5u z^uixXVvP31X8In!5^hnK>f62Np?k&KC_K^sZb4xfMp0-Jf05{th*?+Cp8hG!%{*?C zPt=b@s-D`*%xr;vDASWY5wFc9`SwY|tWt>}N!J>HE!~NNdga<~Oq#0~ap17QoO1AP z3HXWxa88uSFby|;vBop7#YPpDB25*O(2b55o{kz{d3N{D7BxqGHh~B|JCR^Cduji` zeP~ji<`yeGUZtuW@k3w+%N315Ga$6%`)&^+L~d4okQ6)&Z=Wt27Xt@kOK!cXupz&J zwJUW$7#Wg_&h(G{)ptfa#a(sb`$BZ?o95YpaU3T(J|Q?sV=q)lTIn1@)0a)fmJ^RAV0|+a^OakBb=9nAHiHBk{iWV^5~pvZ049%QE@%LaWb|ARD8Nf zM3t~{+N3}l&} zf6)%8K#}c91j$iViSRb%Q=V41KVGkS{yKm#BLc}M+#fHk9#>HV=%7efa}pu1S!rM6*Z3=nUQz zV>nXxWW!r1#i7VF!56hth6ZAC?V#HTP{gdD(URRbzO@YWsy@2nBwK(DJkpJh40&_@ zq#p}(ea95&9bQAYafws-+91_mM{8(Mpps6 zpo~y6v?THC9Qx7+D?y%7jTL&TpSnL6XG$r`@xsN5lCUD8H|KEaM||(+{mS?%?|GWM z`Q=%doO1=^EY&T{AgaHZ>48+^6Q9t*FfhP zc>0oC^Y>|h%k}(2k-ncAMud^ozbcL{Lb!q7&gWs18e;@)<4WZ>TEb6Q#bw5Yp zTC?f}w)x@1KDC-->Mst;LG^{=UtH^#+<>+FBJw$^p}z8fFI3jAGFtF)LpX z-(!WeeqC6G*7JX^r?j_mjpD-UkC*G2VTEct6?EVc(lDqpLR}g_R81#kP7>z{PDNy9 z>F>AnlE|Ge2d+ddSx>H^pKi|CKJogKOA1EkQ>N{hO+M?uuf2ve1Xu}V5lbP@gpEHP zxTFt_PmFx32N`_K1U$+etvunSc_jWYJn3NPSO9I3L9BJ7zcdib|JHJ$jR~bg5X-b_ zMHi)iK+mk6!uc0a^l#$4%Wa)+MV1=61{=USD|DJu0n~foJO^-?1Nuj!L|z`U1PF7D zYy=!w0FL|}nGU*oSN(fCYtS7JRrca_SQ%sSatK=1u58HT(lEMpq$_A-gOJMV(l6>Z zdA6&)?C&cA!>PVJ5uv|a{a5tWW3hWn=>7W%=H>Jstf{r_1Vp{+mFE_)hOpB~hT`3= zph$#|APtLveZ%0{BC6b*~Q}YGPTp(cW23Y1`VJRcq(LEzfx@Bw559CN&ogKM!NlQi#7F-rjP*AAfV^Pd1Y|bRXR2RJQ=^O| zc2k0?@jVpPKPo(9Cc-VcV#KPZAD$pEBd{a*lwWgiJX>HU@E8Bx_MUF6{C;ze^rTl8 zJ57iYqYvM0W7qwQ{e2+hdefj`OBgQt(@JakiqKN;w1<{TzC$oKWU>EyGoj!U4Nc1l zB(J;i_NSu8VHNk(Yo7+qxiKFRr|gNJ^F0(TqQKR`Wkz3o^S@|3cq(%)qbMl+{>a8zh`e0YNP^LX~B8`pgO;b>ot-=8`Y$>7P)F-@3tc z$G%2RJ*(Z9NdE(kuV#6GToZq+1o1S;{j7yrqEo& z&pewMRk`%yhCcAhn@vz6V0@ggn5Ze#LpdZv5YSz@rMTlT$M6PzX_A8-{eo%w(4y@c z)|yJ*4gdkg*;LyZ#|W^kp8B_7^lR*t;(~@_Ho}aFkeh&{*=2{^cnxliSGHChAix@Ur~JYw3Uxi zTz;<~-sE)59Il`{&SXK9XuIVhfj2)s@H*)$-BIoaL$5`q>d{xMbeDkdG>K+v9ZXQ% zF?c`{<7&=B;V+M@V}bNrO1bxyeb+6*`AIh^lV1uzqleerFGdoq{mWf(1B1mjlruPJ zK||*|7V1G%PwRTg9?c&csh-yN$z4Rtw%Sv2sses9epxjLKFCbe zP7bWx*^M&c{;Q9{V z?;lsb(oWhL==)0hzuicVUvCMz?%O+#&@w2uoK|m)*Gm#(DjA|3QpDU2bDmAm++%Da zMSZTIn;=LO6t?pcrK&wL;yP3%&}`4Ee6M%7FstYydE9WFKEY0FRXFLfC@0?RB7vv zq@-?xV)%nas7N=jn9@-a(GeF8GHd1w-H%f^uS=}v!$A%br!`A9$U4QC?ChPz%ZWS_ zkE^YvMGO~lU^TM0GJv}RJlOyqnw#mQ-^8W{h2LhCWq;m%=3tA^lvt%FHu${$DkA_) zp0)I%+i$MRrul>=MEbXyC(hCbiFMbgLe_)z3b9{iyHE!xbU2}&8BNhB7ypFN!Ef2b z54;O!wCqnm-r7*<%*;U2&5KZ`Xy17oPvYmg)E-0bIy5~d$fYv${53n!-1LvcslCqi zVpR*3L863qkB~Uvw8ZBfu+FSWxO=y`-dAMZM+%ak4>sYAChNoA?G9ZrjZMrpKq>kL zE=}T@)~_dsh4>r@Y$JcRvwgey4et_OL9J9R@oUZU!}BKwu7yD5!Ya!}Uh?|5d?9%c z@vs|6@jKq!#pu|vU3-a4|X4ya$gVHO1x2y2lKUE{j<6CX~N&m&Ntw#y#59B z7g&>PYR4Ys1$L_L02b(WzRZ)?jNjCwnzR+CIc5;^{BBS^ndn2Wls*7C`slp$9&}|* zbV!gM(p3h!+np#G!rP~dMec%wAHW{tgg!-%N5xy;d$^6eCZO+w=1qA|pTNSuD9;IV zca_Xx&oh}T@a;8YAN4BzQ9sFk_REjKQplTP`H$kho?hi}eiOzKaf%J$8h_ z#uYc#40A5oRf}9;r|frqqkcF;t>-Ki|ETGDvr5G3xOd64BWWoKd zD4GcH{Z7;rZ%5D;{)C07+e~`g^l0w%d%RQ6H_M=&XWTONaR=aFE!P(+Qi+| zguU_rA5(n2YCCnAg~o3wgsfht z*`N6N271+A!B2>#4K>0J8pIHDm(@=PpB$178idmTmv73DS|~HgTckZ1RHx1s36?}l z->^k#TT3t7ki_80B{V_WO6k_)Cq`$#wQu@kz1niA(Bc>39RH>lD|sP}3D=#rT~y6C z)02v-qpl(#5Svk*o_glJ3Vea;}ZUf%!jf^NEHx3HxDM}Nq&P+MwU;8UZ4Pl$0EZxMI zjLnqsa(tJ7I5o=U_9B=a@ghN*T7F6r^>yxkvp*{4kC}PQ$N0j7q44xuosVc>kVbTGfAO44@P(*5R%?tqy13Q5G!Sv5%l#UV)~yuAI>(wx`M`malPaBn!Ak_K}q<` zlZ05iT0W?DDEyB3da6O5!eh%@z3vwb#U$XW!(wdMAGROYn@#Iml1FeHp|ww>n91cv zD~oO3U={$3=H~;Hky?Lr!#t>BrNsw%wtVl`_i!8KWqrQv(fuIfLeJ=fvl?x9IS%rU zK4*GzE(?cKA~`Q^jNiys?bqwP6kt?Ix4kqP+ul=M_&4d3~Lx*aKav`JlXM z&jb1HiBj%osc!JHeg5DtqB*EemwB8Z&t!Zr5`EbDTbGAMkdjs`_f^7!-{0%0saN9b zt-27u>-iW%J<&Y!FqiaAZimB@&>Ukq6mBSPqOud|;RFLZ4WhRTOr*-IJ=583ylcWR zm#)EBPD>~%81GIzoDef=&mm^Cg^$w@69%37*Ag(W(5xip8GPGDKc~UdE$;o--Ii?B zIhXDEcQIxZxr!*L{5j4%+Nh|{5po`kK~C6}FN+Y{nUDHuZZ39kL9zcHB+8Zb8nCfz zqV90?qxQijXej=8@OG7*6pTUB{Qiphk<}6766IjbFDXW!2}Ab{0553loYZu+w0%Yy4^=?9w{YCm8u2iK4dSPGi_qL)K){ZKW8lydMNlie)hb& zqhH`YASMQi4klysM?r2xj7~UaUfAf&#X+4CL&sWBpVa_aeIRDLD)U-a@>*D({Jr%s zr9R?~Ezk)f3z5?DQEw5;p4E6ikJhQW{W8$h^q}-JM>i!_+Td6#XidqYewwt;s^#l@ zfoD#2jThmisndg{))_|=mDwsYOH8_MKCcldOZhCT%*)3 zEjfCjNPVBJiTKk2!j3lh=oANPOSl!HI!Ls(Tl~R86;7V)c{2*6pO>>xI5MfYMF&5G z)fL0m*s(VQe{3mb%4%7$A=Z@@>Co;BjS^pvu8n6}e)_6iI^2rvp_K|DelZRr=oOKp zbZHh=NdZ+8+B)`0ncoW7o$`63#!DTvPucxzoc8MdQVT*vz2G}748jyXNfngAASw|&Spq;Mf5Gfy~o50CY^@>a=}SuIT66nK?ZS^VqcqA%9D-ZXHDr;>SD;I|(p zewi+SX75#2arkaF3#i@ZH6bkDJ8L#8-196jvO4e>AGp-YIc=>8Skrwc!AW9)P%vaD z{+g2rAE~ad%Z@Q9x6$tA92+Nld9|?q3X-jg$khFd&i)C0v70%23I7%D{oJ-plvH8% zunJ@Cj?bHc#h9$$?RfwR)XaBE#7`IM&V~Eg4DeW2hmPqs!aYd0128;a=X|nSmxJB^ zMeFkV;A3Iqxr3?)&!C>eIs6k}`f$*^8&7@Q+hMWlmu?p8RO+~&(b9u5Hx;^oP!KYK z>giw!>%T%`RNsb<%=^QCsdZ*shGo!)Zu1u6hkX$hv{_F7kdX!yfGiiIUmrMKTR!w|xl(~4l8$Yh zcU{Ls7Gr#u%X&tIdl^$dAv!j*EYM8{ai_{x#+!-vgW58b_?_#W8Xj%e5ZFXO zT`|bo+ns+SiyvdSI_b76v2*QQWOxc)HjT!#Wvlq87mBVSr8$BWp^A{kGJbC`c|?OK zP6VcfC@qJRU9Zq8PzN^edb%^CYGwA%yq%YQ&h=b!sd$KXF3`IRPaE<9u7euUfx1gE zAkCTf{pc07CNaG2?!zeYU_wsvCj=gbUgqrvvsULQpLhfh@ow$iXEy>2Tmwq0fK|+^ zvh}54^Qeo=+iWSx+sk{6DZb6;e(Y8czr?RJ*-QL8ST{^G#jnm^r4OPRT`I0NAmbh% ztD=R1ao+ul%Q?Vx&Ld!(IkeFp0R5&EMGt!TDXQ&_;z1q>L_t8d6p4u_>e3AhDs*4! z)Drmf#Q|%dS-IppaQPAZ;x4RvM)wJuAj%5WgzELOg2`7)E9oIiF=~aAvH>?3W6nVX z(&&t5_diPFIOW&ICNC{gOu$6HO?NU$jvUN5u}uMOmmb&)UAZ>9*(iZ{zQ1%%y&6|n zHUntpnwPef%)W!Rp@cOaQfYSR5Cg34E#*{eK4e$e!K}oJoqs$kLm2gw_Du4&8tGGN zqL{ryKM?EkgBnckdB3MN9yjDlEl>7_mCqX4UOV7fX3m{I7A^M=WM4=XM#VNne4 zy8A2Ok@qLg;!0V!^l0ikb*!4wC-qBmlee6sylD!|Q(spIb@d8BIbu#Y%PDi&p{j2? zcu6mxNn!}noB~_)hgL9#Ap^tZ10LT&P(z0;p7XLi$Z=sH947!&m zHZ0bf?*jblq}S$Sdy%hU zDfsM;y6kxkvDF=#RxE~Q4;W6P0^Cv)z-k-fa1n^mD2=}}&yrKjp|!uZYSnAQDt)Qvww+`B}&)h_U5x##gw ze}&|~t6&ULE1gE16Tw!eFkTakdYb~CxkQLX2|iL5EaQ3j7CN{Nt&{YRf+LHonePT8 zYr~&8V1Utvgv-!GaxVi~n%9yJ5thF!H^^zYFi^ zG5OyL>msG0Ybto+UFR%!d9uV8DI*OzYZuR4rUAb`t1bdwW8m(K?*23-nc%vY4|y!A zUh(Zt$rx1J^5MVm9up!(`MHR4rbNAnXfiRqEiQxMM#GS8_i{C+V)SH6T zA}_aJPX5Xm)Xxp(Qn+=@a*+2kp6bcEdvBzRy`En`59V^(`b!@~9IxL;CEpW#y-%YD zdnve1|Drz;{koog6YUt7&y~ZDd-s{~LKag8tCc>$k&M=pa6eKb-P`;oHy;6soEv7jH<&l{} zOPWkTnlr1YM6bW2!0yVa>GyQb+2il(>E1bi4kOMbG&B zVcA@v>_C6A5P#3fag{675fI#SLL$e~N65yK0hFMsLdwc7AOGuLvnNac)w{tcnT^P+ zU-*SqdZeA)0=6yrKRJvZopzM+lu4PS_#>;!I{rY={#r5&M8H!*| zA1Vg_Fxq~&;y70nn6DNez%1v(kRPCjafimpI0p^sZ{+B9;9THB0#;6j?&+&*rUKl1 zN73W&X05~}sXR$UG*VKkFO?|c1{Yr#1rRLJ;^Cs;$u{o|KVdN0sJ;Fcp~XtVc>Z(T-2NZm3mmwMkx9sGF9SjsBsAIRgv*{09V07 z)db+8>vOQH9wmJu5=}65;;gKP#jBR+E=peJarTRfXPl};Y`iBFOldKW+WS5IJcfYO3= zhjgdp=thukL=>b1X&Bup64DJKFdC$O`+WcS{k^;Q-gD0LJa3$P-usawQ2d>ww4Xbz zUE65MGUF23KKGNB$f5e-?X=IcBQv^S$=#Fi>iZ{4cuul)JxPD{LU*y zhqel39Fa(JZ4Kc~?et(SDr7oC(QEQEKt2uN;}3vpjR;$DWijC1&k?)y^^?_9t_zDb zuMM1ElZcQ3d)Mj6;9I=CJH-~(Z)1Uz5l-!!Fon3Gc5dq}?`qjs${m>|p)2k2J_;B= zI!DJorwWBTWu=yM3`r1LchcAl(X@c&Z%r?$OOW5}UIj zDtk8u|K_!bz2GR9>6ynB62{du=qVw7@b4z0_Q@^sglMB=lsQ!T3z7u22N^2-TLJ0t zK~9=3pZr(z)5$&UPVJj_MK(xr*}dRMH3Epq zBZi0|>j+}Mp3ILk{B~&Ib>_j88P~fQ{Mb5Y^GOZ89~d%t!~=74gAcLd^i>heqx?rls#Z*K%pKFNA{C(5{6dE+1lL z&f_pmn9Q7UOr!v-gX`QkjQp#;v6TYG?~%w;qkXw9Pj7i76nmukD=vY&9$n>3rU!zIs1L;>wlAp+1k@3Yn`uE|{@k+zo zl`>JIBql27UopE>5}ZL@?SOmBA20ZV(6Uc3^Mb9Pnk26LaZUW9!`Il(S`z?|6@3en z487j5TxGYPQByG>1m}9fc${Uv+Vg9WnT?>9WlaBK{=qNhiS%IyG`07xWqxN=YnE9i zDi_*oxL}lUy7E*>;|1w*0+@(ApTG|4)UbFT!Z#FzyMAAuo}g1QI>YJJ?b&@Qil(J= zKZ=Ue*n)4dt#3rF7sd{Ho+wTVX&P=9#HKJbzR26LGx8UPJ&OPKG-c6O0(L#ydTflF z{5&*n#uDDCmGXY0#;3I*rx)2d5s}h;{czoVSQGk$kNjTx(9fOFagMfUxbb5l*Xab< zsS%s>S#D4%HzuiJcz+7RtOi?SyZ$AeI8FTurOi0sAFE(dT(^lO5&F}sE{dxsignlS ztG3qLbE8l*{gSO#PA^gI+qmkV{VS=pcH$xLMbj$cm=m{xLQ^nwcUel!6b4BklZjS& zH}O`>_=r8~V`i;P+0@_hTm$g-8=DUD)`kx)dI_hdWHq<Sy1_? z_|y*H`U$%KZ6o1_TcY(slaej2S67*W_(XW6jQoSXs?26jAG1WU4w=R}ZrI$a__JGl zuH#00JpOW9PW}u{PE~S$`D#5V;!3n%x__e(Bgm5M6R#OmE@G^$f~pYMLi24tS+n@w z)!>9gbD-%@=rE(!1J8PdrGVXHPu*)IJuoOJ61Nj^3%b8!D~{G!u|8754x<5u5GCz) z`$+Frdps$!Y5pmzcs z*OBW>A&RW~4fPwEBe>$P={AqW`OW0hSoDbB4wK&phMKu;X}zU~=II;r zTWvj2rtamyfi+@X`XgHk6P6!NrrCGc62H9#75Zw@qX*X&aJhA+iup%u1NTB)GOwuY1Cp}|LWPEQhYP5aHu~$8q;Ba>^r?9J0xTg>lDO) zfVSTgI5Lx~XxsU7o{|M)@(qxM2Q^R`r=WOS>j{apl?@byMgFYXX_=Ab>~ zec*a&Z+GmImZ@!2$w^S?nqG-(=zW)tSv*e3e8K+R9dM$OkkE|MYkN5u_x;OgL)E$8 zxTNZ9JEfg+*^bX9l{kW026x~z(}RiSe|O$e(_#fN)98N3dul`z@tE8fnp$MPW^?r2 z)wnW3T)TwgnmxJ_?a(k<3Q<%n2Ie)>6RdwjynXo_7<~lZcz2r?I`U`p7Pk1$7Eu^& zjkR4GaWO)Z(~xo*B7kiaHh^2i?4=N&D`U5a>7a;vwYfvRUedoZl6iqFz_s3($3q^Q zW^u}i@c*N7TVQeU=03j+^(4FI8M>GF!%6eh_;R=B%qSf5o0M7^7oQneY)N?NIbR{+ z4FSGe>g{!CSNxSnv@2tb6eX!InYz8~sOOJZZt~3pnk7g$R(IeVbln1C$fy~H$oy1r zs*x#Uo>iY?ognofna<;K|gWKExqRkd){uUe6QEsRUkw zu90h=mKyA;XW+0dPL^2DM7TJleMM4q^rq%HtFr~i=kXHDo+_7|)`Zrq0y6!~lS>8N zqJ$c@o2D#zyFqY6H?JaR_n1V~l635u04}Hf*w)nqxAmrv3x_I41rU*U(ttudq-7N? z=+9Z==6{;(@0~TXafj~n>4^rp!(SpZsKoh*&zW_49SPrj--7iX$dl=qqVP9JnCWc` z8W-^@uz5TvDS;NFlYT!mmpu|LFKg$$j$<~xZ?JxnrZ!}IPfTZO`A3u~6-jCCLV$Bq zVo!8t*?Yp%MuT?~Ty#(O`>mPzwi9mi`kB6nDR>x{G8qTk4qfVKk6FR)V6jnFE?6g1 zWAxNfZ#7C8Kyu<68Q!{p)ODM6jkC{K_ya<)ph?v%Aq};;MBi&ot!qIyst{`esmMJ< z6F0MJ+jj=2Dbt^gC&CM2I^bBWY#3edq4+u@@gp&^6noN{oj90q=@G}Rc*HF1sXY?k z4((AW7Je7;c#JP3K|-u;_lVW+Udluq9c{V0{uJ>oQECwIw2b+(FIQZac%s<{F!<#x zILqe35Gt6ALvto6@V=1Ht=N+l>3zgZM8gkqYsem^n&x8MphHM-d-Q||#!|i9Okt;) znF)@k?M(meJ-YCEV9-Ps%atFq_eb_Hb?DkGfFifX6!}X?yvL;ppu>mnc}I-ckbuA0 zSxUb7BU<$K2g!Pues;3Q+l$8M+3_4BEn`vkFfWDOBV4hf@#P6$nIq{*l{d=-A{24= zh~mF+w{ltbf6Eg!>K@PVSQk^DsWyV_r{d(4%YM^(O%NwBMKZSJlS{RsaeZ9=v`)js z?m~Eg+-09=#_xCGUpG#bUq~XF5Dt zW>`fi_D{cd z44T)gX(T;S4ZIO-QIOH)oWtUpjMKJvuh*Q|jX&z6U=N?L5y@n+5OqoGac;p&k2~L6 z@&tckgnMRn?P$m1qp#0rs5H9ZeyKRgv)|eZqMC9T!?QH9jp~YH`dYN$BZzQFv^;Jl z5Lx~2xr2ducOKv+NRQ~)vrsMy=5&$4CeuI{mnpf;yftO5AFb@0dBLP@^{P&zuFjP! zq-G5UXl`e^3_d0OXQ7@uRLh#mX6w(kMWSyB^lLN}D^!|Ac<8mUuM=+-3bu9(y<{_# z`we97Wq)IuX=2$-NYX{p4!Nwe@Ns}fp3+a*KP-^0)L`sPdg%sW%uqhIhi)0UZI~c# ze&IxPP0OVP%5-HlawSejdnOD*hi^oLaBw%iKA~s8a}3}b7v^+{TgBk!u}vE>nR-r*5IKvj_x<&pTgmCPCykSJ5{=sU z{jR=~lL7%EL~oyU@GZ}r=LF(TR60SBk8u)s!df0v-VtoOJ_%lq_smutB$M?ILXc63 z8$XrcVJ(O3jTdNLuYHM);R;5%8@t*F9`Sw8k39P6It88u5Rb1m;Z@2TT|yT@fCO1B zIaOh1hP><2eK@(@dP+2U85vX8LIi4B46B0tTc zF};_aWb8qTyUYk-5~E_12H`SBmV@NZ(t3Hw>ANPWrHNpGAig@VCvzBqgW^WybJ0r9Y6! zlmkIRnDChn^r6A2Q?(l#>c8XM?Ol^kj-d3v>a*EN*To@6vr`(1gPzllrs2WHRz2TA z2nvy|6Dj|QB;XUJ+YFa#@s>LNO$6hJBVzA7TVx}ur$y}U7hcNoD46U7FI?{^?xb4f zCF45|#PyJ*3=ZS)LD6~1yS^p@JSi3tayoY8p!3GFPw3Pf-+Q0a%k^NV6q+V}gTvNd zz9mmvPCI--{CYvICsVJdri2?lA%W~i5+@G0!k`?~C83KvDnpK8rO;Rdeb$gj@2y1- zj?FkYH~RG?zFW5$2@?8soJET}?@zC-yk`gEDqAm^8tdI0;QH9@xzM zPc&K4Li=3Abhs;onm75p@i9!vfI|01-5KEnT<*fIL1DUmJd94`m3a6Q@KFq(col%{ z-*{uC!kWdnfsQb)Q|K{*Zaju=jW)@O#KRkI-{Ri@*T`=4(-FeX8$0e{X6<)|H})r( z!z}^5?0t&R7GwF?ZD0AcHrZmoH?N$;Mb`tvdpv7ngGP0k+HsMAdOf}Q<0qhdx=8wi zbzi`${W&8W!R*4lZ-Q9rz0kT2T>}czfzIjX*?qfBKuS0Oisse$tVfWWsAb=!M)ZPG8`p$0ZOOEThxaWtA)a`C z_q=0TEmv4Bz`QX+@^x*$Gi{^vQu@k7q4aiD6ju-}@jF--S9 zc4m9gTe4!mFYQum7Cv@nwaWGoYL2qcjfM`_h-Sg<GE$-L%>{KFesu}S@&wfYj1 zeFk_+nm_XG@@&R!ONTpG4)~%RK7XnNM_M6Rto@w`Xa?Cj!X!=amWl|*9bQcSG5Fj| z;miy@WE69lJ94|7T2dtS-p|~HaO{C(*xwt8zfQ3$1i{w8dW=oEw^5l`YH>pmtN2plHozw0sGGIEy3LyhL*|T z=rF#Me0L?zLyQ@cWH^)X7o~!;Kb%f}(VNmBqa1uf%=e}?qc|)tPFHDbm^ILp{eNgG z!E3@CgwpqDm|X~gd8L2M7vy&rH^Nwo>VZ+zmV&DV-ktQ7#}vx`EqiXfM%UFqLG=o%SO(`%XaZM_0kEkV!P(kC@F1DIK=On9Xk6 zz8*rDw05$5n;D^$gE||cqaW^Mg-mUxa?R5zdW2;Ttvs7m_$bz)+T(nt8QJ*0Sb2w2 zzTI#12mZ;X&bfrr6l$ey`$T23jEjQq7}zsGWG- z3_u{+T&zwoLT(jdMNSqNoaAU4@Nu$7QK5rxXFhv(dM*feR-%(?U3o`$QBEY>Z*m%l z#Wh+3pb9(sYAh7yinqv$-Sx}EsUb?G{@MD|i{i2C%stG!$za0Rn;v)hb!ouOcV70C zABZN*`%}!9Dq+DJx#=E_T(nJqU2Q}VRm(m|*J$=$*1+?PW>KC@P~M5l$OqF1)C*SVc@S^tda#L?4#GdVyr`Y0*6;HZ zllh1wb#Xg{r)9H&eVxSIwNBabobAp7Axgo|&dZ`6TM>ncu(>7DlEj+0LbnC=HDMsX zIhk`2+!Tl!H%szIvKur9dToRBV3N#t%fVi6>w9ix=sTOjzB~p*NrN- zhwfy7kR;>&4vH*#r3VAp+?Ft<=!ZbgL5dr5#ED~3dyY2wR}73l^~vV8XYfyM_h?nV zdl@WxQ1hxdI@hS!NZqN6b# zYz#iuW7c!Aci8@B@~j-#BSCyACoAAi11njC@DS%9b+M}yuf zpeKU##W!)=ronYtr>>f%W2Ow>2h>lzP-pS;bH$)F;a#ff9eK@xcFqJ6i*#e_I~?TZ>Wd9a z=BvPAsq#Ir%+To}D$)}DXW+E;Lhy#g!$(U zro7RlFTpIzQcoO2b#&jlDYpcZ5RtGNQUQJTdvt}%<(PBsG!;KG{_}1|qvw;0;7EDd z?tqLNwe`R5xB?dxyCEYStEHsj!<7fnqIL_qj?GLRNXI$4>6kO7;`B9o-rOqYjn04p ziCBB9nJJU5$uWrh&*tLm)K-J7yz=1g7}z+Z1#eTfGjcpwcHq165;phlm_FK`5&qc& zPgn>R`zsd1@7QsOwXkC~feYMVvm{!K%9~H^lNLs>)NRp=Dq(x}&R z!lFwrSkL1M)1$Y2$j5{?POrm;U8yJH14dI^0gvSCXD;Ml;>Sp2(+JUo52tVJYUdL}p`wij)Y1%9UgIOf0D|M~(jgL#mTgaF!AGgfc7-=$3`0y#zc{J zUaUA`Lu4t5z;oh>dLrT3kh*J@O^e*S#r63tnx_UP;nmn>KcU~GzK!N&>C6!C(U0qE z==Wr3nWE(HFMMSZw$gbCn5d;?@sO?R=Bf7gw_s$38tWQROjwSXarlErS%J+pAcU-& z5RwA<(BjL}97`CvwTH0AgE*$K#%lWEBR`;Z;gtSSwIeegFKz0%XDHtw!6@Ac+Qj0-c2RFzq$sUd z*0rq)s$^b0$;G;s3&k!=*osv6x_?8!(-Ox^z^W2V{A>*~57O`L`fpL>>_yHZwbx$^ zo8MW7GbII@k3?BxuY!nQuFKt`?|`#dAfkoI=mSjA?sr(JI#I2L zK~ETnYyIX6P%2!*=%HCQjfG)2BX0OQhC{pq8>itZAth-}@a}vON^^aWhkT2e1kkO~ zkzz+~m8~~>p$~?H!wN6oVrKD0d|ZG<<^s$}+*W%9lG1OdSk(}@s~FOE0-hFhdqaz1ETleCz8Xnx7zkv zz$8!gO&;U2wKwdoNKuB4oS$N=*XHn{cg(zzJ#~~AbGkTua^OfSz~0yX4Xi@UCq5e(DU0JOOBJqV5pIN#?)KtSON=HcXVZ_{5a9$x+yJ^0 zI1{&G5oX`fxcK-rjw{n-+RWdGQ8oBqmrd<3?Xa2=Ft|_Km<_eF8j|Zf1NW8-uJ%GC zQw}{ghMHcBM1=!{(^)dk?){@kP3Q(IIJMaQ22v|i&%?umHK;P=ZpHUkvyA%8#yAs2 z!z5GZ_*<2-$+O<<1W5l=^tz>M#nU?+ZjErG1!3>Ko7xflB#|_h=83sxQJ`)jrO!5Z ztqh#7zh{e%A2S0?G)69ZJmK80O}S5CCK)G}ESvY^f70Uro#r@`s98MVbGLJ}@a7D? zqe~mz80kOwXNLHOJhTf-q>8wYMqVr>4yKf$Yb;$Xq0L0MiaEXo$jk$qq6&L%#bmg5 zwR$j(nO$!qSh`;wH{60PHmKP6uP1%GxxO$&%M+I$`wnRFL1{8}#RcneBa|ZTi8yOu zy1>b%67_RC!A{-%XRKI+9bip;y^i37!?#ErmSjwxR7dM@#DWW=QLgeEk zzYqsi_kY9kJAK`_5dGfYwV0&uWY33zjB|bh7W|xhMg`A}`TTQ_7ARWS-;L#lYYjkw zeF6wViyXbzlklM3M^&FP?pp|$>^QRK;T>+Dg?ujA+)_UtR_HkbXEoh!*=Ib)ENcJ& z8jHNUl&w^M7ZA}7YaezKzgePuL#te9fwql!tqFDr51^DRceCWVYm@R?581QIw7hBNIuBNn2Qw zq`qzR&lk{Q8D>>>fa4?Um*ir?f{}ad`Dwmwk_>m>3el7B_4rQ! z#|5oQHF@mvPtU}Mj1_W{W;_bv`=*^&tx}i{Qd|c$>9t=I@^u9~nZqyV_t@{n0-Ck; zIpPxg6m>pSr;oTNvhfKgwpR0p;bbLQKj(;lKA1r;j2U&fT%=&OZfh!T62_}({Nm1G z%9HZS*^Bun+DrzoE##ME_4W`xVPDfZdo8A_{#G3GFJ45(a+|9~`vniC*AatS0%q6d zk6;SUv1|&iU*GlH-fg_?PCboZ5;U3hc5<`2_aNOj#rwd1uH&lDsV-T!%ttKT(^^rv zv%%Zj*hV$&b>yPd$7lS5L`Z^*$2_?4P&6eWb>zMKtHJNLkeBh6cjZh`+021zyD{Hv zdH<3ewanCCyij`^@~p%7v{v>@q%yZYSEsj`{_+qtdh46ZylF>^Wm_tA@&V_4F85D* zEgM4KQAVyNXYAa@L*737qP779%5BHjd0%<$E(Um9+7r-BDs3H0_2+rF^s9-zq;pye zAuVS*-Vb8P;Kt>?L(z8HDH~jA?4*?wQ9q*Rop@_2_5nDpKGMQr4je1L$Ovf?(7HSx z*B+X^AW8Tvga zgH}rOyd=F=zAHVS!(ntbf9lN~Oq6s(N*(SK4wbc=ao+i!8y&@tgMWxe9~AIyJd*$L zqo9AFPM)#Zl2xBLb3*~=mxd^D``Z&xPg3$&`cc$f(s%Mn8lonyMvQm3_fMn1v1f-5 zUgME(?oSKajs>_}GPmxnazdMGoWCqw!SA-}J$nppWQf$Z!hbMve6au;VJ~5;=(m&Y z{v>~Gr}+C%f5ADw?W9rN#@4$!B<+?{avm8^$b{8+Yj-cR>j0MH_sZ%tYEuoT257LR z1Xpqgv})q)N4{qv^}wI6covs@Puo>tX&IE^+3ih;qz^Cj7}-S1@hotb3K&094Je!} z6Xm_R=p`B$y6VqzOp##30VD+}7+}D9l74n1$LRVeA0A4yd&={${j-SSC4=bJa{!fA zh`el^!3yX#)KAKI8y&~VoT|+lYI`OT@o|k%bCA^Vb;vT&u1!2}iKhKm0VH(-N_{G7 zm=I4pF}Nni)Avs%@EKK_!ptq&*PE7@ayd#7P$dIhGWPrn1>+CPU^0#?i??3xHOvc^Jmu;3QfuhK5?Hf-}8eSP#uQYpHYTayo=@)%03(UXT_FZ zaJ@n_iwx-_DyPkOO{Ou4-}Jp&7KU<4PwY|1|G(nKNu5P>^GR-=XQ#sJt6zXibG~xl zptr)%Z`7fHd%_*?dHd0UKi!>YJm#@@GT8E}m#Ba$S+uPD6J9w?1L>V_?4MH~TzJMV z?&=EWs+CA~-kD$wuQ)))empg?F#f9hx*YM`Pr@~(QlwZuHlFWZ7NT-`$fCOn)`Ms^ zq?cYr6)AYBMVDe~bwC+B?{9F-(PA5Bh^7SRXbNUxAiQ%dj7|;RWzz&1Q4G8L{2>3I z+t0l4O9JG1a4KkpaZsmQ_Bi0vDs&nSG0+Pp>hNA-Rj2*nRuV{0TdDO+-3)Pkq9Qt% zi+~I$-XO9aDzu;}P!6r`#F1v5z&x!Dj9S+jenxQq&&J&#+>?2#C)V9XzUH16FItt>YADRW!Uroa6CX);_z z!KvQ;FovN(SPnJ)uY*2L;LGBR4?(Pc6O~s8E6wVLRQ=b1v<140;UkTYb~5m&5v2#2 zes^=tR*{?TA1IDUL7U%!-WV>y%{&UU{I z%E9MUNL|myI1828OYIMoW&~cvXU>yf=|LD4-hIg5wi_mH#Tf z$J}6voM16NQvjiWS|K59^r4CmPK7|GD<#BK>IEx-9^dM5d{JHD1u=^d8DT{+d=&=0 zR`$OMx|Y|wlPrcjszI|v8V(BgH!twk6=3H| zKPmY^WwdWkYhPGT1ms(ypj8xZI{fIBxV6$V27PakRcwHs%OUFJ687$Z|j*QMu; zKS3|C`t(YEogTwi>^sv}wp5z%X&L5&OE&qUSHUDPC|uE>YDtnR^^uB|604jp<;Ng= zH@5n&U#UlF)>ANoeZ4=d&FMkSvCbPq#H_xI#Z$M6SyWx=T9#OSnyYv z0$=cv*kwRDlqm}u3q!G36EF#kIe;&Msqi_<+mA4QKQ{5hriiY?1F@7C)adbrNK3p& zT~aqw3GnB>Kt@Pe61=J?laBC@xqk#HWYLvOs}>Dd6!n4W?PN{MsMWj%L#RfOW?q}v z88R+MQ2r>1Yq*vLT~oLgfI8_Wx318EYMx0b^q5l^Im>>M{EaIT;$l4xUS8roRD=z{ znuz5!yKa=S%}2dMg${KO_#~*qhcm2|-Ta9n2hnX}-aurfcA|=Om&JJC#U2_M%FK*o zMC_-LVw~c~nw0(K%SORG245?>E3fo!p@z~zB}&(pR;X4&ecLfHrn(zDI}5fPL$~H> zOtOqbk&y#0aV_l0SqvRkAj)7k+T!uQ@GPmn4|Tt?!-7oonu`8t`!(P(t^1~{CZz0Q zDUEL~EI2WggbDmhqi^@nE&AKe9mRnEVs_{Uv3%KWwk#mCz8MnBGMcMS* zCIjj`-Z}3X&Vi`s@#f#{_pM{B_dokNY4p||*N-AgKk22Fg?~x2*^AmcM0xF?crMvt z)skYG70Y~Y9QRg1Cvw`O7|hyl8BnJNw|%|X8I`3@HbzbQ7^~Yb6RY!aWQ1HZw~$kS z>r=?zeB5Q1!Jw1E4jrcHpK;Hj_`|P>dWx|eG!8$$WIEQPWmJtXwb%OQsQBz8m-H3Q zwUL8r1Vi{AW-aF!T_VL5SE#jey2^41_+`%f;I+3;Pv0LMzhyVh-E{`Ke$Ae=E65f+ z&wX-!^nCk$?p&g75cVT|5Y|fb8S@uk513w8!fY8g%3y-)8d~JsDZ%Wf zN~*WZ=!UKo9o?hlGyX~`+}x&I5Ibr#k>Z2l-QyXuvMPGk($(mMd7aMI-^RQwRn`0Q zsIT*w9C{o?on1BRg+ICiTO#_YUf?M0pD@;t8b4XI7;^~+XoNxM>f;smMQANo50}Ap zT+}53FMqIuFkeuHLm?-8Vg3rSiIj5Xlk$D~*rZfT!kQXx7?RGagVK(@CEk(^n~uU6 zcX2yx7Na{{X^);38JeRLS%V@EGT94WZb@$s$1|GFO|K8@1Onu#q9IowY@759PousS z?+~U-X9?l3?n&n0R*jt(>RH^!4_=F zHqO&`jNxi79V(jzdUf!z(<420{45wq3mDNKX5D_NtpI-Y@~*}6`7nB4_UBOy*age* zC^OA!=#i!+%oBYeV!-hEa3_TuC-LZ1*HzqOEo-5SyOmx`3y!CJIW``w8QSciEhQ>X zN@87~a77vJGhc$vLF-qv*LrYu5##-hyc%R`WUl=F5JccwD zVCmpA-WGea2(+rCVpT;JLnIiR7WIIIpR3_i9j?$C(ATf4I-ypk0Qrk3mclZ2j9sku z#C7k2>R&YN`JWpt!v4HsXi63d(WoMQl-=32RY}2D*P#@#f{R$@)6RKaoCJn7^+3yZuF5TPW9xyBGuHNTYB~BJgUE+ zvDBzfw0D!*Bk#Poc5265`!B9uj>I#5UlNSfjO$qk^-qGZhNE^z_Q4Ck-Z}DoPXTDN z#|2x{;_>b)=R54zs9rBy6`z8R?=;s<{54+WlI+sRQm5}mi7FvzVpwA=AJzxrM0nCC z-+#nL*-Nh%wdjPf^;(R8FFI*Ly+**4IJ7+x-ZhpP$SM5egMQmw-hm%H@AzODdmY?ck9?u%s%&-umi3j7^<%P4; zMwV73mlmA4F5LA}T4)4+Q44FO^eJFb`EVH!Zdgq;m=LNB85C4%MyJ9^K(Ld;%gY`T zl-#%%9(&OW6-u&uyG<4X4Lt17Yr{%VygA>H`$NMyG8tqOF#wL1#v!?HPCzj1ndD|G z#drecWjVh?D2WAO7w#1VS4MxeNO^j^uV6XP8ZU~=VA;Q$ZTu3sxTPNo@7Hvkyn8jwI!@1u(SE4n6@F(<^W z9^|};50KhzdG0huq;iQFE80sW^D1^ZcML-TuIcZsqDkSdWc4a4G@AY7g^g#=MXjbV zmkWEH6z?Qa6UATg8St(U=&W7FmE>C$jK;>$R9wWhYe}D)wRf}r{bli#LlrK)*y_^K z8tVHvMDw+(H{*mOmjTUx@Zaa<*usuqE1|)VhwT3?Ngwz^NF z1P@44hmpPGJIl*-4|G4(?C3-);CL3ymJ(<$0P0XzAj;UIdrmKZ0Uqh5N=z^teJ2|z z9Qrxkf1w$Q%=f00+X@in7{HsRAwT2;jkKN>w4#;I9Q+Ee7FDM&%oi3oW?HbB!5NTJ zHU}{FT014hh`2tr)cQ6rj&(#_w|<^tyr{{YX*utGI}@kxZRgj!7=Fa%40Zu6G85v? zZ{E@hqk@6jzCz{Yg87e3=evx>_~cpI2V z(p4Rc-$d#ulR9~~Of;xL$aiO0m+JC^lwz9gV+f;vewJ5yU1)q7Or0oW(tdT{08NPr z5zZ172+bj0gf(p1VrZoq@WW}w+?7hZYlJrIv#A5grZN(~?3rvlHfB!pgSbP+?_c;9 zl`9B=aKygz%2&L_n&(yU8@4*Ng_7l!f9|YQ(9##$eYWQvd~_fo&!D%HLGOKLS*fFg zGn+mXWqwfho255LS>=&{i+Yo3$!rTkc-JXKT0|wkM&ttT+_h7Jf09!zb2-v z4SXJN;bWSx)*Q&Jtpmsn?gaWSC)9(&f&ix>x>JaTOyv@5buajW4FdiAd@u&Ri(JF) z(+aLc#oN{hVwpZZKh9C^2giw7HGx zQx*NP0R$`ROpgy|47W1wf0C)T6M)C-s`8h8;+pg)ikU+7p=t*s{o>01(XyRkb1Wsc zwX#Q})S2$qL14dn5ZsU}%)~`ZJZww33H$R{SVzbI>73k9v9t|UPbui7g}N-#B$+YW zsqMs7unI6AeLkV^seG4$PC%oLu6Uzxy0;2Zeg2|ggEj4bIlDl{v_JSx5|4SYi9q@3HS=hS7Xw)dXD ziD;PgIl!b1+UA{j-Y_}+tyRY#G0A1i?wf%B-nyDyXYmqt!Zzl~B0 z$qoYhs^k0T=;>O^zR;~;3QXYZX9)x37IUCH#FW>0_TU6H^TtrD;uj9mdsXCCz19WL z6dZ?tQ8G#9V6JDXtCGOXJ;O77$?yQ-@Nd+lHoXsjCsUpAPEk$FOHu#volx+vr<5|P za|L(M{&-^K{E;KE@JGbqNHPYhNr%BZkOiH6u1L%D9r_)c2Ill*9Nn9D>@+IoWbgAH zR_k>+@ly@iozl>(AOFe2<|z8O{Yx#jsRURcwAgsk3_HxItqu=%HHuljrPv9`!o{S>9h zp7Ix?OO8wd9VNEyValXY{dF@#=>}|xX>2r?U`i$0dCGBp`UHlWVU+7Ffw20z#$?sq zOTgA4!uol<9OjrPWHI-9*xaygRFnsKXBcl`TSeDZ`$;<+3Kt5VAi(fb=5w$&!jaUD za)bBJ*(i068mwX`PMP!&Yvg`+)P48Wi>=<2A=ke+v!8i=(~P^I`@ikt_($jP93bv$h7tL-SOms!>Qj{W+cgF(F=Djl)NoAJ6?g_V6Tg&uscc zn*v3NE!cg3z5QXCzE0*q+pDuouveWBw|ztX67rp-Eo>(mjnFuQm7Q|F(<(y zq634kYK@386szS^ATBHSjHTo2+~mhx)H`4=^wSz_!4fQ+CD7do!uJ};LxxpMJm`T3 z#fJ$>YE~l29{l3^_HWfxKyCz45*>K))T0z6=m}j3x zvT_mGdEXetNSKm*ZM2&!%6}&zU;c`Yo;LV7MRaJxI!-t`B4@iww|VtW^c@Co*^p5z<~OSWrQQ+qO~sQss#V(5HDu}LsxxY=#~0roCfO+$tk1VzzSBSr*ip3G7j3MnX8LBUT$n3TD? z{WIs+f?QX4ucGxcR3cuA$v*&%qUxL$goj~z(GN+RU(36P2as7vYEH;@;WX}P-A~f6H@iF2i&tQV}cSE z%_b`ev=_G2vXTYps?8o!tDh6rMZlpZlcHsTAc0-@ttW7$_BE_R_}p)hK@e6H)CZMV z(EDyrN3e_YzW-lA?N)-@>c51dH~w>jfNe)51c0)~-38viLY=`Y_elS2#xzE$=-nXI zMtMmmfrgAb2&Ool1NkTNJ9H&K0jP8n#`vF#ltPvPWt^50!XY9m5to#*-_A5s)YNKq(PdTCP+GyVb zh;4xow07L)Z7exVt+X>v(6%fJLyo7gUz65S|S`75EZ!1&{k z7PVJwtuh-GVMQCAChe~zqW@4!0ISdojvQ?2vr;nO7nw*zez^Q#hgCC4A{1~^YtTX>v9ii5yYEwOC=?Cw9n*^sxvqx9*|8H7JvF_q( z#qN)j-+RlHc>9I3?~s^|gBxQ{(~-J=_UV^&Uc78-F4WDwHTl{_dao7-BHi7WJjQfX zGG|qwbt0?ZlPDkF!zWqWVKSKJv&g>iH+OPz8>=t2Sr8)`ShJF0+r)EqV^uJ5kdUk2 z+qanwMDi8VAe7|C9v+1CXYkS9b9xrq1D@W}S z_1iwdZhPxwgVEE#pRFdm_W^AAzWkR#v7cP>t-z+?qNvV=*Hm~% zhwgU`(tv&YPx__=)7+9E=C+b8e{IrXe2=KWCSj`x-RF4VMQa;Pu`cFHup4Z45A$WG zU*hsQZR~s@jn`zGU^{;N_aJ#U{aKx;Nd7CnuIKnR!=vLVi}rT;ztZcSUq=6t?{+U3 zcsVn=Od0%&8lPnJ^B!gyNbk1b@7 z5p*RTpu$OT;UL3%d6^e@_+0-@(~j0uhn(K8Hnm*>$1b3YWK@wPl)B_a_M?~lY^utI z_`d};hc%+eV)vB-sQQ=ffeL@P$U24^EilZ)gxT)%>nxT3aQ=YWv3X_(l0Z9t53?5i zPZF01*FicWsrrXxmgC@eKf9+f|Ndx7bxf#r7~qZy_m)p7B-!-`uGT7|I2=k+Ph8&4 z{+2(SX4ri-*gIocLc?uG(%JBJ$b@hY1=FrrB0>}KOUrEx42j-1N0kJ4M620||C6ie z2=)_)c*@CfVc<#|8jR<*7*)ojj13q>?I7q3v6{n6zhejIDK)DQSM{PG`^CnTS+l_w z)Xuc2N&EN9R|eDt4a-C*OP+gvz;FhHIxK7XEq3FWWYyg5_7_{wHE6Kda-cfRF@fiY zK47aCp);}z_wNnE|A`jIp9ny?!oOsiQ&yiINy?iW!rZ|`z zdO*QFYyV)|O@ebxyhhr-hdqItSv`TRmV&25_X7vJw55%oX<*gCL;m8Y`b&D#<9XhE zJFuq8o3p6ZiRoeO}$aaTIZ{w-#MUIoxB zifz*VmSQ__QF-4C(byx8p58CmGQb=~l%Z1(1d$b?OKHir!?uIGh2PN*WjK$N!143G zS7di|S_^XM4;75vl0c0ij5nytRJTQFOnlVMu&CF@n#4g;C$#>#L)|Yy?SD0Y9+wPV zo5NYZ_*-41KD&fqz5GpoYVCo4=Uc!4|1WR;N4rV4@!yKv)>f46e=OAF@RxV_B?weR zU{BNRJ22WjX!e8+8{B=QeqX!5xwJlL4f6iE>4nYHOF~44%9^t%Gz-#C&G_Z$_l_PC zlbk@gloj#alF*Oen58F4ZX^e~@?Al9w*%!YfitrSQxQ9(G2=?CVY+YlX=!NxJ=PlfCKNvdYgSE{e9(X{Ib(@>ke}U&c$kJLN=z|Qb=pJg9T2cb9aqkk$E-K+q7b#spq3<=Q2N0wc(Ed$9ln>`z&)n z@>Gy#XyVVHb*CJk5jxh-Dar5qhUF@#Q_o+a%~|VkV86d8S`^TTCH3f3I*<3L0QiU& zHuMOV}OwC^LbE`}7d<|-6*e+kM?v8`3?mv zIfG7iIT~pp0fg|Dq$4ZgGC$E{H3-$2lX5RJzkGATVgZX;V#2`N-Nnvm9!qAS+w&BC zqhN>6kiaXSP?(BK{zuZ>Up&SG9Kr@00r2Nd7SIHSmbbalq;AjCXuA9b0?+Sb^7LVu zM2EAzUbxByNi&FIHTQX;V0-1Bq!&YHUVLqy zrkJ@pTWW_{9af7`{T=^u?pV3ibAg=Hb@Pu^S%Wl_Sql>iddiCA;~MDe)E?Nitk-Sf zddKJRHzU^aD~-e-leM?cE-_yQU^)aBRLrf0VqO_rjLUvE+Fvt5-|$ed3>13o8K>E6 z3t-Y}caLsmJTo|t@eVgK+DI@zjrL-h${eh9#S1Nk54U`M9r z64B)jx(|CTCu1b+E?2&f~!7Z?NUm!&sqr2%@A3#XU)8Zp)F4PgtjHB)zEOQ?}4ID;L-32QSlhiIU)e1 zkQei0M7vC{>Z*cvOY}3}Q?useqICBhoIk*FmMmv})NX0a!9AVbdO??wEfzHa7z8F z;A4t&FpuH^H|^!WZI4n@-9O2!USp2Pr)unvAO*|oz+b?Aui_5Gnl`#eQ|&tqA}lg= z14&m&80V)aH9B}Ab$Z{mKHGb8GsOFA++o@6klN(#8(+^|y@F{1pVDtzYb-#CsE<5L zkaMLZ^d5if(;kl$Q%N;>m zDm$;}4xYIj%1|n8V?7Z;I~@qGwOTb-hN``RlrGJ7PTX?6A9y>X!`Kfu$;1DH3va*PYbHJvTt-rKQH!(j0!X5?6K%2`W9W28DRT zXO)%~qsJ1QPg4Jgc;Kz65NY9|<4ZjSp5T~6O2cE>eu@}CJQF4MB<|Q}LNQp`WrPsl z^1vEZ@*CJ~k;nKmFqb~`(e0aPRK68U9Iq zIcLnaUNygbcVuSfC(GyX#ih+HpWEs;L0rSh9-y!VrKQV9)c-UQs*dq^g%`mpg>wIGrnObp?stQ536LPc`q zU`u8|LzZ|pwkrCu-~LWSIf? z_!4%H&gG*6xY3$Z{y5R}r%zI*M-Vf!Z71kX#-Yk%#}f8)5)YlA=c^C63x0Z@dZ2XW zPY)p{>X11;+WK+9Eq@}w@Jh|05v*-WhMoP;*BYtwPb+$J^A+U-L!N%QWO&$a-syJN zXT>3-Z*(d|=^*<$B6huVW*L5fntU!4bo?%JOEpmy$tRb{z9?2JpV zXfgv+`Pp>P-c8DGulXIKxjRZjE#^qrgrK2S)K$=JeBe!U?2nb<1JbLaw7VwH1&(V^ zDW1IZG5<{(xVUuSj)8jv;-*6JAA9-{8hNlJ9Fg2TkfKo`Y549)SSwcP{2MuKju8U> zt5IA=7t?#W|=vN4*y)fIV%zlpKul6it1?r31mTv|m*R7^R22j0Jc_S2ZQ%E*Rq z$fcD4k`bjB&8;JsY>eil<(I@`XXRi59@P6XHtAP{#Pr8jt!JaC&N2Y)CD=$Zv$U8Q z5~H};5qkp;x?w3jqU2G8*>jo-x|fPn;yavh>*y#(x#-cu&>))Fl4*ySs8@?@K<10m zrmJ~>M3MoS=4YD=3_s@IPE9=v3sh)NQ}rl9{xbV6)Ai_ClZxtP@C{>GNqMZo1@p6s zOY{zyR++*x9#DjprQ9$BR6rLs%2JgYIT#qqe!C(q;90}3<94t167kciYkePnh>%vk z$e)i5W~qmvXT^@((-*NP9Wwe1FCLA%O)AUznIq13#DBO}?+RZwG9v3|>nS;06 zIcz&}b{ddGN#HKZKs=((=3aC0LxPC1gT8vXu>M@p%LuT=5c9|C_A!?@yz)oLZ(B1@yJPS9p)=t=1Ey$2Nd1Y^t+^*wT{`e&?7*se2i^JSgbFGX7|r12nWq$~AQM z6U1RFrU~hb9;pzWC?u;+iUktPXGCa{DH0!rE*VYwON{&Le==6YOiie&{2TDI#{T@g z40iBy99tSy8nIK=dS^XDy*QQ8vcP?V`)uWO-L1#sH@A*HzbERz5=q_3iud8qd8=Bn zxwY~9G6gVFH!Q)b-$BNWg2Zz86MS0$9#=0BG@cB$<>qXxCAy|AJTk~74+X{#&)HDE z4HQ8#?xXu^Jb-j386D7RbKP*gf&yF!eZf@!6ZK5zsCSPT;SUV{nK9USh!PKhwHrNnn5Gvct}H3>9wW)5-x zZ4OC!dFHEh>ucRUT3fF4t0xA~aJQCeOI3@CzeY1*Ff&1k?_tNh#za?G!* z0y`BLnPY9<9{4xpu~sm>q4Q4Zea7a9?(mY%1rIA0UY#i$RMt81& z^tU`lCouSlb~DxnE7lVi8R=ES^uKN?etn^J(mS4MeudnNKB4JJ^c%gX$ILbl-M(&! z7}p$@lQV@5bA5H7ZfoH5`oQaz0W{9vnOD~vRFDx~gS_cDm6}GAgDXvCmMt(vvW8DE zM_r?vQWpSUR15&1HG!-f-`0BdqrrvqH@_h!`FpUF8J(Jl-m{mwBt1`=0tL3vwaU>G z9de?Bj@3e%7L^!j!07rMs*5|?>BCtD+HzOu+`!eA1A9f=cNKl622tlI+76?%d)wn8 zH>Og1foEb?!ijiRAn{E6JE|k%46=F!sS=QXGw*LSdt1$sL#&F zXwRQ`kPqC@T4FZ%GsP?9r4586g)}9+wKF4MA+0;KB`v)fcpK`T%@{659axGZqiW63 zOnduLt-a%|Ik|l<-{48%+gp4s`|MvcxYh8sQcWmXR$n`t)4~U}l@n!^xL@JR(u8g= z>ni3>6`E$Bu1Qkw5>k)Q)XzK5ea~4`ZHc>qq29JN1`vj3v7w+OKXZb{-FWTYeLnrF zKDBlRtqI|0NqQyPHSKbi8nN}AQSp8Pq{y~Pasq*XrT1#bQDEsj!%svRcptb{>CUKu!8xb18)ZV6Ey)c z0~22t?|vK~B&co9U4K&v%4#zvf_8|dRH{$+FkBhB>rIz*z%gj|^`SE`W@T|@80Hm#iIJYl;dBIuv$ zf1-vUmulGwNWvh_KTJ!aj#tysdIpwGweiszSfa2r1|#0LB}d>Giyt~~(xY23r&8p~ zcd7kPVx&3QqA?$H5)O*hrz$VAFhVbf7T{Wf1$YLL~@5XGtlY$V_48q&i z=0i!$U#1_)MoQ&tzvyc_2_k_yZC7e3A9fPJiqNRxviW~y@FxuCu|SgAN-8 zEHe$$k4RdJ_*AtzyFToa>!g5YTeyf4(|bJw0op_OLC>|204{`Mj0D=T!IW1Sip2?| z8ynz?HACbZ@7-muiZJB;o7FH{XwG|eDX8HlX4bJzs&K!TkB)w^OVa>+-R-_#aJ*y2e7|qF zfOc!jjUYwHoxM68)!f9GU_{aaF(s>H>sxIw_`MIuFt|+6I2Bqn|5F&@6jXokP;YQ_ z(D}#gi*^-+o2tWA>%#x%IY|*6aUUMt#&@d}hYPdWwG^Eo2z_5`!T9Uxz7hGgrfJ(Z zNOkY3#E>2T%X+9Y0$ry1J6=E-THnK*oA8NG=f!RlhOnt;cnVDIiH5mkd(} z)}s6YewSKTLf-vNYg*XY$m_%5XqLY-U@h6(m1}+IRPA~{Kt{(1^~CUYSkILpG*p6B z*1WW=iNJyFM``B^P}!?zeleQ=ZYd2ADXnJy$1BxncU?8|YDM1?RC-o1L`qsL>1iN`4Jw1M$}^4;mowvw{~Y%!p_sw5tWfp zczEKtC`E2^nIkOjo2TAvRXdB)kKfpQ@ z?-d%3c)BP(s>e9s52 z5_rsbx+;-E^jo5H7e@Hx0kC_W*pWriPOVJZP+y@AVQ%GZkbMj z`FP^Vy)S$EyxEjlShOkKZS$<4&qQdm9g7lolLU@LyjcTDBu0Q8}|2l{D5 zYr|joM?ca4!mo$(B%}LLT6IhiM!YcgmE}20lKw2ni`@^N9il+#*mW(YTqsz62PIea*!xpUsI+uSiYcALr%X4Un4#Ax#}^;b)Y*G2DcN>fV;6aLh*Jx z=h6K;`zAAiobSGLZ-K;_ufPMxt@M&GAIAj;=@f|8bw{7p9w$2L(g8X@#uc%_Jf~=& zkmmpI1#IO<4$Wq`sR6k(ORjF8>-3k4@XDp-Y@RLkCnqD4RmE(h~j*-SI(SSgj3!s z^Zj%qB)&&e69km8N8nhs^WLn9djy#8i-Qr2knkYkQ80X%_nLtvEW2l!hS4p9JD6u9 z7`9M7y`+EJtFL#-@{HGQ#50pIYBbnrOM~h!Da?<3znHRJ@ms9F1HCOK{{J9({+nj4 zfL1t@cnR(o{0|Xpi-2d6?PMN-bK+XgNE`WRQrFZ1YvaU%)T~ zU)czK%YYuz*MAj^&%^jutTIQdgHG=)l6d4W?O5kbEePSs3`biMK3I6yQfH-2qnSas zJVhi{p<$o>|CqjDLCdXHCzc|ym(QUtRNS>3*n!gM?OTAii`sJ;Q9B`JcZH@5l=`Kw zp~qIomr@BOD84Z1j?b$y1kO`EhegPG_;H5RWyJK-qk>q7o>@}@>9CUz#G6tr$jYs% ztY;qYx>zkr`EqWfDFe6v+;t_N$NZlgu#=}0pX!3ojBIJ!-U)h}1!?U*s*mJ&h2|5e zQhma*$7QERgwxpWKbVTFA@1SJ4-fWG5!?`OoXuh>pN$E07@%* z!aO2Fw62gic_9rR^O3Hhhr@I`8@nreOfjB`AauslRPwX=)1>V7lm==uS*ZNG6Fdxc zF!x48L2>BO;TDOaRc{fuVnT*hfC0_L=a7-dnkH`V3-JaCaL`&3!)){@zrxtb6&9qw z|3f5-^QxY5Jno)LcE6SSR|472eJ$B#wFRW+=tICp{3OS+2L86atH=R(2Pd90-}jPO z$}BF*%=N3Y_CadeDv zs1;gFEXqeKO1Xu2F$gc+m{UsK4fU)P5_w_imV51W}$ z|6y8qgBq<+K|U}#EXi++eUM%dhGr~g$TJp=3c~WMymLT~2DrYFC{8sfNZ*U476x-+ zEoS6lylB$p=(+7ZxA_7kWdcdB$B8Bwm#DlOyRK9BbK<}fA{>B)h#`e0x@H0jI-y!L z@IRmbl~E(s<#;o%2*^b6?#^eiMDR%_E$?AXOb{$LeY2o}&NM|?A?d7lqZ?at6c{UL z0XlJ;;LG_AY_9ZoB|4^DrNc&+gU~Ei5po9Iwcl{d@5?B+Y&O0RI#1NLf?J>|r6!gJ z)t9<1NreO5ROfes285oedTsk3e};eM!l1f$g6khr2NfC{-zyz7 z_4l>TcOeMG@dLVq%U>QJvmK{T5Z-WdH>(MWNn1K=5PkE1^6Zhz6rlx~zS@NT=Y|ye zr3Phf3e9GsheDWFL1S8zmkldp3OU0atnV?ACIYUZ9|j5B0GjlAp)g6Ur4jupmcpmc zzB5VCbTl`hzAj!UH1QvLo|CBOMPruo(ZcL`q}8ACxO(R(iUU;3d%9tZJ#h*T|L_o6 zuA=+>HR(f(%W)X3J3>qsQ8w}K^4VLjIt-XervA>8ss4lxm`Dy(pFB8-;6W(Owwxle zW;oDBZu0hDG%H}-hNkAw2hFpsAC0MEZ6vzhYWd>IiJZlU3Hs6XL&5~}l z)#Kb_7}OI>@z{|AuqonlczA;9qIrlX_-|f^_Iu`)8{X_Apxk)N?PbR|?u)TW`)@s# zXj$6sl7+DlnrPc-S|H$f!@BBs9=L5sJzIS?iBs!gF{i+ZAExV$+SU2MNEQ73s*@+C zc$F)f8V3L8k^>;LQucKoI%9>(*bry!9h=X+Wkfg|Ek2WR4?&eGTx41kXFxn;=SK1u zta3%6%ev#*^M?fGf}ZfBJ_siefj?^gz)xtAu%}v{?n1}+P3l|@CZeP~PJxC=vDE)u z!bAy;fVR5DBTe_$wUpKr`wx`{En>;mMy55~AAwVuNyz$uB}^EwhJT~K*res9sE3m+ z743S9Dz|qh0&%@s;9-x7>bV^0IP&?ocx)9vxViFuB@zq|%Fg|8o^cdPklh?M&=6cbAx)|j4l;!{Gi(%q;&R2)Eb0z;e^(n z&+ntE_bW>iI3Wm`UGa44>6dTH63npe2C`^V`|K=^XMd5+`~k;dPDMXFg=(gn25gmp zeo%9ECn>{c80pQ^I;YZCx6G(dGH^=c$^mlpyhuRmg2T`c`i`&sjD`U2e@7_;y-m%W z!M|t}2IZfvk<>ieor#Eac;NgcOZp###5>&DvUXWp zHD^)$@$k0`(OtORgj>sJcc#&C1a-#*yhc>`_kx+G6*$-q-d5C2>s48#sut{C@0>R% zJ^C<~Kj?jdK*m?kE!>vS1Tl;sh1&KBm}9R#!gXfmU}PfLF#7=&Vz2FFB%KZ#;22zP zYRm|OdeeIL5BTB~PEl9aH`v;TKe`G*({&;md$AK`aY3i-TTyBJr_~dn(Lje zSV8LQbaePu<0X(e=)f(J_4i^2mXdK)PMXG)&aL7n>VvMV&(y~=&03S%Z?+{1YQPXO zk8Eo}mB0{*YTqB7AgLD+?j!&@^~qXa>l=ZsTkH4INV5GcH%#H}{^e40^x*7q4&iWx zH()PyP{f=#GP09`DEWV5qMLBr*`H;&S%H3WPoteZh7;O-$d-Q6K_qjJAmL2!1Bzi1 zvEDKjh_LjLW*&24P1$ES;Z!!wAbumA+Odcd6wgdZK)KYPZW@O0-CYG`CFQs^D=y&< zj=fM(LY}cnA_IQd!X+LR_zYI4>?X95gM;-MMbqt+0jVRrA ze@$*Dqw)%)$)}uvei?iK%da;>5hj^AUta?{P>mH3P;~_3-Oz%4#46Zzn34Bf9ij-z zkfP;-p1wd5io3_vm&h*v4Dtb%SGUjW0A@H*{+OG2IEpcve6oXHi}4%oMJ?Tzht93O z_DoRSb49Ka1-Qwd{#_4ly7STm)kTwxafL>QC6L=XQ;ENgnDvlxJvfgr-I0(kHJ1Gb z$Y3;3>RF1x?9x!|0|>^UfJZQ^RkRLc)i~yls*1QCB`jzUju&AzM+y*napN@Lcj8n$ zS#gHFy8esVju4vrvsw>vi1;@L+}4}tF6wu=61qZP7oLxyMFJ&YKfxo`jiXVE=;!_9 zPeOpd{S&yIr|73b_meU4yuKf$8o^vMNcEphDJj68YOqg(G`Mo&Q}f&CN-;@Q@8ylX zZjs?@gFHZemDOQydgxj;8u~cE3)uMKI;Wx$HSDvzK`{n(4L`wDr!Vq=#oC@4pl)02 z&`>Jed-7f%QiLtsrm*`U-{PdF2C6-t&+&Px4FannTSo?Ja$2Ytr29*qrh9SI$L$H% zxg*H9i*=GEjiyK9i{}Wh#X9J@Yj+E|g#!ejDk&vR^;q`aJ&ino`{G5dAw)g*h1^d} zLtKSCAq*Vqxx6t=7B!9s6Jo{D#klcE)~~Yj(rQ1OWVOvfPxLp?84Xl>^tC>N&X!8< zMms4nQp68J8o6n8)W9~l?7`3Ot_v1cKTfx~e2}8By#Dev$P<`%H&o9XUw^AOY#l%e zIVzAJ)ABUQk1rMi;aOE)*q~|D2Wi03OB)ZjU@NbNpU+8S2NPQNz6kp4K1}<(WE~JF zetF|UV)F$rng(2UD!1Zw>##Lk^}X89jf?I5+FH!7RfueE&Z6nFcJ5LW8r7h`%yTXq zhxa6{_1&VeFN|hr|H6SVoFP3CqdTC9<$^)%h%+*>jWwFzOwm)sAE^`wCCl?pR~#LZ?ZoF=yvOIQaKjD!3?GoP5pLfe`(l zBB;!pGAx~RhNLeK@-cv7)}@tZ#RY6BN0%ZArO`$=k2LBBR_uJDc_*#ThnjG_Bua>i zjA@+-3?dBw^qFksl;5C#%(04>fT>G!52+Sv5t__uRTq4;Nd8LzNnh;l4xg|4WD->0 z``t|OOAI^>(!DN0@&HRuZV9_RH6Vo53aW#wxFU`@$w{*$^lSp#zbPR%a;`?`*}ioG zC;sNLoH-;K9n>zYpT9#ZpqDeMX?*j2XkS1le}bP6Yy}oi?;I??8PCVnj_{LK4VYoY z9v%Ym!^Cn;JgRcLc+gj2)4^m@L5$vl;5iW?hyI&rbAbEHl#`&ih+1Jd-1@SOo3dz$ zXDM@!>#&(JAjg?1b8`c%1gF&Foy^!$Dkl_XR>YONwV+OY@&yv%D1plz`V@C2s;fxF zWx_w$iPl9fgc<0f#_U!72xs@L>#fz-H^=v^4$_B}h9e2OQQ8_*(*YP21K5c%=X$YZ z-h0(qleG(pEKy>qGp}64ACz&X@&nq9S3aOX;8Y~E$1`qdhyEP{e`M4XPxTx%~;!0IR8bu7%%U`82o$5b-aEC|fj9&#YC& z7i6TbzHO;rD1Pg7svAoAc9y9V+*t~-Txex}d%dKsj7QSc969jAhYlU$a9$sddIG$6 z?(sQvYT36YcIi}-9s68E`%_-|_&Qy1*xvMJKdJvmv!UY=Xc>=mJGA-|Z~N^ysmtqz zyi}Qh08lqO0nR@4_JE@5Xp&dYI{lT?I`v)&%2jUTL zqC?)v-hldFe{Me;dZb9fcz^RE$WZc*tp4ZzqZ{{dQEwfj--`*}*SxkM{M7f>(+3o_ zSO=3w8K0aVu3pvtZ~yDL>c&LVNvY&(aRuhshk0(aEA9KBw7?ZrSEcJ4p1-e@U()ry zdf%b1>(G;iHU{wRt~2R2WGp3dsHv`Lk=H1-{e9-&J_E3w+!e)9VbPeht%o%Z9q&t= z6tBFY|6nQDhYD3WRK71cbW%6T9{BQta>%7;hKWAEeCmX&K8{C*dLMK{>lz_TCElz1v`ses0Ae<@9@_&7HS2bZis$oJ0s2~n11v0?-P<5CXoBx7GnN$RxiGZ zABvH=)XLf$5*wMbg8VjCNcePN@}d#sC{th>6_*&4S#VJn+1|--?fKmEX9Z}cku7oB zyz^_?%lp9P=8Db2i-at=R_r$*R!QX)X|+A{XC`#|*{Lfa`ick%c(TUl*MVWsywYjB zX7Cwkty%3%l~F~t0q^$@*VPRF#M|7mKbnWK@s%5jx)^v*;Kysbb4q*dcX!V9`}zEe z4~Y6MQJ|M3+LkuGe3&wn`L)EwfBdna_3`ZNEzqUg0fHR@LCd`6b0f?IvsLBqnzD%Z zeM0$J+g0uf z;_9+D_BCW()eCs)Z?i4(g|j!&Y<9a)Nmg5Q;g{)o0lcG;iU9E5_T1;l!q4gkUo4L~ z{lqS-0aD^(UpumQ&WJ1Kq#c;%OSs)K(E^u_R;8Vg`HyCuJS^TedZ9B%_Q9QF^H=u{ zI}RLc)lG#p^GbX^^g-^(-s=k+&L~3~x?k^hD!+i~!u1ECR;v9gY7C%$T?O7SPxM&R zQ4v|2P=tzavD?&L)Qmy%x9i2JDg#Qia`r}PM4F0Nd_8E5uo@Qo#8Rg^4|>>~8a#Rh Y$*%K1jv^7$iIy?%ZrZYs{Etrm2OTIfE&u=k diff --git a/cpld/db/RAM2E.cmp.hdb b/cpld/db/RAM2E.cmp.hdb index 1732c1d71d9ca8c5f3320c287cdbce8912aa03b6..5f93100fae7a7b48a278261b5a04cf5cff42c57e 100755 GIT binary patch literal 18357 zcmaI71yCJL&^C$$cY?dSYj6+2gS)%CYl7RsT@FqN?he7-9fG^N9uDW`{l2POx9(r{ z*VfF_J=5E>y;C#2yWNWg1qD@&0{yRc|943KLoG`;cUxyC3Qle|4mM5-Nl#k`3koi7 zE(#7lc1|vKE)Gs^3Uy02FI#ghcuYmSz+(7PcP$&WyPKPaPB#qQw6I z6bk15Vzcc3q7U>%AW|;5OqLft2{MD*811+V@;Ua=H?C-eWeclh)JetuNt)g71B&Q~ zG@nPIe`Q)=8DdErxMFy%Jh~ft8X6wD$v~^3*BWjb8hUTyN*Ep{!wEyR--)PrYCdml zY8r03hta&B^Mh;8TJxWOwQl{ssu8t1U#`{%j^I&VMmQD}*EWL=8H5qcHsdAH`}p8C z8h3h0Ub*XCmPVUXh=XhfVG;DH(d~x&7XG;VBjrB(AM~It&9SW}k6kc^$m#I3CJcf1 z_vUw(i1}&^8a+HNPhv_3F3(w*Ob1HZ*6K_J)yep@F0n2NFOe(wB*u<5>x;|Puxq0uK#3;6=^!w~$t+J3(T(tgboK&#^Y?veBx#g0S&)1aY&C&Im+QP|<7K7y>KWV|A zu5pw8Jm~8f@dNLOIaJwN6J`s)^}=7eQnQrt1XcQl^yE}k|3d#OH{Dx*zIycC=qVHH_;y-mqysJ=oEP1JQF3ZCw@K zyqfUSwF+cu|1~jx|El9tf;s`5iKd*IzZY&%Ugow6%o&UvS6g{9cxqmfu@?F^bF68* zjG^Xbl)l+Y&2x}CYPvC&BlrBMxPlhQ&^orGFh;~! zt$&g{*-96@-w&jEs=lTZ+-CRt)cDsQxZROOws%I&J{k;(tPD zb(^}ZieBspBHZ<$)ZZYY+B1$p~F!4^wCnJ$5&ZBmvjA2$Mz6qB8v4gDt0s!%5~ zM%;j7dwU{~+QG2~Aho`^@11~ZiEhV1gGbJWV5ivD-|>yDd%;T!{iy#jA79P-|6=}% z$cH|06?(h#gjR+<&@*|3%$jEN4rEi~S2=N%zH+rPR;|LKVgxMZem<@Dm^kl0;>6QW zR}ipl`)U}7p|ibw?BL>Hk5N}^gbKFY_>$EAxG8R z=3}pHybI6)vMJ0QYvnIx)nZ)ge*`!k>UN|`W&d@^E74@s@AlVCMH3ccs6d9?i}OKB%^4QIT+Gp6UC@G$&e4`ur3L zMX`^yud1U!{suHgA+{&z$An%I5n>sZOG5&c+}!|2+u({`-V{XX+)f2%_eQAX8OIjmZLZ{O^l zs37E|We~(Ew*AUnX`MIFGNmQv%ob!$DtvnT9dWmOAzl)&mbvrgtU z-75#V$CjiS4rUGNo7j_%1OEC6j*^QV*Qw)P1varb6*fY~*SB~0DNVujRMNKDnUI4= z{(b(urTOFol?q#1*_xU7qP!Y#O5i&e@KXb2-NNe(-{b-N_|sv+HiQnaO(NDHN~-ct zuyTZ7E%_eSi2NI9L6@ENa`Mrjd^Kz1m}vXDEBM^^_SJTMLH)6P-i5l9qh8+kKIahc*Gz}%#->sR;wVg`=sFT*is*^ z7XVK5E3%1o3caFMA?9(Pq#r?^%OD?oT*y>eK734D8WNrF6{M>pYo`UIIdqlS>#~&k zug(RyZp79Ps=y6LmwI3b#iWn8YB>lox-u=7rsF==UpA0iZ1`d@JP|5%rcH{hJ#N;& zrL6dq1BkH#za4w2Tr<2o)i>x$Ln{ta6rxF#!|UhP;+#F(CSys_+=N!Y4UPurpZ`5hk>oyE`q_iPJv zW0#AeJ+B4|1UQ{XM~_6wG}5WPQ(DUY3RaN=y}b6Q)N@AjKL8q1o0e>mJgc^`L9{Vf zi+7h~0_Kp!{&*lovylaD@?Ju90A71uyBCml@DbpB& z4^*uThCE92aaDbu->TP{Ek#8tOX_WNI7F;W-^b2wV8yUn3 zIZjMCR4kDMVarcm*Bt8_1_T=ZTDe>EBBLd}^9&qMONY`*r!Fm{)m3?2Kvb?;5{l`4 z`gR9vGT+^lkF8!|i)0~#vw^0S7x1CbK99YM(^3+c8(KoAUMof_zZ?Af+?>4-Aa@(t zDrGy+{&&v5;}5xvuLC(JZ1#2w-Y3Ie&-01zJ1<@ODQy`vL&?pn?vpEz7sjF)$wiNV zJ$A=ph->Ex;dZJ@<%isgz9WSV$SnFGxRI{?T-{DD`Q2ja7(>p!iDSt4jBe$#UhtM! z#YbBi!?xR?b&gj;^8SY@D9cQ_vs^Bwx5UML21A{P+!r!@31qEOsFqitV3scXh+|3+ zY5OC|bY?A`bY9yO;2ltCcaAV-6jiHXCL9!VR~<-i_t6ztad{iNbLDrI04o398&1?d z1lFG=zfq|YOUq8DP%}ljRq^V+%31u~WO1?ZDE#E}UVQ!xwTcf(&6HPCP!m0lq?Snh zrVDf{rPb}l_X>g`l=)!PAMJB~&A+Hh*vC&i5&ihGm+^k_=o7?zvfbYv{aCzucaB0~ z17_6Llg#Tc3rta4NUl28PF}Fq8ZAxPWB26VEZMMr=8?Nykr&oYxyavAeW#`UH&cnY zEv)-hD^IRLdC;a6&3>}C8QeskgDVJEukp`h`)`sUxAWj-C#ho19L z`zG`9h#y^TP~>9p_OgF91Y?=4(Z2%1V(D9u?=HQb<0mT@Qy!f1(Yw3}kqdN)Y%XI6 z_OCVmt@N}(em4{8?FI>ZyEce~u)(rE<kW-5_X}9g zobEVR)fj7?HH@o&Arn+NRi^ik%ofI&A?Z}U%n`#Y+j>*9=Xd%wBz+U|BK54bk#yTf!PQWtBZ$I{6g znvbp=kn*QRN&{SMFdE|E@yFQMyrun0ZbGmR7c!;#ow_MktS%);ax{B4R=ZknJUErB z!SAx+zRoPuw*=sa8=T3qU8&k*v($mBa6hS#QL7K=%M-dt(Y%cu8{fIh(jOije)LK2 z<;Y-gbH~d zxeKb*>qtdoq5VLjXcnH6s>fYG1ug}!hKXTO4j7(~V zTbfzo(DxQ?htMEGW{em_jN6lrbIQSig*IH0tGX~`HHh>BSLl*@)i}1AA(-R z$IC&j#RScQW^Xmolc{=`KdY{N3RV-#G`RteF_qrw0H8jvzG4PU?`(aabR!1@xMGZ$ z`E-$-2sVPNRXubR<$`fz4SpqRq2{llvZPri?~zsDO~7$+o93<|0~Xz=l5m23KCbp` zZrx^0Ci)^~nb!kaVVLS&YRU;-$~>8;oodW9ip-S()V`) z3T$m{(OcUrlN|tMNkc&!rFZOfq7^-jEeicagIZyG#Se>)-Oli|?dmgRU+N`69t54P|p zcrTtB*a1Qdqkia)4gC2`q4m%Dd|_xajG0r0Q&_H5W%DLm7ux`N|2UuE1cAX70#h8Z z?<0O_HI^b@e(Trvc@BY!xvxp0Fi2kSdk`YU(nwDHKSYn+WK7oC)rka-_LozUc%0FvG z9toP?9e4ELe)vYtXs<)<@D#7>HWGe~QRYTeqa+cIoX)nsIfkdO$Oo^6dxuk=RCy>Xc`GUvhDt zgMD1Jm3Q3Z#w5Ld6`F9-OtrfovJ0;>@z|0gpGoi3JU;w|cLS_}<0rzgrLPIl+Qb6z z29AFTT$)t}#xnBufSaX4EFF5}dLGTnO(%BUHgiiIb46e<1H@2-G>Ui3Ed#1J1$u${ zN=EK&h7Pt&iYfFoVcevnm@9MZ7pj#;XJ0_>1YaUxxZC_vh+nT`plD)%k-bwm_wMA* zWxV(wlkgOoQkiymFKN^gY_*)A@S)1P8{gv-# z3HLiuITzGDv7SX2eMzg(!u6_f6ZW3DL{qxWrWQUA4%^=*6s~o8NW3pBtFW*b!OTHE zd%Rt5MZ2xF2*N%0Om=Ck`U8Y?oA?eSMk3wF+4Kh-fhI$6o97WLwWA`Kifb`qidsKR zPYDg13sDl7Q+fLuT#haXPA)`Bnc6Cubl9~pQ1ct@EKBL}=j}uLaMK8Ed{@M^G4j*B zW}@b~<6s?M`{OH56@s_*Mlwfr+ng@f{;Ag3<)F_lYwagGDFB?I%NHSF9BX~9F|Qu- z7mE9+XN*5sX1bygPDl4yB>?|XIX1x^>y)@V`ICIbWX6k!QK#8=#vO(`Fma2j=u=>K zliY_}dD)4$tTdX&x*;wXFt=_b;|1d^B{r<`Q$L#Rlmssm4zEVYUx}YAvI_6iWL8xt zKC}^j*q{qt#M>$D>+F3d&Bkw^{JaEup2v|CRUEms2G7!~@4^f^GA#8zQH`zeUO~7l zq|=d7Q*V}EbWo+7Pk++u+v$(_!k|~gmBXM%`=JuxKN9RbkE1%kUH6>Q-bPyJA=9I7RV1-aGnK9jhpbqpfM7i7W^N-JRng;BM zU1_T}iOXO98?w58fN-PiivDH!(bbBcS>AC(P-M&EH|j`-kZAOGLV%|SNy1+j-=nF* zDvZNMFkoehLk#Bnu}ioLYbG4Cjm+oyR-p&s4*-tr{K2K0n2p$SN5?yoee>qUb6_=K zy7Z`NblYHBgirUr{tDf@1NWOh3)zq8{Z8T;Z^Y^V+r$;1zdnx}*DNq<3a?hGR3;sI zZf(!A!k{dP&a~+zA!M0JSxLCS7oi4@tJTEWoK`1$uQ~5NPH7lSz|88|ff8Cev|;Gh zbYdG>p0q@y0NO_x)DnX|*2swipHyl&AG;NPiIi<>_5)Lg_KhA3pOCVviEdQZ=DZ(` z%%}~+6W&HC<)d~M8>^v_ahq1IfZYgL;v=zx^|m=yWR-G%X&_H&_%#ModCS$}Of2JE zLyyuD<}r1uNTq&in1ueLzH3)alU)nOBqiHG>QE!*%`X3)$O_8R`YI2J0e&)+<1-&3 z`PIdiDkX=pgkBYP+eXdY1Q*kLCzUNJoU44KsHT*)`K19~kORS-CwsT8Y*jOWMVpI` za(P{uyjya)zUnT_1Kze`xx0vTD+Q~9hIH2@rE`X1o^CyKLSf7PAtC7n?kH1+uRu*q z0p3|w-#xRO_1CA2ySas3%0wRzzh<(XwS*pv6=vB3q;rl8p|{_8Bv*h$bykEK3axNo zNquSlLaltwBzG5eeWJ=w7AfOb!{_m#Ic4R-;WtP4hYhz%m2H0GeBbPw9jYY&(lx@F zBip~x)kS`{^GKl$ss4V9B45C_0T#RtS8@(s9)*6^@h((_n_;rW zoUmPN>G1E0E1XxAw)@57{tf;*JdQ_!%ZJ@E0Cg*tkbI!3!)b`{TN`(vagtNvM|t|hVs}K6YvIq|h-Jh5*HZ%r zk;KJ@&wHy>nkOU{`$IGQeAdtP2u?Q%hN zY4eCiWOIK<(MGlKuy2p){h0P91CLSdiwbCmz$lw!m9)|0)=fR(%=jlUsN9Zr*%}4o zuQDjm(R%A_krRiF&Ng?&n9-u`Bb}M?WTBvV#Joc<4{rk z`TD(uB@b|m;cStzR}-pYJVPWJXBRyS!vk#UKUv7Qjlk&po~2@pF%rPtRlHHC!tPHX z>TL3j`RT{2RsB?5A1n5bQ@-hbQI2WlKCbA%y3@;^nJJ&C@Q&dAD-^xobTdGcI9^dSV#?U1Q$ZvCzP~OFzlsWyrc> z*Dj`6vQd-c&u3W2WVR*)9a|z;eE7cvZL@~vJN+iau=o+{1Z{QG1Ut#G@wvZUgBb>? z27VR}3Taa22WSt?q{oL>2I+j)2G>#iAEmo)Rtm`fe78BoKS6*hOorj{(CpaZraBlW5b2WCR>4=SW>+cn0;elTL%}29Ft`?fY@#7m2KC=+n&AS^4vEo`! zzk5O{)~TtywjCxK8Dz2C>4c!~K}PHlcflE5CABV%@$*fi=V1Gf+JGJlLz{ z!|d?GL(=XJuh$zD--}IL!L^_i9QLR{F1nnn`w0aT>J@k;HG|h28~yyJ7i%Vu1$w%r zvzg656Z<`fhecODmqE+s^dHoNRz{jRB`>}Mw`c2fFZJJRZZy7|c3s14-@FgecnTm3 z>1_}_^?U*7#s_WHzrFfSj{=rdD4c*Qoxrum-HbAY$g%JzLD zo;Xo+Hhw{!aj>n9?`(;k!)jTTd5*{EYYxJy$uzVzq1-6xNpBGcrZf6KJS3yYh=Kbhe z?K4#{H;EtIT`Tpctw{Bf`U4e;I_00Jf@@Cv^{811l1I7`AB-n|$%)B^qiSGWL_9tR z3j}q1A6_7x>;7f^hBbbnHhi&>WE}m@=g?XBF6ZE*rYJV*O=Bb6sQ>daw172ox)*-e z;b3KXvfiul5rZ<6PxVOW?w?zxUIoBFI^$S`CCZFnkM<=N#CuT%Hu`u8p0=S^r$2$6 zlZ->&tqy93ykN$~q?CpHc7TmauNkAKy%>K+L8_uSZ3s!mrZ!?SuSBV}(juqi;;+c5 zrwa*1??I^$;=`4n*XN_oTunlXuWpOm;dk)`+;mrbhhkq}@lpwew#9>B&*VU5jJ^1W z3g06t^o`LW3&30gDCSK7{0Zl{C&$Eae(`wkQ5_ zpFy8&9`cFjiCm{u6a(g%d}v+bELoJAsJNuuun;h4vJ^e?^S_D5Ft3Y zTq;M|Dc7#mvZwvkCSTzX81|I;UfUYm3w&V@QMT6&1+l9Tuo+1D87??6^qn#I^li(e?=+oodrnC( zR%SQ09nM(mc;J(Kw^h8BLZY#G=*u9yZDWZ!3yqv;?zDNVQUhzU_BsWpTE;_k+Q{D( zHb&!h3g1$(Ls@8=t#a-0qz)RxblR`$EE8%OwU^lJmhy%@ZiZbd$})lpt$f3tzLt}} zjDC`+Se>^H*na-JF+J#|(ig}|LQUX~tWU?O1sEB2F9@w8Eu4}6HdK~)8a1m%Valzv z(-J4}YaLx7w;?MazDeCTByR#X3yn^hfo}|2t9dSUXe^$-sMCBu6!otd3^BXfD?6lZ zbla~!tqK05&G^`2E9*|Ivd>>50J z(&%{(H$8vXelW8V{*>T0dW_i|K=R-eJRoqsDYq2y;qb zhiu)g*-OwtB!RD(^eYBQ10e>&z)`sg^TxyHPyu9*Cw`TX7YN20Sxan?4|$82`0K)G z?Qjd;;dxzst6XVFU)Jl~Z1h53bdzwkOBnAAT*jv7_5Ra?LGp1lT*+ z#e*>J&g*agOv?1cCPMJ<&g)z`qwn~sA=C$>Md7Pgl385jl0i&&Gt|c6?=y+DUq$1p zQ8tQi_PGv%(cZE~A?O15I6a~7V)c39cO!|l(jSO-!Lrjbt>hHt0Zua#!;p{&GmlS* zC9d@+(&|CDXHHy?gB-5VW`=+==1CRyJ7OiUtST8}pS);#WZhDWi3i`}sXzOrM(+mng`UjR--f-2I|v6QPBvvE`m&!Om~^5% ze6Eut_5bk!WrIL1ix-Hm&pd7*+}JiUo3JRmIjA8Afu21N>BWD=;(hr&8dPs?Rbbu| zD(YJgGL^M~NO8D%JAf_@%tP)M=x2vb4}wDj1l-t4a^rJHTHKQ$jBaEzVqpQKca@3G z(m`-{u}SxKOwW|74hhqH(-FPR&}xzY{)%$MO&No@G)hqj zyH$ptz8z6GMwcS?ftb1EO#go_?e*9HFvt^p@kv9bqQ8W>p(Y5iHRk8@p?H! zC*rpsU=@9Nri~%dIo2$%-K4qH&GIu7>pvTdVf`1oYux%)EWjQ&%k!$`w2ADD__(H- zY|)0Q(o$cqiH2RQSWD)tlLu`R{T4h(R?z0n?>V&d@@nHA)Z^nB(bhCs4ktd|LJlr2 zdyCq0fYYpGptAp8flN-%}Et zGBlo&&R|IW2rP9b8gX!_a^#qqrzwBLIrr5h^0%A%on|tm3erhzTU!j1^q-i-UC__T zXhxke*y`%?JRDE5oJi+yt;yXKYz%y@!ezy?cjt>FHNCcwx)bfR@cZ$V*LLtG2AA0i zjosdmkIUb8V$!mjTVF4uwP`wcO|;9*hgMV#v;1*bPQ89&!uA{B(umLPwkdX{Dk7pB zIK{KTfyec#VN^Je>2qhMjw@X6Pu)~Tm0@VN-7=ZW<6A~N&32fK$FIlzNz zW5<5P=X^~Pc+t>tdsf{Kp>E327ry=)1NfKv01P}hFK4=&c-LF@T7JEdf3=ZVw)#hq zsu=1*hB#$UW%S3-`Y+ul;x?X@%0+>BYdv}bjOG+L%$ARZJ&gCowY_UcTzQ3WiAti~ z`@8p#+ddX=do0AC4N~K9f)(fY#YlVKe$_hAx&`LOgDlM{^4nI`>HGsjATdy4-6?4* z@)r|Dj<$w`z)rTS`{yr@u5#!q1i0&xa-yP75=6x^TMpeDWeu5GaUBh9K-56Atf3%l zgIV^J-JG`I3QDziPC3mvT|8n-PgkJ_nVtsZq+<^}NBI%0IoXmy_idgSjeim|hCRNV zhwc+3z?nz4(1r*K{1s-)w}(g9AGH^41S_}WEvrv$Xkv_6mE#T-+W0X!-$k0k%|{#; zEFN4hwAniF!9?f&tEVdsZM)TYD*`V*>qceHRUa%VuTq;4Dfz)9*YxuObf+(X^VNm; zjtJvDfm*E3@wXvu*`c1AFS%*LLh* z1jQm#hn#c`by?DG%ROu!D;V# zkju)@+nr}U>+@~B$zcuizWpL^K$!>yV8Q)WuZ2GSiDp$@TP%Hi8QnOuV*mwq zQ(j;C*h%qNqVCao1E--DKcN>ur7VcXcr6;C{fmm%jP?FPtN8@#^CdNwUYj9^8*m<& zx3X)=N&XB{p=%1Xd=?revUW3MB407Djs@QlS#RtMKEFyElpA|74oVvwlLfmPuIUI2 z`d0I40f+3lE#LlnS_Zgoid_7Z+}L;Zh-*aKhx|-gGpVFs##-Vz~RPj9!w&(l}H zra<9r{NseCEs>rPeR3k;(qY0%P*6a56-aK}zl*!n0ydAjv2GvyoQO#!HVnlXSETuZQ>(1B)B=t6;FJ=S@ zdr=2Xa@)Uby$sw!kfW#fd5sU&_Kxm(=f%z{R8=;WFg!1K zi024NwWPYJn<7t0U(uOJWL8yXU9$dKHXL79t(5IdoPqh$_oXb%MW+jhjm(lFS?4Hs z@ujqZbUh$+S(j=+ucMm+IU*eCv#Fm>EV(JQfW(cy&pN{w?Rt~ETngk*L04=4z2~8@ zWb4Ebz$)mR_+W-Jt_CSJn%GLt%95NYBElv3BJI~NGA}xhNI!fKEIK)}1l9ItYkmvD zC&PzT3+pj9i>6!2GXA<7)df6^EXSGf(rBo9F;6i*c}Am=z!B`6MSGuSQSa}Ekd38c zKE!@cHqp%O|}!c2~A)yMfXK`WJu^_h*n5!fZltZweI$*#VZJ*DnRK zVJ__j)^j94egH3Q8}9=fXPC=rlFRsl&9vff=%!Bhrj^hZVObFK>{qv@gr8nofG5t` zt@PqRlBU)J8z9tW9LZ%$LEgUxqNX8%b!%~dD%p+CO(^b7&!G{)3E9!jC=vs`YE!d( zAzrS4C(+rhq~dP;CIz>q3Gt28VngKhbP`7tn~Woy9Pv&T+0IWq@8?T#f!9!IkPcp{ zPJ$-(1DpRej85+0g?I%5mNC}R1oX&l_>XM53pP`W^I+ET-J4P*a#D&n;n%+do^)s7 z-I{_wIhq2V;AY?4o6aT9r{@W zD@4>}?bfs@4hjT3Vb79{&Y{C!=9649%;rzcdBI(dkvL+^=8w-AArl?VS>zw#pJic7 zzCiT{UnH3_l7v30`gP1!u@4J`PuL1~h^V~qZh22pxFn@p_DMi(w7LBZILazoLC5ca&+&!BR(6q7ocTSwvy0 z;V$XQo?yyi7yN0pZ_#nO^^>WyH*cA_EP;YpY%DBK%3-sP)aF&LdNbkSydiR`An_m{ z#c>}-4=+>PXvo(DM#9#OGG^lgJv!PFFFGI|4FBJ@o4om-aTp`@z0~l%016%k!q&*l zW!f-F`w{s>J+hGs8`q9R#1Hlfsv?hwT}XkMyduVS3DUOQigv(!omd~P`B#Ni^6<5W zKU@(@+x_xh5;nVt8f|{8gKs_SKl;O0)T10eASQ4E3-9)mG^sw_CL??_-xQq3fbwM0 z8FGXEcTtjGaOhT_k4Zhg<$rJU);VDSYX;knY+CWy^b+|BGXHzllU+r;)3*cf%90ci zlS3F(m1SGd7_~aE_?E#@b*Mri!_)&`XMHTfSeqsI$yh&|R)}l?MgT7M-Xw#URI9jo zMV~KJzIv4lfNVM^nG#fP0xT(&Ns93sjxW~XLPs1&qz!Dt zi#aY5>Pk&nH|T~h8e+H0O%(>B>PGsx`v0p(e%;t0`m>OPn<^YPN-3(f{OKBQU)24n z675DxxKVaYSL8gvMTAKDiI>X8`c4N(0Buf+W*VGJwrB<{r*^6G3bU@bVKP_+JPU3V z1%}w}A{^CxqfWS%g1}8@V&0=f5 z-ZcN@`8zF4uGD3i(rWQ6qk>6>?^bvWmq_8UJMMxe08J;v3f{Qz>GadUWg|8k@BH~G zT%Tl}O;0XP#D^4}^nkr;WIDNDWP68_5@xdFg*4+asKjO++Z4?0pbl^DvjCM9P( zW+I0*;ld}i^kL595lGtgQkEiDM*J%9I#ws zgPk!26gu6yd)rn)*{Oc=^C|W_Y*Trz0DL(`Y*NI+DVk(Gmsj zmCh>ctt$^cjmv8J`PIp{aWl3EmB}|Vi6M)|&M&8$QKng2QgeP)3yrrkW|**anOpRv zHm?^O2cWn6p zDJKL)kRK7ljg8X$$_%|rXg43AZ+gG~U4angXu7#1U<(~_d(}Q?*{grAY-=bl5Cxu_ zAnmFeCjEGlo@Q#rv;TxxzQp!(SQD4S$3-csKYh(7HR9r5sQR*37N*AR@iM+e7hqE~ zu`Z`%hhO`RBX+C$vQDtX-d8^FAqgv|Nj(MI@bW=^XCu-(*?Os#QAPLAyDTg9HZ~IS zGIELp_&$S(@0KAu?DCFSX&o>5b&1rbl@kV(XTLZOPB;!SISzEh$B8}ICSiVYIM2vU ziOj&tVa*X?NVf%dBT$t%h`0Y;j~$R?LqcxnVL7-f!0hR{x+5Ot6A6`sYGD5gC>|P* zi7EnzAR-EoVZuZH%5?id3tB2Gu$ppSl0}^ih~0^6{60~`pplWjh98`>DLn3|OLPvW zgzPO-$AN2zDILH@n77X2CdjeL?xGWc2?8hYLQtU4)n1c=+Tzve;5~r3U%3x%~?0k_CHJBfI8I+O}I2h81zRf=#*mS6VW$oERxlV7x zcz)p!_6C3%n7A;g_`@#+`o;CiXsve$ZC3@jQ2Qd4oA0~00FOFUx%?BbSVxoaC0+Qp z;pW!#NR%%eZcXG*_-J1Io~V3i9y9uj8=SL?DI!|P@BxMbf(({AU+PS@#AS_*RUln- zEQZJ?Wl`5WvhVmrp;Dw^LsD47EctqOH5rl~3{pEn?fqAVmwrHBU;fahQs^eE2pk=RAAB)Tx#W0x@_?J}dm^iSg>l~5 z7d&?~o}MF;7}S7If_t$JB480I1^>55JXEikGK-j(2#;?>q9UX}QGFp-<$w)85@CCu3 zW&siF={SP&7+Q_2r!q8(*Oa$dg7REMQe&NL3(l)qCvG@iLgb|@_@?B9D)jVU-iY#& zwE{lu07l#GUK<8|{+92}CDi;`^5(@|B^(K*vmPSeqP(^30@#Qpxn zeds>ppbFK}%`Yp|(x0Y;F=%kHS>X?KF7^Ysg1r`+N~~>y7Lu<3>e+Z^rzc@DV`x-; zwXyb;pMq(Jom{BHs);ud)6P1u5mzoK!VC`alEyM`cAY zchexpKM-pJ=3%i|-*6~|6CXXjA6Xg_xJMo(SFVMBhSktR^%=`C2%lnM+b{I0K=+5k z7^Hh*Jb-Fff-y*{oot=Xa-1Re8Hu0qf$+#9WDu+yLa3XJR^6sEU5!pjl@q^dR%wcS z1j*Wg>Bnu@O=MJk^eE+@A~C6}p}a=990Br#%27GUBpR}pTb+%a8mUdpE-%b~IWWh) zxX0a?bD6>~$nfs4TQNuLP%7Es`rB<7-Www^Qr59Ab)oMYkTWy1|6CYC-^USJY>5DFs>ux4Zq3Q%as4sWLNf4x6U(1VoZB-#V{a4fZ?IN3oN}(e6@^sU53H8WD zN`n2Xd)}A!B9hXffM@Y4?1Ny;h^~RmWKk^Y7tdSl&~Lzl3F<9eX#+C817_3FYO*MK zw2rC&_C)Q0#EpT(TIf9j(xTv=D=ez2?g3b=9(Z01eZ{HwdEeD}s8qv|( zd-P9EZhkoXTOhqZ3u6{u^+N65ub*qP8~aTUWA){#K2iVqvViXVx2`4RvtoiT5ArDG zm!eAmIDOfc8-Vqoc&iB|V8F-`2`w=I!snT~JiWx@&DyJk4pj95kDb zovn87RfSN*_Q8w^YI~iFLl-iNuH)5u$Dvc1W17oN=J8>Ux z@@Gy#gbq*#C{oZbU_$k!LG@)o zZ5Knel~^H<_-e+My}ij9rk?SkzSoRU<^K4@=lOt&h;Ko+yz~pP>EZjgm6fPWjvT-k zBDN4UgHVU9GO}(&kwO{);)Dohn!Esl3>i?%AmC%&lQ^BNe2WK3w^H1*LZNStVLa7y zx}nrZ`{Mn@lT#Il!!FK9ah?})Lx&H9%3SF*K_`6fmNlBB<@$ zsITn*{OfyhT_ULeUl^1U14dF^uK}N-VD$kL`7kQ-N5Qth|`emb3E8yRy)_CLJYhY zz-k0);?(K(y=JSFwb8ouHLM5e&v)u=)VSga4JP$=EJlhmC@M@s_c%uGZ16zgLX_>J z@s<~yR!$iRuH1 z(BhJ}Jcx1B`C+V5rZTYuiL??T<#1T#2w2u(XaWo3e)zsBTH7#)2>kiz7kZ1)$5tH( zZ(&kgGC?n)l&Vx$j;5pY90ye#76h0^)%!HRihCCzsWabX{x-z49pbt&Gwn^o1Mn4f za31*ga%1|npvw`Uoy4vElrM7iq1}z(PVMJ$r~Lts+rSsRH|i4*?42s72Mh z#^%o}2w22F_4tUS-_U=h*Hi%*I%eZB9orER^NB3baH-h%sOI_0n|&zvF24>wXgIe# zpi@(|{$)<>T8PIqV{@erBfNH_4og>Z3Y&Ga#Jv4MvGXFt>-%&Kr#v zOn|sD_Z_jFj^TisjI!T3`0NLw2oUM)HL@;o#-E~S%=kt;jFI1uqDX>2oYELo>SIh? zUU}*os^op=Ln(o5?#TI{)YSH^xO5I=2^K4+2Lo}Y%fA!f``M}-mGVUFhgnWp9z#@C za~iq72GYAoNErNBH@x~6IIW5TP(UUQHh3{peO?7(PXR!oU_J>FAMd<_PQaW~!GOWG zd!i=eELwtSOH3C3`Er3f{Ju;tWAoOnALEJa7Np3`fpiIwN*utUJSY`!3qu^0#E>q{ zR2NCa!7}{kgjx-*e;~>je;S;lpgaKfOZFW6K(u#8m+FB*barAnJtfNS<>`E&2D;gulJzgPP@h^T3dP}W6$_0It^dc$LRLt`OMcMc>vhf!{$ zO7-AI1PGzNqEn#vBGr<_*&#^2d|>iRKI{}ag(cRLMTJ2w zhAwTwy#qQ`%O|XB*q_gcAitY=s_jO@j)HAS9eC+$KE&&`St34|P};y6^P}|n9IYP` zH8CY_B0p+K_tRt{?+b^EX>l(xySEo;8y7_Aa=3YOPq7yq8f6Rx9XpZF`eI4o7W{n% zR$?$zVo*wAa6n?P_Ggsr&nO5A6&V^8*}octDu`729X?9@`h$<*z*}PQv&3MEsZ>5P zvaev24_%ZGUz87eRLG2S|4*aWSz$P-n9#0LM?Lq2o&JkUoLdu^471nYM)v3D){}nV zhf^h`K+%caj8ph)ap?H={4V#)`;Fu84Ab)yQazqlXIse652q=+U=2U&c$MU0<|Y)! z=BdlPCR&P{IMRl)_$p)DN>B7^?61M9hohrSDBNEzbpFa41Y;c=xN9-4jOCv<;b4K? z3EZ$L01Vh$*~EBvUnm~t-}8O%!`~EdKd(+OWh2C>G5(5bV}9l=qk@Uywq=Rpx1f=p z)3M;Nv1A(e34mFbgp6q{CO?lfb2yOKtwyE_;-jJNWN|Qu<K4BK`3J~#xl9GiQ)#qa)9@*-Q+{7Nw{BQ1Ir6V(N&r2M5@ zg?U8%J3GvEP_0X3Rq3O#l@9(-h_ zjPgz6y)ZSw4Lb4J8aff9?=2*t-03H&(yCt0hW@{zw{A7%kSEd@s5cR})@aSwI+MG( z%ophQCZZWMdevhf)MuDY_9@@FSW{_GdkDL=d%tqEmHDG0!qFYKYe?A&ZaK zjn^`1E#&Acrb-uY%kI$fVWU3WBM5&uQNQ_cu{`w`I2wQ1l9OitY}h`uI40%h5csWZ zaIIk~u&dCI9GIZ&+rZYuUavX6-~7xrh(x9<|F$@ub3qar=~(05u%V&(v5~(qUJE>V z3U)Xf1ntI4iaJ3UET5cf3+;(~f}pyls=Hs`r3)vPcd@^*xvov36nx_;(fZT{{n++cqRhu72Dl9|EYA7&2lVS>T}t`4=Y5?J zUjx#p%SwjE{EvZi^^OlpRS0kDSm?85>R}&hw+ftO4Xk?oEb!{n;;ZP^n~|cvLPoMNQj+0^i4Z`l z+iczl_CdIy1VbOhVdWa1d<>1u@q&#G*xu0!5}@q&;VV^%W`bPC+NVQ%ha{{g_ZNyw z^LTy!{{a91|NnRaq*rTf6jcc zs;zbFhV`v$n-d#apK3%hdgjGAErhk+oNy&2R_Lmzt3%d(@vDyUtG^{b*UCAn@Y8yB z08Hn{m@e&)scIRvWeU^lU)%*T&ULrs%w1R4Ok+SiAB^#=xhYSsrhV>cIppYjd0X^l z(}xDY5Pjqd)zdArf2|X2k;2%<3(gJ@j*Sl`d_>QtRPLmFgPU9v4(_qLV2oky@Vfr( zf}enjO@o1_aXQSmJzqB=&P?#8ZrEAa4W5VDO8DV#ok5>tU`8sF8-O#@mTzdm3AnwG zJqGiErdJN&*8~`d#o&OzvxS)wrm71UH|eP%-lvVlIs60!8 zKSsa{Gzn#QH8U;+>bZz5h57ek7b&Zx6goL&SC>Tg!+2}QYg-~&o?S(OeB$>Uo^AuWKa&|M8;{_H(ZMz%0 zmO`YWZDI+skev0%+PF$j9aW1Vhi-J@r?ebIq3-3$A^{9$IC&6bXEsh1D8p13C@fZ$ z-kv9-3aCkwSQq?$YfsfVBtl_`D5KlTbn?WkpT9YaTczPvP*;?CmH-bNozrT-dZcjg zgOmnl10elh?j;1G{qcZM{;ZyKxRdv7t`}l!F`-tk+Wrnwj0`E%tfemVRjc65q3i6Z znw&iSpEpk)1B47WH;<7SCKUQl8A&*6UB3QNz{y}REyw5qEMpk}IO(e=*28-;4780Q zjHGaSKt~bJzdMQ!qci$QX_8V;PtCD%fyh*4gDR(tBX7@p_Dr=2 z%1PbO7zKxf&?I@A?b||fj0@RDa)hW7az=T@cTaXV*3FDevf?y^jRtI4N7)N_ACZlu zCy7!;@l&$rS`8A(^9wx{1P+~j3S6L)9GBWQ4neduLJzc`vALH)06j&d;rdG-9Yfh= z{VUKA2$|nQ7Jj_+gC<-W3j-}oHD31Ppv@qU*;FilXsexqhLdtb<=|AYgTf6vjA8AY zMd&5UGxJdfnemro)va1l$_|x`2yRDQQ8Tg@_a(u5?vV8pw39xdWBU z6OJM%Ox6-P^>{L0khKe{m1Z%jq@;!(b z;o&CLdyQBh->Y806>0B4(GaU-NZ;nNC)yDs{ZGN?3ht;Gf0-y0uTtWuucUw4at(VD|fc9L++T@#68&rj`LLx_qsbh!HCI^+22e4ZqCJmbo*MEF)d7a zMo%s7_?)|`+SSh9SB#M%ySD*-%}N)FKeG@HU2%0Nu&d$SdN&R#44@D&aNqV4_aw-%Pn*St=Vp1bYj~7O)VgCaF G0RR60TZyv( literal 18334 zcmZU3WmH@})Gkuo-5K0raECz(v_R3~?oix)aCa^4u0@JVad&rjcZbXS-9J~>S;@{x zR(75|S=q6T0RaI~i3Ir>JAQs*pHkJt!O_CjhK!Aag_VVkOw8HB%9xCugPn|(8_32E zWM^gLAX7GRaIr8lAyY6gvLs_8Q3%TV_f9 zZ|Meks)LjPknr9J41xD=gZav*%b?Qz28@wWFaWy#-rYRMykJ2<Nyr$*cbBVuxAz~g>ho<#O{$HFf&AnXsN0F~&Z(uVQ7oS#vI*yml*4V%g zFB?}=pPM(KeV)$Lwam4*jRxzL2B9Ekv1RBLg#{6*iw-(L1jDr`G1LKWm=&x|AI58t z`IbGSnw-s3E)E*8RVA-EJ1Qw0piyY6cZ0Igx+L7CCt1!s2J&0?wmUQvX+gwDa`jr1 z#U0E@|9n)XW<#4}yJ(?R3VtJ%Rm!v>98q}|$r;HRYAKEA5UyrPkus(QJLDhVkP47G z0Jl>AuV~$9U>GmZhQTQ~f^s?3U?(0sLGENpPTB}nv%cVp=@btwOSsAIh^*`7D;4V- zZ1Tcb@hhQh;>cOM6Ww&Rh$j_B5q+h13EW}p(6X2{-L}=eAhJL+P7V10w>x=#2&VVw zo}_@=tJB_ea_see14nPsnV*4`g8Z77U_)wCo}32vz-X#^v5bf9pT1T72q#CT6O+_C zO$UwNxp+6GZUx9adZS_FW0MhrMRM~TraI}pFT+X;(b_J5=OoPpz5(}hId|abTj@rK zi6Z$}_E|E6t5XuPhbB#y29XYHPYKhm4fD1sjYgdv-Bv~fuLpRMW1gErtvZ6#%igx|>U3{R`Ucb`u4czUJWW^ba*onB6eb_0A&2t~^i^N$>ne7f{DQoZD6t7_J#ei z2I{vUmd!qq0~$1Yy+$y+G*u8oKTB@N1bd$O+qmH1pwOkpYZPJ;`tdI`qo zlE>Ruox_>0%85Jc_o6rK1uX&CCt`OvPaV4C%BiV`GcKj=$K?r7D+;?a11%^j&VNt6 zj_GAzS{m2s1M~15(~!5R z?+xreT5K$Uq%xV3;I!;W$DY6g~wV5DitEkto$pyB)jlP$+%xEHSb#uD}ykz26~*{a87$ zjqZGlmpl>lsWPqpvHRMk1s_L4@t!cqj?u7o@KMQM%Xn9O1zaBAu9|!=UilEn08b6r z+rK9~Y@B{rsg6j;gYr!aGn>~VlL}B;wO?poUXxxqV-fsx#(jzmT7P*3)>pf|zq{JM z??1-fEx8R#3up;}X{m)k*Y6_B*<&6W7HU-o{C!oc!dkDLTkF;bCnqx%F@7_SD^pXg z*3OYG?fZR7xG%xLjsX_sOrJR+SefQJ`-lSr~fRpf&MoU8~lJpZ;taJ->CCH(HSA@w*y%+6!$AWjDzOH8^ktQ##v`nD=yc*H$+3uyF6upz}dh&@P;BN*x+mL;ps=#W>_KoGoehtCByo zna3>t0xE&T!sg5IT($%sM*P=K3?kd0#b{Y2c&o| zOMdLwS95jHXRE#?l<_Q!DBN3w?SfR|PVYYPdpQJWeoaZd;}b%|{& zrWq#VEABosQg4vzl`P-8_{&@+eV^SaX3DN@&is1ci&R!}IzsnMd71atlJ)HTk$6%X zmwv9-2(GLfm6w+MkAvOMv3EbWe+sz=5v4P}i!Tp6ZQ=8ayCK5W{}uPo5pc8Yj-LN)tk>rCF*l=Z5Dc_6*!Yok_R0d#k{#%P?OqxbBex@`?jNwIz+S0AU#j-{$qdXj;|p)+ zctP!~rZc)x^*)dE+yh+UlQpAOuUSk>$7~1Lhl#4${|sz|n@wBad1t5@lkUgyQ=`{= zo)Xe+d1jHVR~=HTan zs6BA8ubx90mR5<5z>W?%pYg04TF)yQ>|q?pQbS=f4=(K#o@x0(WXXmhSkRV(f@U=p z-{Nr?TytKvrP)8VVYGJVmYA2D7S1^{?IBdIy`Wq!DlI?J?csqv6Q3sQq_dD#qd6Z} zTug%&rB$C(ttf+09ns&iA}~=hF(<&=+K&4>JU30K{8VfCWa`#uDA3Sh{l}(ds)=Pk zw^i?e^f4+SRf3^1>m#1Oh}RY%lS!-Q+#l&mUcR5qSlXUJ){KpE7XrRn|EbV&o@oap zL!i@Y)Ayv#=2hiYA=b|3DjR$OL#J13`c`Hlv2Vh-P~E%9l5syo=r~|(Z1*(EV&pUf z+t#j^CS&NpL4Y8YWA*!wO;0#Fswp7>rbfe)?s8jiN=Sf>jJ`Qc^7%8P*KJ&!Hn0;VRWW7 z^hC|X+>Wj6HBAGvv?JAdh73VPOk@QnL+e5rMhnnaNFtUIUKESr=SZs2WF1Tn@9c4o zoY%7LOhn4@VGOk5o`+94aaJ3DvUHEg1Pb|83-dS_=<}{#wHQ`3N!C@iK}~5alaF? z3^I5IxK@kH9IyZcHYlDWN-7+*O{sm^xRWCLG;jZ1qi|1wAnVN854T)2*)BV|E<3b(9*Gud9!@<#GHl!tN7~jKxh$8L<5j8tYdmel97VX_v^46^e zaAcnwFb5xuei8mSLUm<7}AO*JqEsW5N9NkMRHhr(~c_Wv$6VcfM#Iw)Xe z7F=;UQO@!%iT@R>1Le{>2<75RutCNa|5PBOCtq4uy@B0@ZW^s`mriwthkQQh!rCO2 z9?&HO&5OTU7a!n#4hMMpn;{$nox zJfj`+Nf(AqJJbTsMf7-{BiXv+KJb~8_*}gq^kH-g_v(DGG4w&(TcfviAWWj|K+po? zf>Z_fDvMD+!tDXSKJ=oZgSa*{`;2%S_o~h^B3oJNHN)=eI2f;o;WV&M0xFtuVlK}q zMiHIcE(&U*t>=Mp^lOPcPMb+}3SXgwf0uRQCv4CsY|8bnP0}7z*oP7))~12f&s+y8 zXj`NvcKZfTD)p`N!Q@M0l=flw1e2Lx5TD?<&x ztWwqpPa0Bf8}NzTB(v2HuCX^+ZE53sWaa+Zq!$7)|Evt*+AYFMQ-wrjeIe#{z_eRz z`u@)#KR5v>&?nR?5w1G5(!{ z9&(1fOaGY?U)J?Yz)_SwYyL9o{)u*xpA%;m3FF4n)HDgK4vCz^(%jb1uWBL682|m$ z3uIVTR%GFY*REkf+rm>5POL#2CB4(|uWoz|c}YLC^T8rHx6SK$`{C5o8Rqh$8aOmZg}def`+w@eKmdoYKr2XMLG>nswT6F6w_JXsduu>jooQ+6rFYBI3OMgW5# zv$2>KCFG`)TZRxE#9_9e;QDctyP2i%N3(*IP}p_sIEM$X)-zyBMHIQd&8(yt%~oc` z?CTLhzhAA_RMFqt!dBZTqxeCMdhi#bUHP|HnDvmmfuYDG#kr$l7gDUzRzX*`` zS2D=;xsIW#i?MNm9jZeTy=96Z>M9)c^ zRjY5h*=!0LXl%NnZf0yV*;SnE49lthT#k13@EXEK9%vB&W0K=XJ3D2>oZ7kXjpbIz z?K!SStBrkgfx5VD6RgCT2tscnb$fY|0mJ=^h9NzNE;=bU%Kiq{)U zAvYaH%;Ij}AE9Szt}Y{*Q@a*DmH?A0g)R9VqW$BFFr=iM$GGrsf5N+6~mefClD56PD?yBkZ_KsnQ`Cp6{VqAYR$=r#jNxjF21;5*~LGM^2?64}st+L~x1kEavh^_;fY^x=ed0~LnEjn3^_^X z?w)YSCZDkCVQd*LE9$3YT-zT$+wBlXZ{9CW^I%-Mat2o_n+cjlAtp3JV@3E4x!IO) z&o-OkN2tvfJ5{;czuGFW;c-tJ5&3ef35m$-x2H5pS?X)e{o8Fk{i}PJ)c)8FoaaAD z4feik-@Sl8qD>u>8vPf6Y89HT+~MOus2z$e^s!XI*cB$XGvNfIZHP;UsV{0WGp$!+ zwnj_Hkv?ve*@mQ=kF#%9-64w17}CDm393}jv({eSA^Pz9$`3thwndIkTDPSpF@X~V z_|xHU2H@Jo>eFHf6^dxv)0UIoU^rB7` z7nqQN*@ts~M}mAt4KB_nhwf?V_fcwO`i*CF!0xzKyUY6VhE=aW>X~B%UO8}DjuNQm zjwgivjofr>?d6@joqb-((Y{mm;;yTFR-7P;R$CbFj|sZQw}naSf3dklsn(0f){E*M zpKnNRpNMo+EzfqX0)r86*v_2|-|K=!IeIQPEB7JXU)9K1<8VL7=u4o*Rp1kqNdMdS)WSS#z}U+j;wU5e*h@CA*RoNPdj+b zn+FDmIbiFt7!Opi4ID#2{oT;yigOrrc^FS>^G$C5m!%&*C%kk<2Dh>%Ut#0<{*qcPa|rMo5BRh7Bl1uaf=7hs zO3i2?fL-@2^juPC22H`#hh1MU=9O@_(QpNN56!5@((stM!$@SuWKGG+7S5X5>75Jy zfUL91G&(5V8W@1Q{55rK*9UnWu7(8Zork9O@;T*sJ^cdq2lSitt`!`f{-QgakpR(c zr#KknIz6rve_gxCudm>&f^QseWe%K?-ViyUw`uY@YesOQ-u!vS2dI6djs`OS=*ec6bZny1t9qXD z0<>#wyq_ulwBd87m}v^*puCr6Y31SMRqSWcWOh+=)G9T6&*1p6{c1LxvGXJKCgZ!C zHP4R^2G*B?S-SY_#MaX!j|3P^YSDLWqTXWeZ|T$|M&v)P$DFdXWaF*l+NNQ{;#vyi zBL(-XT)sa|!wxaN(feSCZ0mRw5|_$Mn`jsojMXwZH!rhXDHYA`F)Z}8?tYne@8Dvq z8ZPHDdS%*8|8o`=#m!c8CTsP8EjZiF=g)>`G(z1!jIij2aw}*hRbGy%RMR*3^D7;F z?4KYSJFPC_pC%#Xa!b-3s>^$V|4{dbM!#Uw;w0>nAzAa9*!%DF(FG*ivR^)$TeT_f zeZ21c!a7NHlnAwzUPUgf?!LFB<1l-sAFhw#=1M^09x}VVnnLiI6h*;UygCRIs_(NZ ziXNa06IN~%-9)ZTk$E@Fym(ZP@IqDY8Sn$fpkzJ)11q)BD5BJtd$etYHHj`q$aXGb zJ7J$TC=a$FY?a(+p3w%~IY!bo*LSZ?UGe#L#Fu|E0d`6c#>U}%-ANo+uWEddQLHHCf_yNs_x84zvaosG0K=1)g z7(O*c7TXV#pAdh2qv)!vB#(@RM?Q~dUPiHWN7>0%>H`|i$N78|y@qd?&`*cUn$S0r zT?>ukv);)&e86<~46s8S&X$P=h`mA5ZN$8~4=%~|Gd}718zu9ZQOe95C}=9=6eiJm zVXer{e(+rLkafa5(Z){nxhQN?4mVn##;DOc)8@+}A0TCY_3@9w>kBd5c?vKt@(vtr z6$Rn1kmO{C{y1KQyL}N6K<2AG)LP_M*oR8%5{d*D2p`kXPpL% z8JXr8b%Y7K7rhvmnSVgDI>=kSTx=Wp-pqtd_U{dTz&=UuYRmG$@l9ACkoy1~vL-gd zyo9bT<8DlEtOtP-MpxI%l25QP))L$`ne{>X&6@<;~(1j>y}=fk#cU= zGe?CaX44dEvh}|uG3fS$OWoNW)S^`v1b)GO#l(UYUvWeJxfesbUFrP|&>R|nzNPX{ z9soe~6+Hf$ne?`O69%IFY+a-+qn=?Arb(+k_=$6h?3S>skW%M0UeQ0A#GzV!p0ZZs zA@TA#LgPyul4_iUXO4u7$uWdX!0432+XF+b8q|+8@Y^+)5fP8<${&Y5w!?7R#w+Ch zu>x*vNh!0KaqXHmZ=5gHS#`hW%m;4w9G9icxJ`NZ)h5!qXz3V)rk%St@^FrkrAMA# zAM&{H#8{_mhKf)T1!jyj`#Y4-qPrSiY?@(j7%EZbILs$Ibr{lnVKleXVJ;oXcv{AN!?wThOB676)~xLsUE7U!lUmzpTcYJfXSN zQEPN}JcYxk+TdD|HN#f!xOb|80{!vVoWV9+Ejp{9uo}PJX}J)uA}F{WrGfu9aG^Q2 z?TbMQEh^5R6zi8<#7ux4m37Sm22S67%ZA$QSzpb;W_dhcjq~&HLu{#y7Wk^5)yB|z zs-p^c)2RYjdUAR>m&DTU5bj?_OS{NI?8uk#a-vw-{59ZqvLyEVw5;Xo7-j1Na(V2B zyq}`%05v@g=w)zDp8UG}Rddc|zWH?c(Oh)h^fN&sucPvDl#+j2%dF!3e!paLeDA$h zHeq7cQLXx882_?QTBW_(w(Rxr*tVfry107XEJ5bN?NR%UhcvZ{cVwVfK;|m3+9wa2 zqyGEDNWYR!*25X;(uvUPg4?6TcWlSsRQ4ze4N->-Aho$8;m+`1hUf7GxAxAFmdrVQ zMgDsg7sFn+%DDL^>t5a}0*!h)DywQwRVqC8-n~sZAljbd7N1h`@Mak$;=DQr?y_Si zf!Q|~D{KnjA=64?6mzTX-6~HMh0&+d{sK$gf`ZSkKWVQ$4fLb$8dlXdik|GCxHqGy z97yQ6W+cgvw3QoHmDLvNW&&-z?q*eS1X?oTe%9X@SPGOsH`1y(I+T&}tuXKDG<=slwNA7diPcl4bO}!eq zhaMp>Po9Pam8-wUn!?JY=m$r-V9~Qs>N~mU{3vr9os8MmzDTJ-F_PFM2K zVg698#@4~2QmFy0l}&)r>v}tr@{5(Igm!14|HLO^@S?`ClPpv1v&73Y zO}QTDhC7beeagebMjN8{o-64Rhb9fk!Qrm8{lkf9*eEhtxhUDwxiqSRwt62pVlx-B z0U`!@AbYpYR^QsH+CG2|9E7rYq;KG#>O26Q?cjr>kLyO@(_@D9T}-w2&RurQMlpye z8}O%JO0~1C^eX-8_X5-Pd+S;msO}@_#}m&^<3RR=PlreL>*e!x8rxgKQ+1n7#zS_y zcPjYZ&gyfb74S}U>MOmbA3ZGb@ZF_;&`7<{tO;j>^q)ywvP+C@4b`{+*iAehSWiKsc_;}r$U7uaC*}qy=yd?~MAjy9$p4}{M zlmRxLzs-YOJ}yr05=a2TAF>VaSHnInXAegJ+c&qjot=qOnRSgG`2bMPN2QdLu-R8C z;n4^U1@Q_}(aJQ{+LAl}6wV1Zv#7u9~lj%iBB^ zfxJKH=UUYhM_m5YY6L!WXTKI5pyqQHB;`9zW?<3q)>^hej&Bxt$2-F28RYhsyWu64v`IAw$nic^lRLLJsx0C2#bW0Q-dNyfKO zTwfPQKW;$156k4f-~AI*C0;!c+gvDTO)1AYz{S#!8?XKjX8CW9HPd7j8Bl=6`<-btayZsgmQ3U(bP9ALHLpAo7B5 z9)oM{8Q@~?cdt5mS^dMY#)ZxNk*9=jS#pwvd2$_U*#u@;B}Yd$M+aefAL}+O zM&tzUtO)ANX;N4#Clhvg73xfNQWCLV-k1Al&Ksq9#Ah;qTRmqDLet03h0?qj$2=Rx zTGa2JZ*m{U`~!J;2Zj(lA@Hu~=YnhwVw#MGK0||9Ce3;45b?w{f50&h@pDo0b73-< z$2M;bxNn6zTgnkq%{hZ1+@EsF`m`1tgz5OfKEB7_8~p z|16t%;Qva8frxQ&NI3t}K}YsSXC|qYmZ+&2gQzEg_M16M(Y!Hxa!sPUkpS=|+z}5KQ{o+OXj6 zl7n=3iM9hWVwFb_3^lIkasJDiTfJ;x;l7suslaRHnmc-9n10L=c9Omie{E~R3<9H}O8Ti%V5gobyl`t| z=75nvOyN6t$$hz&QDgZSkBg+>W}s@ev9e+JW!|U5Ix4trAWH+IKKobOdS70Kj?by! z`=TO+JH@%&t1IqyH=75)=XiwzB-&W^`f4S+StFtJvakkD!X0E*xl75%o;Ue3mBcUF? z#Wax&W3T&~s*>kage}aQvI656c^w$5(Q`Gkmcpigy0`85aMbSgOBA!*Q)AYxc%5OW7!`x|P8B?s4)wN*B6dln_ zA)yjL@dR>BIR{;iKmezUs!QXQnpuiY5@hBu;&JYhsLL2?t))k7C!uKjTFGr0fw(B5 zfIpOn2YMN$tWn`rQ2@U`R12j!JeR*Qmw$!r7w7v7G7U%nHh$W{=Oxh+KGF9yEKc72 zKzE9gMu7l9Hpap7I{!~m5|#N`gu`iW7M$z9%v(3@!2$VmJP;P!R7FA0_2)1 zg2~G$F&hWnFH_jNEvMqTM@pr(RbuzKHi`Y-5^&ER_ggfC1srtGh=RR@VGa%G3%YJ@ z(HIX7jSs>vdZVp2_u!wS0+3s3(KE$AK=$9YKH|^)f_nI5Ge{~SlNUy5i^kNPyALOR zep9A_w@_K!o|D13cUeU+=9W~%X^37O>ds<{l5o#EeFLL_+MMF8N_Of(EElz;Y>>(Y zTm`}Z(B4bqI$|S&7;uV?rCFs{)GB1IF!XsB=CiYmrQI} zGO@g}eHOUuP#w$oDpqxmxJCQH-RWmF4N$lgLH##aQ_ssyk#{IUCMYHc5!eN?weQsQ z9Z#uK>H!wbKw@}54xoO^pl|7h973N;hp6GyJZxSE?w)`LJD2a^jXF)F;I%r>Bwhv3 zQ@ZnR(uTP1kevYjjm?)VAhE=WCR!iy?MAbv7 z6Ck7`F4Qb-ZzoNL+F5#LNuaj#^!%DvwYAkj-EQ5}F7B-}u3^VA`TAH>?%9jh4@_7< zUsIOi1QWLhAG(8`GTiY%ljj!s@c&@IQ>nWp)wqP6!aDhJk8j6>=C4oY(Y`C{F!gd&rBo7X?HCx$Rj62T$*YFh^(_9U+6)jIx*U2_Y5Ce z2dRjrj=g~s0a>zKC`XhnMBe%y%llvd2@P9hEQZm=Q}i?fLhCE>2B__(eQf`#OQi13 zwn;OS891G{H#0k-qxSK@*e&c44HE9Jhrrf#`h16Zx9k=rt1cBi;U#>?D&GH3co;#-#DzH z^BKp>*hSuP;YB2x-z|9HM4?iaCF$)cLYt*RULjp_QeOM-=>~2urmEL zly}A%1-)TO6ux<$g(?A~eJ#Q*L_7-pS`M^3@f!Q^NPgie5~%h*4~e1s^aq+k^A`05LvonSw_oNY3RBR#Nce9!T8~h2Q!W|i%1$(oh!qt4zyYt<1)7&|~-CE!8 z$<{(Fnnf5DdtB(8V-o=JPjAX}s*F~_8c8VkC?05GDq=Y5RGZJ5SISA7Yh|&KjhtVgP@@bMy=>ZaEDXb3YPF}U zKz(YAqxwl2?h$NF9v_JQ?p60A)R*{^`|}Xutq?%~y4=?%YJ;s*N=SXjRkP)MUpEBw zy}j(Ju7;nkJWt`FJRTToFDOrK0EY-@Of%TEV_)OY!wB%8HF)qhL`@{>6iCkn z9%CNd2Z=Giejc*A6|#!(?eAYb=l?QE(AQ}SEuFeYS7+XBd5sZX8%fY}&pRQuUY3dG ztF&Ftixj&ul<%Sc@y@Vp)Z6PI(58^Om}AHG*h{Wp4Mas-aKzx3NK@eA0}T8Siu71si=p_yjKzcKE`D)~o)VfBm=xfhp6eXFBjbbR{l4(T z?3N8pK@$h$C96@yl4yibB8hGEz@Xi-sBe)t;?J+F1|~$szske&c6pFKdh>aHx1}+5 z;N03Wk+PLD&LC+=F4~H@pk^5=p+oqKCwitxcE@a`HOp12--II1yK*U5Z>xVLvND)Q z`eV#KBH(Vb%4K4`WWP0Te~Mo6EEGMKQ5a7BBX8Gx@(5u|?~xqsnH=qr{Nb4#<@R5k zISow(OuG(cQwM>d4p2d#t)jEDvfP&L;0s2!rQ(*w5k_p44Ha8?14%X(6{@6SgVW3^ zaxIiZI)lNO^rsyx$cUhjH!Npqw_AIT8c|iUO%AN!%2}Q@*)&Hs8RmEGWA{>U$$c-9 zoh|N*P`DoSfF|?8>|gk@{{YO0XjBRIl#;x>wCBZ9rqd2Eiq34->1hutyS+4`y9)bf zx8UpXrmt@gn&+7~b;(W^My)TTR0>nPMF4Im==Op!spH2?(m#etB%Ku*Vv60@|YKLc_^hb z?~c1OgfHMMGd#n2#v%w&a-8ZVd6+MbCR?bOUnq@u7|F&VT4|-3z+CKEFz^Fbb2|xU z0==O_7J6`B`cry+i25xaZ~{*HRWl7s81Vd~VZskOsNGzPeYRdrLJ1FuEC!%Qj%GjKF>UAa&X=Arnw~>jDes^eJlzufkR(-AtVxXa+5f~H3P^P9$ilm1==W# z)?g%5ZweD+T&gxfpxc|O+p7T8Wf9>D2eQc5;-VVjk))Km7J+9~=Ec8ErY}Ixi5lg+}Qz zr(=G-&n<~3B_dIDsv#j?c0fRH;~XSn_^O>2Iw)MNx*Zg{Kuck2>~T{#f{78tWstjz z;u47N*i1q`>XuJJUT@Vv8JKL@77DtfsJf$AM-8-{_6b3v)O?j|rJ34xg)|T#LL|Sq zM|6)754~LR{In4VM!G_P2c)M&Xo|E~3%IZ9p83!e741Wi7)rt?A+O3%rA?e4h-7oL z57m6Pq1-+_kQgY>MbH$4x3;LE3b!O8ZhLDRtp$xBkO{o#2LkpA=NXmgXr2QE>K=1`Tj1FNj+H)jB)SP1w6tP@v3nz zN21KQk-(GWJmn7I>)r-7_rj~_!4|auIt-=Ym2Dm<&LO(7GF+d@_3p$w_CvhZ(4K+; z*FQvF)S;Av6pKH+Ir?7jkuM!Izya~zYGZ)avR>-MSw zx0yvQ`L;y>9ag&oO(VFdEgOSAQWO(!vQPZg{SWRK3$*YL(=R9p-EX9$VYqLU3E+bvWXY3q5Q7ElO?Bwmnp;J#^y<#eI}<*B-cyrrV39 z+nXTb=H-Hjds`N=e}u0@yc=~iv%C_Fw+AQ=T_9}29=Ukp=a|FdQDPqsU72xJBCx*>M86e82tkF-2 zHHrHyUsRi%2dWA%cnRohE0}F}GlvI86Of;BrV3sf`nYX!MU^4|U9{@@oicNEHyGTC zirBce`t;4`?|0|wu9kEo*M_))k+`4S^<4@4=ze4LH8E0#zoUsO)Txs*N&ZZU^)j*w z#-3dyb0_b+OraCM)(n3mE$W*|ks?p>pW)zj9{vf1J;v&L>#zqx;wXkJV9=%6%h!g& ze$#-$7XKrhvsjvLAVu`a`?#dk%WePdQXT*P=yAq;3SaS5%6bY{`P4dFJBLofLG{|2 zvN>%NfS~u>yX*~UmgYy1e5d95`yt1%D z;&-EExb#vG3;hnSFzH^SVe3_xwU(P;9MOt3H{}BHibFtY!pm-oN49Jjhe<-KoA(`y zFka@hapr}(q4+SC!39YuPFq{zeR&+;5}zFQiq3&?BmK{J%dFLYfQ;hV$4V*IMaXZY zC$~|o>@?z?F2z3+@?Rz%e0iE{8dK!4T4YIVf)hyvNfGDG+{ABsu-ZSpe4X^!)dn_j zTJ#{9vc%~@lK=@4+I&*^lT| zCh-={JIh8r{>MnX4Pza16F}*4*8`ZcKrhU+5Kz?V{FT>+rESstA>$DC4EhpzA$r!pU!fvK{agO^|+UFX|bxkZR>{h zZEIT+8`_>~L^68j$2cv5wcea?B_&qss;H|&)_w7-j`6F%B|q27IjZo}dUgOz7sQw@ z>yN2w8Mb8#)9YW{g)z=`x8%%SSJzBqKs+Ca@vONiPp+nY?r1sW=zGn!=*y-L4uB#0 z$Q7!mTW0@SC)i?zv5gm;9UvT=5K8#4o=vITN%;mhxh5RkV}oFfWgYOk{_Vn_fQn6r zfv0gg%(p#XHzCeU@TP9qS=bGphuA9k;cuNupJQQ0Dw7+4Gt-uDXu%1%y@)*q^MR&U z4&c{B7>LE-fWWhbnG&X|3l}%(sUhB{jU_q!90LRKx~pb{!DF0cu}s!V5GB zWp_0*E(Pkjm@R|(_u&^QtE3b>!n&U0d&#_p^Vnp-U%CEXC%o7s7-W|iKE*wbyKFU# zK`a6D(RuY4XlyhLB(QAsn!i%0xXSjzm`Re%S=)6AEiO5`8O!kk3!=6?ja^G2QqexC z1X)PVdSq=}rKgUn#n3}Hy6{t44x&)^n#m#o3}!faFk@#nP7^4@G#Dr>R+ZkKC!z|d zNt0PO{C;as)j1?WVTdTB+sX{`#O$BHIg4AR;Z{&rlzNr`4;-D_X25!+aPNbZ24({w z{a@}S1fu=%fKdLdo^-g=?Au(=$JP=;J-T}PJ4i7qq)@Y#y4+W-yf=rj3$V9o_vX*w z6mLD}3 zO+5eZEIN$N=p&^`f~Ale5Dh2xx56tQ3YMul!ozg#Deg#C44~2HT8|)DW?U?Gaf2$$ zEW&>A#`K*-VMY3e@*Zmh;cyzxo`dYMe(;QA3HULk z_V{U}lNRANi5z5-D;(@>goh9WKcKI^-fnKiB`H!okuXJW0S1 zJ0yfA$=ht-7LsFp$TpHAM3s;;$}7HmvZt|bW@M5Tr=e^NV9PqoUcmc^Y$SywN)^RV z$)0O9NF>kC_f!x#boME5fl6{*YTt-45gDNX?PqN6We`A55ox&o(nrToc3J=OGz3EC zcaw!5Fa4kim&UduPP#TFz zS-B)M)sWA95he*3X*C_LBq89Q2+$2&q)JH(@+?H82yvymiqb%)i_IOVT%K?gL21%< z?JLwJs+A$}B=U5Zxefi0KOefir>FJs27+P@W(k;;?(aW_S0qPx--)U?c7rMGHJEGI zkMmKM5iqDy1UDlkO}*gMuD{U!tSr9kMvQQCDqS&(k34@BzhrgJN0jeDya*3BsorbE z`uJY;0&^VhQV9w4Eo@l_uz!{pKpF diff --git a/cpld/db/RAM2E.cmp.idb b/cpld/db/RAM2E.cmp.idb index e4287bb8a608dc2f9ec48fea85838ae717129019..143299f2504a9fb68671535ef739a1300281772a 100755 GIT binary patch delta 12939 zcmZ{L1y~zjvv(<0gFubq4#kUWkOIY_KyfSXP+Y@8ad-FPUfcr|io3f*a0wDD@bQ1& zd!PGU`7$#*XLnELN0U&i*#!4hd=P{uvKm zxjP=#!RrcgJKG*I-4+}Dx3V`^dn6|FVnTSrJ_GU;Vt(rQ^)aWpKc^{# zd&q)BX98bAcGgEHa3%QqX%crOeP0pdV6JD`ke(V*?CiR0>@29~7DU^psJUfq;c?GTQP0Y%+cCZjbH73HU;`l$K`=Of|!h$S}IFvlDI8w8IpJb8n@5z zxJ~@N>KGU`i?nXSog6%6)2R=oYS|}dI;M|WSvo&KmNHqM&3BX0d_>E>z$j{ytB)xr zm5N)kJXV{MS9+e9vQg1Keo&9RQP#d_)1XhdPmc;F1Kh~)i&6#3V8;^C?^3!+Ch$|> zle=ldb2rWVB>Nm<@Tgd%bfa77@u}TJ?SGfLL>Zy?vBelur&EYNu@+!d_Yi>W= z*KM-*r7PJc=8CYXZWB^>8u8h@ZeW(|$D}T!ij|~^7hryz!9PY_#+N<%&e)K7aV(P8 z;c~%%nohqAV{z^;>lbZy*d=uPv8xu)IDie|5Nq^n^XdF@nMaCo>-7xeG z#%NGgOM6i!%ds^ZVM{HpuEBnobE~t7v3(ntUOdUhquD}@aWlTokMXB+8Mp(-cu-GB z^Y2nk$f(9`u}SmB8ktB*Bxj2EYfuv#0q)Lpu&4u?2@lB|>4>O7hKoJvIR&;hS(cA; zTKNmo%hAY>G-roKH#*5)dTg1pBXn-c`LG!Knm7lU>x8&7$?LkfW2yI1yN1-n#zVMat-CG1S9J{z8D9iW|;I*OeyUdurDJR zwW~m#DJd9ZMRAcL#g%A9KBrU_Z$#L483W2oU!f+INr?42puf10a+fBFVq|(R`2m3) zlPbnL$V;{zH1spcLwN9=78)z2PZE3G3j^k-tS(k>y3){?-!C!;H9mj!_SNeyB6I`! zIr5;!7hQ{Nl4xPnI8f9zb{q&1Bk;7lA(E_HU_ONN#eC4C)TyA=JhyyXgZ?NemTxD+ zIbZB`5ul$@Zj0d=&Azx+CNO3JfyszI_5)5Vn|D5Ye!4PX^jWn?K zb$e?`IGCfp;N9Ef8NxZti#r_hLjce{I#*XY@vcC1l$w;P0jSUQ?*uWZN2PGB~i;U5#k;2+55-*bz`4g*bHEYA{P)Hd#|xt}07Xx7IKh)9nDZsP(gYfZ*+9l%TZ>WLzFQRoDI^j7%?K0 z!>41+IF<@H|I&v~E4$Gi&mE#vcB4KXKm5b#y-(bAf&E91tlSc3hBIiNpvwvCi}ZR^ zfTsxXdWO?Tdxq=={fpFcRF_k@qxMV|$qz6^gqQCQchDJ%!6E2Z8`&^wke6?p%&&*2 zF^u6eq}~oczcmYc=_z$ z1$p`KJQ`sMI(zwOteY_C{-6CD^j~@a*^Pgba|q7|szy2c&`p{?teAbO8A$`CNVxhN z-v(U~?FzDTH5^O9bMyG9j(0Ihfn7g1!9;IQ!4#`rFW3L!P>&jt zKYm1vKNWM`LL1-Kyy^wLLqVK&P>_ld98i{H_TGxOLzX3Wd=gNu*C?_eoq(8N5Jw9) zI*X*iVF=eg%5_j?LYD_gud9NCIt~*4?oxQb+dfJWTZ|WHE8@i;kk>CFGyJdEZ2dkT zF@F)kKP?L{j^OqnpBXC99;ti`z5gyh(dkCF0k+M3mIT8Hxe*z7(&|9bE<`r`CdlFG zpA|CCmpYTEzrbX7fsM+bUI~Ecj5Y`nLAH!a209++!am2rN9Ld3(d~a{V3~AK`LRLv?fzN06J=LeJc!p2KAV~q*Rk!uII(Sk_6+H5cYy&JbpM|-I!0myMc6k6l zB7UO|$1hR`-vZ>(5%$gEDI~w|#XKvh1Sx+mYVG_q&eK+WeJBF(2|5UCfU^YFKY|`F z0Gv$l_Q~lSX3&U0`(Dg%OhR{W;x2tmKVqCM5l_{p|Md9idr#ip_FcR$j3R>f!!Fs8 z5ZQ91cRUq?%u)2v(KvV2`Ov)Ujl1a}0voR@wl91um@+dE_)(oXkq^D=YM_ z3oPe%DAp1H@|mlUKi5vzEnRO0#dmBGpq){v8;QYo;5+K@mTwgG;t8ZH8&ntPCkX#a z8g$ccLLrQ|dxCz4VQ~NS)Cd zvCr))MuTt2bG&dae92?7WAtMRNw59=R##GH`!x9c)TXK15+66-&~+%%)>Br%#r)d%^3ex{vvJj02GCub_)f$ zVWM0=OCl5*v?kl88SHZ}WEua&EsAmBJ+jTJMoBEjSBSYunI-Ua59OZ^zle5v{ZcTs zWA-U&$jLXfGKvn4IpORbQ<^UwP z$rW+5GE)BK!Ao};wfX!AcBmp|q`JGlHPvj|cHD$Gt2^77TH07xM!L_>Eld^b&(yaC z>bQ`OFe6*UDvNjhZ6=Pu9v*Hl4Ucp&$&T-xoo+6V=kqFb*cAi4Z`b(2f1#bsf((A!&3P07;Vfra6;uc90POGkIS|0jmT>QtSb?gbVVeDgmPb zc*2&Wj*Q1>IEz*tULBM1q&1yZfI+UQe!Kd)tgS!b07G16TX*JaAa6M!cd?AogyCA)w zzVFNnuyqC?(2NIR)J|##1iLZmFq0jc-I>0xVo5|rKk5ev-1#K(01tx<>T=z-p2)X# zYy(TIQ`ltybs>b)QaxK_k^Cc)-G`(rnGprQ#I{iWgM1B~fxGi7TRM+N8xc{*AZtw4d z3C*uey^#gQi}EvD5*x3dU5;8|bA@SP@8V^fDPqk~P+y^=TUMWE5&D#; z%7F>q?~=r@Tr94GH~Y2hImmT4L6$tTWH-TMub6kH?HMvn*8si(yieP=cbB53S1xz$ z9k6%OQ6gO?S=X(r9}rdGC)ngqB6#TTc=KY&p7?0BugAnXS5yN&o=t7(Ru?LCNcw%? zC28$?*1V9??QXo=-c;5|9hlYnrrAk%n0P&=>DDjmxF^>EfBlw25werwTqfgVOilM` z?*pDZd$2&rU;EiTK=Ph$Rqo^u?X~vO-pV>6GC(n*QKRT@zgvrQceGe!r<^#fp&@<$ zbk12U&U{~Kd#56EDrba<1xmMO;ee1mi5A$`?$@#XHFvhcIsLb7`$Wk2wzr0;d<)u~ zcfmc?`!Vsrhj>SkRS%x5oCLAox>n{An(%J}Hw!1|Gpii|k++>tS$IgHX5ps1^F9Yh zhozHh?NB-7k}Hgz`EG^S@j^k9Ts~#F5h)kisH)+?_tI(&034)nn(# zoxrp=f7RQ_WA5(uBV5#JX1fQ`)%TD$#gh6q_N0pTHd?L0Q1$MkLT~C!`RLu zq)ZLST08}yW6TOJq?>!N6#oXW=K*}M_E~)LVgl{dmDE5WcElb-RpXJh6Kw=UIbzpo zHv!6gNGJ>PnY6qcVXh9m9AA$Ta^dJ*JytrIa=f=2Q8kQ_-uff$Sg}gLi}?OyD&|-x zz(1E_#;`o@mui%%%V}z!PNc%|=f1WF=8n2Sc`(qOH}i5O>b*6*sa)5Yvw_~&5o<%j z&asD=tDNs{HUMpecwTd)qtEH~H0XPU@k^aQ_D1>1ptkHl2E&H?7ciVn+7b07Cx;u# zmNU4bGcxzD)H&D4d|K?{8P8WZzWKG7v_cbK(d#@7&7{j#cO31) z$`WAzf+2ZlD0#|m9<7;&!jrur0pE}h!A>CW!0T1}!Rcrw1Txw`^RGtI2_cp3n-ng)k@L4PwRKkh&T#f%30%ZAsOt z-HZVtyCjN1(QmeRJvH_TagTx(UgPxiY|ZP}EY^&^c^8ivhk1`qa^iB$$B+N<$Tt1d zj);>EcF>*x=9gCP%(f}x*S;bgd0o;*fK>DP?JKA?KYr$rlq3t@8AWp==o609=4Y#wxWK`t zLXUJgu*HohVUCSn0n%ztwFZTc#q$QRxInP0PjGB#Ik+(?w#=f*!U|X5WA}IE+c%Dx z9SSEU<+pTg!PAFRPOpEpm8ng6)RVwVO3K!aFt7@48sGzC zO^SHIb)AMUy?rd_^$tAm@wx5NyN`@zFx}1fQDC^*C z43_5`iBsIA?^|l894`}ZsHdBMFu#zT2rC%^Ot$oi-<81rR#39qKX@NiQX^Kj+2gC< z%vukalt`dl80uI|O@>JDVFN)EcwiPs7_(qzC9n8qg;d7W3DNUY8Er-E!Od!w*b9d& z1gaR+>)gzf&-o}IMs)_U7*<=BG4Nu;P3H7F)55khtylCChi-}X^N0(4opjZ@A$b{# zncT~6`t8%=g{n=ZPC*$~U4hY0ZL*RFcPcBRTxBMrhXDKH+N3DU$=iL- zZnFjb04cZ(J)(poTul)A!R$vp0SRIB5t_%Px`@b-+Fx@m|DabKb(mfV_nI+8>w6Hn6J|g#*xi?!l{c+uo>bS&e0^Q_CoM1MWJCMRen7ulZF z>db2PJTSS(X<8(_Uzmo=%PJ0+7BlE$OYj$dlKWTs@X&(Dl+v#wTmL9l5y0b?qQ z?%zQc$X^BVu)WhtaRBxz=>Dskk6&01FYTy}Vc+2za9^6Xuf=Go*U z(11z2(_*?h&KF!g=Li3MZfav@J`d*V_j+I(@_4%G!G60LK*k|8az^$dx|EaACy|LC zY)CkrVzuQlF{4dlVdxNky(2*6s9;)32bC;HonwMV8PT;ZZj_r+w+oBfTF%TumIN2q z(_n|jGQ682njyOBY4)5z*%Ye*(*6FLDMJQ+8cp6OXwz`Wxj}Gc8Ns5V3<)lG4e>YI zk42~mF318qB6O@xiHG_29hT;0o{93o#0%wZJZkwD*~dx~t#6&9BKD0Jf4(^X(-;~r zH_K8<29FXvhZEwynbWhtrcmrLgL(-w{yI|{m5SM|Ll?k~an+HGVT;~Ya`sl=CkKynf%LMB z8mbyB`!j!9!x_gq?nt**`Nu?h?XQ6blk=;d8tszXK+m)arMSoS=l@6drI^1FEKtz* zdrx{4{_RXMswhMvHIiwB_BLmFJvJDvjTl#`(`qvozz@D^^qmU|`6npx1e5UayVs(+ zJ6J1FnT8n2Cvvdplo9-`T(7*^=>E`{lDVtfEYKbDhno$LFG_shv#|!zFn~?@FkM6; zC)w;vtjTM!t1NS4UoS6JWZXv~@I&%-lhSqklbRHNXyk70g7GE3&-OTG7$E0}<58$32Z) z8d`}@dc7g#6JB7(m(G1Yvo81NcDxs+%xjtXLGX;87aea&(nGh=?(#JT0aM*4=^3uC z#HVsK^TQbP_$Hgg%oz0hL<7|PWd$Ym7T2oH-X$fx6q16jIIJTZ2& zlNy5a_P)fKvbI}^v#9(M-FpNH53ZjnqCJBv4*crC&Oiy7wyN#={Z&j)i?%$DO6BQ?9N$DljImaFxIYAuJE!^Yre9d9T1$KFK2Rd|B<|jXC zw+FshhWBtnX1qUmX_YmYaJMX~lsodU_^9HCYio3Yg0A}hR`5>gOt^d|+4kthH2Fqh zTIsUL(DW|71@@U%O>YZRO~!)D;#y}D@WtgV{58R3<9f(zTUnh=Fa9OD$4Yla+VSS} zE1$}b=7a>;PxhscL?**C{ANHAGqLuYf%2ClaI=aDf#M&wHawpKn5_^n-m`$OBQ|da zjVZKda&^LgHNa*uCLx3Fm#Ib;0~rR^Lwrp-KUR$$yd0qI8L{rEFUCg|ys3U(S zOpcakFxb62I}$!#rQC{-$gOWJo`}osoIP9O;j6Ah2PrrQ^|aC{WY_=s2&`lwH5ck4 zhs5uUnDIUsDfm~J6qLMS2!-s_r6BcTe0-~mzc4IIUZhk+VLTXkhO;#7` z4Yu6471koGLxe=oYQm~^8kh?sscvYWL$8@xh|t2zD9rPJs7db;e29iOP?49g!5WZh zbDm{qUsD9>y#KgZub!O1-$Gc&KiDHU4(mLIJz#FiZTX$95)Z-O8ue7lB2%)_bPo(8 zM<>fFc>8MjTO>TORweUGzX|BQjbzbF^2&H?Ir#0zM`MfVbms8e2q1HE>GMd6QNDPj z!by|=)JLauUV&m^7YjS0w$AqtF&vB`fV7&AO%Q7w?VkXvf#8B31p(9YHud38<=45y zO!9pGFPY%EuQ6TDaxzPl^L0Hq(ox?fy>#XPoC4qTBG?nlv@6q9dwfpvh|aXcnQX4d z;o}a&QN@H{H(mt>U%>TJQmYBa>?Y%bBP_@vm3Eu+;Zr$gEdgP#if(>ZP{2*BVHec7 z9ZkA%``K~1X8h%}=df{x&076B3-K!<+8hin1n;$roY`2wfmP<^yYLl#3y0Ji)|NX& z9LH}5j51y!CeOhfxX}3gk2S9s{aV@N7t4));JQqh5tr9wK5*xZ%>oUSAy$x2Emknq zWetB-1~se)Lw3~`l56fp-OCQZw=w5KeG`lME&Oi8+)D*@rHBn|=KWofa)WP{P@x`Z z@fRtj$54AE^omtTVo!aWreMTyGsr3b7$Udm7D!r=+4GLgg}b?=ctwSIT}?HXb=lOE zsApemrC?16sN2vUGd2_b{-$4FEy6({Mb_{rH*2bFUeWQi=)k~AlxbeV^1_LjJ-vcN zx1#`Yw5~K|F&;-hP_&UZ;Mu)S$4`CjMC1nZ{qoJIuEg0^Aq7Flk7qYN|J6+ajitmn zC={eI*sbM1bXe1GM;;LtrU{X^Khluf!MhK))g2NA3h)|6gG;behTOn0V{`4=nk~9* zPrRUo#NlrCu_H{Sa7UHU{AhLghQ85nqbQON7c9y)a$XT zV6nLqSWwGFuxz!4oLaWqe=4^dXdi9WYKuK`!Ta~x{(WwQZ6y4)<%El4xtQMg&6DQ2 z`a`mk(0U##EqM}XGhP|X4WSD^m{{ZOm>U7GuK=X0H_^d@2qgEHZ@&8fOm3XiX5m|c zyq-xXCA%l-jF_I$ko50q;q0~o<+0^;TU=H+B^S>nI{=snOK`jA{aL)Fc*hdPXv8IQ(iTqxdg2=kU>t?Vs(~Ist zQK*;efyc00^H3Huw9=CUHWayyucM&oCj7r>wGD=HfOXG#TlR1u0W~M-!ZugMJ;BlL z8amdV#4g$U`$*`24-8XEP^xH{o zmLR5xwNV=gnL6O%G!wayhrw+9%dntog|HWt+^>O%^r(vOZ|b65j&r3@FB14=H&0_- zr}KJ6`PO$Np3g-Z1{?~MEPWYfyjjJCBtVds6Hu;&>pW8i_|Dg`Dl9j{((lS)m%&g0 z3of?o;#!WY7j<@I_u*j63*%;@dgh8HCbOg++aO@)7(BMJyuT!gSa4s+4r}@&rh{s3 z^ApK2CCsr~dsXS`->iMsPXZ3^rq&wfoW??S+7H=Ku@ix{;;NyR_OdKV_orqBW8G!l zUdh-N=6x2Cc6^$aC1cAAR0|o52UiP~&H)8Se|a1N@>7PZ6Ajarq0ANu#|7sO=aJ90 zUjqCDU#rTG3*GX}wXIq~h(fP`mo=K732C6g!Vs1HNC)>HhV&JBhnh~(vG^tikGx%0cKmeLr4hPjop$S#5~cC zQA>wT_>eH~d)vT>>*_7Z+uvuLW$O0{$TQc zV$BiGO#mf0vj*tVnRzw3Co5!C6Dum_p7HI5m>*&*J+bg40&LfPI$ZnVF(t%?1XAe3 zButj2Y6)h~E|a}BKj05QVqMnIA#z)F5u}H2FClc$mc>Z33q+b@A|^N^D#E#5FlS20 zODt+*4QX8TZTW}ph3SBg~RFxDFL3IVUL0s;JW+j&320nn@!NJu4;mQSbAoFIgK zan!nda&VNFw|Hqy4=*+^ft-y1LaAVwC5InoX^Ld$ZHOuP;o%DAvPn_z`>o-Lcs*mWbZ=wV--Gul zk>lb+AJixEYBl<3a`y2Z#f~1S?DM8q?A|vSH!%QZ&m1=7*YRPyIfrIF z14|r?CU5oZe^V3;R|+@=Wzy)y!CO#{uTw6}?5~{BT+-v>G8FX7iLDfTJb?B2^0w-Q zh>aSUiFvucP}m=xpc}^2_JS%ZNM&@Zv0^OFxp;gV=V#4_q#$g!;ai#HK%mc1H#6%24ybDIsi%Qg^(RH1EVsNzKOTr#`eNeV zAIZstE&$8xC@S31T3ui7v-97*qto)eTx{&rC}Xy`lo40uKx1O;rBqEXK%W8OC&nLJ z+9=#f4iw{V&W;H$ZSy)@S_mm!jIj*0kq<4$?F`R1>?bE)A_r+wGy{_=upEAc8C5G} zY>TG2(;zVQ3X|a8|AX3B0GJOjg=Om2J+%B7yA0@^eQkT z(9si~^U!|(qw}oe)aeaFoAu-$>bZOs^5Ch5*I=y?X66~%2Y7*XPK(Yt1GY{pV^^LV zAs&vo8R`KAl$?iL0V2f^f3&iO5*T~-`E@Z&h?w&EoUH8pL$PiRAXlMfYA{cz2NR+r zT$$FRPL!!;Dzn+|t#)stEHC(*c=~C{N~%2YE;>RNgYP-vmQ?CXTns|)pn`mp^=ChL2}6l0CYTHJ2Jsh<@@3R-SCM>@a*cXBhC z&tP7;7v%3^!?HsHg$<2C`yC^L8e9Gmf<0^4k&W1xR#v5WhBX2%O-n)s==usHAUf9g z5!hk@xaG|8uw~L%ArS|BtXv~dkZ>wAE}?sO&5~X9JP*=;R50$e0?euwdpzWhfc{A zqRqc!v2q|>XIVZPG;^MF&#+^r&#;6^I%-G89tKBP4e-b2u^cj#k{<{qv29M3=Z%2L zS3#PXc1SpQ%nv2*;zkLpEm{6P!mw0DX?8^y6rWzK?qSE8C3c2{MR!pBe~>1In=|4@BbP>(3K3=!`FiH z+_(9g>|;>4`jCS;`K9pDqaS!|+H!|1D8E_z6^DPV#VzLgJoV+{Hr9W%{^=3}aORGy z{Ery#E6EkNtFX1-J>hWGUJksyZ4p%n>&FC1IDfsNJAKVhw}Jl2Z%z8Da2b*gXu#k% z_w3yVDA59V?Q(-X>i82wF&7gi=j}FM!87(Kmta}}`#x%BwjtHC&8t^nJP3K6X`pjXL$16pKW)Cscy<$yq`lADf{)*tsa=Z6SAK*S!v!W9KDF z`@-?Bq*xoa7{Mk{`t1jsipI|P=KMSrZq=lR)Ivw=SV71094S{lf@@ED)5ABNrn=Mx zb0s&*=c{ySt$sdVn%`v0rsGh~I7c+&?lt!bpG^RAIk}iDXW`h2MCXHvd-?dCfi`OS z3C%VZhok$mTDu}d8VYK6rf%O)7I`&&u?JhaSB^h^cA+dkDXpmkVy2uq>dcNs`oS**WLo72b?w9|*HX&3Ax*e@?b$Oq&okZdm2L(0w;Z+H zaa#fFrm!YO+JpTXD~9>+bAqkfpKGl6;TKJ#?`2jX{kGGo_EbiyGL|3jiLLw`jQL2L zG965xvE4Q~M$X6e%?Nwgr;dk!EJfzr!G^s-8|rD0Cl^=`$)R9*$Y&WG7D3xRp11qe z@%+Q}(DHEJ z$VJ+4OjsH6(WNe$t|F_$F*9`qId2zdsafhL+Ks1yljmKVT4RrS=3zNVcpw+b7nj|W z(bo&f;>WD@kS_$lyko1jO4PG9Ls6bmBBb*!+rt<`+dj){-`rHip-Oe!#2LS%iGh7& zdr!Ta@~D5t=7;F`gZG1L_H@$Yi%InY8-WxYz^J<}cL^&oe5I&X4S`ZT3i0Q-!ZS}0 z3<#rC*$Tt%Mh>dR|IL{~Z{{jgcvr==Zi|>Tzh<#qGTAtANr9vVhAB+j2cZAmm70+Z zSE12T9>c_|@w6pW&MaQGDw+zc12%+(tXk^EL!~Zi9Uluoep{MSqqUEPncA8I`kBs# z4P0(HQe!*;I;qQQRr@b$w#6ByKZy97!0A3GNOTzqo((F4PRECeo%NWJ>?g zd4`OEuU8Rrs?4^X5Il@Za_lSFg%l5=*bi7geOhEZ8<5WN217F5v zEpb13MtzPp`kCdHmzPpdI=^+nm`rKW$iTG#Lww*DBMKGFBduXpqFeo%RP=uFfQSWY_z9zooehdOOZ1@>|ZVZV3 z!kU!QRu)CdJG2+Z)clqvO}W@fpPt60!{VUnHUfskCmImf)4uN;wsSEUJt#_J?B6zaJ9MPh`bw97Pn;aHk4|q+2ylzzcuAwfnN^CTJ<8c2LdQNCk|;PCo}tQ#G%e9R(jP#$$FWt& z8ccK2_6N<(?$vZT7t@qA*(;}l*7rYZ{I6uhBM!Cgqda2W%0)$0xj>e{3FyRo{+SA4 z_JgGlXu zUUH_&7vhg2lZk)||G~GE)%L59*Hiys-{UxYc>i$b{nket#MMRNjd-E?x-wz59p00F zjc6cN7(UuC1Ng`MZ%~EOJQ^IW*tIH#{!tDwI3sghQ<-i1L x|H%jj&Gq8)|9r&dzw{k7$yL3T-#zK$pGqDcTv65yR-b&v2xyq9wr7<^`G24O&$<8r delta 12632 zcmZX41z1#F_pgXDDAFQ|FtjvC_W*))BMn2xkkSlw5Ri}_x;vDTZbk&Dp}Udp?!LV5 z_xsi0GqrsxZEJ_VvNkDt_&t<{>uXsf9_&>6R<3G|JZ6^(+ z^+{@?b09lYtK3Mlc=BU8@6XP8&yd1N<)4PF&s_;kly>m3-qp0fVtQ`b&Z>dux&Q5{ z&98L(JGb>xtmwjp6k|mF0Oxgz<63W$(|%gg+*C8FH`RZhOfni!e22h1H46P&re?LwK+K_!wGMlDkEvE_mD z!pwXav64n#9rnIDWPat~8S1X_CX&##^V@+&054V3t1RF5<_Yw~D)W@}3XVl9=H1~4 z5t-72RA$m|SJr^pl~nf_qKHOQ`by4+tzN?M+bE}UMZ^k4w+F(77Ps3&iY8JfJxYiH zu5(muTDJo4#zG{}lu1Klnkf0TY6K;QB{}j;8N0a4#LoU;81SAnv7@v{u|`bWgw zEQk~uAbysDAG(!BU$5<$#%w@+>2K=0BHO)+K+z<~0#`D^)HG6Z5eQO-O=`H-xF923 zk3;?_cI8dC69OL*jUc9FlY_=JvBK3H>ulT-P0hNG5JWU68I6QuMs0;*O%9!{@Mt~y z%MXsVu~@NH2(BK-7tiZ(&rn_nE@?1A{#}yZu+Bq|xho9aoCpV1plJo3bg!CEZFW^6 zj|322EO3Q*Wb~$1_YB8<#EO2mC*quzTpAMVMfHZ3U+gGp#i^SZf$TZMkv5ONETgTI zo|ZF@>wTA`&kI@tg?j^gb6*tkL5+^{|Td zs#C1R8&Un$dAuly2SFd|T%-d2lAPnWbK~lkOMVI0%K24eOZDqrv5FzqIQ>sF>z7sG z+|Q@mNuC~4uSwudkgmz(is{7!n_#0G7-FN78)Eynm|*)u9`2S>JfnKdJE}~3t+q@h ztv17!b`p&CX3VA3Fue9;jAIKj{P%#d0;lSeVwjgiA=BeErDE7a383JANoY-i=V}7} zGmUXfKhBdVRbGRp5^?#`Iu#TD_s8IuXx6ErREFVB+E0odO27GX_q*VihJ02FcuVzy znCHbSqeM(`i9+Vz%F(z~hR}}3`~D3HTrIV`ls};l&j3*J|@+M_|z!NE`S0ITmY+ z#?vI(M?L(_RjnM~afFsX1sNM~sY+mtvw}plC!=rjBFYh3=oI(9D`MS--FV&Jh-+GQ z%^WBbzUYH7bC5f69r@D~bvaseS0MHf&9v+-nc$AMcuow#*Tn6!&y4$@?8`x7+xY@- zW)O+!(Jf3N^|-KJ+P}s!y|mIMH|QBM#-tJ?PM`}df@P{<6$H^oQed5Af-`uVxZMS7 zso`mv996_m-JYki#w8(M#k->a!@r0TWwhmMA0Xopig7B6aniaoLt;!%(Mj~BE6_iU z9yN+iJ~iHb_L~*Y^~+DJb`rt>VTv8J@(y$(V$84oLq4;^1qNc5m#Z77Pai z|8*J%18RVbA>^6_HakIa^%R=W?j6pxXN`BxT?m?u49;1gxWZoY^3}Tb-(U+qew4lN z*GcAt9Cq@y{T40zK64&OC9VoNMti8TArgG&zaio-NpLGgatorS9RhO8w;A^7x+vmo8L68zc*ynJXHOgFe+%Jhizy5Wh&y8Psy%YoR z4HxKDaHw$i6Qn@Yc}-kYX3FDl9<{19THq52)eNOOYPa1)oPy%%f+%*zap?MAY50uN&(>+FDGO z7YD;PK$dJLzV7zVa0r^{dyGHM%AMd!%y{^M+bIFTUO#VR*Q5;y8K9R!(en6RM z)zg+}3Bf}2Yta*s1R&Z(7g!9o3l(ao1Z}Na?gw`H-=RI&NyDkHM{o`R#uV{A5X3~z z6TpAEMHS*^(!d*(F`{hX`nh=?r-cc8NVTu1sg({OJ%-S$EEQb_M->DVE#i#cq z3?3ye>PaZ^ix2k|!l4Q)z8Dig6n-Ba~>~@heOa$2)a=o(=BULLqo*+3zWlaTMcT^tkaa@Fhgf zLLLEBdc=pfRGE-*p94Zn;meO*^xE14W?h{gSnU=dLv?>=S;?6PM;CyKMeuPE?G_T!=Y$o8Pm@Zio&lawkpra4nz)6Ld|Unx zpAQKhfQWjXp)GOZ{;Z)n5eoumf*dx`vOp5vgX#pQ(URVyV)uA|4Ss$zeTUyk8s>$! zj2nDTZTJu1V0~3w**<+=N~y0Azg)?fTi$~4uS2*ZxzsZ7ccUq9ktA7v+it;30ntTs z0Q`j?jAGZ49BseA&|k4Bx&gpVNtL{m;WveWh6%x zC|mevHD=UDh!-02OCnOVi5zXFi|d3kd?1(t{lTCj%JE=$u6~2Kzj61n<#FAS{(L9? zgA=;S|5({7MbB~Yqif~B<1LC|3ezai2So$G+K;a+GXWD@q8{AQ&3A+q?7NK~Ak-5T z$+u?lUQ*Ryn=;(`jR`oI9k)I4F@{{A^j=K6mY-u7A&%ds#G@=w`zc6+z}ByBKy zSJi2)v9ez{*0~ufp;7W0s46W(MIol58)!oYcpi?=rh^}0VDaNkJP)>h+(dhflDlL? zypB|kaCllw`|Lgo=VbNOCBw%@;-(~bqE|KY;^f1FpbzAj-iE?57uYTzB)X`N)IuKZ z#lR-Rxppx2ySyIc6?Qfm-tNOI1ORh|l}Z6kRN>9ScL5Ujf)f(5afF-s&!z5Vrxo;V0ElWqs- zOSgen#Bc)ilf$HQaO+C(-Yfl*qIkR}HB0Cs;X9Ct3qi+^iiB*ppN3gKhXGGUgOr9K zk4pi(W1Po5`0siB5@$qv6%u1k2c=hx>?BD>(IF|Fdf{GpmzfW)$@dD=5+or=F%5ec zJYaAz^`eyJpd52R;uk;qAwPETfLXE}d}R?liV}^j#am4z6b(Ze>f#S#ls2Vyqd4iafsS2MkyeT7>9EJraxw^egKd7EJ%>+ zY-@3CZUQxuRg+NQG!1UN)~T!Cfzze-`X2r$cnQR&%87& zLxaB03Gh#`PznMB_JpsWSI&CMmJeIDV);S+$+qgT4_)6|jJ{fP=i@pczD3caQT+Vgr3Z#p#WNpvrwl;|*>NTl3&Kb2QmSA$G>*H=}Q2lg}Uo0dqqkM=t!$ zq*oN@H27Ik0|WG@I{B25Udn%SaCIr4T@RMhx->E$k36ei|Im+UNL6e`dH^~F>A*SR z?)655S9Ye)-k`-~;kk^`_UNkf7WtSlx~Rw?@5Nn&;X5%@y@cr@I({abB;L9~*|{6u zx^80Tl&^v39T|_8Y|6zALC_sOPpL?5<>IO^2$EFM)G=s~s+($ZOMR=U3Yt&4+sp|6 z#xt5Fl=&|m3>^NlUjPL8FqiLJ+%neO%;wrxRx@(RMfUrC-;Xv9e7D-?>_&Yex^V#4 z4Hkac9@ZR}EVHM2!7nR?aqEyQqOVr2Kc~M-myl`OFA2W4VpP(aPY`}vn}4fe)@}bC zSCF|>F<~Z_cHxSNuVzJ}p>F36v`f}pY8oC=q7>xSz@1M52Layuj^2T~?^S7eBu2Fi z6BPzVV)Ig@LY4RN$NbpzZ2o%K{IS~GNPxH-_Pn0>fue^0%EW^p>q&&W%G+K~Fee%9 z*39YcERnV}T!DPHDid2Qjm?%F57rdbuLy~Rs{qykXDi^sGhL-8#FZx!OUKPJ1vTVXV%hti{K(QuiFbl!Ept^0;toA^ z6|CLftJvzyBd;FL3eVO);YOjt=F*t1K!?v^5l~2ELT+9la#7|Uh&)? zV;rlk3*56#{o4@i<1)yt&?Eco=!D0r-PWz1xHi}m4mRF@TI*N#B*Cq3A92v|+<|p# z+G@kfXLNkCxh9Taed#1b_}K}-yIU@YGS(-cP2epD=o-G+*x>WUQ=?~_7GitRFrPe zBlNhJn<8G;>o8C1d~2gN)YCcPe=Iof4CkZ>uKiSCY;fw(ufh5*$0>JCs8CUc2J3F6{_VFHPE? zm=;2MX{{Ex6fhjg1X>maOW)ismM&sEm9BOz@vO;YWkaez0mcn8`ZmgQX2vV;xjh1&&kQQm2kmAQtl zHZ5&0PN`nyx!3)KahTMGN2=B)Uu|p(Z1YLYw8B}Nz{CSTN7SEqS^3s&9v|0o*!2vx zh^2pQCx1@qm4u==?zXJ|l4Zfjh)a)q0}}eXeYC%mi+sJ%u;|8kB%L~b9FvV)1V+nG z9rDs@F?o3WBpPs(g=EBH>C|;s0SGww?a^1)7DvVT)+{BIWnlHqZ?WDl6YY@@GApoK zc!*R|-k%1&xDz@)-PL%Fwl$FuDR?6{kLh<1Jig(vntliy{%iAbmze^HKKYq%7D#{+ zOW$7(#NPASSvO8SM;Cf1(Q17KyeKt;vH27zrJRaILI?QiiPjt(nzlhldtdDaZNARt zqu8cki&#$H&EBJa*gwC+lpScgHR@Kz)JLp7prY7P^amX0VcK1z(BCx#=e!I^?=d?6 zsxej0q0#M>WyuwWdN!lI#!B2A_na%huc z-k?`vqngDLq;ir`_by$>W9z3qw}I=nt;t=}y;_geqHf|-+HD(aC1F;y|Oy3s<<~)sQ59jO_;r7UkFK@SKIdXOW90L_yXFY!$M#A(GHi= z2&Y9{P+WS#yJghHMrRFR4)4mGttdHP)sCl&5J@{pn}Rk*dlPO9qoNF~r^=FN=j|@i zE*ni&zOf(1G=|j*R1CAVd{{5n;3FQlMZ_2kIG*r)MZQZk5``8yjwdWfHuu@PFln25 zmDc^9cF8C?GXLJS@Y%80zR5ZnaxyAdq@Lir)3NVSkXOaVIHUy3bSCWwxs|kpK8)|V zK9$Oj1_!yMuBB%Vd;G`@PaeBddyEIhdvbD*Nc~PB?#z<o2{uONkn5U z$;lmiwOQyQ?#bSv*J8E%Cf^6pqB`AOQPdx{ol4;!=ZlzXN~;gc_05lF@onui?X4AW zM|JL|3s_Ey7d~GO?s_@YfGoP*&v{SDA^nnFpf=m^ow+Lv5!RQv-Q0(zrG0K8M0?;m z|4>g7dO)hhBP8_B0Jc#iIKma=E!|qs^ig?sULD;9{xarV#%k2e6hbeuJeQm4QFLDZ1TPZGi)i=9>+t@>;8GZT`Ih;3p@dUm z`vcY=aw6NL)&Z~mn-kOGv0r8p9olpK_iLm&Ye=QUlxaN+`7J#Rxl5ITw||JA&;7b0 zb=gS4Ib4`X&6^{S$I#vqznjtf7{n0LD-mb{tW7Ezm!p24X_U9Gv+3 zMCGV>Leh@Tr!GQ>UdauXx#)Q)e#*H%qFT0Tkc4Dn-(D3xvXB2v&e*#s$ickRc6JLr zO44Mo)C0QSf4g@iv0yXGJnRce-Fj2#^D}xoq$EiHI;?5rkLYSq=kZ1##+Ff7zMsl6 zUk#L|48{IsdKTQr&pMuurI=1LbWm=k zP;IwoIhpio59nGCT^R0(-kh)@VB4OFdJ!Ycx4YEnyfXC$%CZ*}pf;g8POlaC-E*lDGD&LAlQrlm&&YfxX!aJ$>;`oSWf}`%pTJ$< zP8eaaD*nDOiWHs#m8`-2<*YUhraykpArIB!Dfx2^I3>@Skf>=jX6bSJGm*Iyv}-dB zEvNwEHWW`m#0DIAb~-=CC0rDUL0;qZF6q+ zju3I!dh0%w8dL?0vfVUj&?&rQv`UT{SWQp9@T+)J)uhdaJxy$jF-@YB`6~jI5CUv^ zBNop3L~ADY4sWvOxG!f0f~8&jcxJ9KipaYpY2ZE z^-yuCd#9p37gW-f#E^}aLei;(1Fq}mw{P|H*-B6~$x5`qk8bVhA&<~BwHj~`=3Pg% z)`1TGmd?-Di&vfan$E=AkdMGH8FS&P+qbFKr}2FwOE9XIi4)gL0ljT|1>jt;$<}}g zqQaWmBIp^=)^d-K4F;J86bp2AOb}Q3=vNF#6Y<2+7z{M(SxY;~@*Co7;ueXk^*axF z4K8>K@d6%^#McA44u9t0tGCeD8*6kT+u1MA?cNk_4w3)^a#N{ypIUCM(*^FigF?&1 zM_<;WZXZ#1DgoW6KG2S@z_r0*KNI8dq{aD^y}Sn_*ZaUAHlbfZk^HKMo+I@g5oO<3 zcYh?W@neVGI2%7DA$WuOgA7~V1F1zBEVzX?Xq5KVBy7zhW#9Aeo~WG3hr?8 z*fG{adX(6?Vn5r=l1sMI#tot&G=5h+VrC(T$*cP+AD;7t?#3o+WJUG zcVUM0v43@oPPKp_fTd#CjCFJ1#WTI`GF)u!(mXoqV8JEQJsIK{S3T12bWPhfb-Y1w zr4wePX~J9KecyCqxX114p%K0p*3{ags$N9Swr!^gD(2NL{RNoAsFs z6bQ9%#~0+a4loTXMCuD=S(4SH!yn%6)k-gasikAv_1ZYVS9$<}&ir6zfvL+0+W!&4 zvf{z8J)mjxH>h^+spo`4k#t2nwdhUhsDZ<7(wA^bCjB#bn`ch? z@!{x~wYygvZlP@%!i)Jnw7srd(S0TZmX{Pqfv%sk5^t`j4!tCGkX5|RhvnJAo~k2x zta9}WmQBDZwN5%eb>96VcCB5!Uw(m8j&-!=6lXIFZQ0vDAH@}vDyE#hi6ec~l4|C| zM^11ylTvzg$QFZQ+JDQDmUlR%3V-Dk_Bcj_j_J3hh4MwCYI;UhZ{`$vkt;9h?=W`G zLZo!&#L84hdSi#0U@|(%5MEz#sj-~8j0)AZR&8LTWAi=aCo{{RV$_EcG7alv+7pY{ z1_30bGkrO#^DDa5azl~)j8rKa8r69{&1+UIMV@)gyZvsdPVFx#mUFk8Xtr_s2Za!w zr@PYK<3Q(Oi9B!Of*YE-!5(Zj{HBt`@Wdc-FjKlaQ@Y(%dPBPQY!4b){dRNW3Xn`mVD(m&Wr>7KcMs4%rDRw{?L(x(YnOV z>tNl1wAD2yGX9ORr+sVe;XB-lu*3d{R^_t^Tn|w~bMh6o zi2#@hqiLr`=pw;#=Lj29nI(_gCh&WR`5H=?yed)Hg9>fe@37-;X z9GQb>y}$uT2DaP03f)=-lJ)O+IhFGWPy1XGnYx4ZrPZFF*;w?u3QSSTOi>bA11cFW zIW1ea#b#;xbyMzpiPRTLWjlOyhH;;GJ3eqdWS`7QxF3~_94d8{zDI;AaAyl0 zOu%_+zUI=2``P`lmp(TKtErG<$X7f3-23P4cGRPg}8|{}S*&3h3?- ztSp$z_th%sgdCtO!g-j8A%zZXmFtf0ie0%eTr)GpaQ9WJp=7NepY&STEIP|U?*0XRqIqgv$jikxbt}O z_foCWYAs1;<9I%Bp^86xwnuBXhu3fRyY@)y+-NkX`%URZN7v$#LmgH^_)=O*>UU9; z`6z`Uso4+Pt+{81K|^xw_A{Bf6Q@NpM9I~ssre|G4U*wfhNo3NMVqkUd`@n=!~{1? zeFeEd745^y9UtC>d!ZK_0d`efl*1BL*L+cz4HqGxhn0JvZr(L@qqC1k_qQq{4fBhsdGvLA54{@95e?_n%W+)6b$dC}r+NV8yT z{bS;`iMTWE%?E1Y@I{7Z4b>p~q#Qe(<*r9e;)`J#JF`_U4|fBT)3P*TQq{mbpQjul zYb~4Jz2?UXQ$D(W3~tZZpm^@F!^Gq$!S%?j2)cKU-9ul$%MNInWYG0Sn!DyccI|$# zYW@c}LRi%ETaMcN5JE-11+C>6MbQogwWh2#EfxqUIM20Tm`mi?^ePirr71s~oQl{h<|07TQZRC>7qZFO^S#qSHv`5M@KdEG7hW(^538g84mYag7F&Y@@2x6nS| z^E$^&-{qLQi!5=QTfO}GR;FG40=z|u_~?DY#p%H+$|U`;25Ps$-5Buho2M{PRPA*# z&)+qrigGI+VtD*T5qVMKiBqh*`3wM)KLnd+a2wtfB zil_)LiB!GL#NQ)TyZt%t(JZH?!=N}oBe0r3yaN_@Q+@P2+beQ#Id#!*LHpU zOXavk^aQ`1^nM_KGe<7Cqjx%w3H_xe0dmR*@(t)FQQWN2s#NPp;yUg3iGTu2l2{qI zV;$X$$0-MGB)hOtG$~osP}AWae;@fg8J0ud3zJRJBLfEY#&Vl$MtnlQfF3}m2`G=A!AQ-0K38-whAqwj57cbpwjJ6w!1z3S)`5e7lKRTPws} zwkblVJm}L}dt>g2Ee>=wsqQQ}d=rotGT$+oNZYba%2_q(d+#L6Fh4R98W>sDVZl17 zoW~7+@g}l(StjQEIK3{ZX=9qq6?vP=+bOh6+O}M$J(T)V%a(ztOdwW2g``k@Z`!$y z`WsVjaOQ?XXBhkz^~N>TZDl@*y365$eYwI#nCmSel7@KM>xkRJD7L#*)U2hj!`5ve zzp~5#hE!8v4t2h&mcW{E<0Mw#Nc7pa>Hit*Z2dZ6O@Em8LSOIC!_569aL0&yQ{XB+ zCb?nYqW#_VVIt3lo0>RZD{mZnz#egj?lEFA85~AMT~0deus7j1pK#9(7gsv{eAzIH z_!}90D`={xweGupZy zqjkS1=jculJXjX#yfke|S&Dtqgt~XP-4H-W^{)GdjL%@H;e>1fph_U?v^+R+{e(-)Sa${$~8}jM;-+F$aQBJ2ZPN(|-)K;bH z_w=Nq|C>WYWP~|2|A)^jb#fU^{&#%xzrzy-+ce?cG7<~mHtT&h&@DY z1Ma@|YbY@Mv-B(su5-`JJ+qt@#J1gBvU@w-@Q&MM!AvRq6?nBgu=kTkl8Wc3c9NpX ztP9|XA$wjOtrlpO%Ns;qz6(KTu}KV3wFv$Djp$DX$+sNGAEN1eg_tZ&%kBO_bR$P3 zcc#NC?3&E>isqStf?+p?zo{LOF(En_0Y5bp(OJ#}e!o?#TH;>1Z^Fobf7?0Cd}!dm z%RxYi`>le-g;Q_iB0hmxQEc}NBk?|^>w7wIX`ftmcCchNkvKbUV}9+!cG+9>vWlgF z;cNV4`;^>kOD`v?(eLCoD;{G&BYv_)|FfeE_IRMrG?Q#VYJ?a@_c(!4( z7=3i>1K$^X@7w*}wZ8p@)zdsV+Ih)n`scDIq6lqjmuNNtbbI1)8 zVX0Nb3-jC6Z9W?ge16M?-%J{ET88AQjs^5DfSyc4w8*$eMTukka;ZxE4{VRz2=*fAFoZez5KtIz0ZC;bbtd$0MC*Vq!*0c=AySnAlO2qZ@s9+edm()Eo)wv8G>Zi(V}w&jFMi#s}K#1<+{Ts9D}7nZcj^q;=P3Y z`-(=lWiZm=y(99gt-H*YS77>(W|f1Dwb#omZ_I7icQv|dGzJwIO_lo{&cIz#`+Yy_ zL1s)D>(9yw)4i4RJ^Z+84|DbxQ1>sm^Bhx`*#oYSloHOkx<hE;0@L$g!^|JIM_Nj0pJ|O0+P-0ELQ~N~RRW}+Xx^4wZPDsR z3bZBEOk3GX^47d7aHJ#H(An2jx89y&jOig%dp^|RfeTkDhT-hQ&5ELk6Kw(l<_cY# z8qWz7CU0-Jp*x8apHy0OBA=N|q#hGgWns0y;?~a|Uwmf!lUZu2vnvb76c>)2`PgN- z`V0ds*WoYO{EqDqnF_#!6yQ;)*`+}IOTxXkmSN4&7K-v&X-u)r`&!$Rv0!~>iOQl> zi;XSfbMAHKS-)gcr(FKk?CP4wDRbO*y65sm>9$Vn4HGM*_j4Yk_*pwUW;YQWL5B9on;; z`<3bgZMTH)U3oSoe16T7da%3vfTzsuDy;9CAxEZ#i&5Jj>h6SQ8i)MN_xo$1=Ey@T zYUGEX=-wiKA0@oJpK~{liB=QxvM{+J9;l!2)3veHlrJ+kw;APUA-`b^=k{jjCX73( zLoMW17Nl-Y4+9DQd$8Lg)w`zpjCHG|4!RVorwj^2);|yf5wlIjmM=OTCdTqR*YlH& zOs`{#!4l0863dOMiU)&aRew;2anOqb$t8}<~Y>e{xENnyYC44*_2Qf6%Dp26B=42Za6~@G5=CKH%T&9M+0~a^e4=Arey^iK%dv zkn?(NjP1jMg6lsIiGAok2Kcx71AgvzJSS57?`f3(IhFFT#Zl}24>s!d=WYcZ`5uWP zz{>yNDOY#svVm$Q59I$w+by+Z53bVx@FsrK)==G5VP@g~54a0wzW_7pllw|2haTGh E0t7l|>;M1& diff --git a/cpld/db/RAM2E.cmp.rdb b/cpld/db/RAM2E.cmp.rdb index aa09178345c2c12280ccf16b61137168ec1932c2..71ba4eac96c43463b903307121b3896e64627f03 100755 GIT binary patch delta 15180 zcmV-SJF~>OcFuN?Q-AGs0RR91005^s000000071U00000002%q00000004La?0sE~ zWJz{bwP9_xZSd^Q+UtK}cXnob?5XO?tp5>fZFW{wckR^QR91ISuVtlfW!szQp)KM3%@e{Bch{@)5 z69~_{kPssjHzjLL(;1$()mhZ&oxKY$=orM1*w!p|X82F3es)IE*c`c2QD8$XQ% zXd7yr^nVY`^zr5A0EM0dl@a>5L$L`83`d;H2)bb*i&3ta-DYEUJwA!L^^Uq*ZysSp zsthQZ!|n}^X|BJ?-{}jjfXtw&Lix+O}P^ zs!gIV!Tz0{ecDPoao?Mh6Q#{hHCr>0n-1Mc(#b=IOylE=+Go!cAB^Q*(lv9~(tn>p zOq<_rm^*K%%0&Y<1meaNf3%zBBAS~cGHrj_`M(?Z-)sN>Z)5jU>Nk@PEJTfYbL+4V zGn>uJ_7y6U|78>g z)!cs6it6>aj;Vg??O?foUB0XNcj{?`nSRpdZ1VUmg;^QrhU#(jBvzP7cYl&|P6}Ji z8gvlmYbttzX%~U#)J8*3K{2^EB}%NA$6;#N#r!;z3Tt7G)VM$|$A}%xWT6^)1JSS+ zz@!!>ZfYmO0S3N2#xZ>oL%OH=NAhSsh}(wh`&98xk>wHogCzW;HGlp1kmFl(VR&nH zl6vxW<4%HqWTVkZIv284*MHG>;meEPR-1Ic=$-_ZP%DA|i(VVmdD>Ks?%7d{PFIJ= zguRnq8kdGa9X$_OV96j)0#{TGt}OvW_ZfUxTD;oMmv)*wiQ&_`IFIT3)1De)ZJ)=r zmAD zn&duC;LU8+9$%!|M0aUgOmaFkYFzt!M+KH}lh5dd@bx?)+}fv~7tFGbFe!E>yZK8! za^u_ZN7kq}J`}*q;w^>3MR?Nua|n~es%u*nlj zXZdkld%w-WWBtw@{C~{^P+~^K-!!?YGlOI~LpP5<^v3DQ&>W^xb6-1ap9;(QRvy+$ zza>3-0UY%~KDo)#&0NB@BNF-oEzFOY1_nh_ySJ~QrU}D2K(W_O89jwg9gDjA1m@B| z42(CZ1filFM)3 zzTbuseEX|WBfh@W-w$~I#R z1)9PeLvRH58h=CI2Yjim(&tFb)8G9l$pr?q71woPc2!$?qlQlH`J**GaDSN_HU8TW zTW^>vg;wNrEHP{198UXrF&!yB3M0j|U3$#D7e*|hV6Z&IVTjY&gZPv_otCO_TMl-7 z)CSLp-4JA#hQ1ppcC2^ygA5kD=nE**%!qAn&RzGh{eKN^g1$-h+v@Z8H+SB~|7y}` zcRo-41}53m={NXC+TL1mTrCk8F<_HRoVMFBB^|RfKGK|#l-}X&Bw#|r>a@^n+D?TA zN1)8mXxM6SBO#2-JX7nl0J=fjqgQlCeV%59fiNnwv`(0Ed&;n30yFJZACF!I#fv~~ zO)oJV0DnW&HI0=Ubc+lVpmE<%kxqF!W|tc#VpEZtiqur3hV_zh-cNhfv`0;Q)Hpq6 z80@r1O?%X|M-A&GCpk`9gFIz=>pWe}<8Wgf*c(&iA$CpK5_B)V zxNOngPWL-{Lbq=3sXI~QeWjN(a+Wcee8mM8UwTisW@YOa>06+$_!J&DMJ-PbRWgx-c;+8sV z9{cV7F)KuuPe|hP(xw^mI3v@iT$0?#i%+?f#~GPE<&xw^UVO@>JkH4UDVHQS^5Ro2 z<$rNTrcb#fxsey2aw(59GJVP=$&I}DluLP>k?B(|Np9rDr(DY8j7*<$Npd4EKIKv# zXJq=6OOhLT@t1*1aH%2Csn4xaenXXV=d^7%qho!_fI0LOPP@bMo^U(<46+&bA3wBG zI3*Lue)4=uon!5%snLbS#7Scv>1DW=Cl zd^-;`FU4r7x;hoVMJw<;1R&ONfKfSAR5f z_|-DLTtDL)JK~VBb{*bJAycAcQCGxz?lLJ=Ywye??==g5B!GOgWcFt zn-Pw}AKYC0P;cRP0X~##5yY6uQ^%!S7 z@!-3D|ATWxJ=-@Z3W3D(I*qLcR`+-#-baX99#_S>pKqzx@!A8aFl}7#F+F0rNjOw>-iB4sx$kPOW}jviTZT3OH`((L@wo#fwnMJ z(I=N4=Pc#vyVfpIXsTVVjepUXp`eFVJk%?|DurzaIqc$H0cpV$t@Pm|$cLWVI>A`y z$$_|#Rp~>|^17Bj@a$tF7*}pa$7`NBbf_<~Fn6EER)HaTX6Co?|6ek~Bj*eh&Khnr zWu^*Y`dR$fmkyhEPZC_o29qF#`6aynSA=1H9JZ*A>P=i}pz}MWqkj*B&ZPjEKl~NK z2Pk0jUn6$vgd7S8b2SS7FEIQv(F7={qV#9N1LB|2_aB>k$}rS-4V&~mK$UKdHxr$7 z>uOdVcA=Q#b2Yz;n~&cvuD)GZQ^on>yurakir+PN;e>ku@cXKYImX-}Wfqi+E?3qXnbc?!q} zbG9}j2()$VY0vsu_W_);isMd?c|Xn@3YU@aV^joo@%gpe^Q&my;=B`@1^rG-^$vH? zbfWhACW$lPo_~9_v}HCz+rNUWxckoTtm~1H2i!GhieQ7eje8=QCHDyo`DCZ{YU|kK z=j6Y%y}kFay1(~uf`kBLzJ|!xw&_6XtGkc(T0uVsD-VJNynt}e1a0Lk@hJPm5ek0)_(PHZ*RN0 zTiUUlAX7`*hdk?ccW>7w%4~UYHl{=OVB?^&wYQ5Dgiqi<6^MSA$;&R&IXI(NSIc`~ z=~wRWmVXa{ta`Yyv+r@N+RiNV<;69=t=(LFqMPNRkm*$IJ6n}`C}IZ#%jS;S zLWZ2I$hyM7+o*2wBLtdQ60`Kh~ZtZM+b)&j-fBSHYRo#WWFm3m)$K=Gi zPu@ecQZwzB4$yNpwyTv#yNCBSDq9tUtPg?1oPS5-Yec4d-}=U82{cx>cK7e&?;a%Z z?%nN;>Otwya+B(-P@G#*ubaZCnfYuV^NRSPw21wKjmif4H$)rNuU7W9?^A>fHqP*O zo9bSSE8i;#jvRQ%tHmnVgj!dnq-B8e%8=<)EBgm~U)d-hR`>27vNr2m2YA{$cx14! zi+{n@i1Px>4Gg2>Chsey&5dg15NxULl*;$EWN&or?rVooLsF&d_N(q}R4S#r8`bTN z2OHalnor<|yF$zpS-%q>C*3piEbA-dB?<-!} ztZu!KW=A`q(-a8ZmW5;l_SyOQxB0K26K2>b1*h<+8WxveAMD&QZ~NiNbh9bC z529#N`Z2Do6has+JXK42t85?Ad@_rRA*5Dy(^w><-ownfy z`BHH;REQ;teOpV;XBy0$<`G@qUH z&Sa>qm)G=bJqt4LpWWPry)NxxZBq`#eplc7X`Z>FV4m^iO$R6Qm_v8YOusfTH0rF8 zP3hW+K1j~GXH@Xbr*4EGjMY1zLzK5J>HcqS8|9ZjvzTDSxV0C@1a<5XNq=k{WO(o9 zZrtG~JxD=dAJQleag(S{u@^REu$GS6&3YG;E{~C#XFEBiAEO8eMbcxBcvtbh9tAH2~B!=1k7 zB3|B73yT{a1eUKATA%%UJu!<0L^-jsu(FZ@dLbWr(T%yR`*!a;IuhyB1-2$I~D(yyi2sFB-MSt!AUy zZL3;6j#{_t{Kzt!&GP39K3go@jei`gpdqEBTKnv5E!jccxPNnkJ@=Rjsz%!0oOqQB zi#zy1U@dxJb-I~MxLVK^Wa?`1d6<>d=C+Adpcp9ZYcX+5tf z$H;Koz4n<{@qe;zP4kssicOmoIOY$7RGRh~0B?3N+Y|Lprvkc)W-VVkJQejp#ib=iv*!wYp;SGoiSwZWWhB!YUlhtMekEu!J&~ zYyyWK0J!(PM6%JJHe2uG2Z4M=|NaJqHoea38~TkN!hZxFL8YiiPwIRyYY#xqAE`QThU-iQj904= zmnaVpV$3jG<}F3rUWP72n{t<#=MwAy!+X?@)jF04eCF+>7B`UUja>FS>xbXM^=MI} zX2JOaWq)loYV|G#5E^0z4~Z((VOU4znR=$r>CD#leq$>0-PTYOmy zRQuiSwaeqp9#>x8*&~~7y2Pe$*#~O-L3wAdVp4sPdKCi~0-q&ckCoooHVe|RZP2Pk(Ji->oI+n3h*Q?%boa-}H$7ZiyUum+EGEVQ7EsJ>~>~b-8 z0h{wehLQR?0C0<3%2LHeV(?p6RBdw!-r%5=?&_&W_?d+4*Q1)=zNQSnO`Dz9VMm%w zWK-$}&pdE0WeFKOFN-eKpGFsLWm#cvH-Eg-JvqU?1z&X9LYd~GVB1>L0gU|&#*uCE z@oU+wJ?)w?N3yt1c(}iAQpba%Nq!gmDlt8ojp6OT#3;~Vwd8u5-h3ixke$=AiAs)o>kK`%23>Pu@a81b zQA0Y=-%F>bE$j(OAa?9{(NR)QI)4`#nYmOEhHhPib-RfQRN{c6m1BpU6>%}80(Y#c z)Z?e>AT={Ht(AG8ow{G%VoyR0A@er#K!yI0tAG2DnA!X1n6S3ts&#g71~&7+*?(nxHk3to5BIS?{RT$K`G0wHrqs+s z8TjlTZ?*sUqD?_jm5T;Xs!G}#aXI5@G&6ikyv^n<_zdFA1F3eUq*L+rF4TX`j3#5^ z`{5A21NgJ_D&v^Qz9^8Dq<_im8pc`w=W!k8RpS(Z2$`B?9u(dNm}p0>F-AmlPq`#Pz26@D1S=&q34M*s>LkC`cQ@}vQ$d#sSss4ZA>;PnKJ&mE74|2 z3+_2}WH6QmyxwfKYDPaoFF{+Do-`AzuV5|X9A{!+G)wJ@hf#}dWcyF1T`~{f4y@O( zWW+InI=a~~m8Npa?6PAYv6`gIwWN0UoaWK7!X&xXneCp#PYTAXTYu&4ckWjXtV1VL zwJ&%S=1K{()gHP$?x;pL*Su~;>Ry^Tj@XaSU$XYCoTN3 zcX1f-4ADaykmKql=5VGc4nTfqE1XUoaQ$`zy2XSuF@0pGvzvSMM%SJ!Vz(=)D_=l_ zU~X(ZP^Co$$7dL2WHrp+wn zLdL0v@JWWAAAj$i#|`a`*so)a=rQ)KK83ibmb?l6eLLo%s)tS}m-(-4B5zwnnB~DLd|@rbL$i*(c5}H$LdJIB&OfWjpB* zUh3(;%g-8QNEwouE}^YctS824*|31PP>zWc+_wC5aDS*EaO$*0zpYmBbv@ysZKg3` zlAEHgH=E}c8+ouaKR=HjgzOV1cOiW6;?EAkNvS9W^3^9)mzrv?|^AxJrw@mKL~ckfW$Wxw0+wja;l z!RnLFl7E|r6UYPXPvitGKF07yZhC`pXv=Up&*pcQBr%!214F9H!P|-kw!|Mi!iOFs z`BJ$2oaU>POY0k>=H%FDbKc$FyHna8HKT47BgF@M57l^?MLoC68`~on%5lz8TiM$@ z%+e|wUdGwkjYpuwuDS&e&?$5_Ena*;gU@V+Hh(GED}4a!Fs?mrBwur%MSK$P??iRZ z){YTH=ue?2VoZivZP!HO#;M!LL~2)TACmEOb|5m2AVGRQLKQ!|-ioj{%Fk6LTV$NJ zo0~&Ed{o(b_~3Oc24~ITblk z;(zWi)q`axZs~C|sJ zEf0DG41&xiYP8|4kE_j-6YX+OB}b>& z+xwol2Fu7!=7H)3jf2$#3?Q65(069%bVIs;$ZEbx<|;s94-E%e1WCS(8{Mr-1!Ee# zY48ar3+2bL`~ERE?`yzo+2FR1xZ@rB%Fk3a^T3|yvLDIml4myatqZ2P(Rh z8F>A95iEtx34}at+Nm*{imV7(Ln!;SfcGAIxC}dM?@U0>K zB4i%43qbobcJ9ANyOW$!c7Tj-_PpO>=X9^dCtluzbst# z=}XL&J4oPrS)*-BP#{4iiR=in0y6$O-eI0JyAz$m9dU~G1|I8omExw64A8W6+`CCr z+6~<>RJz14q&@8^DZ~8oWZ8bYg32eq*@GrV1ziu@4KENypxbLb?nH^(Ab&QaSL}{>_EnoTm9Om9;ey>w__~M8 zt{Uk5$-%9|)Qt#!W#7jr*k)2Q-8!t5qaA2CirPgn&nzF~Od!LyD{LLMs+%aS1YB|J zux)OR{uW`&PCK97)?q5y0!KxJFW|qUT&n5ZJ;%1jo}E7S3UCGY4u9)@H@}>I-@mx% zzpm$UgUN)`Rcr%tG%6M~I6lT-D|%=>57Z~mxx+GO6VwLoI@XS>-s3Ukt{ZBV?6zbQ zu$+6qfaRB&gkWfDD}W<#Tv!0riy(Rh-`lD;b+Rr>^+m}oyZpnU8fVN47%RSHPVbgz z<_n%vKo7P>s8>QPGk-}H;Yn3dP({u8mBZ3q3&z~@0ORoo;_w4bcuE+c_(=jL7;&p+ zY-;`o86>PHs6E8ugMV}HnUHC)R+1lc*&jP_PY=R%4uYFD6tb3^ZHf%xG@RCapoPz zaU(AVmNm570qoTJ*t{UwSiIM}YfK8sPPYT7`{zEjf2SHpM@&)%$#M#Yr7 zg&zb*azT>JSvdmBwvxbTm}CJkVUml2p?TWI3EH3U&!5_ESXtAf%|j zPEtAK#V@TiGQ)`|TaX-98iHieIFsPA98MO5)C!V?N*2-}qW#T~$61*^HkUb^?BCn6 zlo8c}C|M93=CJ_iuaP7yFFrQGoVhj1^DFP+7k`0xmqbg8sAzOSrl^NVeV$UGDc;y! z6=f8|viZj#=JS5V%b*%Rx$4s2WF8Vh}#zzjj313h2d{-E^s2cocUnu9IBtHC5+ z&3`@dDIh6#v5g5kgeo2Uq&qr3i#PfkB3mQ3n(THce;3~8J2uFA-iwVN1j1T0cGrSg z*48ie*=pIhcd^y#+`OdJ-6^FG5(-jycoIFPU;cVK>QL)jW{~j_dO1NB&q{`TKBR?_ zDc+I7nib~N`KEzn&1UX&3Pb3+>n|C9`RZ?*>J!Ro*9UvtUnFf(BMu{`?5JxFNo>|Y=OX1CKCslnUazz*eqS_)=a3IkBqf|5x=6~84 zAeG;WQ2s+1VAVoig~m<4HU>yf!%Dw`qcRXZLjVN&z_^u`@Z&N-=DmahNPB?vTCDU7 zIO`wo0oPoA^6M&tf64&q16dK)jpzYk0l4NK@T>$tI#gCfrA0Jce+wY#xJrE74)Dwb zK)PpEMF$rQ5Q{ZGQ}q&K`HdNRJUDYAvEKxC)^Cf8eJ-Y>R>rbz%61 zqk-yYgi9}PC244L`>zmg|ADM{(c6Dj z?45Dj>3jOWsK4k6FG>5a$@&ZPUQ}8{Mg48+3)}wm0X*n)0}2H%>MAA%VvcB|k^@(X zPkIKBGC-Pu%fbW*Gr-C{`+tZ9xJspE-fLrkv;p0z;i7(w3JDMl2#kTULgU7-jRDdK zTo%nPqJjY;^<`mzv;tSc`c5!F=zzc|Fm9_$_-m5@X$CHfs*1K2siF%6h5=cjapTv< z0BHvPfAX05~+=}MGUmF9YA-FVj6c7w}!Mgz0Pa5S?1wRPX^?&`+%~YWkME?(n zKU*+eoXBhDy!3IKU*fsMN)U@{lttZzAoR_Wrfs}hudC}k^D&*dZfuv!gmCqD*>Ds$Zf<4Bb zsIrKP+6T;@3_+4DqkqK5ZTd`Mm#zJSjO2@nkEpX42l@d-*eap`7zk=c(9(?_2X`1>goStj1xLhq>8a2pbDgXW_qf8kryAaGpxFO zkP^?p&cK%k>1f9q+f9*rA!I%CrO=w_?4qth0}8$fbs=D18h^e>=fIk9kczsB=*NdI z(r2(5=1W*!!m{`w+C%vg zmaUgYUZg)_O>9gV$`=73qAxyQ`cL`b$Sn@3;&CH5S_@a>JZRQCI9UbH2t0e@!NJC6 zHdVMZJ`I3{Rev0VIL7=TMD?X*L?EMsy7xYmuJmh6%d6ev| zjQhw1Q3QUOvyZDt&5?RKDR8g}Po3e(Cp6%1i@KB0^`tdt^0CVOCx(~>55XD7QGamS zE6B(XNyM>`2IHuq_oGKxDj98&It)?K48V7F9 z%MD;y{=z*2h#-(>{YRAeV#&P&oV%Ld>ca9K;Xi^jLNf*|VT4u}DofIodfz5SlNf=8 zj<7Vb2I;pk6C+8e{(g>`rgP4kiP0p~uHcwu6Sa@{fNWw!37>@EnI(!;a9g8W)0`Mp z!bd2$CVwON9A%ZmJ3PfRU zHDD5WWW7S*j0R1F&?KVM2swmOnP~UbR0&lgCV#VnDrgnAS7stTSW{(HiH`RV=%hpL z;hr2_R-!dsmPbOlP@TTAD`d5ErI@jeSS?0b)(T$m_oqyfp#6&5xqUAf0;1~&+$h=~~ijk+n$DX%s z?@s5@Z4(}%aQ=`x&u4<-?2g#fg!K*RTolnKS{^i+@7@VG)}1Wl4Msn7cd zHS&y?6nBsvG5}lk~2W7%UU&tQ; zoOwD)p4kz=57RwuIT5pA(elJo;bYI+Y*-ZfJa9~9o6$XpYW}bc-eF9DirA?JCp2C85xrauD)Q;upol$C9OG zKHXwCuFPMaDq+Dp{Z4`JVb&$>(aV!1)V)*vf-K_I-=8|!njF7`wqF$5-hc8dCCr)0 zlOxP3ct0cyevt}oB3Lr1A#xX}!h2RcUUqlq_Unx=U*n49veeyTxDy==+edg^JA$uH zDAp&p9*8w|$I5d!NWVJa3-|pe<8F)-&5%lmGgBre9m`fd`+E486OQM;dbunVvm0my zcc=lMdjs_u(w+~`+k{;fs(;oE=##F#P^)hA79^zd>Bh#%$)`}OOZ{VZN|>`%Cr6mD zp#xvIrG&ZZ>cj|>_nHST=Sm54BlqM86KXi{Eo@4dn-EQoFgp-v^OUsDWp3LSby3BA=)mhW3NP; z(ErXP2+?*~rEw+N#1P;t1wq?5)j2}#4M@Kpy+_n8zGQVkCnxGp>A3^fyZ{RsaN2KElfy1gNMTM0RN{h34M2pZZfv=3+ zsLjWtJ7vXvCWpN+vYQp;GAC@pTWKWVXp^XEl%v-{oWjIN~go1V^Pk&Q7TY8d& z4RCcl?-6{>iq7?p;OuqDC#o3k2RZ?>;g-JhW|2&WiiG z_!;YNv!hB+qJN|w9o22t@X$G0UP2Y;med3MB8;91AG%y7)0icFKWO5Lg{ssZpCz4+ zI&3AUr}zqWtC4=5IU3Exgo-``OuD?e;&`>ciQ=~(tXHZV)rAAZ5k{k%84oI#$jA!g zaGi5ViWazgN!*HBwZ|7~w|OjtZo7G!)KodH*W0AZSbt*MWeDgg1T=2hRH~Evn>*@G zy;*zTrcuK2$+5`yAZc~FQC($Ix9=a$?ltNcYP)PwgQYi|Q8sys1-Wj1IB`9rcbd;NUy%l^qzOribGoi2oj4L8xbxqw` zT@wj~B|t3M1d0g&-1}Z4*#=LWt@rVRK)x!azJZo{8~>|loX$7&8!c}0>ejqPOXB;# zo9aom03<*2&f=uuix}fpyX~3ak^aQDB{XjRNZgY#=N-n4Jz} z&l2Mxvl%sJ(UOQE5SutHjBR(f-aLxxd^t1oUHEUF>9J~dTeVo>7_ejga)xtt`*u5N z#9}V}Q>l}j827h!s|D+=%S@qJdYK*tgOBfj(gWf-Nw>%a2y@&mZ@**bF~4Rq;x8R& z+vVzeE7eB})m`D{T`(X-r}3z`;eBT`iQIMpX&%Y=`G+5VXmGePmUS7ztz5861D7u( zq*#4atnP|cge#XZ+s7m7Cp?DY&($xn#qOTlJ;kYp9gzfJM|Nt$+^rg^yEP4OXO^ZHntL2P{Y zd4D0z;o+h*>f!!g04@%|m3&3gx4)XwmnO|@_-GotA1LE*r*D1aANXh*`<6i7Urpco z$Y~0Ph~<@U1rPUbpdP=S=J0U8lGe@R#(rrGOsaZ>D8yyC2B=j{cH8=x;F zFEOn`9Xw_qbMLUDA<&jT7bqi?_qx*lX+YM#7O2OY0k{y&AwGLc(DN#kchs~|@rB?* zwoi!WQE9nK-cifA3S3BEh46*y@ykf`EoNdN{)O<3n#PGsZwS#6!uO*AnS2DmUVOjM zn}FvZ9}(`40&pRoelY-l_n!jghV<#r2l9S7P`7^*Xb)uVt8XanDtw*`&=M+R)OP!s z0KRshZhs>H*BueA6@dF&0etlU+}{bn9R=W!)W`c!|MhaG5w7e52@F1#p zt$XSfJRUYX*mu~I;BxBny>&{kJ)o)XZ&68nI+SXLkK7FWCEzvU9= zz_<6pZ^=(1b&c-X5%wfQsuEY-R1npdl-(}+D&Tjz^-gksUXMR;TbSEOL|_f?K=K|Y z$DPMIWroWmccOM&=fYa46-OqSj&nY#9*X09Cw*lq9+vS;QMdKd)E>! z4>t;Ld=Q<1EG6lai@oefi*MVGK*MTL9VH!0JbUA++TO^2ocC{u=}~M!xu#)vgI3c0 zP<>@B^pv%K?6I-vh1|zXSzHpZWtA)GPOVQMwXiH}wkk?vO;-i+JvHU)m7*^`Qy!(? zmWRdp#UB?!M7Xs$!fjDC;K{gGpz3(YDpAcql9`<1(xj?uk}~HGi9b6?uz0rs7&hL0sMTa1yh$fDEgv zygp0Zenr`~%QH2xpPW}%>D#gZU0m|$DYe_BJ6QPgd(Wx!Neoz#r9}hZKU6jD5x2CH z67cZ0D&j9L;_|3JL)6V0hK{6x&F-~kqk&q8Di>Etk*NX7dR^K5)8x%ThBtT%-pu#% zCOd3@nBDdp_4o|Cl-o+(DnUQuLNcg{)wzXbi~I+H+z(Z#Iw6g&`I0IYEtJrZe)wmi zU1|456%!ZmA0Z35XcE1-Nl3fooQ1SXEDLGZ4Gj|hWp=wh%B4vYm}uAI{aisWZCX{& zb~oWQ{GhtCPm|+VRg%+2RL?T0Ft_M7#*fB-B#tx%jOghe1IoAwM%>K6C-(&WPHLD? z$ciSjU8A;=;p>qdl^w|yy;I`2r+ru4uK&?UC*LfGyiLkPtA`mifw5=P=nkW4O55mJ zNwAHcm4xvdojSt=Eef_yznk)2r`k$+0(7bsWlw=lwX*E6n#J*g73QAQzKW60zQ^@{ zHY0|@Oj9n;ExGR~#%@Ya^|NbA&mZEnRn8VwU$V(wCn#eb;HoH!xJ_jm$mWyD&FDUjJsWIFtC$&!Zd7OC()^W-Cw8n35`y* zb<5&)SA+DV3Z?e{0RRC1|9AmZlDkdLNHTkq58!T8D5z1Ss!CPq8|T!1H}&pEVq8$A z6=K-~$tqEp#LK&n`&U0ED-&?*shGr|g9)SnVq7tmyV_ku#h9>`3S06@h;!YC&+n(` zf5%*|X!S(&@bSyRA-eTD<)Wf~WeDc*x%}B_$A=8&!8>Kr^}%{`MmV-MR4_7# zBn0MKx${wckVdB{A(w2h649wfblJWDM|wYB1WEoq*jQ}3VN1dbM|hRXG^)%*jzO=O zRmZCLDGy8|b#gu1U_P=}Ea`GhSE(i4f}ESz%UdDjc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Gir0RR91005LZ00000 z0071U000000022V00000004La?0s8|WJ!8fwP9_xZSd^Q+Uq;9J3F&I_EdFc-D|{J zo1K-_T|0G~%IfavwXEV))~V{lWM$UorF&|%zDPz$Jn#TQ7D5)t4?JOnxd%^*c9Qgdjjyj4v$w^anTdhXt zE!Ak9Bz1M%Yt~7?j=C8&k5sGsIBu&>>$v+gYR8IE7M#JP+fn7M-CcDSwYyCKnmlf% znY^V_M9p*6>UGb0U3Hu^;*L6Qw@&c|vBwGpsN+^UK53DLH*Y1)dZTy5@KO8(lu&2w z)=4`$)g*2Km*li(+gNAzrZ3}W)akV9Nz{#x)KRP6JB^!N!wpE6G*QZ_d1Y1N56;?g zr_(rBo!;SJiR)c;v)j_xfRLvhjd;D)JW32TET)#sy9Ot<2?U#<@XcE9uv2d*XPWoQ zC+#?9;cq#*Z=q??G_hK@fAMc0&*i`lDX4DhG#u+h+&GGlC-Aj49z?0G-~#aqk6a31}zWIT|L<7 z$VErpw6q{?!3R+fn6#6xllDoc+fEL9-B!CZqttFAj!9Z-ywE`q@$LA31Knim_s~=M|N38m5V6uy>M!7D zA0mV7eK+cz#2x)5_vgk>BLdQf5-0tigPFX({1hP5Q&AbFkGo`>Aj44OT!zsN30aJC z#ca2l(`)f@)N6Fr-A3yW8mTs*nBxqPXWROqcSMy=D5uJ4) zJF5 z>YP5){9r}rQP;#_OMeC-ZI^do2fd+c=S>(F2pb#y(RP-KXl|-%4hlE`_?^K2{J`LU zn*jm2em&{JbksSoZ|oOxW)m`Jmn(-At`s-S_%)MTD)hHtp+^F8=AdZl-!4PijLj5JX0&m~G@h4HYoPvW=S z>BOfnX$^(SwJrTs{3(u)z$v5z!0}bC9S3z^7J3=2c;z%GcVh90hMfdQqJR}8 z>^9Ei>MN$$S13sSmk}5gbGuPHYBb^od;qB%gysG<`K|81sizTK07-|#%%isyhHD%& zs>jijSYe3WP0l!4Y`5x=K^VxX=n2MS1fEgVrXHJO)Ne|Zxnh8aF=Gz{_e?CTg&AVw z9=bn9>}Vzl#mE~7hNS>TyC`v8dl&Yg`1%o!@#9eG9_JtFhx1V~i%E{NMh$C6@TkBNZu|*76TaS$2{(4> z00yzFhfK1aNpAj9j@Z*mXU>u) z4IDfq?ko6(`C-OeHChv`W}lL^Vds2wqyExt`7g%k_%0M>wfCBtz@`y|3H3hZX~jRL zfgQ?gmAS&|BT&{mxAh1qq`)+;!4Z0?Vi z^vL_mT*2|*hS++;Tq(37C%VK;mQ$S;X))0h9|cV@X_uV2_rkCx1PrE!sD?P4-HT7? z(`l<3*Xv*@NPX~#*r^~pHT2!cV#|7GKgi^Q4}}M1nh~*0&9Un)*2Te1P#9Fdtv-K$ zed}%fKS-LL?&nG0z#yA4{RaO?+gl5ct3@J5C~Q)R!*;7vQk|Xgk@nG~^bThS0b^3D zlR~d)ofR4!4|#@0!$y-U3}IaMGqpYopc}M3@~k`R`y??8gi(p5Wx|Bo6M_w6m`Sht zc=Rd=UObf6^b*4XFhpF_Sh+#B$S?-F==Vvc6PjMI%MD|(2}?~_YQj>(ddWq;PkPj( zM@@RvMS9FI*h!C?^r%UX8rDlL^8Ll>Q5Z$xah|d}$x+fCQ2;rU+L+LKGPVCzTyOnFO>@)%2-jm8`aZq)G@_%h4Ikc_x7@aUEL1+E%y?7pF*?L{ZX6AO1ZIIl>kMmh^`2&A zg0;nMb=o@e%l%_kh%TQH$M=hyB zN|GA=!V@a>ql}E7P)SmwUwA^Lew2~%6Dmn+^b1d@)Q>VUenKTljeg+?mHJUe#!sju zsnIVyp;AA}$oL7BBsKblUj{0{rG|%2eJ+*q3#ybmz-_Y?9qCgB%xS1_+U-|%gxm3F zkj%LMxY0`C_)MG!%7ZI;5&`$&KZ)CIZu!?o4Zz)}&xOO8jyxSeJNoSD0m%7D)WBh= z+CON|3$Q!(JznNeO+Wcy4nOG3uoir{3FxD;%|RoiY0KUAh2`j>LmmWSCucnLKVM>U z#zX%l!Jg~5Rb(x0L_G2UXL-4k00fU2So3!sKOdEzGr=P}(=nmPgdSqq#mAf-jJ%@F z!Y#M^+JO<+ zR_hTCydT_L+;unjjI~fJ_)`BOf;@)UmV6JV-J@7@WWeB(zsZsdoBmkZ|HFU%W%4pL zHKD3PR=8^H#uUt9_C4+Rh;gGG4zs?% zflYb>tKffdj;Nw%;woP#CJeH?8)Ku1sXE?!_YuODXHT()_^~G&|0sg;@G2bd)NS{8 z%bXT%>48}4R`$zo+~(zd@evQ2E<8M&dFWx)9|Zv5iCrL$zl=Z4$@NhVxVj$p;?0_y z2i zn5yYxNRRqV<&oTBNij~Mr5HTtLUW|z30(nFDXbyrLoQk~DY9g(^yN8YK4Lk!3OZg` z)xX;tY%%lh>uePG!o7;r?~UN$gCYn~ajuP>r7mzu^_W+8fN>bLO!UoyZW;|vte z3hppw>Mw(T{suJ`JE8gZB*B$xumVz;U&Qx+MHr^XVTtOn(ZZDkOrFXaeVB7!43PN4 zUnY0}14jQ<<~{%e!dwl5|BD=ciP;1&cxE(U{!{v5V{^|Kw0qBxN#EmB>(zO)&~dM! zrqzBgR$u8g)$E)qEx$cmdV6+J&CSlunml;O@Vn-2JGHT)mKLV(;7Ym&I2sz~DjN_& zmgZ+>XG-c$FTn-1bMtd*cIkF$?zX-jxwflHs`eH2Fg{dObEmIK$ZeT>*v81L)OO_V zG~_r+A24m8xLbL@bvE6IpWuR}s<|npFEpnr*Q3*h9Lu!t8!&cDAx;Vu_i(S$YfGDI1n{#B4pmY^j0banpS{O&>T~T3JxH6*5=1)bg@= zU0EZFv#L4%*S9<_tpa?7&?`$G`qdP=&?9IxPuZqornyd`HEs!cmXIat=kp{T%;DGw z;GunEk89TNx)b1-RGe3O#0zfTP`FKmyGik|kE4BO*}ikLj%!N#n`Y}RuA1pao%c-? z^+fJsENzXA(D<()DK4+GOXG4(@_@_UOc87_xAIOzv*ZHDkWO|euQrZMdJg!@o0~fi z4|aDRR`(9>?;fn}Z0{db%6nA^>KXo zK1!;tukY;bo1|oJv|;loYGW;8(lxO>V4#c(qJ50aN`{$!WA|WxXJ_+ZyS!y7L86v7 z_jy?D_RhAAl*#&q*_bZ72i3jW#?Cfk@O%RQDM9qhRKMghp4u7R`k=A{l78j>c4Z%# z9qd=Pc6}Zzwlm9g{lYrGjqSeqiEh>}3mH$@zO_-S9IT`D4Myx>Alb}eNAQs26`59s z1NpG^NczLWnI|S^nV?^o=lbsK?r&^ue6@P8b$@ezgH_!Izc6n5uFuJ_b%EbQxKc9h zmiN$es+$M3N89`NssVEDaAItnF<)sBRDC!w`BhU&=RV{T{Ah^kxRhSiF9jH@TMK{n5ue`0E<6 zqWcJ6@UYsuarC}=U~Sp0__Woww#jSwXsm$B?tR5eoz*Q^LcE2|-~DJU2HF~-`?L^^ zheBa?_HF*NYM6~uauSuYXJK)9L2cbZ2u~57L^ms~HGqV$dZ?J=^77n(94WifduI!o zT3KJrba8Gzl&OWMIZ8ski}mhZl8nwPO_#67w%Ziz7Aj;A<`gEnT?$w)m>9_|GAxRo zH;_SI;(gv*QT;Kl30C)7oo+KVec4dB7u&NPZ52dh>}@XI+jY#QFBL=tp1~~g3Lw_1 zwRiS+cBk(y&E4C6*UD+O(?eWj*m~Mg%gkqLiUeDlvF%RI_+Vw}V98cK&neF=;{d}v zv%E*(n`c&5|ND|JHVm74iHBi>hfcb~uG%idE|4E9vC}QB;tw9GDf9i=jgDAm+Qm97 zMcP*bV}E7yIHQp{~`D%#B)xS95O1UGDlp3?6nOoy9&b8`UxPPKQjc z<-<;^(ZjIL=Sb1BnH=JRPph36VJ>2{b|zcpJhs%J!A#sJni9Br)V;M$3@A_vKH#D7 z82u8dOgZ!zn}>p!HggC8_A-sYL)>xMKAOI(r;OML0*hGyjgEyV%Hu$S8uU(+V}$W! z2*Ebfco@wNr()7gqVyVCfehv6=*Z;C^axSOxVt$J#G}c?i;T_d1^U(-NTKjdPvcgS z(?hs6cwH7;Zu&CZCa;q=H>Q}J+3i-Wj$kP^X*%!KYC5+IA?KD%s@FYappYn32HOpf zue1-ng?KX^VqJ^a3`g?|_x+kndU=B_Y;E)m*u0ioee&-`a*OtY0a3?2ID>gh7>k_J z!%tU90X^RzdckT+0X^3rdfw_$0bL41Q!>nJob-YOi}q}PU@Jy^ZGDLSHAA)zDaNzH zOaUJ9RYcgLnwag5@u-uqc+Abr!g+n5y0eulC3OF9t-=m~%7BzVmy=$tp}kK3(gtJhKWMjW+oH@E>ao6OSZ3qDyiQn~@` z_zLne+Q*@6*+`RW)^>rbBrbY7zCRiyW+u*P^cEYC$iPsjJP; z!z`~_vA~?%h$sWa0^#DZ{7mI3T!QMJyINXprzp~PHY{5qx$uUEK6XIsbjK@#cpCbfgRB? zjp#j8@GHye*2=O?&xpAtb!%=(M9>?(GAklVizsu^Mo{$tz?aCx^9ttoGwmecEiVZF`as$liX`>Fq#wNO~6N zW@&r|G+f|{RON0L1>!smOjXt1PtFjHN0iBqIO}|z?1=W#Uz$vA+KWky)c{BS^aHi| zpt7}7GqJu%Jw1Y}f=`pL$4ak~n+EDwgJ@lh2A=2!o!+9mR*$h-u4~{a#vC4yKQ{K0 zh;`F%f1&}u8-Fo`RGm{!w^B_c4LuOkU$t6KXC7JS^yMAARGZNuM%`x-)=M@pL7uu? z_0r|OzEX8$7XB4Vla-WVj<;=DOcP=KjJYM)oFp;~)z1Nd>+MpKDsnLBtt+ZFIk|80 zAeV0Ifk*hAi0n3^x?T&X482X8oi$)ZnnWa1>i*8WaM)!Lxo}z*U2Z&$&O6GI!rXg! zr+0je6%oGZw1qOwMaH(ZrsEeYC5!{xq~q4xjUDZgF^9FdPPo6jW@0Y}M}zz>R&GRa z9>t1%g3g|fx)(i()mP&-rk^YdvBETz-dt>$-jpL7UxwLNcHzEiqY=)P4rSWXq<$4Y zrWK0}J?I;?c1j~joG? z3n3?4N9P>NWRiLL5sA&ljvvS<&(g$B)_hopV1sO;D|IO-cQB{Gc^o}2Vg%^0T5=vu zuWAuE$j)iWL?K7Hb&4Kahpag_`SyvXn}&Fxzn4!=+E{IrfbH1vqQj(-bk8$9bEzT> z-nt0ubQ2Y*#R2~+b%z}jaT28lFRZFH;-_jaH8L};m3g6+x?9;`PeKS!=4#X1mZ03d26jrj_>2;=c2dyOSdN1iZw`>MJ^TOekRxIM|8cSH& ze`R<<gzAxAUJ~10&@8s5w(==B0xC?1FK%`}n*=Mp3o%CeN@+Iy&P@#?NTR_vCn+ z%-ipoj59C9+Le+H#MgQd|8=8HE|}jBhw|HlH%rehUNEySipI3 zc>+K@nVMx@6ut(CXtmY_G$zOD-jRalgx{VHPb~g3(SmjW#lz7LSxLX--pHex%|fIP zCCFx$a;ZIHqC%IANhUc{hF^Cj+9YYgxg$&l=Q5Aa>#cU($VbQ}NXzn*R)T32Oktei zfDLG~)UJ3Kwb@3t|76-F^YZP;^%`c1s1qooTTN4G3a8BSJ@yeRNqU?@>h#WN9vvwR zk=xzr-Wj~4AiTO&*?i}IZO^)MGFAJ6&%#_bVb<+Kmd9OnYpwd=pt8Bw45eg;vW3f( zkR`l!G&UBi&S9q-O3!5#HvPu-29~s7nVG!~17GlD@T6G0Zdb|n)eBG%(hIObR z^-(vwJY5g!r-p`hi#J&Y#B$t5JXc)wnxNB>aJABKD0kc~97pZs33cj8yVYwRnXKQy z&mHgR7A_|$T))hKPBGz1 zOkdgQ?6P6KgjOUWzH%Kc(W{(;hhT4PJWzMvV@fj_TZ@iCYPc;hl#-L7vP)-Z@hTGT zy75b@Ep&d_mXesba^NU?oiQK?6lf6v!8d8-p!3EXS!J5{zFtr5>h0{nv ztWs`;RwaFW25ow*lQ+4jqSzXlZl$c^ zNo|QN{j+ZzURFQowmEROcx5~35L)W-ze~>=WKbEBm_DJ66HF(@Y1uG=I8Tm|6TG(E zh&YrHICk2g+g8i?h92?IIMX>`l$&PVXtmC4ZluBD>?|Fzc(QLC-G%al6MuS8p5%(T zMVn>jPeyP696Dfao1UFY>$w*Rbli-8#h><*CUF z-|$F2m*H3OZF^^5-DSVq?R6ec-@)XQPSQ6GN0571n8*=Yd<6YQYI=)tXv=Um&!%^# zBy%!L2ZmIY+S{@Q7TfPV!XA>5oGF}rPSe#Y<+bXlDXAQ7%DbC8cgmZiCe*EBxOi{p zp}JUNQP0gvb#vrGspl-VwVn0-EUvQQWtg4axCF}VsvB?toj_*Om|FER>(AYUYJK(eZ_w z4{*vOAUWv7BmMD+;PdrLQz}uAp4w4DBRgH82Mrb{4wU3Odv*UEiY{douRnc)rLZ}XA~GECj7mkeQm!tTN~32EQY z%F^r`mjQXo$~nI*T=wltOx0IOz#C_zZ5aY2C?t^_kF0{4n@*wf&9C*KiBUt>!)n8G1o6=C zwH9}y#H|mbF9Tz{#QMefs@>Q4#l!4iS6ja(hiJ8K4=MrkUw60H2w`T|TOBMjiOl=x zSv;szOcJstvM?{&)1y6K<~@tUJ|eB$MTdob2HX1t{^1kV;Q3QCZusyRC~|o%M!@kA z<_A5T7Tx;Fh{G5&Q?%lbW=v6}ms4#fhLzFBotRg48?&NMm!>ki^#|@?egi4F`so5I zhnMY2cou4tru>y%Ib5=f319b+*=Ym4JUO^>n6eR%pW62!GPW7iOjZud1<%x?c2>+2 z%ZE4;$dK&{D~GM$pLyCsL!!;J)1T` zec+;F?YPRHydjs}P^x5CB@==EM;F+JF@q4Orj7zQ9_j^RO{ABxlUKc|qjgcL5G1wi z{0~(%POKL&mVHT_{EBF%3!Z>L54O!vPlZ@w5-Y+Jt2u!cCFfVLPuPMn^(?@6u!1;N zfdig06ck;_7+}Qhy0NM4CWTvF9*uJQ-t7mruEcJx^g7$l{Y4BOGMe5vdZk>Ly|c_S ztFft}(skV&0?^UTEtPbBoitj9@XTcruoKMB;}0Iz-SA)rgn2GI|0PRygvdZq{msv~ z{=_%G+mSTJ$X&gLUp$V{cx}6hA#c71hhK{t9yYU&eN>434t(Uoal0+VfN~g_RVC}@ zbbIspRs1-e1a+MGMm=u$#lW(Lc0GU{S|6DYL>sgBdU=hBA=>GB0CoS&#}=2WQ9ELo zYMh*$w>oJ8lS6Co5Q%cq{IS^|H+isG7MDy|jD{Gr+Ez$+24_92?KC2mxizhE)Gy3d zPM0^aV#RfJY*Y-n+xWrbP%cT7IV#7)vaMugFpRPQ7&FRq0_6qE{KQkBA!sj18-}$2 z{U|HrFHPlniLy1LMnYNCNd(7%@`6NJFor-QOy%oij75R6+s~DwvS0zh7@|%hI1VZ= zNtCVGltXzDtc{1U0%cK=F{8XJP+k;hh;|Q43;{bPlqvEm>2@miWT)YBG#2;>ENzgz zKGn*i85p1Lyp>`?hA$;D)^fUJK1@*2D+Ol=wk?TziRkB|Oo+2oCJO>3fkv2{E$`?S z66wZ)b4Hs89v+IbKyKW0&ZrZCs0fDXEC7VC5jA;ktdUVCVRjCy6TuEbagGCJsZJII zxdai57*Re5E-KbZ3Wt8-OAC#RIuT_Hl*2+ppe!0^98{L-WL|KsKv{@n!3`oQvziwr3xvZo768Q>NyPevFN`opZq3T<@_YEj!+aM-ON*drbb+R* zhlqWiT%j?pZm)ZWJ=qlqcOk*Z!KCF_ymcjxD5fq#v@D;%^;j1b9 zuqr9+JP0|XsK}%_;Wj3W+3m*Zjd%s+?U+Te&g;OoHLkJH?gS!#V;@T(e3^wr!GpF$>;9+oj-g;1@FpL9jXXYoaEBC<7dv&n9W@^|5Tf5!$%&nvU> zgNLv-8@p=3Ol#|p`cSp(*SlD1b!I-2>+Y0O2MHM|te!-VDe_;wqYkycWda!AQC4iL+7p-VN z_-iA8^ffFOB^;%J=otba&K$ropxd%Ke29PclcanNe2ZrnavWP(GpjigsI>^b;39w{fIU9|V%wDjs|(9F91K)H(XhH*0h=bKUglAIzh z304o+T6Bwm1b86{;EbT0vJ2N=s0a%n+xdm6zwH37`mBe$&4#21*I&fG=|Cglwr3E+_o>U9h z-;&}*mw(a#oD)nxH29LVzqJ2K;r1U$iWj~8SH$WWx1c`P|3&>pS9nRF^V4N3}JB|PpCKuQ2<0xk&?AWQ(u_v|AU;3}1t zX|Ig{(gu_#Ko2EAKnKbST{L`c1dvAHlBl%^iY_2xUlsyLD{wh1?*sva3zG<nz>*6MVnvdbBUQC7T2tZx(m)1Vo(GH zaRQt_*1Bg3yF}%6%dhQJK?6LbM*^@y?l4X=I6JMn1GlgBY_75_g zF9trM&O#3q0Y<6WC@>eTz0C8Hl^^Hw#qUPrKEutFc1hoJSPA(tSn{~HmRa@Vu4Bse zf1%@qOcb#~Hv~k1`Oxz=^R)U4pLE95&ZbjMfwa@!gLAqOPIG_8h(+kgw=502-8JykqEvt zbdes0RZ&3^6cSeSqnDgtq~l>#RC_30!o2m;@Qd_EtcryxL+K&_MDWF@OYxi!YHo2% z6%QQ2;aa#I=RvE{O)t9T=@Sq3s_WTUG0yok0OnV4xC;Kh@RJn!OS83vN(pPe{xpFZF4~o5BKKm;x1BisGg1r9BsmLXL$Ar4fxxl z?ks67Y0sE+ta9~o9X30c3BsPm#iG}&^|;Cf>7MpVUgz0(i3-oh==kanRhr=LNM%( zgz(TlL=v#{?R^&he2N#sMX;@(AB?kkm@FL$h%3Bf!U7R*h5@Jz1vJXewl(d_zH zu`!)HbDSh|`%`pd_La_V!eXqLS=REMNKlN3jAeu|Z`sIW;X`Dg=R3l1r}pU92`ed_ zOXSY^86!ixqc=5TMK#6d;bFOsqsNJv5Zz8vOjZ;*U*;kOiuPpC%ghQbN2QaX|`bL zq%kXwPaOX{!(p7538ULdwgAnX791yL!Wa*noap%MIxrJP`h0&4;0)Ap(#&cAuc&iv zITpiV!P3NI;X@?G^EDh6ghUSHW3fb?}Xp>BT{xlTX)R)3_^7DLI{d z7d%b<+)S5V63>fFy2yrgA%d9;KeUl1d}99ow&7%q>Nu;UlR(8r>sc|Kiwcu}6eJXXSn zcM_fqpCi^KEz--QCB(fG{Q@oKtM{ck-WnghgtT7}(%#Z5Ma&t><3r2}c(0TNy@-W2 z5-ggO5W$%$a^Y1bsg|yF{&Go5Z=vu+7lV~D(yEdI=X$zQ+_@v?GfPVN!R-vy@=?q@ z<@v)IDr4i1B`ctPJ$%Tq$9N_(mxO$F`d08NS@5~HQo*9m5`(s^BLJp08jTMzD~+{~4lD6Bo_aBM9&^WQYQ%~Pj1<#|jh|vdW;-396Bin> z@iV`$s-5}|A$D1PdzHbYJ1$t-1tHunD`T&On~?v`Gzj5#S)p+y+=L2n#)81@A_hVT z4X^6iFnuk#<$^)&6ViTuzWy#Z1?I~X6ri9Si#fj{B=}Nz%sQ&6c7>K$5#rjJPJ$X^ z;s>`(UH4|&d#(WsDToKCuFH-;L(RlmKEi)I1AB}+8dp0se3;7y2(0W{0SPmh#IJ-x*2t_P*B0Ig!*Tdu}Y2z2q^IY5FHuh@7iX#w< zm{Z#0L`+EIz)AELF=rc&6EPv6ozYWlN@q=v6R`nK52EpWb@-}fp6k`&Zoi~v=c3-S zT;ue`I2%WbiI@=F&Oj9XjDj0?_SidXE;^dNigtB- z{>fv`W)z57in+nzm?%caU3zJe#>|Q-fte-QJ%HjF7s;r-id!#7R-U7!B^0)@ zs0aAPGkT)Z%*tgFU9hC@2Tq*7P__Ew)1=!~`|af91bbCCn(5Zf(Qsx?+_Up+a>Cg* zXJ`W5lJ}Ch9kuI^&((J8NVMi=>m;eGO5A94NLpj$Y*x_nCusS&ZDZL_@2_vEJB?QT zeH%yC!H!>%?m^P-_M(Q$#%|u-gIpTZ|3xa~gsM6cjWC2F_N zRpoJnjmBwi#BDdKzaJm@xX&PNz1L_cQEzNaMl2q(;%0IPdVm^fEc@X%_R3pxRl-b7 zasO0lWkKD-pEq^PlKkaOxAFe~jnn;x{-XQLthzO8vnBFkqR7@G z7i$5Cf=GImS2l~GaRx_&Vzw@CBNBDNL(qe$(d`|d9yD9c_#j$t>+0p=4(i}{d~bfV z>bxGV^Tlfwq7rH5S@@H9;~sp!ZJxrId-uC7RcWL<5i7A{uzq7SX^Xw@7B? zX1^Ap-pd>tG6*np8bm)p%?;^ct%Dj^LuQUq8Jwp)1g0M(1w!t8k0GF=a2IpMj_^_B zhy}esuA^|Q&2&z!>dBPX(P#p!<@zyA?j=4sGb=)-ytYfcH zU>$#r0_zxTAS@}E9S&rV@}iPikDAkHNrdn)H*r`O+wN|obr?1H(cEKi-suWz_1g7V z;S{bT^Oa+D`*kyE#$qh})2a8FIqq(3AC#;cEHi{==@ohuOn&^9p3lxfy3JgGFvZ=< z<~w#A^Q$Hy{?aqGSvh!b@!-+I!L}IB&KnSBr}I&9(>tYT61ll}X&%Y&*@qu~X!3An zEbB6aBiY?hfB8aEdZiUvI(Rg9uq}KI)(w04GX9dO1^&8<%;_?OAK=PmOc#iSGSDE! zdT?ZF1j>b5TEz|0ocm}h@&>%@QlK~KT#moLY}j1ahK<;3yYoa0`ixUE8m&2*Fd?#nhcd4S)>b+@q5#v zK1WjP=c_I-^+)N~A4=)_%{1EF_4ba+TB3fe-wZV@gGP~px^%q z#JwJf`>!6YH1xXxxDZ`Jb^FhOw4VyZA*z7$e@`*+fe_pm0%`xfPm+dyF97Fp_Bs1d znp8;O@y7j!0L}q6m(t5M@ZGzg{rorl>qsEPz zUkEN_`-E^F6_=}|9kqBF zZa)*quM?=-Uk||bMuckz;Qm%1zeWJ=?*!lu18|5c&_2{Z{&66`tw4VNo3$3G9?@=lNiAPXuW7`+>Mr)r!6o;J_~1x4Kw&*v>9m%*-{s1t@caiE15tNY(2k%@ak}>f?5++3Iyv#XDGuxSdP* zk&)B;<+Mz0z3bBhlb1XF%eNT}#y^um5P>faM8HgqRC7}!Ze#c72BzI@$DP>DCy5$! zG2%w^N!;#YVWwNoi8wsg*{WJ@8j1_oFx~!>Xh2(CL3wgO6I1L1zG7N^z*pR-(*G-` zI0t^c7ye3m8m?>hP7krz7hILN>ZXjSzNGBb$E$$f>NUE_StI_ytqr#8VOhgF;Jk;) zQTH*zcsMM-eA#YCEoF2s%lye$(HE1ne z4^=2@K3CR~&y7XT_kGQj#VG+>R;8A%)cOQsOG~n5E21>kbVVSat0_M(&-vmr<&pbs zd6=AE_;JBRgj*d{=7Jz63n_^z^L@Twq4Z0;LLY8Lo%c~NqVW6x{_k`jgYWjx>Bu1I ze6C+C%KC}PVB%R>ux<4!UP|+exQl9;YoZmFF~6?tVq(%3S3Je_t^&Kd<>5qTaTWRV_JbMvW|yN;C6rCH!baqNXW9qo->O*niAp*v%+DxhB9H>147wli1EtTh8#l z=d4zCDA)8#iKATmUJ!NC%__qz*=?iqyLlLT(Hh;igJuO=Cr^7FYb)jIX(qhg)V?Q* zhPAw$gSE_@i?!VB8JBeSJucsjP=%SMT$)KYaE!)<&$%gmJy=ko%8V@3n31;sGH4!# zZ2$GcAV%8$OG2ARliYHrAH8Lb+%=l)O(+GuWI5N7XJ;1OCYTG;&=*MDEbBPTrluHa zImaW+NGk)g$p^_mCNd90mWJ8n&qxM(FN&sYp^NKSTPfe%I@Z=ImxHZV4z|D>ItN=Z zS6AE3Y|V1rtRUWX4ng_u?%T-b;BJgzY5yMp0RR7Z0aTK^P69y`hR<>rkXuBN%F1YB zA(0Sii~~EY$nFBm;sqgVVqs;ai56BS+SzFD3ut3Z46(7I@C`Jv(8j_Cu(9#X>m2Pw1i@RwI2DRFTL9A`Z zCMBIZ_0l>myOIt95lEoq@?iIuNm4?hk+w!#f>olhisyywkP2o#MhB1wU{R_=ThA7s!eM#wcAtVDFG z5nZ+~$dSR%7eSJL4>lH?ZrPIX!U%SX3eo0L&^hlNS)m-G?|a=6-&BO n*Hvmsw-D!+^vX^cIr&vanG}T3 diff --git a/cpld/db/RAM2E.cmp0.ddb b/cpld/db/RAM2E.cmp0.ddb index 8183856926fb2e4b1aadc98021799574e66d3a58..4449b188f2d99473c18ff48c59dcbb139696239f 100755 GIT binary patch literal 83577 zcmV(|K+(Su000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F&g3;+NC006v00RR91 z005H(00000004PL0RR91004La%zbrq6iF9vHwg*uzIX!5bbu;YY;kvo1r`k~wh-Jk zg9UeYcPFs8%OU|na9=#g0>L3bzx%tDnwezz-Z}5T*XN`%)3@sSy;WV(W81cEey1YR z%b?3y27eCvgnR$r|AA$?avCQ8M``kB63X=%_Y6bbQJ>@e=Z@$7@7z}1@hlYv)z(SF}`3Pr9nUqLqN_e_#xX zb5*MP>a!=eX}SIruCMT@I*JojDoH&xpgt>{ilVyXDT})EnlUSXP&JkIlulAIbyf2X z*HludJBb+@#rIS3=NJ4r1%FmjyyrU~xm2x`#+06wEJ`j#{VUhZz!?7J&kBRGg@P*H zGIO2#Tqi4k_Vnui`krcakL!EdU2&qIs#F{*-cmE>NN%N|O6N)zPg^M6dP=15sM6CP zR2{{G!lvX>7`zRnJ9Q^LQ}@*WM>`%t6;4mRDXS?xDBt$HqasD|uXL-ZKV`WT6{T$@ zud<_xlm{NND_v!0t`tsnM{%g|E3VXML@KKKeTP=Ejj51wV@@&l`0jts;$z$Cv`=|lcLgzvb)mBD-X|6`d1oO_!Z`F_BZOA zzQ+7T(|=;a@Imo|D=pn~5YtWRKl*_$RDU;pkD~wj`-2!9`2LwbzfWd36Zq4YLiA@O zLeXS+P4S{|HL>L6c*l`qZOEJUl9wd^>rTY?$h@)l>_z8g*(=7i_HF`BHS+I8ZjO9b{d2 zZwGtdB1j+byq!LnsrH-^4p~N`{`EwL^Q#|yxJ+CbiK(#9P4$DJ!F z6|2MW=^ZKJuM6bXuxBdzGn{BW1fRxxKNTyUDKJ#`61?|@2>*bAmxCk4j7-7`tEDXJ?Lo!d^TNcT7p3JtqKlQA1WO0CEQG?IV&Kx{*D%qfT3Hrs5V7_Q zY9X}2#u^lwHJ^lA9m76SBV5Z(!)aEe!bpCzxbP`iNIu-R$|?e!xNZ_{dl<}n_swhJ z*QtSAc6oudM6)&?8rcHP{(bVCD73nQ{I;utRY0>gp4yrDfM-Ryt)jxGT+CXTcrmO6 zeYJz_mQ)xg%ia*TqSMG!_a`}WBte5%*SeZ75_A|HbT?>o4DdQHZ|=+S=Ks!(tCQ3d z^R!Ykfa&63U$Zvv#x%#zT5dd4nJ1!2_(o4#i79X61Tc88X8}dLqDMrrtQ%SGE*d$r z_yAVb$l(qpHFAK>(Lnw~chCYFhNnAp_e5?NCE70J53&z)l-9^U!4qDis5^0_$QFN6 zY>P2+%AmIWcHw^UdnV-$Ej9AP(C2*=nsXqpt&yjL$W=i5hsd*#V5+0?L_S9&KMTC2 zJlz3m@z==F*cgNu`=MGG%!Q{~f;4hBSaW_o|1?LbSjf3f^r@C&V}Yr$)#7fQ{r8Jv z=sac6)*5*!$XyMEMh?zvYUD>D7+dv!bIywQ=P-<&3Vv__#W@T82Qd87M+d9uUU{ zsgz4*R`ETCJ}7VXL_S#~2gs(Oob$)XFB4gln|mTJqmi?dwnldFMBY~;Uk|SKQi3sm z$a!s$Wd=Nv(-2W{UY5`ruL0M{1N~MxoC$E7uAV?Xhg{JpldPM_QFsk^7CeFkxHXVZ zMbUTcQE}*|89ViiA{RHKQ8BFI%KSC_R4?etiahebbd8I4k-nQ9!?a+N5dkz{3^x2y z_7Z11#p&LGR$W={91uU%naio?CoR}0aMhUlu~^8bYUI%{^=FKFeu&%&vc(C#>4`kO zMxF`dg14kKTWc*qta6OhTH8n1jbvc%=EAO`Z!@Jee{aREQ4rrv!NgS;e z2Uo+ue)GyJ^DoJvw3d)KVn;4RoZBy9vEYYM;%bcoGEdVo7HKiq7elK17@}qbcxLht zaVY*7cI0=2?z11=i~{nP7zu06q4TX;EzbdY3*G!sEx8DT%4?o#A#s#5eJ3u=Sx}uu zju_jlk%K9FAO!oNrvj{ydwMFuhUFd(ymd&2u5`bsZhJ>Jjl2idGXr7P*JGXH&e%8| z^b%syK8W7mN^(FXkLjR4QrF4IUr{Gyr2j$=re}bqtHH?)? z!)xakD0MDm>V-mQ#Uy#RI(BK+_CTL3U7@R8#gpIH#Lg{%sPG@MKSFFcsd9@eacv+b zYzh^3Pn3GuR>w|_oLQRy;ah(0DmDy`gPg&=f#UXo2r>4ILQWP|a(4vN-90(qQ02B` zk48QRWT&9WK61Rc@^n*d&KWruk9r;uqh_n|8hMyPzMh6uMspzdbcax7!;Z!=Oe4vmIhRB<#a3zl&bIv-JXyomwp3#Dfw_}9k?#9?UpNG~K z@o%m@yI*WAw1U$fJ^w1?tB~=ofdQ=+aK2b0Z;Gt56exbkxmzusoRf?HFSS7DQ#5jS z>;DIx7yd7D9YmwshC4$3i#+|F!Qx?wIBMw$g>|9Ayb^Lzw6CmmPP$Q?PlCwPp>vJA zI95^OxJv`ze7F0H(g`G8{{ zr4+v43U*>2YCXt|PEAyv&9nJYh9n6>wm_EzL1@GQ{IgTcQ}dqLMb!MU8=m-Hj520g3VM(PdIMf0prnDcc6 z=Dy@DG?J1Em#<;$5Tbj*RaDPdjKXv5aFbYT0oEw3*aqsFo?{0m)n5+QdU;bZ2`bhW z`w~6Q@m|Y4l7h^51I=ga7b52Lnj(g_cxkz2sc25YFej7h>;l=+%gz2F@=%S<)-v6C zHkhuCSa_HxLQKA?o~SMnYc0W=`#L^!rMNUlJp<1qT`mJ_?n_(jU6Ess(OT{mG@e!2 zq2#zhqH>81JZ5@G$OD1=D>&zC@6*-d?$CH_lBa}x36KZENg1q>e~4)67#~kHQRN`= zHM4#Xr%0T9oS2)rvb9+Y#_&<+hyc5BdH4r?5OryfqVPTXCs+#ws0 zTnyR)(D`jRoOXu@dys{E&b{D3a85yFn^!Z>6VcJi9dr~JyWkGt&}^lnbH(Qa3QU}- zPI52Q(hLr#ksFDF*w^Bti`_>mv#B?*jZ4L5h%Y{>HT8l#tBsMnVGf|e*uH6om>a0T zw+Sb^;x(`~1`KGe)gG9_>aKaSV2`U1e#?QGVn)@|qKIRxl~;%Vc|3yNgkWV7ofVVn z7m)kXm$weRi;ooT;iCC!?f@tKT?vRTVn$4D79v-Z6&g1 z7-+BUxI@g&=+CV)P|)$%!4H-#gw~q19V_oxZMD5iA@Y+zbwBTaAwK>+P}coA*6OGQ z3qZX*P~Ek?=S8=hHDu_;AZrs%-ZAY>^+Mde zHc*}oIBuo<5Yu`jkk1CGGpT%Kj)x)K8cL%UhA|ec?-74S)mOgK&OMsJgiXzcKgP7g zeVC+>?JL&?C@?0fx%CNXq&Nv?G=JzL+gwq|6B1s&D4!cfD5~zb>?^BhQ>|B#>qh$# zq%jQo26g(y`&W#)Gf-yQwbE*;)zXL>nyt|~VVhT?T+4cLT9)0GFA2uJ<_`$Zc#S>o zBcC>PGUp_Y3cUEx=N+Ip)5u3wDWbqgCLm~RhTIoWd+x~ia{29GZk;GW3~Lo&%Lr1P zsSVov{t0U?q6!xcL}{`FTD$+&OV&QvLps|&w({u!o(_mN)w3%vdL>pgaLNR0R$KnW zIcH>gI<}4T5Pn&j7a}}*Ak#K!qL{;=B*2&!H6Lz$F4kXH;OHnjI4xrAJN+3Sz{$BS zexl37SuVNXfH}AtuSnR}u00cpCaKoo>^s2g08U9CjP?0mh?`!6xb>)|a0fMQ?0wIat;1%@K{3Wc}Os)N&P zdTEVVd{}`AnNn&ts$>S^>m%Q_;>868#t@ogEHxaNrfl4gOiNDfvdCE!Ysp|xguhYw z>T+@Gu4;`o_~Vhg4X-z^hAtPoV~~SuR!blt`G}M~ee!bA{D^A3gm`7OOrVN(VhFD@ zQ=v-_9No01W=HZse{B5iMN`bzPl(pNOIhxyj|;`veCg%c(}S&j+L^Kt zZM!BFM&ZLJ#ipoIGS~Q=79PWJ&!8D_+vp9GNrBgpNjO3`P;0X&F<@(x z^qsK9YOYPv5VR)&MUfe=#GcA6C49})td(}D#eeZdk)UrG_BC(T2^ANhmI`2PQ13^g z%0dO6K}uw~DPh~jS*TSfu9n9;Saeg#&9if_(T`o|H&a}D@`wk)F2SzD-b`ul!kJ=3 zv;waqYAl%M!99%aSmL2$8=B)v1FaFaPNVaz{=&L^QcNy<+N!LT`kI2%ObXUE^?M?g zR11=`iq^Mw>M&?eXzT_s+gZOwVr$o|GF`^wmbX?*MQUe0BV2avMLu#<*O9Cid}s1V zdv*~08JQ0G$e#Ta7&pP0Q4v0z37Ki$zdkbm2zP5vdt8T$jnO{xbch1G`foQlm%~Sv z8s=o$TggzYb2qq`*G18`a-iH(EztT^56JMWY*2D@n(v2h63yQF$?$-R))t*xMv?-| z2k_K(yHAQ^*<%J|)B`i}$mkdmTuR-UbeJV{(&W&li6o~n+CD34Z7eOXd!M%YYPqu! zZ+0J44yyQC{M(^o1=7Uqpe3#r{*2kaV{1XJ*cOs?yl0ccH5~1UcsuZ=e^P`ULs1~(<hWMu&`^b*|1Le!eqt+75T3O8cnV*Qn?^{Yap^0@}v$hBQ#CFKN zu=rW=%3Jx`dOd_51`fM`&-e|Wh~JX8l1o-aS(Z-sw;(qjVvI)p{p9@7^VuEfri!sz z2;k&|+?*PoMh4DOV2)16R0Q?ndDExJ@qRM-1O-M?{%i(ZBF15i%>(daUC+9O`~S ztl2HchKogWn@VSoW!6D0*m1^-SXgr7wW#nRhy`PPgKh~lEC#_&_(h4`3A(7X$1KGv z%*9cn;Li%&kerEAkGqCut?nt`fNZ+=-vZ7PfPsrqsb##8Gvx3xhpZ_&zUzW>%4@;) zhrM3%`I9d4Nzr{)KrF59ByTYb&-qvQKBzCN)IMnaOs>clW7@Z&mJ?Z0%JT2kb0{*E zo2wx57Q5n7U%B(7>gJ*-{F!qLo^TYdxcOlk`7Cgv99;aKm7b9E{xuFT^JA26Fz4an^cDnmks_POO>3h&{W@vd3b< z%%&{ZH9gYAV}XrHYEvXXFKWmJvTf_VmQAhMB%=sybVi*4)8otYdzH>Xk$suAf$-A2 zZB`uwQLFj)nQ?eX!EQ*S8nEoRZ(D&u9MtI zC+CEP$cFvqbBwV&bOIBPpc_&6mAHRQ_1_Se)&7~7wp)Rct{_S9R5 z?|q0QJw6|BeW^v&os68xaxtn#sF+?il*U_j^8h=&o5X*vvO+0JQPrbsfA-5n?zFWV?8FX(Np(9T3_+rdTv zMjquJg^6uPq+FD@jP+6THkewg&of(Z5!criWVsvA<~RehHGU+bJ)Hd3DE%nESp8~V zY;S1|jSQu!-dJFl548eov|llHYgb+)+=fX?wPUr`j2mSMZkGWvPul3m=oYm^u^+zX z37$=zq~T8xOrRMDP+O~|O}wR6676i)iLCh$njLa0N>n`3iUn(=<$j5JH2v>19@fey zGH062U_z#7zk{E*M{4v0!-c3BEeFt_y(lgi*@0CP3FN%hD)rPTv38T9J&csa`FtFZ z&!^U|#TX2NuV}4irpCr^5KNCW>$kYTlgj`YuVC**q&lkZM5SslW&W+jo z@`IYtX(0C@xxwbsD0(@lQdTO>d3Qo%)Itt;yzGRCKP@dIuctYO+n5fhrNLq$hP-!A zm@?i!h;!SEgf~6G5Qi@s7sN!dCpZHcl$F{B0o)daW^MD%G1gM;Eu+bxW&yA^e&lJf zFR^5UMr-T?u*h>BK@{)yF|m1h0p`4!=6oeQVFY~Q@OUZed{CV0#zr$DYa>)lPjD}2 z!=LVwOFPX7bS?T>u+7*Fjz*fbjlk0sMd+$_V!+q+qW!Tt)*_u1XCnH}qH@V;v?1|E z773mIqIEuljAge&;u`DRCTjXB&TDASu^r67hEj9mTuUUIt2ozceeS93(tooaoI{TGnjqyYO9v~c9oJTZJuBu`>tHnthS z|NCNQrokk0QN+2Ni~Q&gu@-D}K%teo?b*{ z?Fz)c^%sfd2NW2-M_Ydkqd&aG3&`VM%-R$npC6YsT82=p)u%@GBbZh1oClT?lrwmI zo)vhV7}I%P&^eDhuo2x@h(Zf?Z^jt6U~Q<8iQRd|!)*&hj+l`L1mlY~?$8_(C=A}e zP=vK`3)UR)Fmf~dbzIH?VZml1F{Jo`+)6OiQqqVqFE>Md$kQU|DR zO8=KNoGDuRJZ5)*d$(}GmJ(~siR%u1aNlS}ti^KVHdLS8!ChY`kIiLAH^k1cObo)qOv zl$O^H9I$rl_@4y7`5hTKtjPs&HCbzU>0S@3F7+jB?>Eq=NyzP5yTZiUEUJrrLFm}t z?SY)hY>r{gy0^@_rE||bq})R2#0UKLSX8)FLB8ud((0)N6=-%XB#p7?R1gn{TK_= zbZyI|%WBG(=%J4KwglIqqqNn|4ng6Q9@&&0O3L9#&8F4kg_+x>vec!qU2 zEtd9Ii!p)J`}2q^oOm?1L2li8rs!*}(iX#kC!2af>VapS7QWq;#fs5LlP$KDpeCOX z(VQ`h;y4<$2N9_n)2|q3aoP&jE%MnOdq9>=9qSpZhzDe~t^^65q zWuQ7{-=knB=?7~RM-k^zVGx4W!|`nJLyJ8D*`atg$a`>(7!|Xj!DTTzS`w_t>LAKK zXPV=I_VX=>0K(uj(>-h>NU=BHVWLI?y|);Q9VA$=5nU0T{=0A=-E3yB2yh2 zTK|tzENvAc#^oGt#n)jl55%`&gwX!I8qxG9kFGbyf3Ve%r;f^X7 zdcjU;9rt3B^dW25*s#Rkbd~1BIcKILFiAq9<=O>SZF+4t=iDecT5Sp(ARbm4E=u)Z zV0md*ECo|Hh07eu`CJqjR?p>3thLoJHIwXl=9yU1T!D%6VAk62Fg1gI&fUC#H+e&s4&0rgc8;Kko4QKnn-&^<|u{VpY!JqU5%*VnmlIZg)5cxvOKc>7V;& z#mFL3{&8xSwNgjPe7GX74qUDDQhaU{$b!Kg*q>H`b}a~BG3r}~U z1ftM_VLvi_lT$9aRIsrGgY+gfLd1H1wIBHe)#m`F2Nb6<3;cO@(8Y8I{W~3z#K2mcisn~f7oE69kDTb3rA-2h@m?yU z|Jv5#q_t4QTDj*n=p8`*=IaGfGoE@jpfFK#UxCGF`ro}&yTxa=yBB-`rjRGh_lGx# z6vup&LFq1Q<}D!Hp$vMrQKVS)nnyjzTb%Asg0*cx5%=P-&Oq*9)4qh@SkNLQcbrj= z?qXLB6OI%*D<*)}vY;qNN4#1l8!RsU+1=VhA;_I19|?E>yX5WtR9tB&nR6sVroF)x z{#$&{iegg~m^yUh9k^WpSL{F;Bo=E(;C!PFq*tlQqk`*3TBJ05bKOC$SYP6N`ww`Q zi$64_D;{y=3+xhPwZ z)mXE}4o4$RT2}aeM)b|8SaZ;*hgti8=%cMSG}9M@{Ch|fYqNF-rhgV8*zCY5(ZOGZ z0r{uuOBnnMIuxC9U-VjJQHZJiOrPKm>rvdv9V)7wJWcv%?GEZ9|%MDfPvUX^s|JscC?>5uE2iuQnn&+hWn_ zu5Z8uota+46EYFhp0zHQw9Og3ap$a-n;t4`dl$6kmFBVdt(WTkYw59KLT~cRzOeVC zAV0Z$(JcAmMmg({ZXFg)Ed`9e!Rxd*O|#&TgF1ATJdOfyAhG5ekkzT(#iBOq*&ri0 z??K4zleEM1@@_SR#m#wo zy&x@S+D0UbZik}8m%I&_H5~;;X}H5dFfi}0*W#Cl^%-18(=HB~Dtpo%(=wxVRO_6d zA^w1L=M$_D)yJO_iz3HZJdFyj`qH1-n2_7myPX#a>z9#JUJbWs%0i`{#^i;{<97~z zB)&{gUClFcKJIF09>;0o2(AnHuKi1`MB1QLA#!za@~Qhpkt};Hxvb!D%SVIhJW;SQ z9&TR*`4EnqD77Yc=f11EHJ3K(4hm zb&1nTraQ^Q2u|c7^U2f4qI=s?%uH``MRzAT5OCeP_M(Z_7VV0_ZL~xxS?Kjr)XJ%p z3StbQZM#l`PTtZiRhE|`V;%)|$&JyIc>oNY5HCdL3>BF+f{JGjwj;A|fJx$BoV4lP z4Z%i3IFsi^ZGz);R62Py{B@=K{Mb{%YvuxfUl(i>y+YK22qOkMI<8@;G_r66fd{$4 z-ym8saDD>}jBiSFdw;sYJh8AYZa)E(d@+H%AloEvKSprX#TG^UY(tlzIlobT5p&M= zUWg$20NSa43Ki?>sk{cAyVnJo*1JNXqS#8+`)fxv8iVZ5V4(Q%ZK6?L)!LgH@Z8=R zkj?9=&3H)EnE%Ev_%I)JJTEvPf5%ozKE~~8fZJe=!Pmg_J}P20*PWn&EjmU+Dq^*E zkfFGX-3~PSfJ~3L@Tho7dy_9bfi{u_8~Rc4uif+9JII*4|(Ca#3l`gVMXowRwcL2b zF!rFh)!iw+zdtHwCE9KE)h47B>|moZidw0nM7E$-a#EAwaRQjt(!3YtwSy}4KyJQS z!)1+@$s$C%$_h-+z$$6(aM@DR#wZuLg-3hc!BssJ*(aPA(Ir(kmq&M0Q@|Cc9_{Cc znoP6ExjeRzZUlw;O*V_-0cw=%O?<`i^C^FB6gQTrX-BvYhhTP)E#P9GrBMZY*FT_r z-o<_lxG?FQlSfTgLp{ok>NU<$P@8N7>4&%^3V-|_A|5uFA{-?qTESZ91z@aU=nZmr ze~lv;k#y}z4P-5T4KF;m2z>IN4&cV0AYS(cL~#x2}g zxWU?6CRE<%=&!xyAqHDq=Sj6b!v15uxPLX=8lyQ+3&CjNmiD3Ki!Xn@(?f3Y>0<5E zv}v3gY$SwqC7zrWQ;I3hZ<0I2p{fTS$}Ay`aqu;ciR33 zBgM$mb!F)o+ETBw?HP$E83pVz=fz0TkH^04|ZC* zl|+R6sFB+whoMZcQmuI4naE9+Klz5w5}C&DvA<1HQ2b~RUjfbb^8~?9( zJ+^{eb53nIX2ED@ZLrZD{+K1+1@ZTRTAVycD#f{5I#1fJgOF~prd_P?TC2lrnRqG8!D9i1O0DeuR%*j_zi=8(LGHuKZvLk;+0H*+JT5HHmG$>NNpqqB^ zy%1p+RPQ+;t*Abf!J|oQuE#aZE7FvRqTLnx?2Qh2!;}zlx`EQUx7K+|f*5y!L(4t< zXoTaK7VI7(;1}rp$hm%E{-6_LMa>IV9c_{&$megt{@a4j#D*q;vgg&R)@Lm@v&Kcm z(q}~Zrt0zh8FB6oe+FyXCof)f%+<&lasW$BXC{pmXNPPSdD~_P=%-m@aCs~>Ej{;( z46eJJ46Q%L$t;0 ztaUhu;wJOs(D_J`hLb;0$b;ZIotT!VwO zgvLxqCb5@oD8>`up`;#p{toPq+cH8d zN8Oy_2oxz}DK zJ+w+-@c&fHeSnPq6EWitEr+ zUToT$afPBVP^@24?eMV*!p5SIQ(lq?3E%zl9&W5Sgg1uC{F9et$z)`+XjM+)L zpAi*~sSr%6H?ZV^+?9d6N}hb8VB}uO9p1U8`}rFL)OBRZ3=y1G z?bLA1&SeMQuas$wrg>%xXG;afnmVVRe@Xjh2>jgB9kS{{6SQknA4;1*W8A^N%PFf9 zX_ZNyqtYymr+0_qg*&v*rftFnC@2tHX72-2q!3fMCBmXNXS;H;SP(yaVcKcex5%mWK{&4az02 z{4!ZCP1eFnuCoIpF98(6mBPiHcgj=Ck+N7Vw3(P*<_G7|v=M@nbO=7A=H?q{O^0Bg zR-sltLe6Qg9jVP`@X;<_a`KKK7OV;(=d@Q73TuYK6Zu4q+%308o~Be2D=#7E$eb{Yb+9v{l-}j zwZ*tnTbQlsqOGEF6@T7wm_z557$o#BDsz~a{fE*TPU^6Jxi1k^PL5gH1Ucy-7rLpBWMBb+#d8OKxWCH-5p2vv`YwTU)+ z3!Tn$8pl0#UZ`+YN0_Ev8+fsW$VgCQh{%6(w8&R{w{=6C#I01HiC%JG^%ne)i=!`b z`p|o=&Whsul+InwG1>jDl)EVJD`7{g0LGgscxXX;w4BCr zs6`JBVm)Y1LvXf$S{nWmB8t6L51L=7(f=46>}V0~*r6?!3VxIe)4{#BOca}YZ5D+p zUbLv2Q`bxQ5w9xtEAK7WHVTpn{F_+0bY823hUF2a8y<&>ocXfKX33gZ=k$3&o*>T+ zOsQL)7wwWNohPTG5G>dkP-zJ8gT&tQS>7PIe%)|uyk_kq^hqo6hPffJ6Wn z)sLxYXPm{(gyAhp<9uylVtvG!=r8O%k=h2FBc<&>SqPT>tK zZcm+vSg3L`LR8z_l2eaO$k|gH5X2zgpcKy~yCK-9j_j4Q zWt4bTOU+8ch4|V4vLv|EXk+q1 zJZeW0IxgHa`hiR_LSb)xe@>jOSBOpGO*eV=o%VkQ^9~xbD81(M;Jfk+=9Xw(3;Klp z?8E!cinIq57|+05B@OEEF4TL8&x>)*6mqQ}QV(a&RtbAJMQE)Rh-|pdQ zSCJ3%%*s-sS>jhH;|$?qfZ?wCeCqC;A!@2^c#3*+--D6~nc z-b_R*UNJKVmxwEK9EkUx^i-UfuG9i80*f&Wz3Vry|8-oXNS4uUF$M>c&XsSp$naFi zE((l0JXyO0t;?a#(RYzzN>c@PWn`RMG7qB8sRB<$y8#M}AYo-xC%>^@AR~Xu^i=%Y zDGu%L;3^I5(tOxc(P5I}d=Z(%-Mw3&txaYDa&N<+^qfKK8ZpSRK`pC} zZ(6Oi4eT;E3qQUf$}dpyjSiUQX3NqFmu*z66E5<+QPZtIYl9vK+1y}X8?=6+ZzO2U zfuRl%c{K!Ey(?VA4=Bv;fEYs)1Gce0L#fq+&WoL!mDZv)xF0@1??$^S5u)oBwJu2C zRaVRaxf4U@*E&XsbGsCnxd!qNz}plIB*+*c_ODRjb=o9}IEcWSHVH-^&-KWo1JyGU zlj=-8@`x-q%1Wv&wuD49-y^5`6*)tP>I=widwN+?2hnHh$Lw`vHnVb-aPhU3ni<4) zdydeNu*Q4{qG@A{{7@(K3DC=862#QQ*g3Q2SWZID(@-m;Nh1&7xFYJg2)?7n4lcQC zAl?58yJS1^TyzLiU@ez10x}JQPyBw%OJ1z1!0pIl?5RT_Q!o`Sg9bjC$&XB&H0f-9 zOpG--wn3y0^+8VzP{Ls9 zv#C9sf3>`{&S6yJ5*gi2`^Hz^88BLA_a5e6|E41oG^qijTb<5`^aTpaFDrtqCR%II zsqqX(-%#j)4uX)m(g^ct;a`!$p9e){Fjjnn z#CkVnPI-LdWI6BCVe1UF=7Gr*a77FIww>;gmrR*nz5K~FS(_aqdJ`vRoe|#h`R@w6 znvk<2CkBu4sBp11@8lk903qkh)ScKeGlD;xy7$I0@3nxBuxvx&Yi|(6Bky_1trOI! zXN5-o6zcwpIMkev8#T^F3!JL)ex4%y9M zROjBHAWX6q(s`}$msLCMU@(hARcIlaypWZ8FXJz=ZP-2r(@o_gv_ecz0DyPN{fj(P zLA3^LM&1%4;%JW9y{OwC8JYIF(H^}c`7-5XRyp0HpyIUY%gLr5jbD+W~=y@ zUJii+1$c10C8ZWU9Ve^xm`2imwN3C7==f z**WMmP~gB;a?{uSRuTOSOg?NFmB<9%Jeu`ELHB~#OyR4QdJM+egw}d9 z#g?$q)>my3H}`LUx*)2gD=ja#o@TYv-jV@KkB91Z1xAWh@mn!%u*MA|;VpfTuz#VK zC!T7iJQd?LX3dRUn*>ia$No!OKh@0NxZR?ay|`DWkjJ9(u=;XV&Oz224gMXr{Eoa< zKiPRvDyTHiuZ3#PSChzgJVeRzYk!Mh52`2VirUYSMU?gQh-*ZO$(A>PIZA3mYs|)4 z-^HS17u5qJAI0IVdx^zjZm0EMX?hF<%zp3kUEkI1}S3`PVt|b49nW#S!dV!gHcsIt9+9^BULr z3xe$}^ipgn+*#}Y5Gucf3Mo^!~<8f>4*p|PBybk{JBj`&!M(ExgxMCOx*;!iTEv4+>KPN zhm#uJlN#N@xpqZlro-BqYQSP;Kt^Y#4as*{OEmT(1TgEtVw1PgyWc+5mnVOF?q2Yu z7x%;{wea>F(i|EhE3Tg4ex(l3s;l{cC*snYI67}jM2%_~8A;ky@UeP5j~!2(L-9&gsCA)1dhvr;#)7IuV&vZJxoA08U&~G1w>!-Dp=d?u~V{(%wSd zA??FPXA%Af&Bl&dDEicUYw>|~a7?@Y3}ZJGzobbTxw!Z!Ik;4P%UkCbbo|CDs$%|A zXst-OB`YudIVi8OK^Ku)jN)*(Gfhv3+-FoyolLB;N%kPEv_YXmaQlcEEEs$0Y>?#_ z{w-OtL3|maVoV#%*bL-}z+~Cp8^nQj3hWwj0brCv6fbA~&0A-p zqCDq7sd>U@RTf#G(lYKB zi+}GZ@I-3FCgF1oH{lanEs@t|JLu)T>N`{ zZKCRMDKm85ZmmODI0t34(pRPj{09RSWE`KVQzOcOaYMtEDXN9#`JMv4went=_>>eRPm)GYfNxTJx^EsUi54KBD z74xxmMs%30La-_s!QD|UKpjo{uc0XeT5ETp*Vo0+Zk)TUEc)|8-o@=&ZK;Na%-V~~ zXGHU~x!5GI#Q*TMJI@X}Tq~M%td7(Ims!`bgBaOtlQ?m7oHa>XG$YjA1jCwLlTM2^ ztIEi=k0Y$-8hqZxTFTw2WJZU2`%57mg7gyvwmkwAjwU%Rvb^_GtWiE^dv7MrjUk|L zXn?QmwqhW^jQ4My&sioe68sb)#)YbUo{>m$Zdr){VX)@BlR3=_(B5(ohCcwKcb*s_ z?v^PakB#qYWzap*0>n>w7`=JjbK>PR)j?}}x=9;BJI{&GEed>;T$hzP8!mnwg6ZV( zePkWpL&jNg8oJmbU?AcA@#2qnYOe*>ESU4{bChMNLj<;$(2HZFKNNJ4!zVP*tG@abiMX07}L(}(s_@W(?x}LYTFMjBq(3I0i`ku z9l#krPj`fB!9F2C?x4qr7uiY-X*yN-4W48bCzEi(<~METM2|7BQasr=CQ4kKr-DXi zLgxuO#FM|SP(cJ`us6~Hv0$S!il9dEm?96Tx4Y z?_9Cqs=-R_LxORH(%YwL0~nHl7OZIKZTC>JZZtu<100#FzsUFMgjlxchP7C`BE6D| zhNuv1PwE%K?9@e$To=TXwJi5|R}gJ&{aD<-rB>G{t*K?CKCbxIoR^#R2aj9W*I3-`}<1}_mI4VGGT%1B*j5uwWv zCASZp5mSCH!+9;g&TDSk$7xxiL|yf45Ki&!&TCvpBZu5V+uPmR+3b<%@25KG(qu8t z4&jKgcI=&1Q)NMuu8~F>cWB(*-QC^Yt$~fZ(?H|y?(Xi;xHYaDHtz23)8EXAm_KkX zC-P=pR90nFWUW|L`PQ?jo7aCj*w&XvX^4%pGLK893tqn<%>``|LHZhJZv;MRrv=L_ zBd?H*L@RGIfbuPNsPP0~D68Pqom~%0`+}pELD`mNGLRBLrJ~^%uO|loUM^xBaU32M zFQ$tpqNkE3e&x&jw`Xd1#!RI23z zs_++6ey#6o+9iq&eT${zjv;h~qcgNlasHWz+#vH;)a0ITp1mJi%x4v+4h-1Ti}!L# z#&eKBg6^rh$NBGIhy=xuXXhM!G#4Fvq;izwR_Gz$3;d5ej4q$!XSKA7XrPl#a*j2W zYc2}4E9|3E_sUJ2rku;rid6oJ%003Z-5^4O!(Sah6ZDmc815@~Oe0kH{z@x@MN*t3 z7=e@;cHI&JxnlIv&AWMALeh)IHxqWA8J1Q8RN=b20uLise{#__x)$YGI=+sBTp}US z><@)N>s`LXzB>3?0xyR;G%QxNY_QKiUd=0sk_tBJlt+8hg$yh;iCss~9QgAG1rjjF zGrxxUnL5ij%Q2xBTpb4le2tRb*#1=*mM=L8@cD-R=FrMEYet*T>iQE4b$N%4JqZW0 zyiHSjLApux5j4xxQ}Sq(`EWV+P)3k-jowGX1Sbs;j9mGUyK5+`iFk&D`>c!V^{=DT zewGk&TY1YE+<(HCiFBczj1By`+%*E(FR||^K1s$(@n@5XOmgrMMX~1TjXR8wY6rW* z`u{eTihn!&(?hH%Q4vN6e8rJkfJK1|N5KBP!|tx&_dD(`HEp z_wty0_PiAvsGWDZk8)F7m=0t-Cw|QWr)!#M$kTLu?sD~qG7k{F@zzA@XuXhyRbz{F(Jcm(+Bc_5bU>$b$`w{c< zV;@t2fiCzwu2dNW@>*f5NLyAEl>vE__7uD(c1wvIp*=H$n?LNI#{z-86Xtig+G;I) z)e>TcU{!f1(JNcx2aBrSQLDD?tJ0NbT;+tsBls$Hp#Z3vN2<`z-&uidKr@>%QXhOh zvmeR*Ch*?jmoi-8JRFL8=2C7;!4)2Xy=0iy-Z`5`31#ctbidE1ZhC$M7glaN2*+U; z0x1vVJrYNTp19cMp#tvzzKC*{(K#Yb@n~X9rd_w(2tp0dGTFFfPpBCT{?Rt(Wc!A< zhbHf`>FQJbU;wz_oYTa4Ji1BEc|%D4eu(cxBy}R&GMk&;CD8X`A9Mwp)%5oK4jD=DZheU7nK+$MG zA6yO7SESH;Ul7~W(cR)Lu=W|m+!A{}R2md-OSHMp6J4-JGV?1SW<@uz|BYF*Np>2^ zqDftJ`>hLMm}mto*BJWzWDCjsN^RR2(mekDU_%u*x1`DkYWPT zdz=+q|Axg^lu5@l@wM6AeDYUT#%!l%!YHYUNy`|%_*h5L>nZL#U_a?J#^rorEW?ix z>j(j3=2M&aS`3&5%Yz*BBQe2u^gZ#qA^kp0RB>E{B93fKCnDc0$NLrQC1r-xT_vcMM)^@<@3>J^+RoOksK^*HaDM-DKtBdFXh0lttY=Xkho1Ajr*4;f^)t&*Z>hdDLy z&a>gMAgh4TkJWFR$=Pb{vDln(u41L&uz)nqyJ}Nw9S7ws82KQ0?O^hBn{-y{Y8rg| z>zqi`>=4{}^x%%vZc^rOQ#{LOJLI}kNw~mzP|qC@>`&4kT`0VB!oW;j)^jG^fxV5M zvn7Pp6Gj^xnk4ft4ch8$eFSbhE)jF@TM1U#kGMt5-a~hFTPq4TI@t;EQ!DRv^5C&4z5k0lhK>4V%ph;qEdJh{B3zp7&<$kQ8GD7+G4zZ-9+BD z`G4y`l2#Kn@h0ufck?HzA-MnP)9OxAw)e;$Cm(Y1{W6Cf+KVZA7|mPFMXA2Zjij+; z?zi-?=%zs%(%_gG&A?Y!&57Hf;@x-rY4r2Lk7>+;f4N~dW)TmS-4HL8n5x6jQ*eon zA>PV49)k&AZLWKSzFi^IPqnNCi=O9_k^rOV(D2Tlp5SuR*pRmFQ$VO!-J)p&SDY)| zK6yu*G~Bbh*}yPT<^BUDD0e$omw`_|$ScSoXzmP=#$;4tQ>x7$y3}u%)T71SvmW1F6ooC8|2l0Bvm;R$N2OUp#WwgNFnK z>paTMgOgXpgzj(5KOu&vPN*cTKJ}|pZFuWGB+MN6a8G28IPjYauWWvtP|zPLK{t_& z{tm~@TS|f|aas~t01#N~Ace#_*#7XZ)PYnxonK2CRrq0DG9P43d@*X}tosaAImPDL z9(QNnKrhvvj?#20ym{A)G`(2C)#vvbJ#EH45_Z0yaIHMPp^Dnev&L%0x9UL_J`{U;K0c+HGs2(1WPJbi7Ld}R$+?@| zvLgbh=qGk zb*3m=pBN&elrrrtgB`_QG0jf4+A}_na#yBxD0q?uol5^wT=87-$tQYTCJC1u%(x#U zoW|S!&Kt|OUt(t{6;_#HOwV?!onXC8?9*i_&PM*-N!(^X7;IGp2;>U!Jw4g8tb2K{-qriyneT{X_0suzotNPh`y=^r6SvDvM>>O zj3PD3glC48StzVPKa165Y)1Fp(nCo|Bs$!S5Klg+fv^3>rr&sW^b1oSZ}Gi`RH(=0 zX%kE~N5sU2yE6wJuahQckC}nY===G7q?!kgF(Rl%;+97dBq{*4yQN+|}7^#kFI@Yv5x7!J=OmTzvQdqE6xn zEf8(fS$~Fan}gJnXO?i;I_y}|$LE(TB27w$$P-&82Y5zQP>(iKQqGEbxDa>O#whcCM*xNppM4itk$2fosEzkq&*JEvJc(cNcep+`HPCVvI@KW(^pclNsp~m zh72Pm8arRXzYRDYTb_@wp&maR+-LtSi5PLZ$v-(oEyUnVhC5O8<7+`n zsld^VY?QvjY8PJnz_O4}|GVD?hgtVeO~0%r>mJr z;N>r=n~Up{zm#vHSu%@NcpiRQP5oz$C1|p=;*6bSOkDsBjurC5uUtJd0f@nz1%D+Y zFxZB(pH3C>laaG$-eLK{Yp^|OALfR5kjgY|Tq;dlCC&gxYY|3jOsGduS>R!=T1heO z^*z5#x5Wc=PH(Mv*oktmqfO?X5kOnY2t{N!HB7T>DQpDwn^yZ;6$LL?HDSHplz;dU z_uKOC^XCYqz86l#QbJhHU!m@3YLIyvDG@tOH_znn=$bC#>)r*G z19f9Ej9Cc1-a|uU#xV`_q}#Ug4xhEovRmAdJ0nW>1mW$KFa?@$!J8Q-$y^&r-h0ob zsYds6sM+c^ej0o@Ame{rXQa;)E2q-V4&%yJH_+|=&b~pA2xV6i&jpvQ7!TKSmk_LN zi*5Iy;V``h(Vd*Hyvf68A&~#^2K-r%=oBCXd>%MHbpxStE>BhF{0@&^L*zNoBJG!1Q-OgfB zDzH4E&KLej3f+kS*0vqRxB_0zKddrriR|FWTiV~QY>pjHvu1{~{6)^kctfLWl(pp$ z&wpt4^(rO!H<&rY~4mN&9`M{Hj!P_I?)1d5bOj0_VM#Zpmr{(5#!Q; zoU3V+76uCzd=$$ljlgB3g6ng{zsH+kcPZ;cU30Tk-kDlG{cy!8vMBNkg04=mU8H*S z=+!LWC03tY#LMt#f5F%!@k^fNQs2kZUWI+X^vG9$(t0yVliARX$oGsIN^eVBcUV7x zZp6&jsfww~?)=kK-BuIEA?q^%8BY+@-ye;EJ>0xV8$M>Ce%ohH{eXly#nY;qD6zPQ z+nXA@XW?HcVfqT$0##D{ct>U2iDW(S5We0uL?Cs3^x%_!UDB*ZPTQd)FaH4o;Z1Cg z_rJUqT3ghNp+uB*+z;^_skc>TwQ|!`GN|9lOEnL#ZxiW8_nV=bT}Ix=8rQh|Sw$A3 z{g5|D;6BE|rDH=RU&_!zdc^20HDZcnh;25#@_;4Txgbnh7;`8S^L)~?xu~#@)S0)( zgqNb3zWGD*sLrK*hL0?e%$BlVWK5q~;fM8?f@d294L~9{jmgiDx|l96!)766*~5!n%z3I2Bn9lQ=|qMk z{ASIQ&HPrnIz*j!@%<~jvFSx6m&?a3udKnL2fx1=j0t@8x~-k_xn+Fqp$+yO0zxL1 z@uNs@#7}-0x)t0cjZz+zm?nwU)b~G-&o&tVz0h4M?tflI={vckO((^vWEWFnzp+h| zgvT!3COy~iG*5kizn&5b)I=I4mUk7rd5XY%)o3_a(&kh zBQY#5YiQ#pqAh&H5Ch@g?tju0L)|mzUCQw69u}c&QCr|?3v&N)DH$0V}mMBn3)rnM>& z%o_N}1&k$!NN4T5!H@NyZTtkTH_kb%La+5cFj0RP(bbjw{(ulz!?bHwEFnC#-n?bPU@c`otLf~Mz@%uAFY<-t?SSOP7uBK1kpfnsA2Kb$`l|pbIIV$!AymY}rWpsGKiSuuE zx<~QPJi9gOCxth_2#i=-z<0t*YsI2>mC!hIS8eNK$@^1+L#Mi_sTbOGGJ2PX3c4cp zr6|K##jW~4V)U^3r6fb#O_e?(|MxG|uUGr^<$v#5F%){K_2o^Lcbu-dYu)u_Mkz&P zS}hgNdH0g}$!GB_UhAHrU>8B-eI>4iU$!=7vRVCVeVcDq%C^7E&lzy4L#Z<<)GT!> zwBP3SP;y0JamTP3ce{&7S80U!X%2+#A~&tZ_*NCaYDBrpB@(*zJONj-+QCg$+t#u> z@iRClJ40XB#U=g*_#vSuJ)*J8+vXP{6r2Gs?+G@k5$}{>7i+!e5A+U`E z+8lY|kN2IQgs-yc0BG@E<@+JX*8euge-m86PXvT(v$1FkRy%FkR2w*PE`C5~Qz<5C zVi6J2UuoEyY*0;a#2sDauXb}xM{yV|61T(91a&%)d-b z#m9gmm(~_`#==AqZ`{WxkN7su6ZUdiQ}EL=*!$|^;CeeWK@SbP{W0^Sq zEpuP@qnHr8#R$SfP18>!lUQW?r4le3`i9e9XmQS-k~0<5C(DA)3!!6k7?h020SEjE z#PvhIcT=v~#Idpajosss7bbmCH*8kcf3=F}F0%Tc8xHFVPf^BP;5OG$4Ih6aV@#fS z{yiE1NedMIsUCou^6b#UUFPyYd%8WRu0z~7z4K}QU97LLRy^%>&}ADvUGUL;&*(7| zdwZZA*bJYF@tl7W$U<&?!~j@H=CmW?$F96VDYCHI&I2OygHw@2h3JJttFgyUC8}sR zcV}VoFsO;~ z{o+Egpo_TljAd;thR=S_euG1}6oDnCtA4u;-HSK|8s4ve&gsHTeyVP$dQHK{33!3V zV}BFi`AIagLGs9XxJgzK&Sz29`G5$42o*?#sJm&|84JOxsVUw_YKQg&);XA63Y z`UX6$v>PzjXemB+PyX@qX6pOjK z0T9K8>eAl?G^bB2K4_~C&ATUsahW^-FG|-(v;o8O?t7oSihGyy1#7*4J(YiQwT(ri zwuwa>SIharBO+lxA;mp=WB6UyDa1*FqoSn?=cx%}f8ct%b$0!Q;tKdp)QgrJxTcEd zCqvC>VT3{M-4=2TR3)B=H&($loC-s6w{{^4rE$Ov(1bx zMQunZW_Ky=Rb<9GC&sXvEX&;?SK$uT0>lrihf6!e`kZN^&1Rbvcf$o}`gb{U`kg|h zP`u?Um(Dxh=1NeDXKe0_>4DejGB(`1%=a87)3yb{7)hbt%G#F8qtx9e)Ly7VV${8M z#jicI|opx`)c3riwY~ z3qJZ$VaHh^+;68}%G<~XAp1o-5;CS~qmkFma_ZL_J+$;<{V3P7KR3e`fVE6e;VYLB zbRspdyYpwBjx|B1zT&%Jy`FI8*4_1x#R5_N=EUd^ynBVy^oGP>Wg0d1v0Wx4ARwg8u`*S)dw%Z^y$ zIwKY$I8sI<`SF&0g!ZpCFr02{IVjtjhClv6G7atqAy}sheGh1m`YT8m{;={uv|-rz z&WN`!7da-k@JVp~gT~JF$w5W^G<}%&G&MWA+}+lLhqS_l5}HJqW377p z#YW@SC$LY2g3%u?w=vi;XQ5cWmAdDo(Nq+?D{ex6$)KBaF+Sdc26Fx6&i(aUk@Y)7%Z$Ye!ihl*RuqP!eEYgMCR<`AefgRk|3 z{E*jZPqpZ`p!3p|s^(`q25Oyjy*t({71L?n?!-PbZd^Smw-!M}r3sq#gUfzk^2Fo~ zMKO0y(^F>F#_S#i5mol>_E){8s}ellHnvz6B(cL(_Wd2C<0NF|roKeTnCMLv61H{- z)uI<%buM!=FAVl_8{i+=Q`LCNu_!a`<{tzJX$6Q)3Sv%oHM}GrDKK9PK^-17`TzXz z6O_2$Sea@^HnOZ|D$X+^2R;kE(18zIy&rC@^rE5*^j}lL92eYU%-^*A#!EjfK#h=t zzND`Gi0%6n-oPC3wKh0TP3tXDiQIj>^)ghxu>kpL1}`BI$fEt7t7U)}F;`aV2B^HMe@Jkq7`VkT8x;vw>q4|&?ofqE`Cfni% zh{tO8nfS>6ED5-EydkA;wrJB=g_nO;DeT>lf2dtL$ht)CSu$H4!5rt7ZEwLyVCM1( z&AOoANqEdrH+GLUedzmLB1~up^h1b%S^J)11wU?G6%mVSZ~!hI$W zc{^^rEUJ_MN^sftD*k~sYC&ud?BSydrY7-*;D*6la3tRyx}4B~kEdxtA3$;$&cN(-pg;SG^O57O3`V`i+IfH+Z>8d za&5WofA`l-O@bQUeXEI=2+Yv*8J9%pKIEyqlGW6!L$i4ia|3#53RXA*%AfDTiZ^F) zrh?F$SH*h=Q8?FZJZUhSLh^hHHlxP?Zzu*2@`p@m&ah1|$ZiP0H?_gY9zyI6*3R zIfhu+abf4^EvwL%%b~RBcbVfi3P1M78ly_Q%wU}N5W;f|XxOasaUWJr;Bru6>9!Hi zCt4!dGdb&_xTg}V;&r3aGK(nN;M9Xi2=a_2a$FGuv3QS9@WWK^IPii(iXPB78_vAS z+qs&`()qsj`m!2Y_=vjA38W7v`Ro1f|8Nh20(!m1aTmwO<)6)(H-%H+WBwH7VXVa} z{A4`{AGvG#Wg#}_wVYi`^A#cQhKrU_dv%aNT}PUP-A205w3z|fv{XHcp7!OQol?F? zK3C*TdllE|wHtCmR-?728je&EfFvIq^U}vxPS~aZKU$78?1i63aQVh*NGMi%Z+;cN`v8u_FMxcaP3d(#c2F** zPVs}ICLAiEP%*I|6a68}p)q4a@s}ry;|uX;i!T%NAGYzAp5;Xl5-^tz$d8vm_N4m| z#j`tO`gHXoQT*IA^n9E|`nu6xy`N$l9fXatqDydQ0v93G`2uDMU z6!Iv^o>fS?>54HYWFGl*XC-T^5pB7*G7abU%fe$ojd6u zB~z{}J^|LaxVt2F;;h{?6CYky(D&hDLq%i-DeE`^qPRYH;(Dc8nYlgH({=!~4(k zBu~+7mmzHxr+In!3x@P!CQo(sY+CkU7^|ncRtnMes=2(VR04n-0ypHn^HRh$o#-L8 zI9Kzj$9-2Ui}R_p`otwsIa_Zy2aBX)4tVC{3w9-e;OkIxccy)+ zk2T5-brn>a=6-hD>s|&D<&QU?8grI(SPOF zKBbLjKcE(utdw6Ld+ipfHPn-9xsAHh1qI_XAy1zHV*o z8E;1<(pP%h)6+S5WbxZy)bx!yKR%8v0PfOw4YkKJfh-MK<|CJD%c+?)9X$=M;d63~ zcD?3qV<(fq&B7r}GW!Fsu>zey`G%IG=09c(0vG#OlR#7BO}?`{fo zu1L`u-!^tACfcPq@KcR*%9KyRx|M7b=r8tl@emNy_6z2#74eflz{7g<&R(2S((VTs zUZ`SmGmKH*aBmPA*=4hZz0Qsb(xDY(oTaa3{jtKCxq%daX7uPU3%ip1;~w_Nve~@R z&=Too$mMd>SOA~K-v=8j0(#0r!yHCY{}~k6s14JSLtkr5Kvv2d&KE*WSwz#0$(EiQ z-n$vmo9S3LDH|)FOIW1M<+hHpcrC_qW#9LfmaB-L{F7!OmW-s)f^XerNV(iPe>_RA z{QxXgM?u2ADeM9_x9~pIbyv$r94fyaF}*xzf}??uVhSZqJ~iY`*_iB)m^@Aj!g{sh znQFBr?D=_=?wQ4$xcB^U9Ju5&Nlf+lVyv-F$KpvM8BE8>iVA!Q8t3Bz0?OI~^U-mE zmGI4H4x510yrsjuQ-7lmUUB3^Zui{ohEeac2O}o6zxsh{-Lze!nf82UG6oPS0;6$2 z+!ht;nFfXiNv}_mN`qigJ2~5p)WU6ugN~m)phSMsjm?un3$87qX#8(oYRb(<4Iq$H zLn{vJ{)aH&HalsQW)Tf~)84Lk5Z7qJ$quWaf5VuN5Vb{;D_ut-^2TTqWsCon){(+J zD#oZ0l<888{}t`1WU=mYaeL0OJR_5BQj0V^H6qX;blJNI;e!qG`OdH96u?1Y*iS`k z?;V%&$w*_Yqs-ct&NLc@e&ulg*?mlhQi9zX@Yh1ChG*t1%0C0wCrkULeu)n$YkcC3 zv3dS8R|Eg$+T{v{O#n#i|JudjA7Z}9JBnm0-aw%Fp{j^XAZr~5i3e4tG&?z_ukBpG zv3peAjk%c9{DMdNv3;M#Ue^c^T(yr#nqE7rfyMgtUS)|~%iyEd7B+uH^b0cqk6hzX z6W?55uHL4Bg8wLf0$eCImDD@uq%r?q0U5I=v>#`EoNzcT3k6rai|7z1Ox1p z-n^A(mueKMFHaw`{bFp>sx49l$%7}&vh z5DqlJZs-q-GYdK`bG(MPt3dF7(s_O2`$!H}35!@hcUcabxDL|?A0VohN-k4m)36QQ z%!%B1=m#Xf7DMay--$lP121=!4lI5p(Wv!|)saZulvpUN(c12-aOE4a5B9g5;=IuZ zjuYhStr`^vmh>k<3N3TU$y64xa7m*?LLlCjIsIB5}z<69}2R%1Zf;}=*JO?(0oOVsJ#F(d8yKecl9L-Q*mx~<+J>g*`Bv~F% z)%AJ^F14cw9n&@9JfdxLhQWR+i~O;ti&A(iFWjqo+HIjywMFoWMNI+Foi!c3ZoedR zaegqZLa>6~+p7^-=$y!%VdhP2c5aAs^9r;I(@K1>x%n#pLIhY>T>l=}U z|2E`A@A&%%i2w2GNnE6p31FJlQTZt$M07v@uTNj!{|Rn3xR{XKuSfWQyz0mwWas}k zMAv_P{$GgyH;extb202|N!FQaCj}!Nks}7S$cCR5!kP_+YLkFWj+#1ewbjnBY+!}? zB?4dNPf@o5k*ZjBmg4#5{mgrPH)?X8AF!6mYPGv>zF-BW_+j{PMx(|(y@;C>8<$3_ouSgKc;s6|=Q0YlYYbG; zL1CyC2#*1DVfXYK?J>VhD)TYC3=y2k{9L)xD zok+I?jP$MZ;{)N?QkM~_)gz=Bip9B)$OJGryNgrpZa%e08;{tTYO9JZi~?=wQCS!V zsJ|^~7wRcHRmBMWCo6#@}t;+jpqD zc*RLtxL$|ykn<-$KX;TU_ygIhcWmeUXqnYX zz~D*)O%(nEkY6R~RQ4DAckrz&=I4z9rXrV52-_TCRJmkqxEGatNDF&`L9sn#>TGe; zi9ugw*!*y)MH*^+u?G81t=*}hKc)0wAwkrA>&UqNM@w$_a8i4Ve<4FA#=sg*c@ab8 z$H0uWs<M0IDjk})D0o-r{Ul2SV$ zDlIl;=(4_em6XZd&8$?oS}#cMXC}Mbf7$X}w&q7cw+)NntjmS?k-i5_x^?IM4j(7@ zE#k{s+e$}$h17m#{ec(^PaM$TldjJ}9>=fPHWiGQW>Qas;6KZpHMwS7kdJKT|J87n zW9uw69}-Oh4`YcbQ!_%rQ0mpE-SKz=~IHYV(m z(Iccwymm!Pp+{3QLSHyAE$9@Io%JVLKbVf2+F?cV8|Goq^i6Q@dR+WsP;5C_ZEVQ^ zqoZ5zB!6!LxjG^ieZ<8J&jRSWn_j#DGR&iiv)elW;C9XR2d%%gT%2{WyJ> zZ(H@UOz}1i`%nNU_sa!w|oUZHvD3j~tLvyuw743ZHR%TZG(x4|-vf z`g`h|6AAsxfv>r;JAwH5A;ZL(%$g-MO~&@AKAG~ z7p?p2!dNGwc2msGg5)b*wE5})3DNzORbQ~+%}}Hn0WSH-4ieg@6qm6AlADk;E4|Hd zuFO`9b)q3g(~*u%`2*FCL3}pfme8wW6IVo#PYUCG^Ovc7A%Ek^Ru$BUMQV#^bBc;B zb-EOiQllg>Bi6FW7{@QHQ;>#5#;;#uBk}eND8}_xVqcgLP7QY?j+P7`Y*~v_^j#o1 zsPG}^?_Pt{ieKl8S1GWu(~a7yx300QuoW2gYqMP?>+PHk`p3sO&<_}ceUk$#xg90h zcUq(}GFyl79wZcn#cGNzhJO1k-;a}zJ_JX{i@%zO3aTnw5y;`0$p)%x#)jzun| zo+4&bILKb=2lz*2z44>G(FuAfcs=0am>9u$z40_dI5L9`!6Z(+>)LS;x+V;x%-}1E zZnu5s$TiV9Mq^iWu?g!lByZm@I3RQp=c^M8(w1)|Nk^fQQDOp`7^AouRpXdNRqjE( zhP4rubO9t>I4?}c{&yJ7BP|_t7AO6Q!j7?-_jwy|pQ@z^FTMEm*32&1O5c!M^R-(! zGU&O@a)Ezk*O)b*#%P-eo(;3L+!#oZ-?=m?YqXhK%5 zk@4)Myrb6C2tSX)Mv*U1P)Yhp-2K1na0-PiFJ!h>yKtSjkhEscvg+p+ zz}q(9SP7%64o(^iq{^H<-tOv3qutpV>jT6N^V45rhLJ%?q093GwQ$p!w z6}E|J)poX}co!l!doXyEIOHO3i>BQnji`boJVSC^+E^vX)erDL*kKB4F<*9J*wSvS zKZ1M|1H(`VsxkWgGFqH}1-&REcr746FN918mAluTnTfBVOJw=SnIB1_vI?#`A;Id_zs_su2vFmVxgL{JRetYV1|cvV zTql$9*A&+Kh9KZtsCFlxp~r5oPuR!*9{arzCu;PUuP(F+i;oBbndPlM1@Ed64pVA8 z^#lHc?d;2RVk-$vvs#UOfAnru%QneW5kis2`z7mC zJBBml#%T5zxT_IZ4Q@*7-$Npegee^fOu)8fCP?pKjHzmKr5q};@BOSlj>ch%hn*T|u|Kqk;)A`}cbqbqFd%UE z)qguNUx}=KCY2I$#e&7Wgd0mH1mCWPm)@2-53;2{u0b~XUr;7eezC;OR>K@z#wt*x zM)7ZPhud@(w6jr2IbMGJNVMEg^r%;!0#biw=TTXuWDeJy^9Y6Pa#k@+qvJcI{iPoa3hVwO^E$6r6;wU{y*t%A2 z)}myBx$G6MJA;oVxB|!#=V|8_(CU|dXqGW5;jX`Le3PM5DC=2S#u)3Gl)*)aez0z^ z;%*MgN`lU+NhcP2PNWt5m;Yfh!D8p%`Q=OyVDc~UAjBr^{#_s0FNoQT6kk(IMdY$d z!1evwOUMq_mRl|EI3KMzINVCTwwl)RK?TpQ*Xz#H_2+Q;u7td@O=F&wQhvQT8+|*; zB?q@dW$I-=Wg}+&D+>ajh?d62RS?^GVmRC zK$jbM!EHl*4%&jT#LpCc--0%LC8AvL^3-+`%wK3(_zd3aR-anaAW!c5I zh^`D&d+IqC^*}S;oOEf-k>N--i-*)z0m5ZS@Wc45Qnb0BQc-85(|GPtBopS}Gp6u* z8A)o-sFTA1QU*FZJG8#)q`K&)jb=E(`PUq~xXD6Y^uD$UebMnv$F^h0vs6urO&F~7 zrh)kignOv?JP-ke4|r{i^0Er+$K3K%wdIW7a8p48CxtG?ma#lNHw>N2-Wb}9e#y?c zzb2|zzr$%LH|r-V)NaQgerR)ybHwNuKhY>~BFGx~LTj znsFJl#KnWV1SH%ystEn80pgRUVoObIC-Jt|o;ubB2{EqoGl60xH#?;m-h-h+wKABa zK^p{I?QmDD)0?ZQiiA8od1Cev@LV+HE^?FYD=}PSkX_YVh}4sTcxLfe*W=P{ycz3j zp1GrjNY>~GxgCko*xSUybnAMAt+XTTWA3e@JGj36G2DNO1Mpc>siCpr9$S+$g7Y|E zRFO!75%jd)rL0y*0+RzK@E>@cv4%fJ3_5LMe_J`H^d4X*@!jqw1ipTE^M&BrZBb%8 zs>xxR?QP%PlwMZmNyHUmUjgB^`+tisx{W8StVa&gN^`jm6CwKw7r>0#DPAZz?5)oaTQ>Gh14h5Uv zEV;9N;^4YmYNwrFB9#rzos@nkeG|5fB9^!^4i?yv6bzu#D(2&Vq=XI?HnW=(*T?qZ z#zn`uWTd%uGv-={GB9dafrajUCW(>PXNVk^jK>{e@x11XOf;fT43Xs}aq)r5SB4mV zj@Mw_wc?4$9U$~ZTJzYQ@Zd#2y}Sgk=_QTM+le|gDw_4=ULIKH=Vj^o=|Jnzdn0aM zf@}yZ`i5s8;C0M%f%>q&ga~{vy%iyd;&$vvSa91{UTcJ)mWM)=0XoETwOQ z2F2r@_GmvJ@#4T?pFfK`&<1uMwyCd%+G|H2yn_bU3Wj(qiMvQ&YcnvdMbM>aW1TBZ z{`F2Zkb;URPNWOnk!^E1`!+0WLR_G8`hpp&=9zMR%pf3)e(A>GNA0OMJV^$z@XC4( zE2$Pk1W7#VYUpErvXbAfJA@ABf@8u;#kkQW-jX&575R79Ljq!}YC+$$ehJKzmrwBc z$m(x}C6QmWWLm4g?-HvpZ?Kf}6r8~pbo1gKQXIDjGt4zbe0n1KlVQ-O z!^rJ1ycHq(3{A_K(8&^b>FVb!u?kK^R%h>ycpD-e5Mqq?B=aA^*Pd|+JSv?DDU;hI2Sa&;)Y|$;yBXf)tu_Kq!n02sQ;V@2GJiyS3 z-HJq3y9U!bCiSz@#lRK?kAU*xDRj*NO@i;KV!8-2im`}M(6ZK=>dgQyg};9=Mazl_ z%THtL9d3(vfS!a*il{9Kpz*^dxfiQI_lX_JNyhWg>Hz6C{dEoYTnNxzaLED-n$bzEW! zwaSD&$%88gQ8Ldeffr*<3L<6#Cl;LRu<*-L7pTfucde~#=mvW9DL3OvXlr*N2pHPP zJ`>Htl_+Qahsacf*_g1O&z@rT2XrV{PO9i=_QBvcJ7L@7L*R}n^#l;~qbo`sraEBD zFiPYkY}zDKTx|180u2YlJQoQ6ahpCl%4bYFe4XOC+|2TwuD1DCUFs=LL~JIZM0Xwb zCOi&^HwBD);e%Q73d=BL&y;7%S>iBJb{~>U4Ey7o= z2_3SNZ#^Lf6X;^m&nNyb_TI6((yr+OjcwcM*tTt>gO2T_NC+~X^1>zYh*?Dw6ut!F$U zG7zdT*I?AQ9m;3vDkSR+ce4o z!6_Q6{*fO3p5qwHxwwA$^Zi)z;0}RtC{GUpjrARV2k&b$jKm$uqDpMDZ7XP|lLau) z)7(;@6Rq@oe=~=+d+_BByuoGMzXxL#GOfw!cfLJoevatKuv;f6TQ(TAJ)82uJm=G_ zI$s?L^-$Fye7ecO_#M-hW>QS4sofPM{`qnG_a{iayNHGqv7Lqyh^%(2xtMGDjH~Mj zMPi$W0s@@hnGRO-zSAb`pZk%54-_jdx@F{zk|K0ZlMJlvHUctiK2#3!08JrFv(_Ec z<}nK6PCk+~dP{|==a%#J0^})V8Ec#mBVGuL1vI_XST)4B^ph+eAxNC2px(!Z-?C15 zG5-K>>w8p<&Z~T+y>%0zUQTtbym$wZ4WzvV4WS-5KLB?s`yuJ9a)H|i0%DzZ^3{$k zmTmL$D8M<3(kIswq+MM|z(Hh7f^SM%JK{fdk1iSjMy7Ao=8F48SKK6V()&nr}CkSUmz52#r}|KgOj zEcx93ausl2YOCx*knad(alm9tm zeARkzCLY&lQgmhDa^n&T%_!n3T@#oACm$Qi4!&j22?vD z?G0P0nRB|HpTq>WI36egWGmnL)8oq)#%<08$c<#mSsM$wo9DOkKxh+gOFS&2b*cCU zTbq@)&JtrnD<^MAkx0|yLm@M+gXY-+NX_Mcn@O&*grHUJ8fc@juhFPmJ8;kNTG2_4 zkE?<|vtjQOqK~r>Z(q;s5;Dtp-^t!E8JQ~L!)CTS<^O41Ae}Uif|b#E;C2wccLj-t z^CrS_awAkp%d&egF+bBBg}P1>RfpiS{kg#+XSbZh8yXF`qWsw$@L>gh#dFTjD&MRM zMQ((c6Ez%*4$-a8BX5j2PSgx`Y_csG0Fl?5lPT#eXbLb;Y5J}0c(5=tOUY?x)cxov zTvWSVbXRa7prIwG+AuEC1(es0+de4XZ=dV~|0i{>%hMOQv>5eBgmK#sfTCcT!*&#> z97QS+!S0V%8WGDZhU(QKEikibAAnj4#2Wu2`6eQHZJrAH-H?FAn}I5Ehv>4zO#Xav zsYEGo>IQ{$nf#vhI1}g53(W=P6@3eDJmflLzd>i64*-g(G5NEk782Hhao z({4Vo&}k862@e{~r|EG7WzKqE0AD%!Ev2JTR_nz%eL7hYbh|&IvTIS)1gd4TJG4WC zUS~-Yj`M=jxJ1}`Bi2ya8@SdTJ|1nm&;Vul?VCabvdBJOi11rY6OT>|qv3M8S8YZ2EA9B(k23d`s8PITwbU$QPQ(a4L zUb{dHexUAVg=#w?>b)DzZa{S*47Jj)?;5)jg0OnP^*8nR%%n3^wQ%BL6Vjw)eAkIt z>IJ+<2Qr!kZ2~c>ymgL2N{lWEbF8rn6}LN@eRD=a_7+QjWBlKeVNO)2gEkoQgOgv5 zRy9SpgqnG~VDm@HO^1P*y(9wEN!M|AKD>GgNXSZL^KY>UlAJi#cCv zY+sb2=su?zB#WRMaN2=p-&Ig@kKmCD2^*LG%3!#})GZ3YTRH2kZ7ph?)E_9#f@F&* z6or#@&@83`kF$H#m3;|ZKlm8LNl6a5ot-dmg@Y*tu@@028?)fPE6ZFR{C?9mD3bx7 z*B&1VqzB_c_$olUn+?d=K848I1L56So2Kv=c@zSR#MPZTT1VPS#!Ns(QT&T{#$35VnA9vV< z&GLsouO*4{BnbOx!40ggi`HW5%V!r2TmnbT8Ai&Qqg8ATTP?KF_k$=$h6zqf-w_|g1; zEfR)QaYqTi6()2}`}1(co_;ZES+{j2n@j6~n#$TTtAquRd<45|lQo^EnHJR$1`gMx0-nO0^> z%XNy?460FE6oo%e2$j_Pqtx;_T|s$fjv;jS#g$I{-$UMQ)f|cuy9sZQM7;v4+x%&( z9-4orR^rZ3jz@pa)dAd@ z(PXjs@`t$!$FF&>ebXyPqlE%r=YHJ(ZNudGPbtwn}}^y2Ri5+H2j{e;N6x0B$EZa=gDaZIt5&)8&k`WrezpieIr?hU`nw52mP7=GSmI|1|+ z-nk`V?vfwNBB>XvG$i{O#~#a#Mek4hY`(t{_H~1S)-_0P`qbV44{x-GmS4+Vc@ORA z0=pjske0!vqx>xz&vaUly($Knd>jEnrE`nmw*~et3!kck6Je>=A06(VIOe5K-f8*? zraP>>lwgc_P%M|aBDNUFWDepcAvlFqnQ0dBPf=@G%u9)Sz0B<@5Q5d%w(vP|{rZ;F znlpv6ik9!_^=A-u)wAOzOU7*r`{=QVPa%lX^Jc0e($sZy2W8^TobaSjOkDLQ_+Cnq z0SWd}ovDFlxaPgTMS#zdFP$XU(a#}HD_sDLg9r#rfbLf z?HZs}xz@%A-st|qUqjfaS{6~Fr{Cl+l7r7c@P4BN}|i(e|dzY zCgc&NHG9yRf1~1{Px=YD6_^r&@#U0l)4HT|WASdgs0(@@lEl|vE_rIK+I)HNt1kmH z`(=wvkcs0(BtOBb>uFhqgL}0GLDA}ZtsJY_BSzR-%qBDernv3#Di<)wzjH0`geQ5;+F1~9#VTmSlFF)yEf0^zHF2hlX$EeNzmzHgpPicS2td^3|;dw zJ{C^W%rHBTj6hcS=KR>x5Vk9i6nIC=o{r4eu{Q_YwT58>4NbzDz%@ZH4-v+H#);g? zCrCISAMAb$?^J5xEaVzpObN=75;qbsSYL0b6G(DMDHncRXuY^?I!8$& zhb+u`j)mh!lwd}*vXu3BBOTXfALFuSvchzA$H=Gac`dIni!>Xd`btC)f#-668i1{| z?<@DJS*$B1-a76fM09&Mr+Dx~0sbL|{oT{dSG?^og58I8-s4n`y5by5_>CK=aLOwX z%r+^5f7a zABgU~xv>&hkf6A$J_^+@-TR4t%OzQz3a&JtjB~s(aCARbptUsqS+Nyar#6FC{<{qE z-4x=mO~t&o&766e=L@RMZmVOH&gdQ(l;Y`H5Z!()U|1>ATK(5`K_2F)#A1ykR=_v5 zge>dGB@Yh`UvftW%D)3n&397@Uc|nLN(Xglv210XerzKTDV+l~qRz(I8Ta2!=k^K} z<1f0QOE|-jeFNHVVjZ1NSz?ciX*jL)@5^*H9J$m_hmqnH=hhpZ*HpAN+YBV+>U`yV zh*D_iP8+jKL?exGJh&VB-}-9-Gqm;R)@^g@)wLkgr#G!3|4^M( zv3OGsN*guCcIM?_bJBuwGMEoca zIF5GmvN&l94cy)JRWRjDG`Qu6lC5DKryPvArf{63Yww|{M$2;O;6jONacj=%(<)07 zJN<3{Pb1OImrZ)BCTW@NC=YR*D>2Mr`Kr@NdeZv3I;*e|PUOsHM6HvTHZjMR%wZdj zxv)hL!G)B}V}{xZ1$nPxRznb~)k)zziQB_z-FUO?*JQ;{go4qv(ZGX$lxSBi*Ic^- zGtmxmP&fKW@(-O$r`nsg9!PDUBX%{N{0x-N-m5B#TAW?d$O0ZTT*^b}d4%IGf^%IXH~CF;ojCAc_>Wy6?66P> zemB`24Z~7D(@rl~H$JXL={vzOgV1etD6$b<1@Q|?(ZNT}sdLjeFJ!6Te?}Ix+vWMM zd&9B5hsP%IEnhaNTQNWX?Nn7%n`M-k)rXwk*Y10F*yrFc(1U&w@t^1~%55T<&WcK~ zQjIq8_esaT|M?R)q^(-cm*1pxD{lN8?Dt1)Bi#~KS_vad>18LGlnh>yJwVn~MI*47Go~MW|@kLzv4Cz<>U^f~hYB&h*g2 z8p1Gzl|uu#WUQmo%J=zi5pjN;U1Nc`D(5VFdgztoL5f)0OH-NjH)7BSq26l-4`l!x zS1*w!sRIC7NOk9jw!08*GyX)9rrCg7A2D`KT%HIrK!V|G|bh)k6#n8P4RK*WSiUJLYOl&;Cce&HT-yYg-Ack+jg} zcEk*+;QMR#d2$zWq_O417nvXPLPD2Qc(Fb_d~+LrlmuMd(ie6&!H|#xm^yr@EP+|BDNi(arf`AJuw)!J+i0l_}g zU6E5s+(8o+c)sInjX}dg#wnBGwIn^7bk5 z&yOLM`>W^Pf-|9=EC0dk+V^rFkyj1|`!SsJs@F7mU^Fxf;`by|4w3uZ{|lSIuRe7(qXUasPw3GGn+$x~;BQzCyfkRM0bhs#H^3J)G? z)PLzUBOD!MCE5W)6Ka&PQ+_$RV5jQ@tf>bmYjQyhoOpZH|uX*X-`x?LEQ4N|s z5xW^2W-e;(X2PEXwJ6r&sHLx_6!58n+~!5R^CXk!S5E=I1XaWj(Xz`IR6S^J<*^2aRt)cj;@qZj8XdYujK+UdV}4ESnZOiYQBim`6X(v=IVfaM=TM+ zdyEvwoKswy1{#(g<=DfR2!cEvVVSIwg73G0_gtMklqv^>h{>Zu#o=>EEh>&B`bLVUthdSH~Fh5gqm#9>`HMptA(*3=g!r8aUaxQ40^u z2|?UzH*Qt=Fb>!*HFs&P#<5@DOyGXD{k(479rG?$c05a#aPnM!=@jjC!o>a_{5K_w zA+IVh*=pS)jX{vZolrvdZY~e%YGR+Bedj)oR?>bw2lr#ri3=4mqh4$`*`5C;BdF8t zsufsY745%?`6M5yM|~__kT)|eFnI9i5{VImm*+We+R~EU)%n^*_&?xVt_ZxHuT^fxxP z`=5Iu=>Gr#7e}7l4gfslUrTm&Jl_8^5~YF`!uX$iKcxQvufuI;VRvY3INq86cW3`I z3RXn&qyC@!cY^=6eE+Zae=%^)|KHC3XY`lgUHO0RpLufs1JeI52LB7(=>Hl0|AAw~ z`2Pck^#92d_x$DL?vb`0CY=--M{SRTDv5@1`g{>!cjsA;@;VjafOEKE6B5QxG*uo% z$GuwM@jlyp!GKN_J{LWlyP5XNfgm>@=PRArA3j`v6WbrgFsb1PBiGruMR8n*9 zNRBSiBbn!`oELyRlmMaLsGp{nMSyF5pv8p=;-fU$HAD9SUdQ7OIU^CQ2l`YAu&7s6B{w5UDy62N(dmDo8ZouC-1A24@M2k ziQ1qB<>UU$ZU3IEo!?w!$MRvsz#`Yif;Z#$UDB!-#L~HJ!BlNy$++Z#7wcZj({=8P zam)7sF4?4L85qN`}Q0$M`>9)a!KpO=uD5q{0 zY5-54fguh|E*>QbSEbopmCIin9b9$qDk!ez{LarTA*~?8DzdRn{|g_q+Em|i72gO; zPX17w{L@ttB4#Fe4K4Enel1V9+Y}{i9jd-H&q-_uy!1bkdyR>eTN&$3#Wa9{%B6D+ zDE*_apei{S9{r4!MJ01zx(4U$vkXxM)4rE7{Bp0!hSEDZ)rq7fC%@A2NC~~tb~w5t zxn7=nBBPM4*Tr@fV93$C71)?h;%kyV?+t{_7&cc`;Mg|1>nE~^fLUz^>oa6^jEG~~ z=lkSp^OgS8woy=0IB)!l$xG_uNe5SDi8_l5z{?|u=Ygk;1|XNz8@g>oS}Lf(&Cnjo zBPLBpMYinfP2dglS|=j2u}%~kgyQ8I5$x}uzu{CVj2fY2IH6~(U%oMf_ZKDg*fMID z+;LCif+1gJ%w1e3_#KQQl+AIk^I}bWIOD^Z1X;o4-|HyZQqIbNI;1)jw-%IrdFyRO zEA{uI@py!>zRl@URcMppDV(S1ji!FYm0^hqXVB122RNTJw8S;LwlhN^bKyo9vX;dR zGAb>8Uv`*glyPf=8m9p$O-HyZXPpVMd8yTljLA0ZA79k8()^?YWCqMYVvO~-kNx5e zQb%+fWKB!Xf<{IZ!_CS^xD2kUx0E$wj%5vdiw@ZKsf^PZ2!?CRWIYZ~>aCwas0Y4J zv}uNjC;%mI8sk>H{&iS4X+VvWRpU`7^{$R>V{uP0ptpn))Zbo{o5h{I2B>dbD$C&B zuZOLXj3^&CJh~a1_gci*oM`9~_lwpO{Qu z(@)L!R<2OT2E^D}PS%`qn>c4b{$&~TEJqWqWV89y4zKIJB$v`Wh6ZOp9DYkt&rOc! zBGzXDg$IlRPkI1qX)!`Ndvfpn7)j9v%${JL5gl?UEjwqN1UV0Zise46(>kj)`OH0} za@{mFI5JePLa?N9`QK5^Z2m?E(3h9!O_XP;kszvp`)!tDWn5BTgNG>U&twebk%75& z*By3*&AxMa&sNo7A407w@=I;fBT5A-htjxFv(WsSQcV{$5*4UaI)Gyj5y+7V3mn;S zt}#aGKPSYHDtB0XE{#WJDDPPTb9{D%N)c-;9@DGd(h{h$VfLij-nFF4=voVL6v%nC zaxx5I&*4|{7Kx|qA_#>$vTs(a!;ZTH2JPB=;kq_-6n9{u5L2{ZY@bHS8BOl`er*tK zQ+S`j(5DKnDc2B5t2UI*JaqXONmCrUmIe1y-B!Qb*fxeb8|dK3n9$Eg;jjumkp37B zVx0k);cep#A{+)IWsUi7wfJ^H1gx2D^*=nsF}4x;_7nCBtc+P4^qk7<@?Tv1)c;58!)8UXRMfFvEp zR^jVRJL9VtREa2^uwO>ntsXWqgDx@;)Dd}RmT)lbe)`xim;37#WeKRT!#wQz+c@fH zB~qpDb*sKWL$rg2dX!Q5Setw4mHcYGimvv8*Wra-OZt4gx7E=EYS$b2kw_IFLdJeM zH~o->WUmHjUIDw;S6xrQ&p6F-xOGENd>Zo+xik^e>0+|}Q5CCVAA(OvG{8Vnc*) zcv;HIM3Ws-SB*MW|L~xl`nZrbW3!^_SlsZQwCVP1wZHI8TH#*1$qhS&`Wr=+T) zt2!9-#PW}Nlzn;A%jU_-YhTT;rY7-*iW-|^5MLx<7c(uPHP5dO?77xL5$B-1C5=S_ z-zG&}`^t3_4n>1L7g$!DTFnn2L1Tl!SK!+@;q!7!%1 z)S7MK8e^*JI|!vv`}e#kp;uyT$YNr43wm^-x)OFKU`>Q{8m+C>-zIV78z(GfvRTbM zw!wmkS=o^?NSaV;m|TkJTdB>*uSI-NvnoSx*RgVmQbREuNJ1|dn9ZCefF+3Kg&$l` zbLKZVcadCrqQ?Oj?y_?+JGCY8f9Nft2JyoS&&+B*(g9^EmEPN{Q&BL3O65WZ5*Cxm z77CVh#f)l=VKBk(n&WcLxfwyAHmq>S^W0K=ST@f$gfFE%)Z-v6pify-vamsFeA|M7 zE}ucUC!mCdO@uQkq({+hbZ6tdEl80AuF1$_p&@m6Eee)rI9W>fUfG$|oFWD5fOQ0l zlw_)A)CrA|XqjGH_^L$QoJhk4H+0xh&qGNfy#vCqvT*zn@vHM;*U1wI*grEmrG3$> zLg(nmz|tP=m{z1+#nqm;!{Sad`WYK8+B^XyRElEjB!^yS0(PAU=G|`^Q4N|b+)oV6 zzm{axV4~jYH_9)-;Uh9+&yncA+SN8ILoIVp17F`|DhwJZbvBQQWn8~l>lH3$MUu^Ca#^ZZtYVSgy>1MG2z7azuqj|j2H^-@cR55T{9qKp_CmEa& zYcIjcZCtYADQbAHbqb@Dpd3p8RVNq+!{*;;aHPR+9hy(WzrKf>y? zDv`Zbc-S4G!;h5HjGy+NT?rjT9V`%z@x^vsiM%ZX%UQwohz=wGVM&4QVuTb`qGA$A z6DZ8b;pA8+Z%U01I>OaYv}x;470eauU99tTzUvrK!9od^=$^kZ;e@dGu$wC(#1kr7 zHrgL@AuM$b6_?7{1Ll4sLaW+3k7M;}StSI68#@S1p?_g9X{#y=F--<%9(34bk&Xl= z3j~jFKD-rps&05{GM94QD8V?Re9dge!bmN2BAF=iIh!H_@TX5T=S$o`{N{!N?YeB$ z)Ur3+H2JBJamAR&=ppNAayLnkUsy!I`$qEmny`~iOHkiBFXJGElO^?0s@`}1NS#Lt zW7(OL^>!V*DASX8w3X14GBi+VSkig?Y{!t$-^=B_-Iqg+e?T{)<)UpT4V7nP>S$a! zcU$&_X+v9I?(6_oKA$=4+8FZ;OUO)dK7!FE6C4MkV_!wAi)0gfKIUqB))gMT%QRva z@YF5qv$P$q8Kdf09KFzDTSqiS@UiO4g0n-sF13hp{xs2itS!&~P2e7OY0lbO=OaP= zlthdvAw=TV0lMw4{Ke$t_vlR~9;}ys$yF1N$XD)9I2p=)bQ-66Zht>TZ3s+WZ=T}f zRfn2lB?Tv_S(O!RJ!`}+!D!tjI4tI^R|xdod@h0rQQ^^+GU)1(s-)<_6;pC$a;J{= zLKL?$r6(AL#Y#+&3S!BBr>r~n)UOkLIM^Yj_=4}M9MfG)SD%(1fMOExM~s9|Dndhb zXV5+Wp7Dq*gg!t!gDkQ;^4&_<)#~(^|Li>2_P8q-yra0T77uslcr%{=^6Ir4FDvfK zv7YlFgo~GkpuM_25Vxx9tDY+XBay&cbqVM0YJM1GS3tKwvx1Z3tfT*r!x*ldCtgnIda zX0nXYisb0U#r~gJYzTeFRu$;K)R4IBLanQcjJBQuZ>(?nU{4evxKD)c;?kUz!_kt) zYs)%$ZU1y0>5;oCIZ!4MDK?j9tr@S(?!z|&t2CK!?G_K6#|zl_tt@_`ALo0bt;vl= zS6R!-XR_7Aq6PaM%>cu|%F+3^3*HTx#J{Pfk&U$b$fMwU0;bJcQ>&xj)AsLp&nfpy zF^><~)lwWv_=oLli|CHyOoV5sE;8p_FOg#+1u7%GEE^VoA>=3u9R)Wljl@4 zY>EGkpWCWlllE?Z#kBc6xF8Q^S8u`a!<+tf5Z_*V9T;D$g_PM{_ol7VhrrlFom%fyV@pn{t2z(Y9Bm@ zQ0V!e%G8iYT#My&ne)r2{hp5phf;0_$b0T#A>tsHhd#;h2a>-zPOJWehAZy`$kQAo z8xamdEOc)ILY0(_V)lOstuU2Z_BZ>9bM_S+J(hVzyo=*YW=mM0+}4QUkKFnbZ;S7H zPDksme0C~>>y+>LIidK+KmfDe>lNte50;O^6A5F~UIavDr=!j!Y|AJ*aM{6Y14$8Uls=)))`G3Z zMKDeAe-4e}R5Q~sfm*o5=jKqnJ1qZXacpz*0mjRl3JGp5LdHqfsPrdD6+e8+EoM}f0t$`v`Q zm0kGQvHe;u@rTiXQ!O7R9T#|exb?TXH<5;dzOg$G@ATCLMMgYn$;IYA20F;Sj?*dp z%04PSms2R}lIV;zTuPcCf`RLQrbETPhr;b%pAYFcho&KNnKvF;y4$v|L#ELcv=|w@ zwyWVaJZ5squ*i3OF)*xU1JtIyf?yDU22@Yqd3QebVZA!dsPHgL2ucOibNdyLD9S;+ zoTBgABfE^JVP(=H2%r7*Jhi;9m3w_Jw?S~o_fLlEh*M2JQY5aP&k=Hu5sW4f#gJT) zQsxL;3+tYb>bIZ1%kgEDLMv-fUi(aAO(p>u)KZFLL9C(|nQxX%p%FSjRSrNz1Jgi< zgU2IBmyvLGP<6^{=)p&0Pv=F_2;S8cU5*T+(O{&*dy}9Y`ia!|Xz(0T9PYHCbNaxx zJI!vr4SNp*W*fyeBY2Vu@e;3^YNgcy|4!h zu^6gF*3UrsqhcIh^&xMU39JIRWztzJ zA)_xxPnN+K45C7t0e)?vp3#5Dx!%9{2L&;pM^-11vfq8WhkbeC$#uNMtpQna-U2qn zTjaSS@1+O76B}_h8bT~%3Q?UdUzr2AdOc*!8ve~wL51C{D{V1-ZZ?$`Gs{@Zf5&LU zJ{h!uI@_ZZi!zwkUXLTyOw*JNA-`_MQiZ)SlkjybY5}!B?R}$tYrx~r$40y57v{#% zDE16gRz=#^0Ue_QLIFP=krXrz)G^>f)tncwZl7cZr5+Bw>|CMqP$n)k#FA@(`;xvp zFHFBCd#)vk^O>n7lkX^CDDJ*H70qQ5azCtK`h_2YP#*deM$*Wlosl^rjsQ`a*aBta zRaHeT(lMPZxF19~_-xEtF}S5u7;warbeoJ|@CcOgr?P_(q3AU9;xKsO8Jc-q>|2>v z0?-=t^s71}9tbB>J$BcyN-WCaX>Ila6h5J0#K<2a?!b~5K}}|0x-jypFb_L$-#hwb zWl@xLodP2(6X=k!Aq!}IB18wRX&$K`P;AMU^l(C!eqY&FeSs@;-p}GIlo3-K~8b*|=NHEtC{;%&cxJc9S z6g#iLGRg0op80&={_);iN9v$%+Rhfb!TZ^NClk3;O-!a>cXw`^WfOz~7ETZv%+H8T zdY{X@zZC``ZqudXUUt>FzWc_VBK2OLayP=G_}!4~!7w`6O)Dk5^+MGN9Sn;r90WxA z%Oda(H9-c)b?z+am)NcP^f?(S=s2LN^bl|*nSShfnR-|xA!O)sn7S5@^tLq5oOF9p zl-Lpz1p;r4V%6=QWRO1pkUrHqimSWtrI2)SneFs~OH7QzhFc_9I`Jz5;?M3W$6Xh7 z+|r*fVZ39P$(|`?NjLEjNaHY#A6N&-W7_gf2Y6rE44(fSNk}MDz^JM|)yxWg6 zx@?LovaXKXRnwfveH2}N}ZPO7QcwGL1050^rt{5?@=H0QQ^dl*lo2*}gyfoMEFAlCVYDG4C zBwY{MUbLx@PTSZO(-DY{KNre7w=Of< z*EI8`+}S6BRZ{a{@A8!x&vepIwq!EC*T4h{Ljp)M=j2~brsTgA)|3@qc)F7Uc_gf%eaq|s-SFASdFXn>@76bJB7xSok&!TH2&4=?_s!R zK6C8MaY*rQuB965Q?^Yo47n{mbPf%UL8|4LLm>?hQ+`z;Np zHxbHZC$w!pTke6P&7~5-I}{h?UC#$~1p_Tt${#7aL%+$vG8Bn9gGL|ZU(99^8xXN!WY!Y$7fqp^PEJ%)-Hro1uV(4x z`GmNhA{v1T9Ph2k{wsAg$8d%H>7W!Qc$L%Z*kinEBe{@GK23P0e=@6qrCp4Y55{Ma zA=LY+fe6SIi-U%Y{W8!hlq#6d^_5( zW*SI#+8wBxw^YrAiFAqGo_u%O0V7-!y~_42=T&k%*^u!JbBU_}1f*& zpWkl%;*tVJGj1eEax5ZM=b_qDHK+pJp75mOD~7W#?h2xXIoJoa*m1saAHqv}__yC8 z(zKDG0aM^zi1#^!f4aX}!jRi^fE3WR>094PciTw#;P*`b7laMcmK5_GFd*p~x8X(P zB~0WG6LsVbiIHD**F7M^lh)f`bxV=$8I|aEK@`)hTso~~2sv@Z`^H$p1d89HLEQ&; zN6dqEu1wH`@R0QFsoI-37u|U@#Jv{}pL7r23mKNR)ctC3meT`ZJfxiO8nN81X52ed zxVMO1+IRLm5=*)C+O+=S2A!}BbcO;DrJeCw7W)j*&P|qo45uTYRizzG!aF~n$`R(^ z3k^(x3DB_9Bs4cn&r?6!tCl(0q2=(0rVZLUG)yJfwNwR^stBe_nGHAmUCFQ8U*N9cW7&`@!~$((E0Z=x!ltlV@R5S z#tk!Y=kYouTFztLDMfVA~J zfzWM>@?jMwI|&oc)szV}+|jjXc^C_0dTo&}1;5b{j~9C@hpa<-P$=|@j9e$SRed!7 zHJIMBv`m$+OsZJu&Y`_77btQrEyc~vSiv}3=40@(u-z)gTVePCK zEfK#U#zNKotX|d$3-#f@((Dr9xs7?yZ^2ZEH5OFr{l|9vs7_QfM1$)J!Gk_cepupa zK1Wb+F8^Y~lTCVd`zc`-sr;TjUIt^hNHy_nIZyP#p56M?s@pmbH~hW>u!d{4bw3Zj z)M$Ao`Dro~u1tH*bXO!ext((K4cl^S2yS;Sws;>-R#Ze`IgrCu#470+Oi$Sm%*90I z<9yrvXN*S*QyEVz{T|$bWorckHbVs;biB1^b@}|=PO5;L@F&;XlFr+dPhk%~ ztj*b&(jIedQI9SRQepx~-An8BWP!^o`uvhY z17@=Y`gKdwe!s&b8MbLz&1~x!{Hz-y{8@z(c+{o0j0J`djqdKThh6L{F;lD7dxIt| zj%e;EGOyL<&?HD5^9K$B4A&kzmA|K-<2C%{v1n#~0@S})uw_Wi!&=z{LiG4-H%C6S zobpMBLcQ%jf)Lr*xgy0lBW}c4(``+d=p}TnbXaNjJ^JDxo1+y-7PS}x{J^*mvHlfD zw76vdItITNna+272keQDvr7z^32`-~$MVFO6fLn~>@b!wE1Si@0XVA9AzCgZq4i`5A8aE7ndgCO6X>iYhm9E8V3Kb(8G3g$y3u zJ|H>FD(Od2LK<-yb$A&+EIAFTSSs(epA>`5&uoAGNS4vw^e<3&!899D@T3(ewRdeG`~U~Tn24B0Kxjo|+iC=7 zFNp=wK*Q1$CH)u&2<|Mhqr#C& zMo58;v&q=fI_g#P*C{aH+Hz9ehh~IP+}xOU1&X9huh+lp!dCl&c1@Q@z#~hej!QX` z8cxG$3zE-(cL-C(&U)Fa&q&t>-WNBYjRsT4v4sZM`D08d!68`fYhLj}mR+Zzn_{O; zHOd|wsv807^rSMauc{V5@r+@XR9AJ#kxiMb|AABBN#~`8f!HcWM$z7>ow?S*{8=}3HmX8 zVKwQ#gMW|;O7~B#uY}PO=$bSQq_8H_+0Hto3D`S67)HOa6#7U+h@vJwoN*v(8n;6U z^i!DkjU;d=9<1XuYa(;`3*Bo8cLkHy*HX6;Gl>0i4Q;mgeOq0>^R7i;&Mah^*W)Rl z<1Sby(1o46qW9Ti1u{#L(KglaHvpovOtg-Q%cR`8v>2RFNxr89s{2YPDVwv`ajPwm zwR?iD4yDb8bBF$GRR&99jOD{d;*4jx5@3xL-crvSJU?0*7U`bkOlS+)@B;}t-+V4| zgGA9hdDg;FZZJlhVfTg$9uiI^Xc|IS_^U2GP8ZB>jIpj|H<2Rqv%%>DDvQiDb%Go4 zNXNN_TV#ngd&~+)KH8)QQdzttOGx)i@+axC48Vk63fBug@QfoXgi>e$YcO{lj$)*q z)Ow#oG6XG%%V#3D1yo2TuIb;)1=UP_kZ|I?B}KBjw({YU9SoKmvpt&Y4;}GxILP`- z%6Qdm^>n?%e@zI8z;qA6(%S)9-x+d%6)(5O!Rfbvgei;`C_NdIFkaBnS$8sS-yiAq}2eiZ|;mZ@=PGBY4R+Ta8`JxsYkRa&Z6A@8P3@E z%a}53?|QhL=yVRrNWnY=b$Rh;X_`$gEu~mS3oEwg$06jwjOA3S5A)H?9`6A?_CAlO zVUNG%9`5T%h0Up$M2cGY$WIjhau@D$O}2l9#cz!Gbn#_pkfT z{_H3bA;*qUEIFm5*4F7E(t7nQ&xD%g32r5fmJQY185ucP? zKi-g|ska$JMkixVE zLL!8E>%93GPr%gCP+u~PIimh3;X`^e>+Fu!f{!+r?Vv!caxw@-NS6A5q_@ZYC$7Q$ z*bnD%<<&q%;_lzA0ZQ%duEMyilDQHNn5~^~)&%Nd?bLOt2*_f@bG_st_6Ae4o}FrD zv)5GYTQI+N+Pag!F0${2q^X?S$ZF#x2J|M29Cm&}6Pb@J^e4E(Ca^8cl)pjmJlmhV zzQJ3=TYle;6fMSdYLZz(55gr85ug$WK9d)r=kp{sG6VI@Di66)*RuLgOkD2+rYmM; zr7~2^w+psOcZv`9=GBe5q-4x~kapzTZx>SuPFLrEL&mliFk}0TWLsSR3s9-6-j>`g z{YdsY!?1+Of_j7wcvj$+mP!*5^i`f1IQBzV6FYO`h4X{Pg)>owl~BRamMDHIB4+QL zXv$_WsJI(Yrczogc(|YO_8SxI#*MNdoS>pnya`?euML=Avzw$zsA6E=5RJuZp}qm< z^H?u!>_Iia;xb*kc@zZZyu?}>@nT1F(zNdkA7`v1OcmBxiHA{%I2!FeX2owTc4`&+ zS*DN1g)G_x%02T#Z#3zPF(dS2Z6OT6QZ?H2Z~%4-OAWwp*wt>YGCp{Hc!8y7p}Iu& zAMyMX;VX4Nu2(|+IxzVI+-P4&P&{E@@F;O zG9_*|82?qEq|d(ruA1o|7?PxRyeh5R$2P+|6;Wnkeqv|6x+O-F#a*hYXT&&mqfdc3 zDv`mImE_w4meA-qk{;7@VI>xfq2{Y)ew@#|q)c&l*iS8WxH;@{l7ED=BB?}RH_6$x z%Pn(M;zSkD*&u4ACK{FO-3#gnx=xT>o}h#H|dj zRZGo5e^EU5gmksu_Sthw4&^3M9IBA`P{E`%rA0>qY)Dd1{icJ z+D=M>?~u6%^1F6w8VqU+X%!(x8Msz%Bz;Kz&HWGJ8xs#AEPzP9uF~ zPHK5EgPe1hkf|3JqRY}LW!kz`^@2B!{;$0i3IFh2J9AD-XZFAfXoR=N(|-MI&_Gr^ zFj8+gTQU)cWLLH@MAXwC7-8DSV1auVR^>Ev z>YNk{`*FRXw%M(}V%uA6wZGIkl>5XgJMQ#B;Vf?$cjc*twz{};b|=H2Xpq_lv>`I; zydzjm&FR3sY3FB>oIuUS@d9(AQYDi_CH3Skc8PgT?;Ooc2|Po(1T!iL-@}o=R1{MY z`k;OL#3+9a`ilb5)pP+aKpBRavvVPS3$Zoy2gx1<@X+O$Pe>12m{v=M{x@!GW`B2L zVraWS)Axlz3?~F|fIwcrOw|MZ5zIiLehU+bad^H(aKBPb+8jjm;Ng0)72s>?%;rI^ zDAO*GOsN#o2n8tS%oAZq2$fbsSdjZ5ZmfKJuMjyz)R%TIo6E02Or|c8Qh+bF2g{&y z)5W8cGqILib-)4DJr9D@iiim*dl!C?p@8~_rCRn`_BE$oQYno7NH&4A5^k@;o^W03 zXOGO+U84#SQ+C@{* z@u{{tRA@3)`#o{zKIFG*wcTf+IdNZ!yB>(jCqTNw=Q|Ry zWd}E_NpfLMxkmqMQqw<_x9dKmh0O&^obp{)k(y`b?szc)29dgO&bq^ZjaTVhu|(}O zVu`SHa4G4L8*1x=TXVagLPgtPSHjEgyv(1c5q=--RC0^zW(k1k@yX(5iWF}fX&2(U zYBkg)X@Rhx|0IIK^|l7bklvkBAXm@Hi^k3)^h!O}soF(KCHfuF&UZ z@^Lfxk}&zG&XUX65>wX@#DOSha~sbstS4i|+15tc-|mPcMcm6QrYy!0EP$k93j{!V zdM3=jgp*Wk4(yyk_T>=hAbD2ei6oJTXOInUC#~s4A@o~BaQlLJ5*N{`UOB2FT~bY2 zP2euXBAHLB{_Ve0oXIQuzzjdz3`NR%keaw9-@uJwAesS0bYV~l7AwPFh8KLEM?%jn zet-JI1UW<7dbDXu<(k@@ZHGVmgV^3I+hW2e6Qu&a5XC-9AsgRjYoZhGT)<`-F*sC7 zAD<&}w>&(+@Xmv|v$(2c8iq{-9Kg0z1R7Xty7VBp*GDyJ2)&~Gu6@MVQ)M|h{(a@Y z)S^)Ta{Z&b7fSwtxiJ+wyn56kr?L+iRw55n1Vk8*)WozWy z3n7%k@)xSPZphQ0{x!4B+CQ;x3cG&?YLIup$Ck^D9ArY$KEW>y7a3sZl`?nY9BHc4 z*$)X$s4=SI{H&P1PG{0B`DC{sV7X6z`{1#53v-O(gQe)>YJ)x#NvN7M5wl&c%2X48 zJa-jMh1J7wdVP?HZKpA>?empB?%rpdZ$pUVUwTI{Y=@ySiuZx=ktbQFX;R?Ao? z>Ja(K2RjVPq%IW9v>W@fUv+%#o)jPIiBvA%SSU%?!xEk3Mjw`7cHvbbtuln~07|Vu z>%C7y+DlIUPG`Bk!{ixA7}H+Ph&oeWNrWz|0^f?p`arZ z&!3aBcEWNSw9p!^!6u`qe$-dL?<`jb#}3mBvIjU-^(^0vKHo1Y_DUkM)T^bC$r1T8 zKyzFe0AC65kIAm8oK#Y|0=M6=zh^AS)#pylo>|A~A~oVde>{M1N2G`=10idlE14}7 znsd5SEqLCF*1*Wm;_JnB(>AT(45cRoROq5&NKimAfYH*CYoc>78bqPH92S>K42@eV zVMj9IxZPJQwXw2L`&lM$oPRf-Fkv#*@bLJv?(|*oqokiN9WFGxk_Tx0g~?>i-OjSf z4uL{suaZW19=g5<0nUpk6CjP-ETL&ZpgbGU zF>2;5$iUbD$%nqBjW7Rk#LH`tq|osrh|M$nVX#xnVu?kA9W-Nu-E>HNKos0Dj8h!= zD>0$5~qL$Coc&x@(X4wT9u?^ z)Ec=!86EehKM~PqhMTG9Dp)V_LJHUwVi9d9quDC=5vtNw3V|Aue5XS_o-Zp=+g8}e zEiZd%1ry$9cJyP~qVf5BPrYkE>|9M-bge7DW?oScJz=h&AyzKYl0iJWQ-KICqC=sk zV+TPPrn`SH=51~SK2mx}{tLJo#HtOH8z?PuYI4)K8@)3jBw<*H%n1(VM_q~=wc0!S z{LE9#`2f|vHUjpK8&s0}dRI+}AB+O+dO;lW@>GRB;=Q!yn*lXM%W zifw0^S2nx{5xSX>Y3Mb=9WA$oi%zB?9jYLGTB(X~52k3x)lc&VE`4h=;dft%iz!P9 zyDS;dnsM5tbD7Kv`Sky=JOUXQOC8-W3rr}Sy@T(nlz<=oSOtSf#wY#l&!NTO*ZMft z@GcJkRRL(7d4uKhlgMQQdP9%GVh30qN9K(~Z_f6V4$4vixj_O&JgqhcJDHo(Ur+1}e}RZ}`+I=)CpBc-3(_SG z5v2osvoX;oA4+B2a;sWkvaZVG~hY#10@?t!c-ZwBmp2CL~%Oh}b-wvV0p zcP>H-F_y4A@*CX!;1PqGJgSQ~XXP3A%OpfxxZ4G|;bJ!+aU_TBzz;krDuJuAS1gP_ z9^R0%{_LjvDNR+Ka=crp|F5X8kUjKdtmY<*#P!j>b%t=w4s8-|}KS@S=PPQ1OsYNBUWO33GLxaY&{>aB=A@A+4^3)e_ z_7rcFy#3Z=cVDsq84#_31qU>$R2W12i^yYrl!7gd8wpW@JZ(J^Obm6Bh9*85cxx{) zQazhf%TgVH=vPp+_0#(X;Uh6I@289SfK%u>QhE65%_9Q&$SpMMyD;-R6l(Q?VZwMq z+uQ;_{@Kv024HHC5j=!yieuvomitp@WTFR~Dg^Zi+%k2jDu-_jH<4;@KZaD4_atSj)Jgtv zk;|7Pp$+bYE@SB(4vag(HRfH?VN3P&f(dhx#M&xW(EX(*%(94^)yNnYPPdy|76{w( zX(u@~&|JQo%^e$USxzh^EFfVlT9E^B zMXni1F~-`5}bcq3Dm4*5tIe6NH<4_d#{O34NFZ?FZt?ifa}ZTj?_bpy?CEg&ye3_LR9 z)mfpL=fFl@;0B5*0Z81S`~I?)m zAge?41fUa%n4rfkv6UG@X%Ae5W>M;K@br4W)~xxfpQ zzQU;*dGZD!~8`=I`J{wMGTRmxGR@}{l15?yJTOb2BHi^7u@!D>X}L8b;F!o z=UQHYU?CoZ{sYnBp6HNdAe1rFO5@kA9G)PGYU?}DwF@aTC8dQgn2iJj$V}XeC&m8s zY?R0sIVE(QXX*~}YcElYLVb2uQE9La!)5c#3`^s|?l6@y`-*K^VsA{yWJR1n!Oz|v zw($8@UI67j8$nZv<5q%T zfpk#8_tXS`*^sn95ZK)nV?3wNz&j>OO###xZ`j~a2g=Gi9-US{W5DpcXmbUEA&hk& zU+InH4{eHy02w!Wm#Ka0_5n-y&Wgj8vj7&4Vwyxa;usLTt(4WE4#9;o`aB4@UQVq# z(VgL8WRTD|CGqMA|78D61#JuXm5o@KbJRA47zDiNYZ} zGT6ecEc{=@rq9e(^p}t?dk)Y~qQ$PI5#5Q16fzKr7b~; z5vSM0JJ8l@5}jFI8xv!Z=ZWWFF0ENO;&>*b(sLDSaE z<7rzQYDgE&O8|M>%hK3qyMH_E{aBMQFReNym0|i3(fhoOr1$I&^PN#%@{E5n!DnqK zLKe(GBB7p2tpw}m=qF)Pzu@BHB!r?VXKJrh4)$)KSI)Us4)w0i4*VVy$;%#xH*-HO zm>O24kQ=qxPd{$mNb_Pb!_?L9M?Jev3-r*M#vPGhEAbSMy${JobMV`mV@1heM)8!~ z&JSs3Q{i5To<@17{2)qA*r8bhHQXYPeZuIqf!!rjMOTkjA+38xO4ba!26*AxLB#yI zei~mN6nTC^kzOaz0H3icCefj9Ilz995YXlLE58{m)Gm+=r9^mINJ&|d(~)e})(IPU z^`y;e%;9HJFk!PD6ZeZjvB1r9?Fgs#lVR>$4pT-v`=uO0Jb*dC9Nu9*9N}(RF~WWG zHvUvr?A{Eq%GnZ)82oR{zPnRG#dWJUns83&EVk{^*I~R@GpWsj>H>9(SFCxSO1_I7 zS*8+)QBT9FRHP)4igRB{g2{9Dhi7CcyegKd=U$6waRc@?k$qsWwvmU#=JSklL z!O4E(X?QZ`U6|y&Sa@PS?=|}1C<{gHIy`_w4Y{BxqnI&#*-7g`^7mpnaoQ>GFMgM0 zoaMBT;S16vgxkuk6N{NOj&6{s89S4jw|hO2W~`q zQ$^gUGdIfkBGuXSPddR`R*hc~Wv-UblkLmv8Q;@4zA&hl`k@bVWB;A|@iQ~V5`<+g z$Cvy+I;La|gRq}c=*P{vgn}gU^Vvv=g0wOg1pAa2Xsb9=LGjuwxrjYW#3R6v2an+k)#d4zqPSPobg&F9TtYotufbPmYf$5@M){ zWI&#w9%5el=M?P^Bs|DFVcU!7CH8);;9u<^`^g=r=c(wG&LZ0>&Y$aFr{zy*aY=)z zXD@nW8c5trfjqPbXb94}8Q%Ix^H%?;41s)A&)$E1D_3z&wwXXn^r+w@C*YevRm#WF zhDM=XRq7>F>g9K1W9RXkEPt+oxq~~Tgl+e?QRci0u|@I|i0;N^iI98DCSrCynmm<%SZTzE z;0q7i3*|shthMd%=9lNM)X|>)2mkp%JOAe8)wghdLnjKUnoN1JusBJw{axoR4FAB2s=MAI5inf)o=IUa8O%lbgw&4WRJQRG^#ObDG=#n- z92&@qP_pm+Llg4XKAY)5bIKAaLxc&T-x9GeuPOi`#7Q0ug;-c6i;4btNl;u~-o9=V zr5yFP<9OzZBd1_H$(vBZ?a99kuR&;2(#_%&d_rr_yT|mL!gPy5SRbj&$~xA(&+0EI zu`?cHEkBg2W?4~p_3L9{^RC7nn5ER0&VkNnM6ck{gJ-o#lvF7rX!Aqn>gw1;UtsJQ zP2cY2h*8^q*?sB9l+EC`^7(cf=vW~=LAfZs4V2&ka^}^HxVDG>>T15{EN`sa5A*CD zyPjWmHHb#ijd&z~f9zdExV&H5}IT}=8 z&4;G#0<0#}8SsP<{nFc0kfhN9j&L77D6_YBjg4yP7iJCfyTDZaO&35?5W@L5Wz4pp1gXFOY!EN zR0DO^B1aR34L`|1DnXe>H<`wi#w)wBUjWqJQwO3LUj=9&Rb1CU#MD+4tQnB z-12SjMGr)5UIXn^@t3#95aK=RTDmi>k}7Qqrhy8USMCUtZy6T6wT1~(U`clrt>&$v zk=TZg_n4@P0@TggZ&#=Cn^&4F%t&pd=eAjNWC=kf%(Mum+ui-Z2H6*eT8(*TC}iHV%uk4;}YqNmwN^Qdr_51CXdV!YNW| z7E{NeMvq?*$`P<#c%qBw>S-jufrtF2&o=C0)%gj9?LO?O4Cio0;~WVH44c0mk_vuH zPj}Lcg@D=*$j^7ErNPcJDwrXHVUL$*?i9_zJ$)oOSKF4V2+qV@nf`Udc?f2hmnH<; ztIpGq-&d5`dF3xv*$MRQ>?J!p)UFIKgmN6mnh7{G$~M7S#)Ry36vN$KeQda=xm4C5 z-a0~7^^f#^;WbRzc1>~PvVUH|X+!X(_M1d#81Yjxs|Td72*&c>Bj_BOZd*dP71T<2 z4ZL#(oS5IaaKx!ZR?7Ivl6}oU8?O8rKL_8SJXdI09Uu`@KGbWubw*)w%ZwN3>VN`q z<*gtyyj*`W1xZ~3WZ1C^e?bj%*(wG1ivAG`5E~8$@A^z2&oKfKd)1o^=8Kc4SPjAn zkPdsC&P-RTk5;Y^ANw~Uh>2<1KC6}>Ld3$(bEho!h*K~95WJrJz_1qA*VA#BW#aqJ z0(9N<7CdW3;Oaax(w;JS2ntKM$!uUEWY4&|a+m{(S`Poi$y`E>;C8^?i*)SS~W< zoc>AEE8KnwZVvbfua6 zux&_CVn`;vE_`j?VXOS0RGRF>cN7wer-1h(<%~n^RDJ7uU^{4}*|MDX@DmVrVN^(7 zMSnwo=~h5HvB_m9I(-bd-qBS`Wp=YmxZJqFe=~Bs3P{w1J)p&oY!c~ZyWI%ZJ8UwQ z6R&D7GKE>KXp~Z?k{Pw0P-=n=unPmyc{S;`b;AU-Cr+XfkET)GUU58F!wHrk64TgZ z4Km!^pBn4vk?hIK!8O&=um9>xi%EmX4_6n&HwOaH8-zk*_4IxOsTn-Anyu3c$reEO z6yxZyI&MYb{lXh>1)Kr!#ZFGBmxb#B2@twz?{}n!bGJbnDz1%HfkR%yxdWyR6?$oE zUZ|fN-l6peR`?>D@(7qUpp{j{5nNYI_bSmSI8&ILd@D5V2leZFvz*uIf zyeOz;PUaR7S4y4UE@-Y!dhis0>f@+9W44UJuf9!~Jtme=mSV-t`5uklqMI4E)<~xAzBGBl*Yt?X(-8 z?&~Rfh3I*7x+mM6=x=(A>KAKtJ=Znr!1vPo7n7&jG%;JSV-p5xh3IZa_>LMz_)-4) zbdIm~>>@fdAhETWO2GoJIL}&ZjM&=}3u`sC!uajP{Pd|Fk~#{vJ+;m-p(d6%xvlXZ zHlT1ddP{y?d-N165cqnc+B<7MjPR7-q<>f->AawA9W+%Q0G_kyEVn?+dC|D64&xzW zjV*WQifX$N4;UmFf^YD!#1%D+#PW4v+s~>ET<-@9IpZbKadp^QRR=1W!|N@PwRU`fmYs}>qh>lH*h!cv>zDzY%AyV8t(xezPzx``A+(L#M=Fr@Ol=E zn3DP(Gj@@GGTbm3@36a9GJf%OQS2pT6D6xI>-01H#X_E8IZ3_+pI~LGSN%ZQ}=o z*y{luL!kL^1Mj#c&WK|`VM6p^qj26eshvW+k`_LOfzP+7e55j&G?3yxm7t@bIMrmy z&m_-!&f=Nt2OJAeGQdKpY*{lHIi76qM=6?m3xbt_t!V0YzdZE?DTZi}CsmPw-wwl+ zg%dFYMlXn;4rJvnput>SkXY3y?JCiT#UA@>VKm^=;59H#$rA!?3xORC!#@rpgh5w% z!qwW8cVbdS$|mBb+Rfc6kGWAYJ`A-1@iM(M`d)g_b|w<+fzDDofhC$+>30ZL=RXR4 zsySB@f{1jQj(kWlq&bs4t9;zqw^y-1xMcqiU8jIcP>a*#WO<&CQO0+tM^(rQCXp=; z+#p_p;n)~3Gss6snL{(OQ#$>XgsXwkERX2^y^Q$4EjRG`=^&=Nbc0J4zNHEi4V%h2 zxZP;TR25Z-$DatkP{Qha$#NO6HDox9Vl44yB@JlQ{0uUr#J$kuNMSQ+p=#I8gP^F{ zB{b>9HMLUe2I+?`_LuHxCG4w%lG(Qt2v1A&SoQq3{Fjvr2#tn`OdBbZd0pcu)j{09 z>)YhYoSv}?ILzz>KKsYo_lO_ZUJ*>9v!eQ-<({B)s-kNs*2J^8b(Plom9ap;DZJg82u>DH9T za?}?3O723v6Hxl%ZdC}4>E+ja(VAztNqfPmnLAhNcaP-=OUGoYsDweG-ClYaukP%m zaYnI1N@`pKKos02qxSsoLRU}7eho67e4fG-`PMI$H_w=hHT6y!Wlv8tp z)YR(-Gzi9dyG);&F+h67@1>#is0gQVQ(y?_D6*2bw>+RNyaCpZc$RG_vTi%KcWy=B zVbun*4ag2l4-cX#B;`a zgveM;K>>f#0mG|o)yMeR@^9x?c1k_K$1fP4km$%mzl~f^SpXMSVX)RDoAEPEG0i3( zFO;f!EaSR_O6P(MERr~OCPQ%4O( zlvEJ>ShkVo(eGi-n9ywsu2dhZ?ZZ z>h8^sR2x~kC4xja%R8qqjcpi`4RKwsmKIj@F|N}{JSjyCDE&Jn$ZdAhRf(a3$b9n` z=-J;Xnhqv@6r40BbAr8|m{Hq~RqY-Jh}xeV7J5}rmA|f%%#Ru3KZ?MLprnnn>re7V%1ujp zBoJsjLk-@Ye{lksJ|&kd1|4jgBo?{u!l;FK<4(G-68X}d_+zNn7gZ+_Srv^ntwhV%(dirDv-+>fYL)Ao<8%4*mu%+DSV&<+2V?E;laR|P0Pgta6a?$9w zPc(hPh8Y+=3ej}W`b>D}c$oLv_GiBWq4Y=|t=UNrDv(9q)w&>0>>HFkzZ+4ysd&}HwAT-Yx<4rBBhkX`e>9DcT`=ia;OwYG&WWTCm>!kygqe01 zq@@~mNbn{MrPU9b>#Ms7hesQ^YOfbRZ!pcox{)?0Y%I2GDNL@P@}eOXWLi7 zh$eATh2$ME0{`*Z)a|@HA0=;$%C`GX3H;uho8Zr*cd;@;GVBc0{)%;*h47Z8QO3M& zImsXOaiuy*K=TB=iXqJ^k|H%ih*9SLCh=|sP8hZ;f9411t(7gNwXH(dQZ<+Z;7cB- zfSCHA;F#9OcN!_@Yh9~rXeB!C_`uFG4Isu!jyVfw?o-TZ%?COi5%LNYLRO!E-IlOp z0%KcD%={cZ%Wp7`wo>-R03mpQIvlb^PkVTN$qTqkPahFP7zTD-{^O?{gtjoTOxOXX zyOTG~7@5~vYp2)s_MilYI%W5aJvXF^v08G0wmTc5t!3y2vri5L8)v{hz8CSk5Xjd| z{wJCEt8Hd+ew9TIFw`Hc(we(Cxa0Gz@;nTN1X2{BB@u`8JpP`~=ipD>r!)xweAC*D1Cpf@RJjvA?ZZlf)cN6lz$FV&h ztJ`?xY__+-g1=(z`nc&`7tCLFQWc2>Ol^2pQbwq_cAG8E6J;VfN|ii~l0a7!?e_Ll z=&Bk|XNHfiZJisDk`|pzA_{K~gbT%q!@~aT}#rB?IU8cC59%v_-H4XtDSJILe@hjLAY|~#Q zy^6$3e;lF~;av!Q4-GD4^>lQ25P~cFfK{Ja@%r$F`>=fS=~r&%lujtBeTlBUt60FeM2O+hhzV$eiro(7Wm0HEUx7#<(5@-)YrffvLkrs2^PJ%5(*%Gv zgw@0n{)#IWQ28t5oIIMSEnt@KfE^75wOfyzN$B4$3a8mEWH$8B?8XS>^aeEb>`KMCq|K%xh_Oz_TTNd6 zNvn!MXf6v5mg%7QjwTsr9Fk{z9aDQDE4ZOlkxmUrrd%}*^1{a45 z%3`SORo!c4?aw`v1R2+f)tvN)i(!@9_j$eqWdNRNO#4Z31^)YE`co4V#lwiOJg8Ij zIML0~oK~IHQ#g@m<(f%1RRmvDO~&pm^u{$;h&Kw}+Fs%VcPXpBJ6LR7%A}gXyd?z! z36p3sShK|YqgOVmc^Zj$Yp747B1Hic%mP$@kG~1KLb~@K=+GIbkeRThP+oX&dhy`U z1X#IQqXVA`Q!PT+6S}8K8;_Ux_nF_yNu*0E@EqL!`l^CGE`iMbgar*$&hl!V#AtFN zbh{0X2os_#rcXNldW#mCitQu>xK{W6yKdaj;6WG++RWfm zY!mVuucXLFTak+hK=6I$wUkB)zaCHo!Az9=e;;UiJ-DHhl(&EJM4S#-Fpe-X{9`&$Cf`xU7;of zO|(2=%gwj-+)7P{EQv_ueq=vu8r&ECqgM~RDchdYIz&$tmaOhpgWx0=+c;OPbT%{9 z!b`aDv-8?sv&=o{lL*5Hg*{S9)66O;r&`e3=|LdY_6@*mb_!bieQ!2<-lbBRq84Vl zOV4fO>BTyf=px%_$HtmzT!|R_5nh*3K8M9F2!fJh6AbEHBf^X^hjd61^!=v!e(kmO0j{z4U!aa43<3x~el35#-uYX9Yu*Z& zR4&QB=C)D|EmQHh2eAYb5~u?`v;z$88=R6yP_d=*Y{!iWG~#6(XVEHlWfW=Z(;}E) zkxNc)un3EKkk}@>`9ui_XK@tD%yrU)e)WClMNC9qk0z3sCEh5J~qe90?Qn=9n z?3N;y0{tIzi~j=(pu@w&hG?Ltz!eDSF%)>j3%|Qy&vA~p4g8Ne{r>^?|LywF$g4jx zBy^A_oEifOF+A*hY@+{~{~r)c^gkx_$lOj1O5BGip@W6M`R}nO!T)34Oz=NoKIFel zLJmQxkg(vsi7Wk&s<>DGf6UYV5AgmUlLr3X;Q`Ta;@{#f|DhUAjri##jBb*h^+zthnO6z(Shldhd8qdD63k$LpPvVXulbe<|Lg;n|=n4%aKzTxcY| zH2TEqVt=MA!3zV)&Sk{8our=XfYkbXMrPQ(ZxB-5eTPc>eFvp^kkbNI)aa{#RCyvAmhA9t8>NYvlooBG5`~G`q)oe!qLdmG%a0RvejjhldiO#@ zvyq7LoCfAb{#FWUYbdq-611CuVYJ0+gvPyf)f#T@d)mmV^wM6^*_Tmg1zhWYwI%39 z0r)3h5BKeHpDUw-zSrtZU2bRj*?%3@b_a%LiJ9+nE= z!Qco4S{xo53fGINDFIW2i2~iL5z6aBuQ%C(A>j|WDFW8w_Vzs&g`J&#E^jJPs07On z{T0fcWEBWLBBrY))Gwj_BEYlduVR`Q9oi33Fg4i3MCPmloX}2){;9$PEi#xKeqk&} z9^Awo ztBatLK_^UTl$!kW0>4({`Yq!gt@m14YIBz5FE0Rv%GE2-oZ(-#$fV+^-Z)Jt)S%$sHEQC1fUR*{1S@o1p4RuY)IZ>9+7m^w|z$VA6d`Yw1cD~-nL zQw#*>qOMu+_=7;0pWe>UXy3Vy-VPZU>dRqcMDrgj?*04HhS26exwQrzR>+Pq|5;&A6Fx-_g{*wtxNO?R_E+fg0qW%FGGNaiXQoEa)OEv64wjA| z|NEu`Kb}gTiv(Z6kk=1ZFd>zhpU>~~zH}*F!7xmRbcva-*zYv)HSlIV)Bh&N9YBcq zrZUb+)-UUljXL|$4729fJVP)$g#r2!983#7pLn;Rm%*j#bYR(TCTsLC)7PZS(Ji zvorgTnd-n4(E%|7h{;y?*z*8A{Eq+vV21?C?ATrr|324JiSVdDdLsTpz6bN)HXlWN zDEk!4XXXtdZ4(T;pdqmi3p=%dG?S>|)vqZ`rR5mO&(xD3iDDM|>1|%y0ojn?n~1-j zKY=94vYS7R za6rM{0@0EzNFCQ0K`9_Z`K3+koITtayti>Z_pu0n;CD4LhT00xQ7>9hHnKS>qaZ1+ z5?j>B`jZwJG4EuS-Hu?eI0ow7=U^~vYz(E{Ze3S&HVRH}B;<~w1B+j9iJtCo->WpA zQLOMKO{l2ps2`%L+C~B%fVU6KhgZ5uSFI+Tg_#>O5w!DX=dqiJp+)0N(E{O3VEt}l zUy5%Zz-A^`7z@K-{4G3yNX_>t_zb@KHA{vh8&Wc) z(%BoXHSi$7CsE&V^BlKCha?+bn!QOvv<7g&$Ho3L&w|l$s0qf#%P35zNSNxX|bb1r+lK; z`|`2$97%DlBTzs`5x|t6i4M;gf?~}ai97XYHfof+t1iKQ%-wl~{OBl@2qaNbq?)qA z?hu(fDHX=8aFrz;w*m|p0i(*U4E#n4k1=2T{ky8f#JB-9;!0jm5uO7y?VM=!vxImg z<{&i-WRjs#`L$+>Hc2WZ6o#eERlgm59m3HSH6P~5&w~bMt@XR190baYfeA@4v~pRr z1lz9#^|*R865d`J;k{>C(8%**v;=Pbq`=|RBnWbabaE1>E^^SwB??%n97bv3Jy+@f z?f3sK?6GVsOf2OYHVM!Y^Ryh_`$KWP7}4A1W-Kn z1X;Vm)CX>?%g2JTr77R1(R)vVMXsCo*Cj0ID@%-;ubIzyxJMPWn=Ytg)einH@^c5^ z^8E(16aW2ho4rt~R3qJng=PxS6QnlM<}Gmz^Rk(4a_uwg>H-6hepFQM$`5k!?92&t zCvBuNb^RW`xfHL!-Vpb{;Fdpa;!n+%xjJf-w~ca3ExYe_hl!$cdYvA3z}tKBRD?Sn zUp3JU>wJ^`dx9_7_MS{!16uqNB@G?q*J4Lh&m-KD!hv_t^_P;aq z+KTa9FHSXyJkiVqOdlS>Hl>X4A{WsV`DU7CyCy3M#`hf;YTgHcBJ7rX5}f$T=7CAH zQ%d}ov5gy~#yn)f$CCRJuM`2fyTi0l46b`x>?A>A*T)dagp~b)Pf>t|47;`jh`VEd z-R^IF<mC^nj zuCla>c16C$PI|QAVZfhb_{s|ZdGiow{1mcLc4f1)x>wBWqF>>Qp@`LOC@ zp2XJ;>C?@*dlIW1^fs%c(!%Kk-$LwK6rKl2k`~RZq)xj8)Egx@MZj72AQl}@lLz-{~Jfl{DYfEIU(dywEx zAOsCJzxR7TTkHOD*Imz=lgT-gGkcPKo|){k&)#q?D{)s_di~qZgqllsZ=d)QDse4k z_iH)kah=4FUmdZ#XYSXpsZTB&CaI*t@9~Kq5_<+V&>?PDQ4NBRYQJWMH zom86T`_7kRgHW-uzCHIftY3#n5b0OdMLF?!aZo$M?hA6_UUEoTnDvkaw$xJQI9fXJ zmW@{t1OBjPBK>f9#uGtRKer}yT7B?cQc7t!;|m@Io7<~JO9j$aiihr88;8HD!p?Oo zZ9;fjRf&ul?s6qHX1Q#E53?5nm@dlm(U!Ov3B|XeUI~6#Y|rph?E{X%KgDLQcj8W7uYQ^}sz!7wGXiA|-$$5aBpR&a_FY@C&BM&R|KQGVqeVy3#e z&xvCGK-w)3@%b^-KF5lp+Jqe~ZIDk8@)=b=dMnBSt-) zefr;|kg`1F!{zg>_pw>pz|@xt8XVYRl|33f5Bm>QmX|IW?*Jgdm~se(SNy&_BVtlW zWq)#?@Tk&)G%~0oIjWp*(~J5-f_-Dg#um8Ll~VxJ?uhEu5DOzR@!y|dfq--N3``~| zi6hh4Msz%_E5kl&GNf~IriqnNMg6~Cir0sJ=p|UDwq6ZGRI?Q17HN2xf|WvwwW_p4 z>~M3d?Xx;HxSR8;cBRjIpI(y0+!SI`ZoSFB3+Xl_2{NTBw1omHqr%5xMiSPaZuhQ3 z$iL=hkkR(|Gma`z0dOZm6#1qng$i!@w+jjT_f-SDrl244+c3Lc2y@(hfjh)xPh-Dg zk7x8-pHC|Yk-1O%v(H+__}Dcg-tlZXBwqrjzQp5drfuO~fdHJ675Vx7Im?iwCmY@m ztXG_=w;B)(=|gRI$Y=fy%=i2|mc$r(+QN*!bQ;y$Z@ZW@#HlXKu%8A#XQl3Wz}CVU zUH(Q@BrL32LQFyfC5_IK9d#>**8f%T&?cXiR0qmWe&ZJe-7t2-X|5W5L8CGN_RHS>YD?Ab<{!NPOED;JFG{Gdm)G0AtDRON`Zl~*Is`3{NhLja?LvBe9g3# z@wZD*t|`Rl^@!5;gV$gE#=6ovo>LieFQMoMm_^MY(iQy5qw11wNW!Kk)RL=b;*Ljo z*G{BIXovSeVe$9Nclf>W!0K=I{@LfYsr+5m>v# z*|-*N^q~R>L4Fh@s7qeF9$gTq)xc_sIBYL5?p~zaw-;*X;b2$)f0~*WjKCX}uzF#Q zvRYuiuQZ5j+SI1aCD4swe7T-{ym-gcZ`0%)#Iz&d(VNZ3M|5eLlocMtrWJSoem>8< z4!rQ)nP4z8>yN z&h(8fhIe&vz?@c%c6C`2Nr}t)niZ2GgvncXNUo~>?F6SMVPM)}USe!&?@7(so*! z`FlNU3l&`s2gR{!LJj_a^Flb8iZ}t~E>b)wpww1jqDy~Wh|DxnC|R0^xi6OhYs&`4 z-Zl{;f~fVYq6D;oY$S%{Y8LuT&Z3SBo19 zW%Cwt|KQIV4!acOBlJ`=AcB?+WaqQ3P7)c@sR#!a+xOnsIcatTi75IV?DQIzt4)8- zYbUhM#y2SUtOEtdod*(p8rXRdlV4S*my!%vh%B>)~yHE*em%pVwX90r@x1nmw~YYPhm3^fT?Yn%4n;UV%?od`$kfe za6R4Kz1;4JH>IF9)}11=89iWeU4as3@w0HL&Xp`k`J@ocIk?9s6S3@{YVJEgX^C%T zTR3%o1A-~|a|`tMvxn4}A$$*gO}p~Oxqp~U@he;2<|9xsr70eC;$UBcyL2iO6urAn z(sAP`O1nCsKBn!UphKOqPIxx*nP!>DuQt)g7z1+vL75@Dy&5sFnQb}#V~KmW+gIzs zgnliKb~Arqv2NtdQ9~(g=B`nRM2!UF`d5W%`Ho#7IS+5H;Jn3Uz{_dh!q}2PtI_h( zbSw&|4Q$oAd=!B?Z$lVVfZFL+P&SR#tij!|1uiLqm5gqJZSoxa-_{5302xDFmrf9_ z52YSWF9L|?NxR=9mgJcVwSFNMQp>F+`lssVv2j$PiJhlLIh$156;oW4cE~HE><*3d zg19lxu>o2Z!wGN&F;jThU0DXks{S1Kcx-IRj2qtEA;@@h8An=%M&pYcX@VaM09VT2 z7a#e!7B2ZCwOxExhXMei&(q3Sce` zVaN+b>_oxh71+d90vSl+_<(Gs zark%-`cX7TT#9Nh1YwI2#I^>+uYBSn9(|xMq-)8N2+5Hk+MClU);9$|-ad-*9(-n8 z(rFjIs3`XaZ+z~#6dmICANW^y9~exA&qA+5?{gmnvH8^9{h@1>sI$s(bAkkmOSu1O z6wjTkHvBfoy!$)~nh^H6XDmqgpFZ;-Zs7B-v#1MZA1HB;6IxrTBrNC-~@N`24eSv`r5 z{PFD3#g41=us;2gq~cN#MjNaK2=)P;<@;R#B-a$q-q^n{l`yD1?qL<5P3-myIy$sa zfh&_RWrTxvSk)MxAK6&3A@lUEVw1Xca=tiT)BW_6{N6`^aORJkaXWUa34-jmTi0HLnDzG zBD0l`3}x}TC4YTXfgBmiOy#w<6S-q;G|3B;cY)HmJp@!R`_w$>WSz^e_Ff<6W*0_ii#=JsrA)G9rR z+=G?3rbW;Bi;-UgGeBUjUQn2d(w5F^e|08{l1qQ;^g&iWaV2Irb{H+2-g{aNy>bBG zkd4qq;sROPhqB@uXIwmx>GZ{om=?mlvW|kD#$!B9qiMmk78FPy6^tt`S?>6wCa_cW z@ASLm30CrpWP3Kw7X=9$&}-JElf;nU-1~uh*NR&+`-OiAuhOO^72d_;`92t!0_5Na zbHCvhMMrGujRRDVLddr{TXjDQ%LWV_ual?NRO{xwzdMkF1qHUY+})S2|3ttgDxAd% zwKMxKvIQ6lH0tFffPqA7;a_elDqOL`p4FowfjZI{wZ#z4Rr@Ka4<8hy4uW(T3NzX9 zmFyg{^Do|_%+@r|uTJQh^1$LogNuY0N|C-Li#3Vg zD!w-%;HrBWWW!S;4^SUvge#3Qs;Q1XHnOv}Ds@fszXi^`c?;APOP-)$knwnTDW-DQ zTOvaLVvgC%)=VW#NSn7zLh14y0@R%>>VX&5V^t}}{|3$y@A(3*Hkp?noLIbZ%^IsP zC`nH{E`|aA#KUUAV1ZZIeNgC#Wri@g9#RUJT#+Z)u&v%DdgvRs@O^@-5KXIch`0Z) zrTPJ7kHAC|GUZ`Bb*1iIxBTlCY@dCk$7=E8_T62d@C~6_uAjNM+4BABmXpJyiN>b2AILnO3N=#fEJDc31xP*htWBwsd6n&K4vgEKu}s>`f{-fCNp|c$5*aR^6oC+Gquq z59E@E=x|2j!UW4`rChpTCXv{Qoh*{NJrM$T9FaF4Zrc%xtWcbiSbni@1qlWC)LV(6gcio&AQj zf+gS*eUQX`+QLg=Kj4Wi1o` zOQuxxfwOqKv=yh>CCX`JESDdxPgTAhvkiN7DJ?|2c>PR%aRx&R*gGgB$6zU3`vo&i zOK1>-I*Yt{op9nw;<_-#>$xY&baXjy^|m_(&j6vU8?#|C?2Y|JD5C%lD+95>{sn~S>v(WaQ{ zZ_SzKBU4gr)TX_siOdk3lf~}&m4~{FvUXG5{Znc2X{`%fkaX9Qv&FwtMJ%c(hHt&6TID8w|R%<$MW}d}L zK6J&a`Sa)y26w)jenmcSNrF#Z??Ek)C+cDce|$2azVkNm(+Cdd`c|9aX3H%t%+M}C zebBpTuGoUCSgX)Nu@=XjWj@kA=@6{Hi)?da1+G0{25U(++#LSU+ZRc+9BGVjm#OX} zsVAWIFpGK4?Hr?U!Oi7uW)u?IKl|uKozcd#MS9RikZ|ioZTD3+ZwMtM+@0FnEQYU@ zN3KMo7J3l!uWGpIz>P?L9Moi?$kgp6WwE94)Axy%({G*iNHf|+hP19GsVdy}pkvlz?YVdyv7qqZpkFNlJC`6>GA#f`E<>vI9v@?HUQb7^%@_!I`MVDCo zA;~S;I+v=ylJtr;sJtVeDA@||{cepaX8jm6V-$AnPRQ}Aua=yMYBZx;B>*9`aSX>{b`5&HasgAy+4g$3qkyNd5n59TiQ-&xPU>!LR(q&&~c=5RXLu21%;&VOd#}`L1T#Is-K{vX-!7B50{Q! zp+HEWaeCfVLDY&WExT#{eG&M0JHu<0@-}X}2KP>f6U^j??(`MRY7>&P107m6-v!vR zJLAuS3b`c?`E_C)f{!6V=&R|bpAnKH{w9SEuzo6^_lCG8HQ{At->!r3EIt??EIS-8 z4Q!uneERkw8)IlZt``<)T4>FrWja1o+j;f<2XXZTSLVo6qcNFKEMQ*RC9T1t z=k^eaTaACC*s4)x5)w@e(}+>1LTMqr#8x3eg3l5A4Xa%IJyEeV66-lL=_LSd`+4UY<$aXH*rI+Ynq`fw;M|d*6vRS-03AM*OuQSi)e7} z;{3VfN^&o(w|WTtV3sk@Z{EnshD4_!&knm=SGaPwcM4tfGj_-Ot}h2#J^SMhaF-J0 z4s{A@sW$mi^66Ps(J3`U4Xc~1fA0MJnZTbZsElmM!%X4Nd~~oLvuT^5G@ss)!@|n!DCtogwZ?kP&Sa5aZ?tFh4Mw_OpB|!oTv;S=AW`1 z%7cJuiKo9WfrYAfbQaW_1V*ktBP$~*I~&`QuEGurRxYyesVtNN=88+^@An|N*ba8k(;Vxa z4TrhdH3i^pCrPCcsEnQv!T{eFN{NnN{P_@F(oyYRhPCc`38B z=K52LZCxpeg^FW~P!X3>;3ReGZC)yPKFHDI`5)C3-;boMyVNVW-{(%RK*hkI4^y## zn{v^Y(=_)3O!Il%P{E6aqY>rhwM@-0#a6qrGz3cwb^hhE8%=i|b7tBD|NLTUiI;xi zw{PVBReXfy!chfvB@i!d6X4!P(^FjH%f7!vT&Im6!PFxG@iy6Dn1vy43w_b2p)59P z(1JmyrW3rxwaz{dwh4;m&*LqTyqmV0ZUX{` z&lYUPX`}LfFnq+Dt={KiLp3zi^T|e9#yCpCHsC||_a-d`DZU4WIT1d>TYeAX5@met zquw0^vopoX__Bcq(4N~Jmkg#hME%xGKrL@{$LP67jDj9?8$DIHKYS=!`0oLFXq5+OjOqDlQ;p~E;2UxQNvLlj{@>q(YzR;T z)$V*FIF}%yyyno`Q#=wS1qMnAo2=t^yY;e=b$^wZ{*T}~#h}+$l}MVxccZsIXy-Fq z*I2f8Nzmee{FH0&AKl(SELdn$@bxzR?~w0ql2F2I`Qa52W2lD)jFdZEMB}71-?z{P z+|z6cF{9Qo z^u0k>#;rC!zfpj3(G#n~jFLVsjOUsfFdh4|2(w>KGL01|2Q8cyNqp*xw%3HnzF!h1 z&w`gfV=6=&(<*g)4mL;+MFFC<(!#1RSh!J zjI%(yhfanY^C`iU>1WI5hgD09SqC@1EWxWZ)E(scS9iiLdEb?~)XDYz(vg8N&ry_4 zkzW&227}zYn@S$scqvpgoClyPmNZ=kHfwk8_mJ!$$#>ZeZLGrl{+a`)T`EiFv&vo% z6}t{=4r|ra1NjAm^BSr3AMBtlJIja=rT*6Z{Kkw#Xbxb_BERuL5BCspdvq87=^nB; zWP60rq%>eYJwg!7R^5vX2gGn}i_4WXU**GSuAcoXA-QErrBXRG>b$odS(fPs7zccqjprh#& z!BqW-&wQ#5Ne5f_S)?4cYz0Lx4w>ip4F!Dn)Vqg0RwWoPGk3jFzCcEJ*rYgF!fG*> z%YLwrAJq}yqSUVc&B%NR%U$PlYw+~_gA#o4kIxltu%{wGS6B9zCuIC%9?8W>FVCaJ zCc5*(?&d!3q4>uWwITVh_&;nm(T}nX4?rm%`b_O_LkebC-<+Q8hkQ^eNKfnWiS#dc zAo+)ACSTj$sI1xVEYNQylqXOpszG8v1^9Q>4*tkWabW9u8|(aWop+-rY2ts<^+O1+ z50!Ms51sOmLu%i`KpXnwrF7QuRsZ9hJVyt`pNzGuHj}c;r=q-EMYAGKlao%tUZ-l2 zgfJ^XQCoAQ4|rJfx@v`F1;Ii>s+iso%zva1G|7Op#I2QpNQOHAA45KBy^PF5I8-zlwDh zJjzcZHrkJGdqy6gI{27pH^|YPo?C3rqst8vCbNVJIPSO+#aqL39l6s*GVI;yig`0%ZU3ZKtw?4b=iGx%3A2Hh@Z+^{WARhx>Uo@3i#X1#p2&wdC|IjNvn|E z)G2hwE`(xmxQzUFvyTTp|r2iYUGD*ybY%y)wZ4%I#!F9%IWZmM^4HsHa(s%*e!95F7~1$MZ|?7>S)yr&gMQC8 zSIq*ZucF&-f0`Z(Zc0(n0n%{HYFO}krF$)BTvKQV@wYHPYuqYI#tK#VFyZy0Ezv>H zR34;SznN|KtaTu}cC`P!4 z*KlR8^CqF{XpB)sy5I}h(xnPh2#ZX*2FaH3iBGFP<(UYgalGR1Y`cMiCbAE_3f*nf(^S3MJT5m^Ssc$|1=ICb7% zwVn9;ri3Pnw_S|pZ{O^Z<y|ONIgP_wV0oUfqR>u6Qplu@iFd8YNalo zJHjALW(h}1IhQ)oNnj7W@}ca zM_nFTb@=y)#ECcU>klW9+LULypaz_*XFvRKO}+K$M)XySmfmSnVuDZQj9hn%lPT|)2XFzc)*b^*Cv7q+23SQZSW6` zc@-%JYJ|T-j+GewNK$n8*9i2ARh*c^(wDuJ(1V_QlbXQxr>ANE^3>VBX0I-cObC0= zRN|#%V$C~})Qr{eDvnvSovNMP59KK)#ec3d~7q;um{{3|X6V8D`)wo!U z(U1XA3+cLnT1)p+jc|Vww%!JhZ**>}*lfD|{!CTg3)aOdx~<&|jl+j%r8E! zei04(NM=b6?wV-&!qFl8QFP(%`(GKlU*pGj%Lc&#oTxZZlUJF-v)~`mZ<7WQ*P$Mt zXzaE>_+b8|M?Up?x`SSrRK4p8rhdTzv4%JGcB;)pcu)LP_YEdOMXS3BVmKvBvK!>9 z@>>q+`DFO{d0yz>F+K4fpR*vTyp3IPg2v?lbViZAezbLXrDu(pZMTgL)MQ6I8gQ3tfBt?Znq%~o zKw}U9@6}Mw zY`LZZKCsrD%+H`9&)`*vnJd{pr`$U@X4!sQgmG)iv3glOFm?5C*Tb??rfWYsxF-{?*IG@8X*fMJ_DcF`ZORuXGeS+9xOVz_y?KP- zc-&F6o~qjnVEOFR*rD1$5t}8fA#rPHK_!({P`r&Im$kiU`&KPLe@yGLMAr&8y6WuN zDZ>$me(!`YSf5QrabpkMDmX3f4~!2?JMlCRgKNqDs8o?+gyeZ)Pi4RbM)W1S4OT zET#HBp`S>g4M|e_q7-0WK-xu+p^`ShJMkt5>d7+@KFR0aiRN)@^lB^TqynhGFHM=G z@2j}T7s4ue&xZ7$zdU`~I{s;N+*)UKmpRGiHz&)h;M;7;d&1ZRnnP6|gAhw=c+*wENe z{!32iKd?4bLQ~uhj_AuK8h<9uQbArpE;RAm@3~UwIP*e$x0~bh^)F{)EY}z0!7KZP zecp$7;-O!J--rdc%_$?tYf?Wp4c#%pYXmI0oioIU3?{Z)&@(CZpg)Sk)5S;Y#NQZ8 zYBr~RSwWdg5TXa;uSnWmlCNw^bXSJd#&uR51q*JRnuq5S(#2Dg(qSJYo$ek?Be+~S zD^r2fH)byadhQ~_y`G?9d{g(jNB8K?0dF0?%DuZa<+vnQ9284de4{&J`J;nK zUHgXgYCKK8B368GlM6CF(X7sAz9#&bEDd*RWZTfp+{~$n@TBs#RF@ARfYALptP4It zbDD(g)oLZIOmNFgq<};Uv(basW5>&K#GWBb3ZBwlr+N?SSZ#UW;+&k##r(q0dwc$% zG^6u7LF^fE4s^0u;Ke0quVnhFGQFh05i*$TA1mPxR#6U#^^f((7JCDUUEM0fV0H_R zz0g^2tP+!h5fjj9B!gN6O00PXWiP%9!-?U#vn6;iV!v3iz96iX!|o0q=&3-MZ~X5j z^4c+7ei(~}J57h3$94{tgp+fBiEoSRsr1 z4?=9>Y4?%vMCMbw-x8lkX2!8B`gLqrk&7?(4V5F(gYz&2QzX%2F2q{S(wgf#FEL78 zlM^c_B-8G)FgOhYf?y9}gzBlm3ax6A$79zQg5Lqie#cqR{;M^A4Nj)cUIX~A0(0eH zI>{v3^-!;YTo70b!Ujkal=O+!NG%Wk_HXQsIUr}c{u{@E50O`4{{u1_Z%?)j677Kw z{|h#jAzp~RZ!q+$X$TkEe6!MDQmAWs0K!^buH%muetgB_aRsZ~%m|hD!id?iTZ>68 z&D!cS&h@|mxuAv;2r=rW`V9OPi3Pm^R*(5fP#Ct`YafYfRmfl$hn@Ej<&D8P^W)rz z-PW4_P5iwol0@*+rP*HFbEDnFgF4_ums9cE?1Y)50jH_XMkFW+KraF#DQ$s16PUGfe7C z2`n~oOq$v$gJ%2iz4!CmhHG%5|9dk&vfUQ#C{ruSi3bH*i|#gv>?9QZ8Q^W^5w5LX z+1XHika~F2azQbc+I$W->Y8ol5g+mp4$fV<#&sIkVIK9VE)Gz3XLDB$2Gp(K+89V3+Qa^7|7%t(*yPX!Iu1!rm*DfS5~@N_aJZYd7p z*|5yX)u;9PrjZZ7w28V}3Xr`F=)IwU&s5M>{u_VLUtH1(VFnXUGIXzxaLolp zu*kmNH_W9MR6%ykka;hvgk@lHSV_x!ijfdU>@pneB*qFh1uj9Uyp7}@DoT*=iwlk_ z3Z^xqmzi)6&atsNO#?$HXEGIMqN%<8<$_kU#BJH;m&lrrO}|RF7N(1JvYPXzA@?+( zzt6vm-HtbNcQRr=#rOEQOA$#`0jTyjlcyHS_!WwGDQdm*PKv`Ugcn%~LdF=3{lt6{gu zk|@!+FrS)sqv0DN#0f^mUy(;3cA8sz8W#@J4D6!`N~p&f`G zZ_8R7S@n4Qwo|>uR6pCW#dBz>Q{x<@fA%S6I`F0oaM-!L2x1z($a*FO>PUGHO z+ok82x^?}$67${Sz&oS)LrJCV#`GsS0zur;t_(-_C1=AM6MZZo%@>IK4>VZhJ!o@| z-B9<1UWmq`@A?vBUdR4sxXOybo5Zpk5EiVsPa4NXB~s62UT>?zfVa9K_o+dHfh3t3J#z1GX&sGVe`z3zI_*xA|baHwG(7S{AK5!F$af`;s%9WS6uD zvxnRFqSFbTuE73J05{$5JOOGSOWJZ74p3e*w=r!ECH*BL5^8s!8I&NbEgq&>Hr|VI z9vH_RH;HYZ|O>llEt<;c+Hp_GbF^5ciO zaT@MK@*FW+Dc%gA=3b_K*K8#EcCqAk*h7CXPEAPb6;q~eAG7!VTH7%7RzV$31L`%t zbDiSb0pZE9Ce`pR?ep;Yks1fH+oD?Yc4&ViIs%E+ycP(>B=Uf%jS7&CP&gdS4})VUsn!u&j#c2@BC zj*Q6ylH)o`-}_|8^?3#jEwlp($=Vle%Ie87cRHrG#k=0u^_d&yAb2tnc~fB0fP%P$ z$(Dg_@#^=d9XwY@Q@aw!R+1*h@2-rVBs?pt7y5%Il!G;7#hw&BvjnEFd%!}T>B)YEGglUYjVgB7o z-wNI^v4B{UC^x+771`=J?(DZEHovnB!+$k;wwoBVM%g+~%!i3NHk==+pR7;b214wl zH=yaQW(D}{n%zNROfXk&OQs1-4zQqR*&S8qH&;fq8CI3bcI9{pa)(nNW-*$wRedYX z?pne?zCO6`>*Q9e3N&mS7WGQ>B9z8tFW=w%6Pw52+HsKtDO zRttza{9(GbCE`DcC|Ic&Z^WHPZ=uoL;<~lFoY*V8nH<@AUqe51!#7?yTj?-lXNrF& z!uf0CoEn}zOz*bdNw-a&h%SUzF)iW_9)f>yHDra6qek$2A_}UOD0tYo_m32dxN52r zjD^V)A18NJ*O7dmug?SZ13^>W?oS5LR{v6L@%{55s9IO?Z-i!l3Z~mvAXH+&60-ZE z5ck)GfUoP+(LJO>aKz_h?|AaJl*o2UD(|is|BjMQ_F~9p#ht=Tq40qTV^zAFv)qGS zCMo}g_s6luwV3QpDG41aw%l0srl`%oiRDf8(-c&l0{+jr5N)1y0q#+DumVQ0IHJ6| zP+!$c3@OcA-wX!FNYW57q58i--p^J=#puUqrQbxwM6MOE?j7@BdzHQMS&{dDZ-%m1 za<>|;4CcQs_8Od_Y@XAzo&IYP%&0*Q+MWH{Rkfcx5V1-9sk~U~eCzHUQfRCir0{PD zfGskLaKHT*)+w;(HM^UZtGcWdEy6pW2jSjQT=MTZ{hj|-Cv6dam}}I}PdtlpHCDg+ zX?59TQ2IWHe*o>DpY*r;mwAsyv_`a|S?C?wFn%CN#(n(`Emqc7U9mhw;V~Xb{=j!@ zmj~Jwt1*W7sJ|*+E&X5Ex@DOcZ0&R~KX!-S>E}?tK+%Syp{o+bpju4tP7F zuQ)@_!>vk}vQ7>QhoQOC;q92=Mwxz`5lgo#+ul8LuT>jR_XdX~2hfaHuPYDx4?Bp0 zG#<4-5iLv-m9PrDCXKH7>t~E2N1>CQ`|u@xRINMNX7)Y_c+m@7f!w4<$J~TIGfqK~ zRVdGuH_w$->-^h4y2=4R;#T>I+Ff(6=OF%s6z{JDi@G_Sb<5-ywyK+HnRNyLUEW=Q*3=3io&M16Y75& za{5)4ULAKdpRK&c{f_q(cZ5XS~5=SX)uO^-JJ*nSQk+GDph-}YCi@++mMlDCK6&!^_!-SB zO;m$ei+Er{UW?Sw9F~IUk&|US(0APU;Yp_8Lql#S)!4$q!b1Ao`Mp&%W7}^xay=^`Gvp(t1p+ib?`c%hTV)3ND26o+0y<-1g zP`TVN(*`dRKG34JvSUXYG)J4nPR5S35%Q%(sjrGjSg`pW~uD3!09#bhJL0@2RT*<}Cc6 zu&@I4#gK|WPIJaQ!S5aH2(|rub$g(1!U)XQV`w22iE?2d(X;$0Z?{Y6BrMX?Rah9a zS|q#obEeV0^p$=lptexfqJ1%mKapI-)2ElZi2u0{ByMEgxO%0#vF?Mg9SO6B95v-E zT69L#{M#N(GfX*~%?Q_>exRh^dd1pHp-kQ~j&w6d&GJ{T#Z^6(v0bK6&{yl`1tO6_2}(kRUGB7t8xiR)kX-zkZKm zdPk<%rfp15k;G&ghLI)KahS7-{93}WgZh)phF0wBJ|&l-iWaJM8h-XS<=1C>T*p{G zp(VXW+ER8;*Dn$R1~Dc2wuo4Cl=c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DOU3;+NC008hb0RR91 z005H(00000006Hy0RR91004La%)ND(6vq=cK0^*U+}(W#xLQ1cV8LAj1b27$TkJUG zaCf($0m5-WaCdhN79bE@4wzqks;_7F4)V?Od*6TFd7kUro$4;H>YnbNUfZ^9^9vV` zzBBmpl)zt3{)Bt~Pyc~kzA_o6{6}fZXBNo$jC+Qm?x@evzPjUk|BJL$cYI5QLACY0 z|Mh28#~06cLhV zEV-{fE6i!+&I5s}nhL+-$5-cHYfs6d^q`>c1dlKEmvToz6=p?6wN329=igFOX+Ysr z+`f?es^)X~98X#)Z2$P+S3LX5tM2$(P|2Q7Fg%pD%BH?NE4wH=syeBpzOSBrZKpmf z&Yud-4^k>l6c4^WpztXEllX9=JVf1B7!+5&@vH7DY^vrPp{00GdR7z_74=!&*LRTW z)Sb9OU42%T`fNvIsFFo#Mrlt`QF>FBQWjL2QQofjRCg3Ve+qA^mGUtaUr*$IGNJxh zJ}YcWUSG{CJgQXkzLomQLlmD%CrS!+UvaMV?<<9Gw5qnMmEv68QMA;3UktVly`?hz zxcpnRL`Ac6d&x*+%J8K_zUO% z%#0BO#W${Ge9y&9AL9S$2R?HBsr+%D z=bM7}0($40$%j&ccSi{A8pSp|;aT`YL;f>+K`xEF%gi~}5N_><)+3<8bKKhS4_izz zU&V0qO|K3!;#*6uViq5|goZpxEMTZQF(7WJW%3t0(2i{{rUZu-cqVJ@F=(?IJw^f) zT5I30Tj4sPwZ>QijTb1EWJyfR{(MP(k0x0uV*)c@Ou=I$`p)o}Jt{7vd>hZx%NK>L zzRY=YaQ+fTdI#$_Tik~x%v&dNFW0m`eP+Dxfn3wBF=44I!J7!3R{`>)T$qQyB&3FA zU(&?gLDmsi0#_{lU`}L<*$)?wrbU&uQRzg1)^{2V(sl||oVjEQt$Y$nN!wMl25Z(n zL7z~pvCQ3<`kBfkU86;)l8Fp6jgv(uG+0Rd<<$uvA~pmXn~kzeV&$(3Fs_kzFc+L9_aE)W^{ z8>st7=%!V;iWsDtxH3|PWZ-KQJH;dD$1R1E%NW)4C zZQAe=a6rlwMFl)u(`I#hByep_dw1Fu zt~6RO@yAzSZPbJM)NO=2vS63M)gu%m{D?-4P+FU(k+J^QtN1Im`5yyY@P z)_GdA9)n`aqg-^avQkTDjU05%j=lzkxBRG)bH=mng7ArNdW%FVhkUbRU?NCI>0bn`q=UVLD-7yCRTR z)5yDhVrv{^!R&7$&kva@pzuZRWTq3)NT{z`-tQmd%BqorXLXh7UYQbY&k)bzlq$B>6;Rw zzQ{43A;%Gg~9?`ytHbi6zZ=j)H^8 z48)g0t2@y0$v;Ty@dwAaF!f>^JoYpF+83nqLuZR3oYccnVw?1l*nL?iD#|FCP3M*a%kUd&Vh%*-fHy~7~8cT~F!>?TFzOFG zPJ5frqTiEP7Q43^pV#qY$Z+&Gle#shUK8sI=kJ*r8J~wRlU#u>EjNN_y=D!$+HMBU zzv+sNxSg2@t$qExfmUiMX2K3ZQrF=>p#BV3SB-o;*E6Fb2aH@Q2PVC zdD~ex%`E5^cX-Fjk|5%T3f3C3ww60Vn>-djHU-AG&J48y)7-~<%))-H>3+ICf}Cab ze9Xe&d|)~m0$8(lbmux(sAi2*xos@r!tC~Q3##2PO7akUL$St=9WJV$M~zdR%(Nb? zJ%sQY`O56aTw67Av{ToP)tzb6mLiem)sp$ES}AAZv89r`Ed=X|LL=|9a=R-` zBcBD@lTZu@X-hZUh5ikBleuNcoL^9s%6d@9e+OQ+syD{q4$C$2O2E4m#W&r-%ek*R zz!k+}Uarp+zbBq0G2wwVUUq|73BB%8QAQGQIAHx?C4_Gbd7u=`?>u$%W1yL z-JE7;_?AJ%sugeNq&EXqZxCN29{`d0*&xu#skfFLb}iJ%+jDgV%9%FvKw%Fkozfyr`MY#Uh9oJSit z&b0?w6c_h7hS7&f+l^~iqKDI56uM@Em0W{|0GxyW%xyD%rBmr|(xoeVtZ?QP0Amlb zZ5hm;Ji2{~D%6VOe$}hEwM0*-NS!w5L!X~5PgTxNmmc`SJ3Fw^4T0(6OuKwEVcJp& z-~ue^(##Bri;k)vG^^9znuGxs13Z%U9-(m!c=E#WEy;gwJ!uUiNZN~w$H4E=-)MJe z6u!U}qz4CRtSH8>c`HHmir;X;78V}kvOO*t^XqprH%2}>Zi3f9^k>OV*7BFPgJLD8h5>)gRC{W^)oa~ z8qP(*9HXgwhYP~m6=tmoSZfPU=!9Zj+LDyNs?yptzOfjreMCpV|Glh1?onFq9w566 zg89mQkC8V6^8Dyx8!|52SMIW0e<6^sVa)bG%)x27<0mfRD$Y3NN(jQKQMCW52(3t! zh8k@Rv{q@sN@HkI6Ves%>rKUWuMxq{GwtS}?FSrN(fYf907}{_SPY5~Tmag?1M&eV zH0=T<*12ZF2)Gh3UNa@?tpB?uy?$AUV%A<@E!DIuLT+{kPEQvW(Y@`LXh5M~tl@fY zZ#lS{jN-_nVN`z5QK3DMbtz+qtB`OVz#93mj}HW%o)}_P2ImO!VJFI6#c_V%6PUsrCRxy8_GoAq#`AA%L4h z=Q<2hCU0P|Tpnr7^HGK|2Ws&&8$lcAjuy_D7|+=<1LjCBsJPOQ%D9-xJyz{F)w}SK z`Ydj2Rev2ZFj>P)nD;>;_1$3Fck`aGc7P?72!;CW7A%J&SgT5NEDjPitU1M>%)?+} zSdJOAr^<3^tw|f>Si5!!P>7p$I;{y?Cg8=)ks#+;Alvwr!H&1|+VR;n6O)0sVgS!U z{BzN=Airpx~%m;#;Yb!&v2J-9pR;7J6 zy0<`q|6x}YcQ_458$Tb;=LfH|@@PNr3$P4zJI!51gVvs-ni)=5DcRR#4qGOvd!o+B zH`^)WKUrm(IVR8Lil3)pi2XNf*1Yl4cDlVmfx}O z1HJ_BJT5SdT+Es={Ad`J42o#Y)xQADsfFjpSuMtcTsx@4ciDt?w^$Lh8zEf&;Xg;I z-QHZYFgeBd45Kbg;$la(m&Sig539v=*js~h>~Iuq~Dq;lzrg~5zO(mlHAVD0&v`|Q>ff> z1>V3hDemw$!iz&N&`4A95#_Iw#9ia`KI;|gG?-e*VD@*+RF~G|i>I{ndd?@dluX&5-$Djew&G<~;Q;wRcY1oo|mNRL2p54rZ z*^E5z_ET8hSZ*xkG~p1GFeuHTZF5=70w&WPO+P6 z*8%bhorM;x!h}$3o;2mwc#5@KBgpglZ=fZYZqWK!hpaCed>wv13DW(z?i3Zc7soy5 zZ53;~j_>Rcxdd37UuPtJpHBtR70%!iz`PYF&@KrEH0{KrcUZ49@}UUeHweMuPr|56 z{n+lTKaH|t>KF?|luSnvC&yIEl*kmhwX+y6(RC+>J6u07l^*0$;OiW~Lc23K$26gt zt=cxqRX&``t=ecMW8~s3_aW0gAj{DAF#UW$E&OS78xtYZPsow3xm##b#w0=;h7g0+ z0NxP(X3q@ULL~zfSh+TXu0!q~sIzRt7TWJpU=Y)y8^$)&+1X?ZJ@ixH3GAEVsi`1X zT?nQJCbdpXmhrj7SP!OZ`Y|q}Li>qyGtDBWvk>IHF&nZRVX%EG`)L^kcC?0e>T14u z5{=)Zz|)wTc*|P$1T!ya>v7BM$>UuMbqLl5@>4)w<#rW{U)V)|2CuS~eT}{Ry-l?5 z#5>x5qN3G8chFl{LIcyr=24G_W{*%2T3^%d!f4C|P>UXj)+u?|)k`C<&*Is8kU?6{ z>P&qT^r7^(%2`h0N(!kqvkC0*D)lK(t3aycYHbZ;+LExle_*B$VH6%ze=IHF#EJT_ zApRReAu?ub?1`<%()-~G{Ft>N&J+ld|6&Fl+I8zj%avYRa}*qzr%i0*n(GR=A7pXI z$`N99)10@3W0c}Qd-cKPbgs~4NnCe0h{P3n*~KuMpc~%(q%Y-3AySGJ=472pE|A>G4kO&slvnab8y7SzfeW`sM;9 zf1S#0+^k@&Vx`Jp@GZcV5wQMy+S8toYK(l2l`4Lo5e9vUj!L&KykNC-?_!c;p#2<0 z$fi(gU0X#cGL`79AQ~n@QMBGxDt%cc554RU$`RMB0il$!gldhpB6~mX#|(cIU1o$* zvx*9QSlc_8bG7jfMU|#ksLHD>?slEeS($WJOo(1k(C-O7L(|Wy!JyWPu_c_zaCY~Y z<5TXWSE*F*56-1cB|lae5849lFYV7zwr#34!dq-U6}FrUtKPr9gP!}T)_hN;y~aq! ztxy~>cT%jx3heYm5km97c84Jo#(m{V({n4T0 z;*Q^A1cUSPdvDTTt5UglFW7Ev*WJGx_Usaf9I%&sUV;q5QH_KxE4 z&O!9+b~Wnh!(Eg3noy8J)1JRRMy_d2QUz!|l-lO(6}oO@cMqu6!}_4XPte2FL~1ND zJctG)*g@H|RJ3mBFt`KTZ2|i$WA~>WTMvuHs#OdhKaa(6-%D@h8miqPJ?hMW zQbQA3?uwzmxLbVgX1!*)B_qpxYD@&VXZ=h%5?4LFLutZVRRqxo1o^MiXHfbD_l4{~ zI{f(=I3j5lIF!yEZEpj2n|qtB?>PL$pi9vj^o_bt*U`PC8Ks-k-XH)~%)7dlx-C!% z8}6YJu~T8#?6p*5yaGo7p-#bZ96)0f7-9?O*xR z)~VTDE3-~qgIObrQia|ZY5%SK!nyV(V_Z1X7?0#Oxco&*c}#(|%6TUdbI}7j|Jd>Z z9c-?^4(DD4Y+8PSY)=&MXY?xZVecH*FVOy33M{h`kTx-sF<-)&f?HmsyO$K$VeKt9 zMm58zHtYhO?xw(RbT8DVofTehvbRng}Pf7 zz_C3t(p-d=E~ur-kQww#ZZ(0%xRtn@z{Mq~B}axCH0Gn4W_C=ng?(66oR?@OE$*XQ zgEe7jI`T$NAb);lCiOV4z$F~kK&Bu6nVPk*eA%s)dd1@}WW2+ulY3?}nw+JML`iMl zm}g)b>K(X<0joEC^-n1r;`L4+mA^{LJkL% zOQ`VDYh>hb0s%i~vc_wu!58^WC?YFYSO|SCLZKfWdgh<#s-|Zp$8lF+-* zo0^-9q-3?x?$Qg-1|uO|P|vQEc;E_=`zNiI+)TyfM*+ijnHK{}H6175wv0|_pJ%ez zvA|2z5y+2rzh@2BDfbX~yogXaS8F$2nH|f$>}W@8ucrNx1vjUlzd0W}h|G{KRQ5n>oBXLX?I8#Xuaoj zFKKT#5`&4X{nM1uG%DS5K@QG@yNYOy9V+ImuA}LZp8_9@7tz)5&ecGp0z$CJ$I-OB zkpdUhoY!$OQ_9xY0uFRvDaajXdIgbrH0m*Y!7wnW8r#71@7Pc23+6=(rE{qxg?>y; zxNVEenJEfDy-i3S{&8ASc;$k$bk13eV@F-3;Nric7?b`o*)@{8lcXJhN zV5Mw>c9d(^G2y(H77P!X_FXU<@5Awcmz~rTwBzSx0l^NmNMJ|qRelV422OliZ)b~% zGtEL5HV4I{V^^q@pTGM_{<_wCr#bM-wK!}fy-%j>-AJ?c86xx4pT~I8?PkFFV@o3I zyereTWev?2+(*-%3bJ$2)o87?Xdhr@(Q46@d8MpkXDZr3MM)J+yB+M^2Z8qM;|l?s zCa5TJ8qY*tgIY{qFl-=K2lVV0;S;`Ko?!_Twd6u@@}S7JbzXBd7%EdDl5%ST#{($7 z_iv*qFi>q@WoeZo^FApXtH`_z*Jcc5|5JgHTVBK4p7IBCCF=NIj>;i|efeL(xzLE0 zH6ve~$pT|!<$IsGx%m?^ljb~a+IHT_oNd6%iwqv)!t;^jUq)#Sc}wW$LBc)E{ubj_5BG!7Fg}V=AA@K}fHEkDZJ-Q-g%Z!Ic${AF;L(v@!2;l^ zZMe0a>G~K7+b~B2)A`ys3r`gk(f!9!hw4f&h{C&C3}YO)8igY2C4%(=SN%~$xs+hu zn_B076&lH+qd4*|;GKbDZ?=&X{9dgpI%>p>lGz@}J1^}|J%be(bQ=Tl8E`(ITMH*% zQW4H1H(Ee$Ur;lyFYX{W$D@bdUd5Df!Y^;iq`?)@cc&5aBc_vARsqjPFG zaFqsK!t4{%D4^C+x|yhnRaOt*C!%#R3|>;C8BP^*sGM4m-|>(qNeQA($f3G*53{ee zf?JDP$^v;k6ff6|rmpwY=pIrE`PCva9Jxof(KKR{0{7#);ttuko;?S-MRyj<6K!(U z(FQLIJfhhr)RLP1T!2PB9bt_?#=-RzGQB}}L{oyvesGkM|GeIcr(5R*+0rQL4qr_B zhhL@Gzk002%(-;2<5(oKKNrTuy<@3LOEqiZbQYyy4T<*U#yBcDNr8)TM)p2Q7DXM+ z+VM^!tXPa(=D2v}o_9&ZVvi=zqAWH3aw1eXXR5ZEc61k=MH?SH67YE)B|JG6XoR7Q z)vnmc)ybPv-G~yw^E_2B?fRrr5AKMs1w%i-fq|%(G~)G=K)V_!_+AaUzWCZUG~A6s zn>8&vMJNprEV|XRjM0gqHt!LIgur6vz?A zt2pmkY%o39sK7T^Zt*qr6ZTQi{-)f+K(-JVXi(Tg7t4$n2*bkE zIcJ>{+K#vIen9R8A>)>6v*}iD<>y)WyQJKVfOLU$_~3g9#e$Hj^}m|LTVD%yvsZ1` ze9ieH3==b;*s!Pst#DKp8_;_3+HTx}a2Kx^P%L6l*MiW0|}Omu+L!QY_X zqo}LDim{vQOx_3;{^k- zpzauOrhNWH(GHvR>2*GIx|_fzpTE7UX2STk5I-iSB58!L{KAobF*F4pH z{+9KV4&d65sftKsp-mpTfJ zy!Hm{48piS7mV(E;ii0V3$DPVFsiFW`eK}S0xbgWfJ0!h>0CH>P{a8mZlU{njoXO9 z^<{LQ3>91RU!=_x-|GfpY#4I^7}c98X0Dp-&58?n-ob7L_V0(xq6JS+QIDZ5t;RYl zI^>L-3x?DCcxudq%p}VS0{#g)FH~YGbvU71F$WK+q@xlrZ_+m$H9_hc&nZN0!zyf zb?s%pHKlypm0DJ@QYE+W0u!$lb76M6dXE;~Oe2eqJ#}{I3<7&uD|XQ*9qDQ{Wih7BHx>3feqEh@7x7mFa!hlj?fp z(W>$=S7GfAUTjtT%G1SwY;?3h59_7)p%=!VDsK;>0y|F0*19cPYZ<_Fbnv&MU|(81 z`?v^()piM@pMfD9{AsOy>^;U}EvhaS@nhUV_^0+CPwQUKlh!bp zuP_CM%%YZS=ofN;cGOGM5(5pdfVS_24KJdW#Arw;v|7G@Gu?Gf%e{m@nD>Av;r!}U zWn*qC`+15b9047_v2WX1!G6ArV`+2yT@>T5VOBfsYt=#a2-4om;xnk))ZH}EXl`xL zeqM&j3Xev+bU`$6n@T--bw1z0t(9YF+87M}p>;0e!~=?LrF&4oTQw;1}d{co14Zb<}P)n=a$bfI)B+|u$desBRL;!Q@F>HNG0_uIwqDV)zo4v~T z4TAYzOw4DdNFdr3leVAa4d9B7NwPJaM8hU67FPso!aD|Jc3$o&%^k@mQMt|vtj*1J zSSH?W9cT|&K7qzJOUC=R!7rf%aIw}(81&iMABKpcQ zZDVMR_0;B!0*ABKq%TR2AleS4YOVEcKHUOX`Z3-P<}ov&_02yPP`lDLBw@Zaz?J`yDIfD8yq^3tRP>qul zE!iId$RzZSekg+S&ZMK6&(N2r!B#Y#a`zy&umC! zGGi<~jH71$G5B|=st>(lj-yHW6}Xrlw|MJl+OB}%)*?n8n-7`lm-iGpN z-JB5W{z`!{@{mUdft3GOiwSsJREx2+|7NjSS%PFW)%)lmD9%(KPaUhO$y(>pK_F5T z?lXawMpu)y#dVC;f*11Ko_9|3XyaP0xq76z4$d?YnhhIQf)X81PxnhVvc71w^hE1S zh=rk($C8!fps3{q-<9E92wLAlEPONd2v__LS7b*1250Xc*hRi%C(b6MHxFh z0|a}D?ACoo(eZI=`pt30JB;0&g1$t%B8JfOwP35AG@%EbOq9kwKJ7-?peD|=3R;Vg&f?N9 z+o?~)+B-+R(po(rf60O7a2{#~yXI)luYjwP(9Pte)v4S`7X{oOBPJ2fbAYSe(0R1b zv2=gmW8wU$=G@DlZoMk;b1U7~oWJ}174=LN-;KRBv3XNLlrSiftP`cmsz!UYH0NIA zTIX-8)pAYKoO{8m=kp9%XnI;Z=2!0)aW8mQ%C*!mSt7~ENIhOiba+dpalg_Z(-I0e zQ6$>oNKcw}!(9tqi@#1iWt%i3zrJ~C{FbHOS0TIPZw|i^IpmK+Rv-PVpC8Y z%;ll`*^h`)+4+>GmPhkzU{vSS;=M-7cugX-6AU^TMc?XUsasPuc8JZKOE>4GtvThbYRODvP)MIK* z$aDh~bZboJ0C|+mw0~0zN_RoM79aiJ<%Y#pYq>)qljufU?0(FdRzvNn`y9Wx(Pjk| z9dlm709)fF3}z!c$Q2NOGEHl!)(yCg>Y$$>N(8Jq$E;-d*PKsDG>n#XR`V@QH0QjFHAgTtf@jLg1Sv7YEz00nW<}+5Rq&?y4jjtnj zUwzayORMD{Jh)4pS2w!RaCdP^I5b%Dbm z#{_w0888V;y3iBkJ%SHfTeV=N;e~y{+L#+*^mzB*RBC5Ii-*@rYdn_{XpDyH26yd2 zZ?7K~SFFU1L~D!C@Ci`(nq;z0J$ycjZEOa8ZIT+PXIiPXT2=#Za}*ciuB7FD-$`!4 zAxNSY;hXo-^X{04ZJg5wtatI-Ha=GiOjUKB-hy_|%}0GoO|_nXEqC{Z%V{&*kL>_K2?cI+ez4hcT-f_m3YKuWaWmB(8Fy+9BQ>- zGsOjmb*`eW?)y7a{cz=}@%3hkryK?{%V_wm`zxU8GBpt8=MA+TA}QvOKKf=Ru_w_0k> zCm=xP!I|>qTt{_&zD6(7^pH3HBr|OW-a#m~zMfA#_NhMf7u`WuK|?;WFVN7)i=Oz) zyNbLL4L`vr>Q;VAhX$u`;|>mW&XbS3JC&1Nj7vyakw^ zi!riBj*|k@F>h(5I8q~e_KsHC|CObcB1M6-hO$dspa7L{QV0gu?$iZXps zXAMN-M&8asz*=s+7SF5gQ7`>k{PF2)yeZdP>5upGX??jrWhZq!trh|MVZ;?JtT(mD zuSPxPwOR!H$+x2#Q)Y>4o6d^8(A6a1%0@_mX7t`KKW#X1*W%4|>Kcdee*s_XIQR|a zs+me&ZF629?FY4VgxNwD&7@{SyiZipd@4(5^R|3`QM2|;N|0B9F@Yul{2Iug`iB3> zppx>CD<=7j=N-Z0Kei(sjWbO85)Nr)lJ8(k0c)k^X}`m@QVXW|M|mc&Bbldupi`S) zTiL)&cXIh!1<@vVN|)FYV8M{xK=~w zKLB~&ZQCfp4b@tyS=Hc;%ox1<$+U|$P_406Bfxb~i@5E=m0>h*v;t#?io`djM9fAk zm@_&5w(2lfUp+M-FC+3GohPzmmZu33v$6hKspTLTZ~Nglt$8*!3D3sj9Zf87OK(se zT(w94{bKJRx>H|eMZ9$<&a@FjsywKpdw;A!-$pNh>jpfv4s&MfHRyon)2(x?bMZAO zz;4P=qx00Iw7HZTT4>IUwD{BkoIjhsl;|e~zRZp+Ln_`h#K$XeYiB?ft77b2SPzx# zup8Z6A@G+s*#G9x8e1ZwUh^ zS=<31%oC{Di;p2V9NXKPZ!y0hGaBH!`S(!xAl3RE-xTBlsMCgVn6FFkrE+7VwB{kF zZ6pJVJ5%;h@q4N@I%vsjVUU|gJ%;mS(LbhtF0QTQt^-J6{xj3o-b2ZAtJb(FoXaZh z2_Rp!Yz<9MtiX<^^0AzTxd#-gOx{b;>MPdJTCPLf&PWYMw)^a*x5X5gSyO@?fnIpR z+hbnbvWI>frI2GzPOVv>HMC%dwcJDZiYc&@JNOt8o|k7j=H>-^=}aqyyq)&*xyVf5 z#PM_N)VQU6P2TX_2mR`u8Vk!Wux{yf|0m3a8q*!fv68B+R?Y-oL@?;n%!ZE@&6U(@ zx&kAH#A3%m_bpJInY@y!9Zw+PkN#Us@(?2OVFJ837%>PQUumg}-yc&~R*8I!JicFC zk2WnNd2z-GgNR!Eul<~16y8himVjD>IexK-53b-bkdbuJP}-4DfiJPWCH%{xmwCVu z>bOIT3`e~A+>3mhy^cEneo`DcT+8hR7w0iN_J4Kp$sox`Q-6Tp+9FSuw!p;o!tD|>gTt)HR8F=HhPF|xz(JJ#O zsx~}~?&Vx!&0yNnNp=BOF}N@io>@Tkd#Lw`oF~ae7?2&t_@Y$60*YBifsu!S+vl1x ze?I*ZTfM9lp9e)E_b!ZEnnHE8LQhbNX^GuM4*X^1&~hh0#`_-e+=^e5&Re>aGwKnY z=ffznJ&Zp2^LZL_yQF}%*v4tbYn(vwaKi;EkW;nR-XLZ(hk?V;5f`cFKm}%6igSXg zH$t$3Yc5izv0mCD)N$ZFh){|5;39Qjq`;sp?RnEYAF+aObB|o4s?!zNiLo`zzbVJS znvMD0wHONAT(5XkMa3R4n^E*6^-t&T#-;+Cy)IFLF^2IgJaxg<5Q-bB)=R*-BfHT6 zX?fVQ5Zb(3fq&I|OpZh60q|7q4mdQ!afbpB`7FXTbDy*Hq<>uZp;RNSw%Q#^pkaO# z#ebhh$CIi))UkIosP8*w!@M1BI%O-Zz+g?Z#ydI;^9w>SLyGBCySf7700(i0=1`an z7f5yIOG7KCewvflM=Ns`bME+|ls%SuH^?^YzH5fxLt1B?)B9+e{!iN)pHT|#`+Tb_W zMy*sn+SOyefM9jk!bhy?4avpVD*G^OR^#1N>Nrqb^BDq6u)ai3? zIVDYEO7hsOqvSf&!t2={LnA+Udy*BX)zSts@yLTuIqJKVnq53gS4S=LZmjDf#pmxn^pe7l+9F3>;{L^os3XHL8%iSi%w3Am&^W z*D_c`7?`zr7G2A!h8BfbZt1@p!WshB$T3^9DU!tm+`%47{ukBz{y8`dB!Inw>7m8x z_7lCU=fjz{!N+fTj9AAe(EB86gBp}9*I?)~9@zI(ok&IMDzFw?*W&+STP9N0(F*K5 zB75N#?@pj5nH0D+Q ztE#leo~t^GOCZZeH_S}=rqk0Y$`!lo)FXmDLmXq~VH zsl^z~EQv{Gz*8TAf_5gnmDBWVt?IXOVhsPwdZN|hRqBHq;dHWN8aLblXU9aDyzA9} z1dZCH21Vol-5nl|<`X&eNOKLC778h!ji$ow)LEVm>01u*lJUn-f&L1NH@n1BUnAOn z0SYY`GLxxu>QGqYEs*KtffowoctHp6MwqR_lp$0$-UeEqa=2APN67$qYDs7|8owTX zt(MA)%{Vg&?Kq6W zE=KDig;vty_NoVlCImr#gtlBiSSzVUBL#L076Mxe`1IJRRI#>7n)_HSk~{=b8$qts zf)h}kX-5ICMUd+gQ0F||X~oq!RlrhYIkcBnPJKsMg!-t7^V5&V;IsQY=7L3=D0J{0 znpkMH^r4blLRkj$EbQ`p)k2DQS`9?c=-l!EK0FU{YvefUa}=vZqND`y3hncyM^Q1C zawa&k1n?fT7O)l!^QnA(fx0SzwVx58dOmf{tDD{(hrfgDukeY4GhWk-6v^G&la;e9 z9ib6O_}|69Mo_ytDngxkhh{*|0|@W7KKI!1uAu8J2S_ z9j~U^2JhnISBeMn2`nKO=uFLFR#TQ+`jQr~#!T?nu;3)x+D)y+F4jGfu=Y1=!PKp> zucwjLT0zEZCgJR5zid5$u60nYl^(e%FB?W&1sYADeoYnl4u_y9HP}h_I=6Iwv&K7f zFbGWZmNAc!XVF}WJ9>`{Yr@&UGIlrz!Ng}nPOPHbJX-`>P5|?^T|Q=%D;txRuA;^R z6j&R~sD@7&(88WPj~-uF$ejg|^?1JF#Tf6h0#;j!=n0iyFaXcaRZP7gf|NTzD|HCe zQURe7e0MnAOm@d%O*kJ02uK@0?ify=zEfa7PF$iEK2wN){|7lhtL0#iDPFZ4g@^^v z`r3d=wBqR^LGEap*NJR{_n6p5oU@Z?ZgRCI$@{ZZM&5#8Tg`>(`}B^fVQ~i;bd+Y@ zDE>f&FBViy{mJ%FIWJeUHoieFi;dusAPR!cM?>d-?QTKYN~t$QFfA>DRcAEz6z25X zv>w6d3!ygtc-k zf>DtRCvm+@JKR-T+k3Jf@Uoot`axA_SGAl}a_cmUe@RQx&WW)@AFx*F*)r;SDw+%> zWvB#(;v*sQvmif*)?QAHz9=v(Cf+&%BHu$4mn*ZJ3cpj}V_N53fVVXlP7gdJXFYL; zC^IHqw)dsGW7T47TisEO0Btbg%na`MzN~AH#0}pZd$T!rc9@Km(!<7g|121`RJ z+76#UC3+sD$%`LZ{Pd@;*|69o6mvShpz?vK-5&;xmGg4N9k2n+c*TYJd#O28_=O>A z!P1<_-5q=(dl?1$&8968hhFhl)t8u1s>^re5ob!U#k04{Q**I|#ur4%fG6YV%j)@J zZ$EA>*GV960B1K_jU!hJ1zyFCq@%iq09gmx`k4sp2IIB8b7MgE5e(>cgX_Uvt%Ki~ zyo)iFb`DnoyqJ+k8HoBKAFGio22pC{SSG&0$Rz}?Kqjnj8q-owpaWN;OqfD0a|QU@ za(O(Jo2kHvZxJlJV^H7t{z=F8HOC#iT<{KQt(I&~Yu(Ku?fvvM=Q{1-eB`6dxwv9Q zrw{!t?{F%6WtEtu5F?jy3!fPo5!o6!HlQZg{n!_Ww%&l6H2G_5sP?r_ETOp_zSi;j z1G+OWjeDgvUFI}pFvy)R|6&sy?iQb!6Wq{Ge2pn8l6;UCzX6Xr*TUx0hg1rT5xH3G zJ0#5>$ci&3zTw04Q@a;VTW?j>tnt(UAL_xHx68!iGm>84rqvUVSr>G==c#Z$Zj&jP zgWiNu)%@z@IEVHmAm5XTlJz^YuAZ8%+j>^t$E&( z0B6^`G3;q-b`qijBYy4Y#;(cqkjfWa6-Z~c8erR(;Z7S@fE z%Sl|(nTo*&&wI=hb7Q+37yQ8;H-9NBOgj@#`WVIme4Dy|6eWACUUf>KH?T|sl{Zjo ztt3|h@^_5V#iFqR6-NTYVz_5q=bU8}1`6NSnsI|qBlciZzis=1oq-9mwOJ7+@dZ15 zNg%ko0~EgCB|Kg;vNA=^c!72XwC?DM<9>AL2RHTvI|CD5yzm(BI82Se*%PSB4fSO4 zgr6)Vc|6A|jz8GfI&W7#ZmyrS*8WC($AZP8AB*XpIwZH-Z%I4rrk0HNwc7^ozO{{w z{XCT9J2i~Wua#O9tnssfVb)C;g9asEF75zFhSH3bzn zd(-%!63CtFK|D9@4RGw8<8vobv&T0!H@0nWY}@9>wvCN#+qP}n`Ng)K+&s^V`#;>O zTm53DPEDPf>X|y-^X)#Lsln48xm(Dj=NGF5(VR%@rq7Zs#&dAASUzG@YlhHn!T!NcEwnmgy-(O!SWvk2Zc+`Rd5p<$ zb-cvqgW&NHsz&O5DTVh_&ZY)=p776YHaYau4@ zA#YDOfrq$K4 zT}uag}QZ^|2XY^xT}!Y>kQIWLSl1125j-P>uM8SVs{TZ$7a@K#gS*lw-M$ z;U0AAt-WG!mR6gJ=U$n;t>U4taHe_k!My6*Zj5p1;i3f^QJkcS-Hh_&jA#sd)53Xr zK@i)S`d8{%A7{vXE&I%d(#D`oruz3ushwe{j;|;bR^4AnxbfDp_Iw?CoD!P`?hpH? z$!Uk7wo{t9*7N`^@_`xBij`+b?Y5)mfl{5zmtoFu6bDN z-qF>h9Yf5#&O_6yU1O8CSaS9>8vA)gl z@l$q;I2oms+!GVRFRGiu7iCAN%pXwVEor#*rL(!v1X-+m#?c$~<3255~51q;#6E{AFqZzw*Xp%~BLo z!C5XPt;GrR67LN(UF|4UlSx>;-ALUHcB~akbWxO4O4)(L*j=0>cAI-K-CnpuIfY2CmyIHFs}h=$rRwRZVc<~Ghk{cqa>cq2AWS<-O+9><#vSCiR8fhM3JKM9@C7(<)&b{J3msqw*S=> zSHVN!K%XsvIh>Qnf$5^jy@Byab?-vG%)Y^PeDz27gzRr!~7#tC)W2`pXauKAC( zTcPfH-&tF$kWcJqz+~apu117rweZ`i4tElSdWe1W2rXAkQA(cx(B<)nzjpIBt>$V< zGFWMeD~={*B~*oHYqXJkXC#IgG5XDnK7UW7+k>-XMQd}apRdUIR^98!@9-={)4h&y z!=YXH5siP-s*)ecqz&b&X)mkG{DJIOpzAVpEx8E>k42C0CQ}uTdAWzftAqw5%b=Ab z@C+2@fk)uh?BSF-A@hYEk~So60zP<+as{(wult5#e{hDmK2_0Ft1>KDtf?4v)%R~y z1CqdW0m6GcZ}zX7>j1UJR~qoE-5vKRG1!9fPF8#`+4+FoDZ6`Avv+7TIT&!Iaw(YR zCjp~#v8wwq3>j2VkeUABxvL`xFn3>3I)!+AB)ds;cljpLYDZ4N2146Tp>yj$846B5 zfm~)2WR{iD$h>8K_^5Ml8Z!(Y$pppNwxDf|%urfGtxavUPJ9-qbv#+7M&6m`_$@9+ zEcoy=<^q<;MqvU^B${$`Orerquqe~+Gm%UZlEXI3`nx!4L0$WAmq5a zV`b>Q54b35@G*tUs7uBCqL@wi3r_%^chhU#h)Mk_JoOGfFOH(D(qT)DCjGU(bt=8S z^i`W{Ldrb9P#aM?E1?$jZ=wL1MKYvNlyv~H&7|wlN`QM`kG7Sdefe$8Z+HxX0CydQ zGn`1)cuoYRKz(ZlTciDfn^Py}4&Zz1L-=5VRc{g*TGw5k1R6eYn7aabb*xtD6}AM5 zA%&*i%f=_em8x&e4V4dWXiIza=;8|Mr8v$=?z1KGd$ThY34a78=Djkw-gWHSqYNc` zkJ^L9Lwz{Md4QXK<7uOWMLsKj$3C3E1;-#7m4P*nCcdb`%W>R;Rs*9UvguYX_Qr52 zv}cXxY)&ygKCS*=G6QR(c*Y*FL+68Cq2W=Yh8BcR%&pBr>;2Jt!6`v5ud85b`ZSIA z(@cBi>tQi4;-IMTolYQ` z0$%Jz!B`<|o%lM`%oJ(PC`lUS9Xpu~%T(VZ>7v;jR18fNak0`OCYFu!MPvD1H~p z(My=81aNI}DN3(CYW=WESlO_=zog1f&Id&6aXBCZnv1tx&n&MCQd} z=`VTk86$dtoVANB%kZI^>hg$~4Hj75_kK31p$x%^e>nN}?quqh5ByFv1TAgRS&k1@ zSS%T)qc5cAG@Lp2P)Ghx{ABvY6*}6RL`@X3&u@vXD1_l@InQtp>hVR9GBBfI;qXDyKj%5moDc zn)tu8tMm)-e2ROq18`p+6@*I7Ud19n6Q=OM+6415OQ+Te4=D_5RRG;EP7Ux%T_NwMH9F+?pwV3etK=;blWGdS@CbvNi z1w->a<-#w)wAyT4lc!S`+x`x=*)Z~(^TYS1v-o#ee8>Ga$Gin{7^^_s`!iy0vth|00Toc2HZN7l{&M8t&o59q;lc@%h@0b z%H0pw$h`u~YRc0@~OB4_z#Q`lB#t{SE3ugYy)0)}&p1ct5iYJd^3! zZ+SV~8}o8DP!83Ty-&Ti7_x&noH)db&#OR{v;1keJ!vcDCLa>Ua#Nea(T>73h$l(K z!kCorxGkP>@|}9V;*O#oZ|H%1VmI-BS&4ataGZ86mVQ?@?Yox_zlTJ7$+6{i#e3@1 z-^b~29IJ67lt0gTdkHHu8F0rUze1<6`n&(3IaY$>`3;D7oN3nxvwp+Ei}-v|9~YHY0tu=xU4cu_<&6klB$^;F&@e|BZqdM>HrrWexN5hC926 zzMX&i3=+8iHoL@lz>Ls85WBnS3bza-3n|I=t2qVyl4B)p(zn!)@(LySR1Qq)n$~0( ztZ_$+wdJ%IkDiF`H)}lUxGQhp5W-waT0P_S69M{9m*sv0O`d5xyD{I-9g^ldonv-pAnM zieFVUp${h0#&)xayfmx}Gns-J8Rgj&;5~#yWnu)K8n}GatbyrrT*5C?%NOff+J4+X zcc^)I9vq4?LVxH>tOfV5;D*izn@kKTCA5)^99lOtIPMlfbj)lZmIPK-G%KK*M*V%) zNvONAclLBZ&h~PUj>%!ZtmLLu{M!p>1Zvd&X=F5QM(ImQTcz`e+2m)X>CT5$D|MDI zLq|)77E+C<&KLYWo5CQupzx{w(=+Ay#dwD}tu>GwoVPao&ZRJB9qx$pKwHfLk%@mh z1#kUidcW?8)WR0QPaCKUF&pn^rhGZ%c3yYXN!iK?>D#I1gsei>AO=t!E%d%*8-;#n zBu6L;%TjjPImHrrM{}B%D%aKXG@x^JHX1wF%R2fPn7qlF2t1qbmvuk22_9ND&*J|2 ztAz!&GkWbRS7_s#6Q@+QZn^%WusMkTgCLY9)k z!v4kf@~hXLChZV*dnmzSVC%2o@@+uh;uZgjn1{lsBle_)C*~i|h2VX82ZHu0)C9>G zMf+9N1$=DIi<`^GOUZELQ^;!T6gIow`3P~kj}p9TjtgDi(_7#X#Vh_SMr~(PwdiG6 zj7rf+{gvvQc#i$2N#Lto*`!hgKLu1nD3!I%rdAD;tCc~j3%+W@#3G_4tAd(*)MW6uwo1udAkgtH+{Hn)+zCz5oY~G;Zat^| zO8LqziARMK1}G(n+CofM)ucHnH>k(2$n4gH6YTcukC(ro@h>_=O2e&8(J%|@+VkxK zl6kL)mZl0(E{m;}7=q)Da{LJx+dq+l4NppCmCM0yNK>+XTHRvyy%vI8pGyd`e`3OY zb9a4ET57lvC#WtX}`vQPYVBbHd*wiN~y z3^;I#gOe}7Ern085!75uXr5I=HRm}IRyQ|gBOxy_FAaOs-_F>L@GRFo`_`G^^TiuW zPIc|5h#r`os?A%(RCmS{}6=Rqg$@RHu&=7c&Exy_0pmTg^`-{OQ1?^)#i=_Z>n ztXCx3!uT{x9>iSrkxKvkE`U(hwaA`MjJFm6Ot60=@>}CRUHyo~D-1 z;}q*1!0@(LpH%Tc{-l*Dya2C2Ued08woZH3?%}s!zM>yWOvHsaA7tUKhBw5|;hc7( zhA#xe#QTPN%F})P!yaUjQjj%ZUHH+Dox})*Z4U4j z)!*&fED#WUFV+yj(Xl3h^Q@b&E^K8k#u>`x!?8FXN&PWAtiPfG_%*}$FMH_` zz;V~ytt0COCRdX&mOdOFnXD{gpS%atQ?8X#srmpG={0Ua+Gk8=t@Z_k%DllJPkz=8 zfq2w`-0nt-MtvE@EBnXYFfxPC799KIi2S)N*u;_16Wvv6`|7c15rs0ydZB55sLg#@ zbjN*+0=3Dupgv4tB}TT0uA)`DbYv~KvepQd7+Ry*c2?-9&J9uDifqwrZ2Z4DFS~c{ zW_^j2cOc*`7;TX=??PodjGk_Wx z2yEQ|ma(zWuHq-tOfTI{LEhrMUJt^#b7&}UDsD1M9fdA@x*dK0A!7gs(+b8}%w8{E z%+f-8Z4FBn^!=HAW6fCM<~Cq5?&(l@!ntt9!6i8o7-DZZ%yoTS-1KGySRz3*D0h~m zr%2{DZKt5ZrzY=^kHKTVDBQ}Rf$M?ZZBPL7Xi=QJ%ABE7uW}BRjcmXK_|2X4k$Chx z1vf4$=quX?P;4!Yu@FRf*n=+}zIrm_1aEI2(^By6>~)s)azV<5a;|I5Dn?9+5i=fd z|3|E7v_83K{*g2^lQ+7-xu*|dT>7{DMJ+B*oG^%dv3_JyH!+Fo*OP+UKXk~eVZ9rn z@q_Z^ww9~>#Gx&_~k#OTY^tNZ{R#-`DDn zuy5AWj05MvqvU$)+b3{dec%2ce2qUBxnur-;Eubh_+A6Pg=oL~G+UE9@R$H<4jVY6 zCaT@uunP|=1t9{Mm&fb|butPAJKwTb-|`c_1m6fZz8DWtsu9brNMoqqAZ-Q8d=%M>-T;zuR=<(tvWT=~j|;c_Q6i1iIIh$(_R;V(;57zBIes(1&>aMpBQCxM?0F*IWJ~9#%j6mO7p;5YloJlvj3* zH8+>Hg2r?UAYrl=I~HLOo{H>GVI}WwF%);k4yIq7)3kPxe7x9$anZcjhW@4!Rz8SU z)cSRuqY%e+>cfjw9I?I*1p-+@r?>u%w7u>2z0uM{oGOZ|VfhW@0-7~u^V$!eCt^K? zL#UkTreKhNS84<40`>3S*wd(_bMGyIk~#PMYx7qOq)cwn-2oujHp=AExs*2}k}Y5!Pa?mZ_QYdboJ?+2&Qsj$B>X1OhT zRoe{Z)g(j_15kbYc}QdHajXmucN|r?e=scKIJ>KG z^&+lWe%3^Q!%|%({VJyB4PAQZ1p39v2Wn)X^;2AbFO)vQ$BC4(X@50aTgVU9>>sPU zwG7qUyo+MB+UtK5L^1#>re5v7C3hf({c8Yj)z+Jd<%pr_)BXHq;9qp}1AI4|RhXT8NLR$7erUnCf2kEh1=LFwzKDSMYuDh$I359TU1} zmI8H>Wv`?x&&a{)IQ%n(OTf>|$8c?GRQGEG6PGSC*W zL?t1FG_qV?T&;8;&9h7&kzA}v?T=`siF{aRc;(@zv7wLKCa#$s^f>=~X>&n){ngZ> z_{qtB?YG<1z#3Y|r1XA)>l^i%tmQIX=099#l9WDzWE7d_Z2RnTVVh}+Z>5AZGc~-F zQS`zGstn>XdTo=(v%}2 z!I)=m5cbV%Eb#*s4##f_OUP9*yE1wX@lhbd)*%}Y@uVGQl5h>UCk-8|R>ex|h-?Fs z6H9qSJmvho>jj95k72oF1^kWUbGthFyEA)^(V9|x>B6%%FAH)ihq%Lotk^~Ix`w`^ zt5dXXoNd=v3_|M+E2o;s7sVJ>JaQXefTvVjbUikjVCXGC-E9a6*0DpAdvnXg^2 zMvAxC_VDo!Bd|}tqNrpTMO~VFGtQjiAL3Egt^3rQ**`~?oVhNy|IL53bcJ+bq88*# z|Ff(&AgE8?O_jJZpb>@M1J+WY|ix_=i$;X-sqD`V*+iXcTzTkKk@{-*B*Ly0Hm6-S&y z;d~VM4qc>IhEU{Mw7~Oj*v0I_l`g0KnNP(xed2<~soA7+6)ifz!nidUXGp+nJ05WuFRVvR|+~XOt=W_QT!}1Sc$px znsalNGM+#Y&j8{zX-dUlL@ zy5D>-(rH**w3d21%>E5HKpS8(hHVxiI)-2W>Y|+Op>L8P(OsgX^hnY;d)K0Jtyp0?6)bqW*bp^)cIlR? zCwFLQvCg#7ZZ-anoIefQyr@BwVHXWv-xz#Aah=qa574|<0n)39kvBqB`o6GX(O}65 z6ie>CNNBjj7`cyn<8W&=K7MDk^mlQ-gp>OiPVGpKhR01Vk)!J7(bJ)6ZQNXuTINCz zn8)(tZ0Q#?Ix$6uFGjVlY$sjEH!pKNkOd9p&}%+xYd#_;tQ zd^s&To?`5|a=h{{W^X6+VC@JKiFvL*yjLtRj=Fuw>T+SP)uBx!F@BY%M;cdr@ z3t8l*uA7f4k}9c%@Nb65cUw_fV$BN#4uyLzrO0^H%j20cVQk4x%q>X-J-2E(R!+9Y zhPf$5BGX-P*VvB*qi`hhoX^q+>-HSR>xnqLdE}<1EaYJ8*xcekl}iF18U?T0S`Ws$ zk}U6GuaZT!$cfh`xT}80gx}1}T$FD;iqE>ukN`+$)4wT|qvR z-WsLNuZ$u+)iiylZPTC9hA&Xqsqj3@!&grn%SiH`>{?nmEK@OV-FXyQea@TX_*WIh z<)&?8!@pQ_#^EyGEd(?bWR&AN0}|Z@^q z^qB`Vbs!E?qy>RhWHgtxTaNo3&vFTEe>uG8wTj(MH!evnL>G?qBY%cr8otq#mAyu87t{`iQ!@3U;K7(miOPc+5wz>oBL0BQU2n1gZJsK&Dbet z+YHmmR2N(n0?rfJDg`YHpPTj}he&n70@nN*z(LcaN_dYkfKc#JIvFdBN)@xcCAO-dX@aQr%A0Bne$=bxvNa#g}?I}Ad_XC zKocK{y6U{j z-y11-412j<7Cmf`^KVy|!^=RoBI(#oUTPdM$myfOmc)cS)_SCG-`fpQfFhq;<2YTW z-(UC0^VUSom{8l?`+a?&V@>ckIYF_}(#QrVwC?d69W74!8llBqK3!9(y3D*iBP5EF z9cwz+ux!&dEomO1FMZO^4Mm3oT-~>Gd{3e7+?SYAPVMO$lTwJg0~=W!&8_TFG+YC2 zsGp*=jV%j98!fQWd3dkF_#=KcKQ__cO}p`IlFNRv%pVi0b5wsuqjmg}dn+5K**E9x0Ic?f z^(}5)nqt2;tm@W_xv*9r&a-gWoOBwDO?{E78XHL_bc280&O84}bZW>r)V6ADH-5($ zkKP?1Rib6=X0^^HHmf&(xaK~QF zg_2gF$S`P;$i3_K+ZBZD3R5MPxw1_A2E|Ql1icVJ+Lh=N8}Ema9PTJnhXnEf74DM` z@6rZ_&Dxq<8t?B{%*uNG?K>{}p%+)%Fn2EiQw}46L8J=IbFm&f8Z|>lt3E{aO-@?6 zt;T+*?|2oCn#bf&DR4?S9y?jh>r@Mi9iAr5JCZbavXn9FO6Oi!zso;u{og7>lB4=ADpC!z;Z?}NbtYzDdTQIhxgSQZWMX^dAc z@deAUlai)}bc~W^Y4)=ay1U>Brg#1~x_C<0V$3L$Y<75?@A(!PQ4x3Ss#w~$WpR6R z?CTU3xP#Y8E1gJo?+f=aqBE&fcpdo*agr^QDn_5EmjvIzx$MF;cqN4k0+KON5sVzx z=5w8t^q_yNJOrsAVU_G?@fM>V=%D1Mj1C?!<_}6;4PQ}rxCu5lsE85~seA^rS_MWM z47b)bAiN&WrjtfT2}Q$m%?iU+UOHYc!R+``3RZk1AlVC2)DxF`dc=8vO~VQO2X&GP z00OhBYDhF@sSWBs>KM#_>xQn+$mp8_io^o(3P%12ASk6a1I@SOl3$}?? z=$YVT!o4gS*LW&>AzjIjSCJkF!R8w$JqE&;MOEv*Y_BiAqXM_cFIW2F&y_8`t9R#c zM&ngySH5$_zMnE6QUvdZ*{UH(mKC=nxq$9UpY2WA~HtQobdoo|;waA#jN z=FF?QXfOn(tHQ>SvI0mnpL{o#aO-~u4QEx65$G*9cGWNvj(lvt#*Ya!K3wUEr}CpN z^7N2sq{-e^F6Xj>gTQQdX7j+7Ho(Q(1u{|u4BXm~$}j)0$$41PbfJ?~^Q~QRkx(BU z9s72UoOr(mBF#S))p+T<#H`T6RtQ1%xEHq+22$g;?6MF0ud>A!=7nJers50Rw)Th=x*azMfd$CN1u~Otk<ZX0X3rfx zzL_&e%OaJU@8+6@4UBCpmN+YF_Ouyh&o^)oql7J|IBdyWDja1QUeDzC)oH{Jz6KrJ zp4j?u061x;Y+aq1BRLc|j{>fw5A`${+ZRIFDgUnr(n`DwX7yF$1( zJ9yb`T`!<>D5H4E7;fuh`mp*iug1vWIklNGjIbRP3L%vKJ{*5&qiuw$CK|(Pqf)ow zNgw60sV8aY($d%wIoq!ws$&^0hk&DKe8oILk+k_WXU(k{83|PWafq#3Dt65i?LLZr zCe!XcBldkjR{W0tb(nTr?`wD~Q(&jp!+$DkEs8-dcCn);h?}n`=pCphNDG|pI!h|t zOldkP*_tVIMP>N(agn$M?N&TuCz~FUqY>ql6A({EcJncL#zOgxHNG|Q&P*MdW-<%2 z&pk2^^ei_Xb6n4zr13&s;O3tn_#gYA#j$ zct*mbn|tzKGl{n#JN@4YWpEc4`~H8z|M%hlk=N$SHjNX9ya0KiR;G@nhfR9r!=oFC zbqYaMfjP2T6OB}dW@6rSPs_}ofq5;~$%N>wf5d6LK6ycGgM)c8(vvRhHMF~sA0VjB zBNyhwQo(SHGpYX-NzJkBTif3>ov6Nn(1V7_$45vpGJRGYhe=H_*YX{|RH;SUtr1wS zOW;^9qNpctSjDL<)Ty^3d{P+|?}oTTkI=D<>gmDi+F-Xv zK&9PQMS)@8)J7Er?kaF*@_Y*wqcG}-JQ!%5Ko%4{Tx7UWCkv=kn=ifXZ&=-$F(dpg3-4gmNC^{mH^nkeFrj}(?y~Afa zUI?c{!T4qmp}1qel5upxNA!4{vhxUY({6|Jq%6>f&RFQ$8zw0AgNdA81_V&AoW!@^ zz`g`fy$tN2HU#USiOxX4X-GIEozYwefyzK6rFUXBK4^+1KhY+R*#eFr9TQlEVh-J; z)O`4l${LAd(-J;KnG}8%+SXrV87Aq)ixsihHdQ5Yg}*Q>?Zon*?zY{j09*gwE~{{; z;NaNGWx=U9;)Vq<86$^p@G`wvP;t~C{_NGn7r-XUXOmPvL76c_AkAW9nXp&Y?JcN@ zzp}v5vy(rnO+Yo3IMW6C(UDR=2}ob$Ndd)~vok=SkPZ$J1*htHbW?EDVoCqv+4DU# zvF922rlAfz$xX+DjOyttH2|;K0P;bW6-p<96=wtplbEoxV`0C{wjGiIrbx zUdN__S`yL)oTky1^L}4?QsAJ+^?#hpD<*uPv{-6N{B07A{}3`__5>7@y>?pfN@lX; zA z9#fIbE{0Y<3jN3OcM+$1QBl^p%5)}AC$K9}eU3uo>v5KXs})pXpRtxItlR08X%A)I?$44bY4i z6V|Q)qBut!mvk+u#B3{Ud}Z(M{lFqI2o|uCy@I?olW6t3rBOkH9w8j#`6CE=jeX>C zh)A(18Mf^BV0YUt6~q<24l=Zo`(a^M+s5s~eeb9^iVV;f!^%@RPa&T8db- zNvsQkrqTe-{V2{*YdS%(;%Eo!=))3^viV;EKIifdogXefTJE?9F^03Jfcw2hNj;f% zqV)%sZ{P`D*6_chYdtk~a~c5%owktv01aYHJ{Jd68{>9SxR>n697yPbN?z1*2S4Ne zyMdQ{`8Z=w>jvS#Gj0+S(WE@=hcvUq5CM(J92*k`%LX>dX%Nl&m6}JG8ACjZthtXq zHo=9vSg$e6mk%&Bw&l0+;YH2;ix%RtGgU_Oqw~^q zsNPY(8&kR)eQ|#;=F!dbnvGhXYK4L&C+5Sryu6{RjrjkHiz&|t^sO{8352FBdjzwN z@5Cbgh|B(}00Wf-8X#pK4(;TNLd#`_Q6X!stdl2bkVm5~EN*;YG#jtz+Yc^fR<)xC zWyA_LTbCyx6d@0n|91UBtTqQT>jO18w7RgE#TYUtmDjEX($z&78$2svaDP~vJL<3HZ$>$wi6q!rBW)IqUz1L1!9i!h z6y6>Zq6T~Li8D`DIDX)t20GD=-YZj2p-G2``8E&?cKn5ty|jgN-_iQbN{*tB00yV9OOrglGE?~9o4@z( zNM{4?b2jfI6jwsRi0-cBXAKGN=%+A;y~i=A&T-EiL-|)Me$nma=H8Zaxu!yUei6As zO`d^IK`N{IE#@HlCE~>%3Ne0*ob{7W?BOvp3dhu>(cL9w?`gi^0bj@FYH=+-guVFN zMEpcH+RZ%5_-KK;$tC*j@GfQCcBO@Mg3>v}i5eLTo3OSRJtz(&=-Inn_~?7E1@(Nj zPj(^*9dD4I*tU)M>$>7i^4ALp8k_+Sp!AyH3XHe$p0S7?H%zNiZI|o+%>8 zinC{6%qUUib`;j0VTNTlamY$iLNR%Ak9u|pSc-tE!C_v7u7+^584>qr03Jl7Dhg!F zeHN~u?-cx#3Px4Ll*QiU=B-)aar1 zTqeGJSB*hX5!n{#lZ;$7yZmlA^}t2Mo5dVx&SIqj?)@+v_Jum2_d(nX2OfTezT4O; zlw|oeZ2EMT{%@pf))3!UQRB@ut$&g<;XaiGy}8QnkKEZ;ieow&c7^Ob^-2?<{@g#ZX zJkgi=0)d1*F29>M8GffT8-b;n37F7>k8=)-;m5^CT}ODlcFKfJSbacIa0mV!yyz@$ zB3PuYzyQ4?el?KOZRpLDZ-BLUi}R@34Y(xI8k(|6Iz^KqMtgazcXkb(=&?b=u)R@{ z4gIydJUHubIQ^6jTyTgX=FiLACbuS_$Uo@VDA^jc5-M8|kC){1qo15b+-^{XO*&nf zED0*vX0WO8pTd>hFj;tJWGZ){CXNh3d@|i5O8t5|KpF>srY2n#kXKb)&B8Ey_+c%h z*z6uhXKzsd4#9GYD9(B_ZY^CkY2EP)fx$4cT#?_|TXOkf!acQzGXJY>#e_JQ_-ANm zVj0D2Ppsv!I`8vBFvo0dyE668IVhUx``KOMCP;cy{?+=+kSjgLZX+=A&aPgvFS3uI zfIAa>4Xn&Nk%OI!+-pYcu^*U#_I|-Xqgv50>FidShQmi~wsb?Zo4Fn$PeIq~IfkR{ zwHQNmct2khB-QSc({ujRS2$gzrFA^=!)^5wqnRKe-x6B@_~PhNTssHEoo4;9oR1$i zkJ?Hbnd7e>g|NQ*k_UDNUWzE`+oM}3bqwDibDc#97-aI96!|hHM$@Sck>m4$@R7<} zzp?jE#PvgK|K+7SUT-L{Vp65%e6&Kkv-8AleU zK_foD$Egq2a;m?u_)2Prjx(t^;*BQM2U2oy0!g{n`h$`o1pILq3++-k3)IAD ze2?lnB=|S~?q^JV>SZ=53p+o;B1_3{+EnbQVw0rf7^KEkGchY=`TK${29n_&HbN4+ zzx)mXceIs7`g0`V(|>w({lLtxF>D&~JHs z?U5K@WMma9Y>Je9FQezT{N}jLQ;f4>s+c6no(Sv{${l`B@~_AK#>%l|$X!@8n1WO_ z3dFwMm_{G?qe&sPyywV1Ndz5x3j5qZd?tjRVbUu^MEwlatD$|kZd(!tl9^oGAbhb< z-0P58Kp?sh9od1hjic8G&c%dRpG-*Mn2{lrxwd}N+;@z3Nn64mOYIF@Y)=oxXeE;> zJ0rm{ZxJbG;1=oBQ<&MO)vjyqkg+;N42x6$qX!ghf)KTf*B)u<*lvWa6eKLZz?sf9 zxFH}yGXSmsLNqT_=G-cl%7P9t3vCi+u6+=S zgT_Q05%^g}Q<0(V`YSMFc+`x4Wym0y@{eXQeM||V74KD zk>wpMcF7H;0)4kaKOrVl5twUNmYa2D;snw5zjPYu^U@Afv=dzXt@pKhso`>O&xFAk z{~Ea~gk72Jr|_>_&%SBtVy&bW4f@2}pATs=QqncNp^CAs82mXGh{FnCV_JRGCbxiM z*(22;NMFvH(7>VE=#6v?ZJ`wJ~leoEviLi_B6ScaZ zWlU#YKvg|CxVga|F#LP_1`Y@QvFLQIUK? zU{5deR!s7bhF3pGu$*b$us^g$_-{(o;CDOeUsy#3DvNCyg39e=wLn-wm8$&B42N3v zl=j$a`bgD#cttY)Us{76v^Ilzx+YzX(lW+iL)bxd zmhR;X)WPCyP-NIDkBk2XAM7Tpq+t}1`?PCxe!1`rOql4il+Mxg&ObeDQ}n)L6=qtx z0K;(ycP@v&SwhfqTBBh2Gzh92;JH-Aku?vOm@-I3MflIRBh5}1vn0MQ})*|Mk|3K6_O7*aK)jX<61d72sJ3sQ#0 z0D|-`n~VTVt!(85qx{Nx)>Je`h*U8|cU71xrv;o_$~EtlCgKTjDd6_w*GWve>O-l! znlbM*99EHqnm>xp#F- ziDR8BGGK(PDU}-6Iq(-qB7v^9Uj*?59BN!5(YT!>ik>6jM7U(cD75ah_=XD2){@YY z!n;x|@noP%g2)V@Y#(7`#Ox4=2C+*w+CL(Yo!s@|VY=ukvR_7{0y=KT9n{Mbsw%~) zLVyi|(OioSYFJ?0Zk;-5!kug^6T*-FR;*WJxH7?*-Q+M z+o;PbAdK@ljoU~Lx`qrCpY})=R!Y4O=I5ZiMjl1C4$TK1esAb)l(E~GLhVtkjqIqE z_kz>Cd7*1IlNaT5zlK0B;_dznq8%mdiDr|R=84>62UQE{gb%WRm}^M>KkR*FR~=0g zEp7*QcR9EQclTf+xNC5CcR099a0nVaxVr_1gS)#+kjuNC`!DYO*lU$^*Yuj6>DpCW zf6FT55bG{@I>_2**9-?XKHSk5HL0QuuMbcBRxrtg^MxWN{zNrOG4!DHF1F!8ReQxG z-ZDIoZdKlkdIk$d^!Fio^_W=2IhB{W{?R;0VZ!(JTafG3&+gW5;K{!014 zfv#Nr{k`wp-YQ%^C+3p(9|?DvpSy1j3P zo%p6^!hzqA_WIpMrzoqZ<=iD{$J+^|A_3~O2fTLB(X8FlzMb!Z;}M#K+9{K$(ojaw zhonu8Y1J5>xqe8?1y2n!{aup149WyDl1*r3&(_ig5tHfK}dc(7Knqff?#cu)0^^snja^R;FfEEq|AT z&K=q9^NyEE1}bA>OJgr1wOhxfbjzZQ<1)zHUp@1-Ke*N3Ks%7aco6+{+p)py#{nXUTzcSHaaB+Fqvyk#~kkCSh4V#oNHK7-j z?>%22GI$hX;JK1Bzyh>e!Q01NJKkD|G8#-P)Hx5Bii!UrP^&9_;}Xo(_Wf$>f}wAM zoRZj^Srn4A=MdfV`cNvPa*h8 zEx?>+p%8XjNHG&y3B{1gcRENcE}fJ{Vm=UIpD5O-wwwI*k|S{jm2|bqV(hmP%fl@! z=g9(0!xtc*vkAJ$cQ5>s-6QyR-C%AiiyBM-5;Gp7*`iiP9e(4nfoapzPLFe40t^@w zl8_ZtEdG0;(5)m@5MU(z237s70D8*7o@7ZO5Ugho`naAP!B5GvrO9AOP|+&Np$rZ|*U9;qT-+N#fkQNI!gF zhMJ%JawC20(-g8yp`8*567)TFv`3Sk)PP zl;Ugqh9eX)>akYotjg^Blutk^CAUwlc8I0c^n=(9OE|~`6^G&z9oZd>PN?J?VV2}G z|6QhXd6^PNm(4o@jOAD{G*)b1ep8DyjWqH>>-)(@|4ER~O#hv}wGEjaG|Y2PnsPN~ zVkw`t$CO4GjTu2vpoHA85r}!@P%2}uZkieIGaj(JI7=7`!pge z-ig(a)+nGQUU!Q$8;K*PhW9(WZWC0!PQi45k+kR{=FvEu{Rq>8Y56oi{8EZG__O>8 zEy5;@qW6-a-i*aoxquS%wE&RniCW0~}`+ISvEu7M%ERq|&al`78(*$CQ)XdMk z%Rw^!hu0L4OlpT^9Drc!^@E((){kiX)g)VJ{Ge3wkMvZ7_Db=Umc=P1u1jv08s8mq zqcCzIn7V4^0@|{d2_>sK*D>9^`}m-~i407VaXWP^=V8Zwyf~g7(?2CE5j@ThAe~L- z^!)8i=5c3woKu+t&0}5?|L)%~HSkrQ`1HuyLO?@@BStCTr>R+X!v&KWcK_-3brxIc zh)xO5FifteMS|lt$9mCbNG1osK8%?8%MT*JeVTUMyb?ZIy*9@<`!1|xIUlo31&_Wb zN^0wj#_@Jm`oR(HA{xbD5?9i#jUh#*`{+heU~A4`Q7@!xg@}#`A{Wv=SigsHEjbVq zaPIbg0@xt7tmWu?vIQmtRL>oS>6#NFKHY!5M9x=h=>K+a=_}jUP?r5epgz){DUzAN z)AUS@o;jhAQ(>MbZs2DeHu!TT>_3GL_mQ-&q}mp{3wP0sRz)(~;4*>oi?8W+%lN6g zVK9x=(yUMM!?Eh!X!U`K=%9IMY&x)^)d)0}@qn>ec#&Cc%bfGrYWW`j8wlLz{ z5jO&`4WM~l1;H?$!9WuBOshor=cK%uC>gYx)3;e1b2=WDk-7}d*EeWKn+_v4nlM=n zs^R1ckBK)+5s6#ksD^}w5F&a*eA5e|T$LUx+#CzlRRQnj5eUi~a~kx57Ob$D8vDe# z5!IHCOdnI9qf_^l%oL3sRmVf%l;Jq-MGUA_&U1XRe-b-GGcw+(Ke3wnTefA*2R}_aC&_z$yAgct)WR+T!xtuE0K{TA2%axgKR~Hrzqx zA7#=${z8uvM_3*XEjJwu49&f!FjPj8RURf`ykI5V&g#{^!~fnAMyFUO2OU<`$i7tx z*bT@rNY2J|p}gQ-gy@>A-S64Fp6GrVaQ_Zvhm`*~EzOgOc9wy<&(5tzxC5jI{ zeo7sEexdSWnAH9vCpx9PgPYq5s|DvLCrx(lftgQ-QQmo>2*S?Xh~L-r53RQsqwqH@ zOCM#+3TO+i&saer4r_d(ub^R~Q(h*>`U82tf;Q7cbCY4o`pIf7QmP~b)+dv5TuY+$ z2PfduZh>KfVrAKWk2aM>Mj@vBJS_G+A!Srpg>I-7iT5ki`8)X+@c|8R53=MRaruip zZ*+ZwLTMB1*3oy|CLuEqA1l+SUDG1elZT+|?BTIE4^}-A)x$Mc`p(fKLvN_l8ruIN9r--K7LQ z;&V%Q6T3kBclZ$#P%3&gIBdcqNoNZ?S)&|-y;;A{Q4QISWQ~ScH;1>4D|Q=K1RBt)C@Ju*cJydSD~~wQ_1~w42pM6vj4*%ViOjQi6r$T$_>WGHd?wPgxU@vRr3>#Y=~ zYG!WjKRXx^b6$vkx1$D^gFmc-dm4l3RMYbvA+&0LAM#^x2Y7)l!Yh4Q26de7Ce)gj zb}MuAvby5vwoH$SKI+{lCx9Soh2fjR)f0{j=>A$6ktithH1*FSG`jKDog7|me7_rv zjD5Xh@j-A$qB)=ToUtBiO_rsx6OV`-w_Wk&z-0|U!e3(z_l>3mrvG5PR^j(VfknHaI z^T&f`=!49Rr?sZ>gv^G9n#0XM@S}hW!_0kGyCCh4m8RK7y{G*jREm?uDRW~1#(yO$ zySJ6o=72#+9}1eFEhKI2G%6`h@@`G|Z3PRVRNQxjAowTRPnJKD2s&bk&-;cj^!oS@ zit%H{5|GXI4{K?o#Q^&8-m8!F`2f|YfV8lMRQw3Z-EOTT+iY5KAH7HC{?CI;6z$rX z3Megh_bqK-wzc^cO*<~Ear}6=B61u>W?MZ&tid)N;v>u!0hK-OqZj!X)Azf8glP8T zpsJL)tawVJoYke{WBp{tk`w;ZZN&MCbI z;>9Yc6{NV2%U>8IS*jT)bZot+YNxI%+$dVSv0BLzEP=dd`-mZ9oy9l&*z;9U<>|d* zDyIvSjM7Yvc?nB0mPm-pjma+%YXn~LYFz8pB)+?``h3Q1x~X4bhVEneDVyVzfJyP9 zX_}pMO7Rum!!xG-{hm-nok5WdqNZq?eIW`0l~AzJjNF{jG-C`eBbdzm4X^w|Ev+H$ z%|nzCzRp`-917&Y)S&5$Rewa`i4 zMK*uF3k^q65GV8sr>Lre;@fi=X+OFdf9P$~1pejbRu$K&LdKHj9-G2QdtMKP zLr>Jzz)r8k^V%=mh$u03-TaRh9B9o>|GNn`Y zU)db;h>bOi0PJjM&${%tH??{f5-MuabG6-iO+GAeW5@F6ww^S965(c+@YRnQ?^bYM zh1tl=KN|!Iy7;`w8(>-0UX!V;@`R=dKSzik)T0u3qNG(41DcfJVBz0^W^pHpGbKR% z7|qx!8D`F`->+lyJLBNtj7KMkqGICcY< zNh|G7v392yu73eM)mP|vM;n$g{s%9N2GxxT3u<~Z&s$TrJG9~}wG{8~^=((PU#+c@ zGp480!@scwbnNcpjkjD02mYGR(AXT0cS&B$&`1{#jfbA2V@Q53u! zws-}!YghP{%Uj%kGX{mPwmRc(s3o8MBBss#W?C-k0e^-jTb~`wR&lc_y5uaEq+cVXMsnZBfjJqdi`L;Vr>Y?c7bs$xe{l% zt4)ZmlLh;nv)wvpDKib)9Q>X?4iM%!E>L->!N2P2TpjflC?)tvVub7X63ancH>1g3 z%)f4Lr8B7DqRn1RNXBCF&Px}{45COCHubo*8aiOwCmgoaUUBCpGqf90W5?bhC}iHW z{79L93y^mih6I>SlnyzZSbVnVgk55UBkUGrF;%ut&wji=`i`EJw&WDiJf)UJwE2t8 z7^`{7YnWtjg+tE)ZZU0r_n~wVSNH&cfttzuP{7}5{9kBW-zTKK=w)|R7aYY2205~=|Zn-B@*Q=C}E3dl7-()*u2zv|~m z!_kp9f2}u292TAx8wn*W{8JqDe^!6&{ihH6^FJTF#3~nCq6NVrct~O4;u4?Y@c*;= z#rZ$|VCDaO5TgP;9P?FrdjVnpn`>}LI`sdn67v10FGlyD4^;RR0bZ5QIfjWB6ik1L zk3;^?YAo@8`uSM@`G|lXBOwNAI9`c=3jJ?M`+@(nDvkP|{(tcZ{=duqj6=cy7WgUd zEc!pIRPg`lA4&e_ld|@s zb`YH7SP5oFXOP`R@v`b-^C-PH%^&@OIIyf6u4I$igfy(dK4PrQ@j3U4a7qLaUqn2g7tq*TV` zg|u=U_=V+%N#QVAm9B^^i!U>frVSjEkI9|}W;f8`NfZ-Y24eKg1`ZXJ3Q0C=&3y9@ z3|9~pe@S%+;JzBgMJ90-4DJ!b&-2&FNW5+6kbe86=}9?%%5)|ix3_n|Jp?9995U0t z?S=@ypuh>24YOZ-_n|@YB@SbSFtna;Z&}y?CK@HND=ND!36P%vSIqdY9l%xLzCw78>#ExjLo-gcs8!A_+_>7)V|S9~>gicQ=&d3-?_P`n^%w+v(& zi}Iq&1}^NDkC$v+0g2Y#eCtHCJ)G>ny37%R&4a%Onp|Io4>6 z(TH@uQ{IrKXU0+Es;iFO-2@rCzm7KCEGz zUKcWDpf*VZoXghea|B`N&YXg@nS|utNle8Py5^puwzm@`sCWy30 zMZ+iri`#ruyAN&kV#Q7r2jdU|t0?a!S^F9L28#cEku`QIzv73Q+%yZL!Fk!eJsPaKM>e+T!XL+Znj==SVNu0>s@Nf}4?5+JbsA5|>_B3j z&4CJwtzGm$x4O)68#j*69JQ@VFL%-J8S~2cr9LIKZD$x2GUGg@5;yN!s5&aJTk9kie*xM&ZK?2E4*AZ{K1Pk&F?P?=q z2)nGgH*aTIIZ}U$pbF72okWD_+50xRFsvg|A>7xgHV1sFPm155;9pt}J-k5B{UppT zPEb#-c*u8g=)|v!rzrYSK8Z*U@VjLJx2O1j_j8FARPIH-;s;n95d1pS%^wk{&fa>sNH zULtcPX{gP9<+!6@0Ro_iMrENyoy}0 zb)ETshj{+UCZXeru8IghXn7J<24wWK^e6pRny4+2n3^r@ombvEU>K1U4Xj7RYYK_f zyJ!3C$VKtDWP~nlOpg69AWE3#^#BX0c66}yyQb%@g0DVp`Fj~HTpBY2lDblw`LD`e zgoC%BG-P_$nJJ2WGK2;ARTIBtNtnwyScv@uOpvvN(}2ZtN|9Lv0>!_ChV1T9P>qqa zDt1FK=G%H;&dynwo(aC6HWDXSqs(Vbjd5tz5{XJ9*LHDdRQil;9d+!CVIe!Dc0VFF zU>w?xqZH4kWWF0+7BlPPn)4=w?&mKmO)c1Y2}LUDTqR+x0KRpr@KoLgn)& zC9w$6-E14uHRJK5jn@CjH!z0aSlA>O?6N}~aBNMhhr(49t9R35W%pO-VUN7p?z*A) zQAet+W=x`u`!&#=T*N;USY)|!I3(xWtBMLGW|hLM#1Q>?Pn&pJfdgn%{+Tx~T;bM=9T8iys19mlz)ry{m*J$k1j%i;d65R9l1)Q zsR~ojGad@U>t((pT2APDTgZd9Etg@T8CEQl>jXsH=ieUpxHkb?5g?EdeHa_|r5NWv zSH`2urrhAg%}U61f|d3Q8lFrU?HPUG;7it-8;I^^MgPIUwUkVzAOmi(ELVA?u<<%H z1Ge$5Ubh}$r$bA;q(MBZPIrY6;<%9mSKo0U>{azeOZ|Y0^NLRz_Xa9GTug$c=XAPJBPd35ReJXw43? z?VG_JmGYI)tWP5uFfByk*{9b#C)ulG>)taKF$)VJas&%W1WWSoz||aI_vy3leY}{| z%ic;9N6Oe3PV|FZ7oZ64Grx7=v<~B;tA4Gznm3vk%TNuSu2=i4s9~z<&Ld1%oH3%h z56jXFoS3#)%%)B=&+2J>4rFpR*I5lFxSUhM`1TQry}m1xzCg_7uSfRD`78V8>2kF(N_GX#W`o{944U`cEe8eIWe$+aQR z>=5n?M&TMx`PP7FK`QgG+K&cqSVW^dE%ao;7kjANIuAA!CVG-c*T|3C8Bqn&=s@K9 zFIKuLjp>BeYPQz#N7);V_R?a>->x;J1ApiHAY>ZvU(PELBOEhb&_zlYv55Yfi3tA! zf6Y~#FDrUJXr>$NZ5OeaBS4o(psr`HN9$ANo$$leDGA)<8{Iy=g5+*lLM&8#B0|@UMO!+v)d*21R8_b^ij9>`m&Q2D2uvHY;lh$HVnqb;;?Da!RtG z9>I=?Dx8#E*E<09VKU6jeo$HSnSD+e`LsCvtInUxxfqjQn9;aaALxZjqP*(-N3^#+D)D!lzj0V7aM}m4m#AFkg%4wWg7t?G z2<);F305$;?oZO82Mq;QV&DhZQBfPoO|;?B?TY)_*zkt&fLu69R{?V|#gtlliGo#e zEB2B%x^XgGyRwuVqqQBV-z`9RWE0%@FSMFfQPutKe`}Vs1vsz>qXA8R+>+iCRLV3;jW?wKHTA%G~dPWei{|PJ^Y^6^v#@WDPI1q=LLOdt1|?MwZ==My)437d(C1NW}t-l?2RxsoHXyVMC;>1^7l7A8$FJm)G-KF}SVEjTr0_^;t;nYO;1o?#@zxP4=@1~|FGh@{y2)REGaRM}A$dM<#%UANJpUx6P(0~fw?$YG@A z9v{)ayBY1#+Y0vg37ZCDgDrs8Rd+*dttg8FvQM?7T_ayzGtxG3hMY(#fcgBfrZR?q z$~op@w(lX54(G_!*d-iSbnpu$_nZa0UJYzp(L5r2WGYo#Osgcf{TL6qJ+o(Y3IqMR zAe5N^42LiYyRPlCGu*HNw?8&Es#MUf#}u}sn?`7ZMQ<=P`df96qN>Lj7lqx@dnjx- z)GjigCE`;rZr?ipmD{)j^MVsu=6jlAGRWfELbmc(!UYp3T)+_rs zN*5si%e?>=)!-TX+_HFAvoboQSV){BU?ct^*vBbbN!n*ZVw_2yOMU*si(7YuVxJMF ziCfm)H4d9(RoR1z+h%|7DeHJAUa4V#Ke|xyPyN?wbFZUrd;kg#mR1hMh;CVWv-kFqaU47siGVMbfTC@1MY7j6)6c zM2$b+eGu|RE0==Up=MAHZEtw5kQK-X-5oIQMB)CLw9WZfmnhIrKrMslTH4U@O`x%P zrPXMb)A6<$#>Z9UzWkA(G2GvC-bUV0IlZ&@Y1O_?3PqUcErZdWlzjT34nf~A{b%h$ zXv?s&M;)1$eI@x;;~WhEBm?y8UZ%s;0N{Wn_N1@Hwoupf;Iwok?}-yr97gpyqlX^Y z5nMuorn5@2I~ms{;W`EISwci#(%|$5R}0?;mt4t;f=W<+YAUULxxK5e5}Y5ybuyUq zI6|?8@A%CQ@sl7fyUdwvwNFP-XM?$2h%0`P#7@IS%OZ%>4=@w%L%HHz+R06gU)q<_ zh251&RF#UQz356OyL|%(@#RvA+37Q9a4l^iBzor%m@wC>C^+*k#&##E_~=_>uVzM+ zu({qxTyUD{Sxb-pLyk_vW=NLCs&SfV$ZuaC9DtZVx zU3i^vkp5W;z@O1hQ=i}m2m8a!LG<{j&PBQ znE#sjFNy|FC+bjxHO{t!G!f$=yoIGsYoXAZX`W&pz(q0(dQerAm+h2!oh@*7>2wxEGF@2S~n^p)^9&$QrT^7I~k$?mlivj`iJJ3Nh(^(Ll%zPoLiM% z`Hojx#e%L(hZ$lGyoWZ11iK!;z6cjZeC1-o=ztBvB=6xO zn%#VQdC@SS+^XN9qCBU=J>pP!L033dk{wIZRvw0AtCm5~-~gCyhd7m<6Mm;?)BEc! zI%xG3=!9(`P2-|+Kxx#7ALYwb@LTWvIk&I=fiwtdRR-K>PvrgD~{&M6WG0zrsUtspS6mCh*{KS^1C*?HN zUbI0UHF20^}nNX7;%6n=;z3k>pyJFBNZ56%qC7-!~YAuUz(lbAFCM|(R` z8f(k3AgfjlXDMIh(;?zjOR{>TEee9bEkD`piD;A;-M5lMIL(7)V6U7k?qD6K&>Xc| zhsAvV#7~L!hRAYcmq>o5k|?7>A2}?ruT{8Sut3m+^1JFYZ;NjDZk6tgMfyds$gDP1 z!Ihqv{i7x?+kGqK^11nD^iA0bmb;eZ15@J8Y>gdOWCRQb0w(DDAqR%R;RuDTT)RRQ zlH*MN=hiQ{(!oCz^pSnkv~g=T$E&*Pt44tqqtRlf1^hO=d;`~mQCMKkfrWD_N z-+Hzjmo=#r9_xh+lJ`!o80J3E80(nfdnWJ7Q(z-W3B7d!tqkA##Sj`=V++G zsnXn(3*THx)CJ?CzHk3$;H4o?WO@;YV?zN)c2#LK! z0l<-86aTrsfVGBGou^&(KgxpePNeI#rz-^B(=0>1{CXEXcFy*Id&d?b}c8<{Ea#T-=C`c!F&ZssmMtzt$)VDyf$at2$$#P^Sr z??OUz4(aCW`nYA!ZyOzt-uxOL?G*SQ{f_{}tvX>q*n11=P%^kWHrsAdld zUMPDCsQi?r8x$l>szXt3$F>hXA5RzYFM|$%$E4&>%HrS)X>hn~t?eA)+Bi&*UD9Q` ztd}X*i9ajS9c!H8`^Jr#!ydyD1$MmGZnx|ewLR1&bsY~s1!VQ_FZTO~HyW&@0*>51 z!3698&X?2>?N!GkKuUTEx_^yC^AXP1U*7BvqRq6dg;n_m8M*O)q=L$@2DXi&hj+)) ze`-YU+LtNFn4c(5J|@;sY#IV zuQRdA@#BaF_9_3SNtbqT*?M&IBRa~@@DCAB_a)V2H)#&6_5zlj-*yDx$Wk~&L*s%h z(8ngX#NKver-XUm6Y$AXu}cRnA#gkGh)q32+T>oZ@&Zz;mAITL(utuXqug3+k1#$- zFz^F?#~XdUpX)=y`YiIVV6hi3V(hP>O$7$=K~CW=7%$xXiZc=4BRTi6(F1@pJxPGih@#!dJc|Fqeo9;x#u%*!4@r|pBAwsU;`iLZrvHSh>PPQng~ z@;F?-k;x{LSAR_M5S_UpsCsy%_O}2 zQgH{fp49cBOLNiK$53^5H88Ca%+7pWIm7!8L0AhH%xx5S3B<^4i-C3b3p9Yjy=SSZ zS%XeM2qVwcPT3VkmgV+ylFKFbc;8SP?qnm8iOtl7>trXA>Yqz(6fYVLgLwC&211A_ zVh69G`M-yo`hLtji@qDWx+Jcn*{dBN^2X3&$5)Rnpj$)uVPX`X)9etzIJ+`OCLyZ) zvin=$WIjt|_I{NbMaKL1t6oBC)$zeMgkP*V)=S7e)A@*{$1(nA#Pwoo?5LoT`QLae zNZMFgBm!Ys0uJb_qQjm-@R{?1M1STo?}DQ}_Z$_xdbf+S>gX>35 zR1i_}Q5_TKb!D))+(MFedjIhsVk_%MJHUg3pb`OxOf}-OSO?4jBrT0YYNx{96L)wi znbKXKeKj~pFj%cl168^-xy(Q?aptly`pL&cl{+n~->LwWygS7eILuB&EQ})qf2x@yE-bsGnG<(iR?6lC|FZQYCiJRxdtX{c2 z0{e&W$4BN3e%Y;o{3Jgx;GTH)iD$ZqIVMK92O{KaIR_)qj}URR>c z_r~#1;<$we$|XISX-+YIFrBqn3j%#+j4Ob0&%j!Y>3p1hjSP>vU{5r2)tU?jp_cGj zNT^{vA|nCoF)qA}?*qN&A{>F%yl>)p!LWj82!xZOdZyE}4kf0$_d28mrtYx<<+-wa z@QnzBp&)bHtHx)9Likm<4N-`x`d1VrJJg+`$+UxafZGnk+=OY8EvWuA=5vjRr3Fl_ zY|9hh3&aZlnY*lZs(S22xNW5n!0>||5UDr& zd#R?r7r4S_vV&|wXUY-EYif7flJe%+@?+k5Y$|#iZs7ub?stujDX)dt z6s{&*utJTf!D(=Ff%ujGfH^#3~&!hAfZNFmDW%!7_R(-7Lg`=5Y#2)c+ z&e$qPT8WuLZa4*S?cPI>hggTICfdq%%7nrN35aLKu<(MKumUTi z2RsK<#o~s1doBC3y}RGHP*&u#3313ltM@Y08PVQ|)z~>pMB;E#b<$hm%6`3+ea^H$ zSjT~v0u69BJj!csnV#?!)+@2j?7^?pGMy=Z`)RZo1Z$$EW6D(6bi;xgU~~oLCH;h? zWTiEloh3q`)5b_C4Lu6a`R1xMS>p{wT&deD^ynYpOGT!g8dLrG{8-HOzY-k4AvkI@ zP=!?F&~6!~ClnEeb9rlVtMfIgtL{C|1o-Whb9?Pc5l;ilGsomS0-CLn-Hs43iehwZk&%3D5X$#>H!Dbl;wMr&=HGt>M5s)})8NmT25x~5Ovl*UVA{UJ zxi0bU{Pc`Aet^fA`su)lU<}W|=6xO7ziY01g3(RR-IGZEj!2p7accA$wm#Piz#19$ zuj6N(HG*rwv8sZBU3Gfp>uB6H8~b_c2Emad1-b-w=m2xzkXDK|>d`Q+IKqfRg6V=N z9{vOfdyBpns$|SkmM;S62Xr7@i^fAu!D#;Y1JPE71#FWlY#oX~MKVO_mi^#kswY)< zL3dC7@zMA+aYoatNUxVv4)Zs-FXZM*2!q4|O10ltn01xLgJ1fLx zr9;Ey8m*Y^B}ZJesjv1ApcrD(L&cWn97lB|p(Fc?coH={bIY2>Isk~i;ur2&%*ETY zRfuu~s>Ts)>tc_tdkp0X#>&t=L3-}HQ|7UZHA{ACtUA4h)9=Br6n&~+L!i2h_KiIO zH3$ty-xB1zdTy&Vg|MXC&x^a@9&e}$9F=7$EYND__Sgz)nRV(o;e3NTl2cSi&R~BC zQ~4g{aQZ;UibQQ7#AR}_o)ZMfh}Ipk!-~92xR+==%OHcFTDeMeWu*n=kOoYf@T(C) z3T0z!{U#Kh1h5=F9bOu~OY4?{4WFmO?=z(@W~O6&!3d;)d78WaGc>qB=J?iZ8u}P{ z?@pm*2&1t*TIIfo&?o&~_TdoZs*8}-lnKFAtQpUiH4r5APeQVO#-VlL)_E zl88>Nft&~AIboC(x~t#J-?~t|?t4)HAJ&XIpx9?U^U_OIHHAc8+zlM0=NWrPijkDf zs#*hZRo&rwKuVLtz|7k!)j9Ve%Re5S?WwuP_7G+joDik4-S-ympD5HbRA0$%5@h;5 z%eB3-bi#RRsZ#A+qQ^y^Xv?SR*ib|Y=o8_|>;pC8dT_2zA-b8s+&R&=ZG)_p0BzJ# zSfN+!l@HR9P>p928OmRSDF4!o%9&WP@;5PK2tA!VU4W&RqoqyinUzL49}zW>`O9jC zNR|xVMfB#q=Wf{e`KQSIEN+&9T|Buqx7B1MK4_wj*h7Sa}B~bhs0j--|X3(ZG zsi-4NS|M*GAhRYQZ&K$Z8<4AWtOavS92)>Bs^*Us2}=FO*2{v-o&u7#VG_hHM90z( zAZx$aN{H=3&y#3K!i%g34O!%d6pxjZVR_lBBMr-k`-!dbf^Czwy;CTf@i3QT{!&J= zo;8sDtJB61g4lV=w6e|kYrA@e==qHChfw5SUrs${bPj`-KlOd#a?>kp2+6(k31cio z#7FkWOJ`NNMkX0E;y9%4w1Evf*9G;r1DS4#Oa<)^9J|}EW&1cl%DnpE0s>~@x+OmJ zPO=`N(|~E3gKtS1xC&z;s{5u8A{IWiDjV!X=lSf2%&m%^U6|ga%E-8dWC`0mBhzG0 zY#jl;;Rhz$IefP5((F+w3%3gSLQje40Ws)?Mf*+ABbA?ATfV&h{*l>oyo@*!N z;hT#J=@Iy)$sR{|vq)GQEq@E+hOe>9L_ayl#KNzbZ$kuB%`Ixog{d%sG#1g?T_a#_ zRaVLkKI-^%QxdpxnB%$kXCo8TE?ckwPd6Mj4#D(sMDCe zqqMUr)cE@^mLBnxO$@J=*(yi^lTn0Tr!V$~R5SpOrz&RJlHyAd?b7*1 zWH3M&a$a+xSWX+Paj3y1_gA>9#WxcB#*fQ9kYB^7 zOrpq$_|H+DgMw9*s=2s}Rrlu@> z=jecMD)H91qDOax4`7|Y3|WzeaS!H$N7h(MN_5b{b*D64XbJIvz1qfNt79_`UZ&X* z94B%$fV9haFt+(xRxfX@Sb*q(v6E8(`;&WtZYu*d&~O5J#QE>mK#Ol4bCCvv^`CfU zHE4obVx#ytDlV-U7O7DbbBDFQVrH1pB8P3M2h3E}G+5&!zJkxJOm1~TYpgEsXi}*r3$`IqaV-aKEgLWXD z%GIHfFU`G=YSI!f7&VKP-2!E;HWJUqnDtjZxC|c($zLp}$X`U{H}6N){G_uGDB5x+ zy$}G~AeKiVav2Ow5XtnFMCf*#@hBY)o|+A~rMRkf0_#z}Ov(}VrUgC<(=}fFc^;sL~ST_GA^kF(R8_R*1 ztlMd?MPtHH+F&Xu?3e!+Vd1k_Cq3L>MT3cr>lrr+2kzZD4>N{|H-d47kxwm*wqmRM z%K?La11{}&Fs8g)!xsd$-hW$sa`3Z(t@QsOA_0jScY^1ebxYj&Ms&E~KH4!#d&LQm5&LqqxG@F0 zpRp-|d!W%MWcs~Oo18yO$XjYj6;64^9!6ujE!fq^*B}rM90F9Fwl+!~wJ2Ohg&z5u zuevoa^}Q_$1m$@;mV3vmvmcAWP8eQ_T20yaH~mQEM~x&l#=hke^ef(APUs=NX3xj| z5UK-YtXr>>`0Eex*8{6~912uk255Bw8&M?3Z-L?yLv*}_Seb33u!@*6B9xT(2ZBI* zxq*frP4tFL&AS}$2x+gEzFp7wA5`M0_uF(^oHs1R%1=HpWuyot z%+TemC44Cezdy+D>;&Vv*TN+oem+eos{a|dRrnQeVbN~b@(8W*%v@W`YdKajCKGt! zaWIV%ofBaJHNV?)C0Z6lds#JV-ujj*K-o_er4H6cAv-g}6ylcJkO=33w9*TgK@VG7 z_l-p!Mh`3fKkR)|kZ7^f=h(Jw+qUo6wr$(CZQHi(nLD=aJG1lu_F-#ZcB}SntG?<= zDmf=frMmhgos;fgPo0{Lt|NewoqCqEX|-a=f~UsHk&^lZpMMUu7Qv%VoA81|arvWR z8ZX33dkL0hOvvM@mq(=b3NdVBs(hfvFqIy{-CtC(#r+|J(%}5U9(M#=uMFv7*i!6i z?|}ns{E}emrVrxb-@NJQwWdh`Olt%D8X$h9G?Ui|jkV7gBP8GNWv9uaT37N{P>P3i z!za5f)@*h3_H%iWs-FI9Pw5l-ygqIZa6Fr{Y>nc?26)W18(~hUJynQ-wEG|udd*A= z*%>`$oLY^ZjkN&=@{C#xW%#sWpQv`0yH(+K4X73mSs zcxn+i6c!T^hstQC*&8Yop031Vr2;3SYelri)>S=f)yMc?hU>z~aRGfqb+WxrsMxsq zZdJjQHo~4w8Mxtdl`_jIQx6GBcLPmjCsmnhlJ(=yF^ez-a_1oGa|AV{0Ph~Gax<;K zAM|Olp8F9_gxIs>PlF9jR4)tSF>A-Om*=yCZo*+upJjg=u~+^g038-4aQH+9+iyZE zJwe1Z#qu{nfe15}d@^Y${^Eg-yMaSlB6KFA-yBHMARlT$n3j|9Xh{{;s(7J?b8k-E z>yA0ST;iEUB?B~7_%$eA(u*?Al#2OvQE@^@$xvl;WfvbmXhS_5 zPn@b$G@M^_{0xZ6Q%KRc!e5K?ksz`I_d23y5o6z)EHQBvn%SA**8`=Iov9cusdWvt z73-R!dm+o6I@2Gfl0{$T3~85OlA1&iadPO zl&QBwQ!KGEEx!Tw7_~+Si)di6Y3Y@p^LcANS!92Zm|=U21P*4IQ%>bWD(?8w7(slB zf@*r5cJ)+6#i=}?z3vFPGoww;M}H-~^`S&aM0zmsOexYlchgvfFGtd!o#u8%Kr#+q zHM+rcnbV~%pez?>yke?31|||d5V0MRH+Hzfs}R72spi=%r6B{X4!dfAWa95j^7#ldL>T*p?eZ_>WMczKT%S0v1@+1|GoU zt{6Ky<_)v0)Qz?qIS3MFi-Kr%R!ACk1H9xofdF-S!yHhkk$-^<%Am^FbqhS{AG(6T z!A3ce9w|x{^;Hf1Qn_|X4dp;oB9^coV?l`o6G#p~+@=6^_yOZ9x#G-OXQxR6HH*3^ zYz5clg)ETMs6%;uE{DIVEs!6RhXP=&p+g3PI{cAkOTJV@Slsim9uKs6JYN!Gxhjtt z<%{I;Br>W-MeMk)MvORt-C*;y+V&=w!Q2pIDAx&v({mykU)nT}W$9^@XU61kg&V2~ z;@SRoNpSf_|0FV&%m;MU0Nk{$< zOufx%(R1_}3{E)D2cJ8Nd#5*j;iY%zrWCT2Xk|b?5v)Y(lZz8b16>IThk*N|)7i)N z?o}hFC%=3cZgME3E4>4n)ub^{{qjwQ=|8m37%bTI<-2Fz!%EBYBr`@Sdmc%Ko)U~X zVwj8_(!nZ*cp?Vio{MrX5@Hbqy_}iN-;Gm*-6x=qq9S(3StE^Qqr`1OZ@Ws9SA6&- zQrMjN%Y@5RNhG3}%=9s9lr7>=ZA)>lO5=3Go70G%@L zM)Q=T&J^yzLbK0?_J|EZy$CjgPV$h0{^C22ds|8z%0z?cN(Ked+cRL%2(=ca`V$f5 z{vo<58Y@216&ih&xYHA23Xl@>xDBr)Nz*rE5Qwu^Rw$*x;S7v%CL2)Dzq<-5Qjf|F zgxSA6e%z?v@`F1L5>S%L3paf?-}-7bnlNzWL!PTJzlM?}2B>)@i-|F#dG*UCEIaU2 zI8C~1Frv8hu&C=HobuWyqwy?Uj%uXVb|e#99X<%FpJv?Zja1fHoEH>yZbkv)QYd@q9hjBw>{ssE32{mr_VSR}av;rUUF|jACxi4zu#HEOO z;%fzHDIUL2hPVQNy}sq+Wz;$3DSPzHRY?(bTo1Uqa&6=l#h z%JPoFjs#T~0+a*6Qa@{O88j|~%2Z1Xl?cTG)T+?u@%PzeS2FavsZ!hr;iaT{^ zC{n&+jG1>u=GXJ0S2U1JP2Z2{Sw+kq;_`@tox}xpM=Q7O61i1M~`- zo3lKSMkNSXcFx{{WKmyhWShv;-^jl@BKoiX@%cbE_O}y?Xkp*MKn@VtFt209)f2^3 zGcYI=P_C?$&{iljXys|iEq%!?y!sgdFN2kqSGGRV@#J|z9R(h~L&&QfLD0GorVDNJ z4l;u9)F$`SH04`Blimoq(i-4W8vKE_(`IDqSW8YDx0AYsjp`X~!i%hgnI%(sqrjbO z6*Q~s%~E55``Y-m)v$p0ik(en773v}=FKVwzT(FW9R7ZIpGxUPbOjg-iRC!egzJhd z@@>zZzdO>irXmx~k+j~Tqzc5G9u%P_IEGNv;N^Pyen+TTAuLnU+KI;WAOAND7nSPZhM_hPsJ-D*1zk2;%fR^CGqw-G3C#}{v=y1*?i zED0mq0IO82RY<&`GM`AZhgMwhtO?AZs$iHHGpV5`6q~oFZVxXz=MY+ko(UhB_mW7N z_$Z9BF9dd4Ep3u9D|zn3gs%-gNxF39+6|q;iUs*QA14uN2O;0hXl%Lw^Aq6>7e9T+ zt5~^>A@smmi4)HVA=?3J>j;~qoR$b^ty@?rB1pB#s%M}pR3u5IrplbWg4RZ`Qn$C0 zXPrX=}*UON1e%t=p#%>ICWLs8j6~D(qixIe?penS0j{ZdGh9VT)@0 zAu;KxKA8!E+f0)Adn9LkeEXAN!p9wm_Du@5)Fgj073qBq7|;VW2{?(<6sI0F5=lX0 z1v_!4Xn*RSAHa-zZ;M-o<5~sgrM@Bj%mViitw(3Aad04^PT{pWk>kWv|qT3tfw zfh=9Y_+8f^OHY8X)%GqKMAa7ti79iIzKLa_M(yM15YGW+VIR(~B7 zRt6h$@ue`v>}3;*DhSTC(q3u~_vL}gd7il(XBy$kt>2oPT3v3+toc9!X-$nGP;SF!BKMKM4O>V#8SM2S-uDGRvP8X8_p`}i3yk|EcR=L6C~L^0+MAb`8EKs z6p%K0rojib$<*4eS&Xq65yx^PH=-didNaLfTwP*qz?Rngjfv^VgiJkp?WLC22nS@? zm|^12g3}tslvLQp9X)Fqx{1wgkW6@T^h2QP-(E>$?`jMNMQm@Q3kcHS#!ST)AtRu}C6I^Z9MQt`8NPk+**-^vAvjR;N6XKmwoQUU4nm{(u(hrx#G0+qlsZ0rYPqKaC zWQEr2>iPdcZrC+F2-nr7x1F>R{3??dQLGaa;W2w7@>d6!jj@@LKS@q7@n;d21m&Af z2)N$oAPi=j*5d&4yEelbnNt{$866-nVjGW#CeLb!?S%YQ-CP{DGS8f;rm+7eT+mZc z-A8c|c0yN>9fFks-9pD_hat|I(l?{4Mh^`Kg6T8S1uJ&NDR#h6+SUExM9}efKh3_9 z0s1RFzYF3842Y6ou;psjFD~cyW>ei2=cy3aU?((2ILuxsKD} z;U7eA-Gh+rEYNeLKs*tnbSI1V7e-lY-f729j^1Coj&0D|nWQ1&t=`o^;)YZOFGR>q zD3*z3=m|lOf4&IhP>LO<*oNul04`2$Y0zP{={&x?ZZa0h=v*e)_fLg8B*<*=UxBB6 z8Wx5+xxq?NnC4PMe5Qv>;ene8P_&&1QI*;~w=CcAmqMj$3vrYh$H4%+{C#eLXMX+V zSpOqYd36X;rw!MIXa4v-N_D-#uw^n=q9Ij4w{8{S7uZP?`CU-A#A}($UURm17D~G? zZA1rpvEnTQLxbfP@lQoEBed~$Ad{Rw_4gD28A5i&P%l67cKgB-P*usT`u?X1z!22B z{T<}2P|#OP@p-uMRVUMNcFUTm5*bHLeAuiXi$g(nGoc`_j?37b8gUuqkO%!UI-aU+7xIeUsi^!OGky}Qu z)Y*@lP{f`~=yP&u3E8nP>u@Te23=ouJ3t*u3MJ_M3Ji}8XHZ*$8H2hKF1WS_q`4HR zMrIr60?^;E-M_#A#3yunnh=n~BmI2AWLTTk zJ!weBu{00cdH}wjOV18D9Wtg93@$DOiL>h75q7 zRK=2MS5}pbXFwuDNo}rgtT+P66)g;a08^%7)%kSPLcvG2r^Mr0lQ>AM-^<`6&+E~s zBu40=$$e{id(8MTY@WStt<*`w3Z4LgKbgW?5*lF<=}x*j418T~J*_4Pn~y_{_8cIx zfumFM8rJxw#`-<5s&s}21S)!8+LN0?7+vr(9=;^VmgrJSy3kN>3ZEyRW3;N5qi70H zcA5nL9Gey`%AFM%_NXL}v5vWkR;-}|Qp5)6T;y7qI4(r*LNT(hL*9M>Hfs9+8q&g} z9VnEYso1w&DVF^MWfL~^F>Z2287a(`vy40;ybY@e0{_tVeO=XCrID&JqyTcqC3;{U(iQLSe9PGJyz7GouJniT9y87b9 zUHp{PaN3W#Re(u~Sn)}J+m)Fdd{>xopC?LWiY`BmqH*$EK;Fc`V~)1fMGx=^+8nZm zH4Ul>XqpC-(g+!T0$l^|cB+!6cIuH6V_eI}kB3=HRyHe~w9dJHySMOGMr189LDPg) zHJBp_hK57_*)z+<&Vd=d4$p-cH`>RNF#XU1jL8!qL;>cJ4(7@De)2{x1FTO&{#PRX z3@{?;82}xc=L6QGTSH_^DPRKt){e;DU=w*pvA%Bqnj}JLgYkCply8|uLHyeM z1inw3r-c1mI z2B=I;JV?E)9rL}dezpe*fA&3)p|Yn5;>kHvcUr6vcHZ$K!uTOHZLGhxL9s|F4;j;c z$CYfQ_|$?`Z#JyBR#K-9hXC3*{X921ZR1556H^E&-63gg*eE`K%}Riby~U%iCp%?4 z!=wo*!BUcT_H`Zx8haQa5(U7Kx6nBeQ%1ll-Q)h;TE$VERQN+9FB!ce0N3NXP-R|d zY!|2SC#&+|j$DOf_b`QeJt|hH6`VYYQbSDQbw6D&k)OmUY$1-z<3Y-q!dZIpF(r%F zQVzlBROUcJK-U#F{!mPi+<}p&h|dS&2mobBkC=(H<%caFl1~UPw{`ix%UB%iU@tr( zZR^h&^=&{uSx$YE{3|6^HX+|WV$I}_=fe?S)M=z`e-vlIy0Y@7;L~%`17W6}i&2HZ z;wux_|0xKlD=7{hg?C3bsj7hxiDgCVUH~`w=QUS1#rL+K#bo&W6%qg++NLD>`VBR& zMWn_t>X5nt%c3)aAdruIw;X=V}uP@z+Tx2mIw|pOKC7pU5z?Q6FGO zKVC4%rsufB54sY$39NMRqE{Z;S%EbZ;T=>^A=jX@ULGPq%lvPSR>@ z`<0PvH2@0Hp{|^Yc!z$Z3hc2Ib>gtQ!QG9PxE{=niU)6cAf*2`lo%%-S|4cwiZGH5 zW=U-=8ecZ1TmOae@Bz>7b^oer)aCEfa%GQEGF9rC?i#lTvt2(3cqXb#*cm_fh*q)> z&ada$S<{L~p@|zA)I^yD?(}M>T+sE~c6R-Gb}<{}{R*4xkkSI!u||wPwMY+T#1HR zqeztffPK~cpnt3i*GxTCF;)k~nr}}OzUfl9>3eO9q*4p?c$qX7N! zO5mN7Gf+4fh8qIU5ApyXAbMP>(NkIOh>EFtUmhuzL92q6xO7X!~yOmP7qE7tCr+4=ZIRG0Z5 zOipDt806}s5+_>9;P1STs>VZ^Bu~TB{GYla#be*9!fju}k~&|w0?%gI{-9#=5%K(? zPcL6qVLaNvo|(uON14fwKcpE%y*#W0lf>Y_f9+$7uq zVbq(vwZ-a?7>LUi^8OGB$0z>P@{iJr?1?P7(VtVNGQe>awvhB*xeok*{vLJ6?7PKf z2Hxl}w#LI$#V4bZ$i3y*tWP_Me*yQ~x7R6ZYj^<-L3^hNnHCUJCgH+DSv`$UsL`>1 zT)x>8KY7-@KODc^@fI>{ES^%7u@A!FhP)EiiJza`IXoBYTnt+~JFPg&Vw$RpqR&%A z(-NYq&05z%H~saek_P&A?FJZIyM2i=a;zD|&8zCziAaR<0fausl!(4jLh^rA^rp^gN zru$?DPu-f*@7a$x=>#?Z2?%OVfRXxL>Q=ZQ9&VzzX#~J-qbBLxxVugBFDc66JT>Ff z$>xUt%Sy*Fm3fw+XFLHmh z?hkdCo~-^UOh3pTM1&vuUlVRodmMIaIl!U3a+)UO#YnYc*wEMZk3xkBDM=#7>AMZX zPw0@U0Gm~kH)I^p(4~Ana()5Zqo^8}yYw2uJ3e5h*&*6|d-8s6DE5w36sU6*lp|wt zs(awO8>Znbn9&i3Klag&1r$fI_jGtW2DT_>;(PPm&fit+4BmU&h+kllaDn^B%F!z* zfFZyM{Zm`%D#3#+$`n_m7^QLMu5_bZ>99;F2e7q>C#Mb%%2hBS;6(y&VOToeFrVS; zX-s$mIB{A62K@c(VC)^{XjIZnl&gXCA?R^ZJfA*?ST=7dfj1CQPxnyRK8m3(aPAtG zwJD4p{_I#+BsJ3&<_0#1eA?i$lAmNsg*X1zdxpwl0!~o)-*k7g0@OdCu|o-b?pu3v zm@u5YsVj%;4K$%&5N03;3|&6ODR!XV;zGh87G(K!!*GZgnI`Yo@z01RnMJ>$w&8i@EmP#ls0zRopa7b$EolJ+-xZ4ct&q z`t-RTVg5FpDTNjYT$vAileX=8(_~%FyZQ;G zUV5im6G~whuf0?0U2?YEST*MCSJM#6lBX(+il^Fp9}@VIFHXFC(o`t=ZENn9CvqWxF?-Kn21sqXowqq--y+&KMS`B`93(va})XJVCfs)-Ua&+GS zlv{QbxPK(S#}U}?S#+CF?R8O@MkUZ4R~MGh$&rW=JJgG#h-wIXH`OO(~VZFGv~-h^(3{x&)-}VJ;*3jaI@4>6wS(%(LeM*)B+W z*l#lipy%I?G>-56XqC%WVy$K1U*UhdTnJMSx%us!2PifJi6Nj2b&m^Pz?WKnyUG4%P5zS0j)xY{^Fx7Eu# z#dy5Jx6D(td6jImYSp4Bq~`_9TRO0dQ8X&V53bw`5M^x8a5SneQ}ZR?{n-q-XoiAP zR(;FUha!4rtacHPfD1CKwQIiwd)9nRFu{8dyKfoncA8J3^mYxDSNWL4^NQccP+|%> z(SUf8`Xf4*oEndhVU$o@2ZA+M=mXlBKU{188^t2W+1t6ip#cmHqc`0^p%z`$Ti@u= zZWw^4OF5Qm;bWBuYmY@}=;;;HAC2lrOXK6XMSf{pWiw2LG-vBdX9kcT4#5B2y@v%# z{0-t4#Q5!g&!{b~!I*RmK)o#N&~;y9iqXHA=r*#)yw5De1MnZfw0EX>v|bv_#I?3< zYmj1a>i{75Yp`O3k{_e=Yp-#-DqvWcx9<(yz4JNHqWBqt15nH5Z^3;EV3k`jFY(q^ z2Cy6vlZAuQ^-X8VbEsY84I=A7Uzy`Zb_$6%&c~RC+=WZv^t4$&-PC(?n7CM1y)SDU zF2BAUO7PrsEM5_YvPOL)LhLwz^O zqE9JeV_k+6JJIwrA29I7lnyIo$3W-CvY`lrfo>R93~%_3#A`nVbiKx@WBJnK?um&4 z;S|w;@b;8Q?^59*JOimN#%C{X=@3?8TBG+v@FEqA{t!e1#%LCqWxOmN!xNcC)?Mij z-YqMcjfq$xjL5!1^G5m`2H*waIQ%spTS9yQT*7a3b870svVli+;3!D)vpoIOVghdZ+AE zrA;e8`F{R}Op}+8Wj)1|j;R|+sCPtFU<13YFrejYK`NR%Ic^cTkQ}%4+2WwsM;?0P zZm|mW=~riy0rkNIV*kt@aQODddMWV3N2T!S?3qv}GlO&+lEepa41KGkNTrqr(lJRX zWR}UPanwX0-d#m5kqBu4bxbq_%8sUVP5xEGZ-2 z>*y+)xZT2+?B*`J7WbtfxK7Kg<%?=GDaA$elBgOBkuiiAq=qV}X6uL^3&~u#f5rZ? zsy`uH($CrxJ!FPxlcJ&tovw$@#SL#+QQ+4;{1Dc0j-zVr)IX)My>3{MJXl6+H;{tk z9)OeRb;KT)r=pMAv0)}nszrju0uFGJ#cuqH=fuK$qByzT>_5A>lASuVu09Cb3bC^Voy?G zM3x?uLTsGNZGfp+O8Tw8#;ej2q-+3rW&uPuB1P6g%In!6TFE>2$$k16hcgK46lq2P zIKR;Uw@pr;KpemnyxAt5ITY(GT9lWE@qqT}v?w&zCE3DAVBD&P9DlP+A;`gg{fY}y z0W%9H=?f=0?7(ox96@lii1-U8w?0&KrHd0!FsaR#AphFej*karjp0jTI)flzhly4K z>iAL)0fNs2H_(H3EdfG0gE8T<2htw=x)s8Jbp6Bv?GO9+Fe)BNGnE<%nBx=iNS{hq zJ|W^jORz>bnwd)DLxy~Yqsij$W5nmduhaQbP9vmp&fWx7ZNH$?VK%dldiE)z$2V;- z_=d!Ga?wE30jW2{dg=H!Yfi@+v?1PH%eB;pfMI>ojXfsjzHLAa0Mem)*9UuJ))Y0y z*}7CP2n}-{YC(jUm?yKDCx5=~lHNEvp1Ly&1+~mzn^W}g7x6s@#t$NSdEQ4i8DTJ{-%muWTaxDv<+_&BlNo^{ z?Eadci$m-7wnUj{oeF+s5$MjhtI&is(_C2v^*vnL4+oG|aXeUD$FuJ(2AC34(R2^* zqmlv9O1JP*j-KmmLB0CIKq1Wots&}$vZgXkQ--y+;r{uKc|2GXhH+430E_oNGLho& zwRt!aH(AG*$Xa?_Q~6UPyEWe{t73vB2y+fMXx%(e!(`eu*bq~kN96>Fq(2?{`FB!o z5PNVRNlvPN)1*=B0sW=ffDWJu)jGWdaX$KvyI&Fly7fp*9s}@D0LL?65CmgNwAW>e z0x6#BE9cyu`C$`<-Tz55O2dTipWwI^lx-VdA6`yiulHAec^!)|&P;%jAsj>p`sHbZ z$P1U^N%b#~O!}q$5F9IkBT0!N7zgCY(m0C6PRl>wWz%fSsKn^BvGFENKKgEWZifL1U7jYXcHu4F&-8CYLu!%$*a z^}t~44rVJ)ZpFVy&6hk6u$7!G4M}wlWG-mkVdb?v$^o|L=Zy>;y5p7|V>M0b)^_S9 zDU;|~Qn9D*zp%sS4bpay_U9HS4h2j?NvC&9!CILIlL?^HDm|9BWYU6a`dm=cQz9i! zIHe6(J|I0WimDGF|EN>XT^9(VX)rpD8{k6z$OVo@J-r+Qs?!FYouJNdFmObhG}~=M zSb;;00^EHo4yr1ewuIVxnZK8-nu*PFj}(6o*7i7AsCx!GNxMrjWh`LO5530GPu@LT z?-)MhbP1*;Wj94N7iK8hG{(GY*hFW2|4&o*izcL0`ZgjY{w%QCO3%ZYX1BJw>S1CfFlD|j!N#DLOOr2cnX>SBRX7RKjK7^Ij|_db-p_ch7Vi}oFC`% zTFr3X;aZt^7f?})z7Hg3(NKs;Bk`f^WIa8T4E;s|;MR#=ciK4b=T$BrBp)5Yzk)bL znrHeTa|*0V931#^4h{-s&Nq1qtjJI*@H}u7SW#hG@c(Y~-z@n5 zRSJS=!%QH;b`wb|X2IiY#PjqheSQ4oT^laZ3rFC|J12kkfuzpVkiWDt`4BHA)d(ex zWxPI6=)R;5KMLM-a@uvV==H>b&FCDCx)QxliEUZ%9c(~*8sE(vuo~ZiaJ4tu72B+} zv6~j#&7<}_<2J8(`$&tl6_SZyB2yuZW@H;#6bHo&s{01j{Xzw$Lm;CN66z>Z)Jf{R zg$kMeP=OvJE6OJXuRv2xDCqIjT?|J~XX9`rtA+bKdC{RE=FRoG%OjClXBXunkxj@L zCS}CRrjiz)CIa2@H=X~?J-tWp@t@?{K$2Yux8aGyQt%p{}R zZSgO+^Yy03ty~E)I9w7RtZ+Q;TRNIbid3H3zB=RkT_o$z)C~ z1%3-B&RbS{;l99Nuouk6{qqXM#gWE?&zL;^(@luhwa@Eyr#rYW7tt`i#8h`NR^lHb zV@o!>ItEYgl(Co0-UJWW9KlTI?9Suyfd9mP;UawZ#(l*J<6#9Vg#C!RcKuaLK4CHB zH+@hrAe?e)_m<#xN-v4z&<_P0#Sf*qXK@csEjOjlO%inV_Cu0UmY zCznW!&NYtD2OPGX#Feoz3Me3aPm6KB;HRo~w=+3V#P-df7(A9>l@b@GuuY;P3eT)k zoi;royx~lapW=03__0Pv*G^^AL!wWx=mQ=@fy;e4KLI!lw(wpy&zCqX5hEH$lgkhT zR$nR%9)~U3|9s8t?G8EoQXFr@`mAV{qcEqOgj)h@LLIG6gfSdNx*3CRB+Yop04|sp3QN*`yLR61HijH@J4VDe>X6LKY$uuL@;-;*LO(+`dVqbvxl0GM9F18`R<{IA+&bIqm z&tP99G8DSl+D9w4*h>2)@v0HS6M39Ybl)OT>nJeee$pHUa^5F@g7HK1x78}3nL(J4&SInYF<(w=wVe)0*J4Z+! zl`{DAZ4xTMQq^*S@q-|wP11F%Qp-X-TUiM3X3`0782F5)a*ILXzR;Hnu!&-Bd`K$6 z_Rj_pl3uS?32z9BPrZu?yr$ZVe%2(Owbn+E)IZzrQ7tL!XB^+XhY5NpRH6nqD1Q5Q zN;Hv-6vd5zaOz0kmWt};+~);f=t5oSLYa}ok#O6|v=qte2b1gjaKj39G=%bHS9JuQ zMuN%Z-m({C!3Jd-iz^5Os+KI3QL8v{6zP#hfB%<55RrufU)m2PwBT;qaHbagI^Lc_ zb*fZLWJLHkxdX1Jsmk7JUJoT2)F~h!OFbk6OT9pB=h#9fRe2xC@BZgk50Qpu393}F zPdr1=YcEUjx-<9gm#4_z8O%<#DL4~BOja-2R6f+78FG85pEL0qYfVx9!?h_9=};P3 z{O}Dv86jHEwnR~|F{)I|sxT*Bc34fJ3M(95#wh?zShTxS97JR>rsEfK@ z=L{4m2bQe!sCt9dl^O<{A><82n2jf-07E0R}jFD;KO( z&qXfzJdvg-SFUdCFIN%`er-P&HKSWV@jwaz7aFCWWfoB)X zn*?X#vZs!!eYl`pT>j?|!Qg1zxHg?BERFh-^r z9?y0wV#1^g9uxbExJUv_eBrkhd!zc7d!q^z{wMh;14LXT0wArB0+Lip14$^Sfy9^7 zK@uqFAPE$9lKfYv|E+b=hEAlUZ4^FO3kwZiX9lWH_m`uy5bp~-1+G&mBQAphc zpA3G#Zx#&&0LynlpF5pjKH;mcxL`sD&i$?iEz^T3Y*7!m@v~QBH7Hf0`+(El-h5?o zjN*zbeI+x#3qt8xV*4@;cY{Vwk-Bq>2&t7D@#!|1X@};Ed|5A^Pb;tQn7(gu~ z9(8^ugQsPE$r2tZ!>955G&)IDjB_bjvVzU2@EJvg7wVa65)YgL3m5Jd1i}ER7M4Uz zNjpDT=43~0yiU_aHIQ#Zt}~9pGuvyo1T=(XQgKz->n}FbdHsB8fP_` zw}w*FX?v%alyY?6LTfj?%Tq@_4ixWWt)Wu_XGVUJ<0nk@1PecFyeTG+kio}Ky6@l?pmtp$7*iKSh!c{#6XOK3IU;`j0z_HRA0@DSJUsqAo|dPiOR zDrQ_}`d!~*#LkwthH(ZWo2CtbmfzU6Q7-<%k8{qS5&qlu7@o2wC2NkHDd{XDc$1O% zdm<$M$%74lI3YgpuqwXVy>i?c#(cH23`=qA@2UNil&{3~{nsbUWdQZ@&YYy8b^06C zamO&@$vPQZXt0Rs6^{}tPp%%urSI#e#nb4)A7RIBiZSFXR4EJ8gaK!W~_OVvI z^Je$fKf_Gv!ITqbGCi>}Y{wdOdj>@A(kTq#@G<9~N94~g7fC*34#=|79wB(=&r z+$zK>8n&gTf}fJ#?`Eqn(wDT)l^dPVMy}&EjS}vnVf42yYG^6G3c{KA*;(5h#N7Pm z)3l&Fh}pmX_152rg4r2@;U|Yy{yuBtFDIkhm;|jXusq&;ETJIm=nq!5Kl-v;Rjp^7 zL~Uy=rO%Z)-b79i=deJlOTKU9YWE2`iQ6Kr4ruT8ES`GuOY@Q3tn~--j%=s@g5tYN z)C_AOG&{(MXGkzv&At~9s!@ceXjumsBu9@`OKHtHZPY!7CM{IyZb&en=B6YQvBO$) zOebMWd+;?4tX9#%+Y`nz z{>_~|F`C{Rcz1HcgJy9nr`3f$h~E41naC0#{cMp)d<|08Ox<0g3gyF^#Qwjh5sx8! zS&|8Z7lc1^Mh(uy5rj=1*gwg*Wf+eiifnMBU>GSPVoL9ar6H)We${5vx&ip*H%FHa zju#&#KRF8xcXhb*^o+}~v51!98}#e@oZ?N}T{n8Fwu>CZFIQi&QJDfG=n)D%{q+Sw!;eeukW;18qSO6JEXX4SGUlro9PPJ~Bx z6AMb!XdD*s$HkndyyT-t3-ZG#j2arHJgJ+s2?`Z53-Y`tmu4$p8aWj{CRCIoA0{ny z-rf&r;Y25wXf0!nouahrdai>BQJY_Ue3k>H=H;MSD^*-buO7la=)HL&wCl<2%Xm{4 zu+J19iG?rfE5BKKT->G+C6;BJ$#dnV5uaT-@5w8ZWn4*j!Hc@;e`?Z^n?@@C$$p27 zD=}X#<4q+IUu+Jm8(SRVhiIV|exTl7e73!d*y7mFY*Ru%=L? zQtZIF9?@F2|K`|>2_*|6oXrB~VE4|%=CiBbpqZQF|1+#Q$ZQHyXy(GqmLo_B{b#Ps*iafIx&@E&9)Fx6E_*3@B?TA;3BU;{KO4`1E zbBa~3DR-9B$X;nns#EK3$JfYJ21SCP@2(|N5o=lI7iPN)>q5sgvRNo>{8YUN6p4|58;xBsU^6W#5=;E~vbSE&_* zT=xTU&^(zloK3>(xHCB3o%0AwCOKs}+`-0C=PVM7XLK|EjuEp#cBku`$Q`zn&K|^} z6X=Zx<6V{%82{x#Fe(G%eVg3SRow>8JnOg-FMTefjqhPpJG+vzBbQy}m1wS|jzSx% z?nRIWo1o-~XeoT;fu+ck(i-w+p+cuvqbn1~%7V)ZvDE>ccKlxDJ2590%5`x@pdxw0 z%QIRYE5$jGelb3Bu#M*P^2^%Mt8ee#IBt(q@9dI_sF{nM8~jt@S%{CDiQ(G~<-dWs zp7W(dsEg>$#jNB=rlh3FQ9HDxp~Zuh@Q_Uv%5>ko+WIq!Xe;%%a4yP^0^)=!smNHF zxGQ(ZhQnTuwo&qQ^?|>L1~$+QMatqOnuY1OEAvMO{%C_Uh40)lb58E-dS6X}TRkJb{YYFbN$=hhN4 z-SgMh5&MzFW7I zn>vQ7sN`C1$FP0i0k4!%bAyxE8=BRf&bo?d)xVtkz`aiMq4fWoxI(gW?xveW@?`To zr%EFGy@eL@Zjz*HL_U+dNr&yZlG2_!+nuq1vNN6TqgTZE>58YpwE#Zq-hHCDc{~q)dT#0?)G`LTVstNJ|3WV*ofnS^#s{QA&{}liE z?O`N$k@>uit>oqewF~Y9wa;lNYY`Ko-TJq#i#M)`XUy%Fm7Xit+LEm_^9isOvM^1Y zcJ6g8b%>Fj;-y};C7OvmDbNwqo*kS`B=>ABs0`IN`o%ju&d-ck|@Sby>0ca@FQAKpUkA^SBequZnaM&8`&?A zZ|@?eV>qFb56*NXOn<;Z)}ZLX(XUDH!p-&uvOClU{|pFg*<}P2{p1mQC6^fQObJ~UV=u; zX)C)8KBaKW-W&@KQFddYJcR%AI_3OVA^s0N`@Hi?xO^2>vMbw_@5uKs_IVa@8Ldk@ zmEn<0?8{a+NBB)+t(dHof^N$gcJ$kVeF_T*o3<{N3ttYi*V z>1lAk_#Jh(QL(4MbLb`axAEmr=1hx4-holMk-FXzl^sp)?`{-I4{B-m_9EuuebSlg ze!u)XTqQeIR+!3;{4dROdiI$uxA6Wi#o>7Zn^~=qSEaMJgu7=0`e!k|%h5{TT+jIC zS}&z%mo#gRv&vi}u+p%++raG*tBGN9&9=Dy3r(BjRqB$X#C4Ri^%nqF46c9~tn ze%DodzDpd-R2c1*Sq20?O3drR={S?$yRpI&`jp+tPMz`OesBWMB5sw~tyL`X3n^R7 zQE;F!UU`%U?YC%N0EJXG{6)?YbL78QW9kE~O`C?q>a4&&8n0-{7s#^~?hF%k z{?Sz+?jUJ1Uh+Zg&UL*k@TKz4ug)j{zr!2)KN@@MpthdqZ@7h03KX}Zf#L-U6t_^^ zp}1Qqq!6UIL-FEJw79#wLxJM%4g~@f2^t^?^5pyb<9TPEnfIAzKC_d(_ntlHtlV>V zPgcToAL;3ShB2FsO0a=a-YiJy>dx>oM;sk!&n-4SX~N45yZ`HMum0t4z)ucH3V6hO z(gyinNY?r|DNMS6$1`ua%OTiy?u3BJ4@H_qMV`ZMHs`^~rfjukkq!B1nxF?p|* z_1FcxD2oj!0Kyt}u5M2O5P+FP_+$Sc3P2OSPWO?wuSU)zJZwLpI@XsB*zJ!uL>?Kp zqqTCEFLGnycd71na)8J*)AhZ4@UhVBK7X00!IyI!{-%MU_y#BSl;TN8zlpWGX81(< zOer46>1POYkqg{T+U3D{#n&Of%|E0NUP-vuU}NDO}`3{imqqQYehT4}F}jNtNc z`9^Oyq$C6&se*fZgy?j*^r zRW#bXzZyjQ6kws&lmlK2D0{4<{sNbW#l^|F4Wg(8V93-*-C~xz|>~@k?ml#Zx0! z%gU1FLbqYwmLvc(BpYzxgR6nR?&HPGC-@~(ku?JJd0SqD>6{@;Wxwo?$=!JrzWvDb za0;&(&ZU>wIYX1UM2>pKKe(?c*7a3|W@BPd5!#m8(#NS9NfEI%vvI8J`&_yMSdcGe zg}-&uyE%zbiIfNqApMgu$#CdsYE2VKPB|PzI_qX2-fX@!;@fPIosA)S_U$A0*{7{z z_xdUqYJQM_%z>mPo;s09cuKy89ZmXZY8?I|zg3YUWbBB1nJU5N?pVwwUuY@lXzTnG z(3Iong?|d734q^)JffO50B}Cl5*oJ<&r;#A1r#?SR?5rQ1xW(tBTalQ7|xyU)Sk)xNReuxR`pF~V_fYKpx|J|l)n8ZE{LP@o(9hc{E?-&=)kFR(C}$t&^7D0%;IVz|c)~Tuu@NwA z2Tq^x%jBGqVZNE1Q*L}fkubSF8h*`1^{(vgT&(6_;rGhwirWd*u>)Q5EXQ{wlylLe zqhTi*1=DHj*w+gG2Cv^GWorsG)oPkbMZ=jrBGrG|k>8Db6eeG;%&Oz)f@5DRZE>eI z@L1xwFiBbbG)@>}`QUeG(OjwJf^tA0`$iuc$#z|wX5HiKquaVa<`qVc<9X`0+WEL8 z_gvcx=Uv`NX=g;H@L3tkx8W@p3b8N2zp^eF*wkGvOuKH}{6z4j$S0amh;^%8jb4RWwqrp z2`)`P!rO8NmU;NK&sIiskvQs=#gTBCXh4=T3dyaAYa;d~HWdD?%ZSiwcTC#8xOnw1 zT3-b1gZ0I)@QwD~mpd#G<3D;`oTZ>i{8`}>qp_3yh16g1pf8M3Ew)cuA52Sja1rE`!`fHYl^e{ z*q84}ZK*7EdB^}oSO9HRbIXcraL$U8+i=Q)s$4tiR$tA0s#X@)KC0pJ;@i+PPhFXX&V( zZsHGG@G=5(KEgU9&`o)o?SDU7LL=2I(|u?n zjSpg)Oq)1NYO~8cs$9V5T#gEALR5hpr3=v^iAA8P?ZWP=;6w!T{-*7hanJgudUz$( zyek|{;0MUQiXW$mfW}JYNlKo<=`AmB=|3W2@1I{{zVEvQ@nSjR*osITxMGP9zJ6s} zZhv&u*<4m53uZ3K3n;Lp6O9VXgpy>I2r`$Jjh3BCR!wSe9D_43DtvU*9-8%Qohc^^ z_!(5uMih}y*?u|1a5=hO%`i3TytX}~87PcJyJF*bR|`IZUUtIA*P-X;*gwilA9HP$?xE}s~JP)4>pgYt+a0u>mB9?>I(%=H2#Gt``ty!WgK}I%GRio1B9!p9vlD0S=m;gE~pgO z8ktcujbuO;60q6d?@yc~K5n@`9&bthApi6OwRPPWEkEiL;;k_EhheMTO8Fr^kTfmZ zb>FKdrxcvae?%dfA}l#mm2?Mqn(<>^TXcK9x!1FFlTMPu({uwb;KoTAOoDPw&9y7K z?{T@cGyQy%a{TzTQ3Lc+|C<^8TJPPzsvMlHMiT-pR=~oEW_WxV`5v;Sntg9Ksbw-; z#U=;8Z70bWSfe%-9<4x3%G$~O%B!gM?JImcPCV?Lb>a{)vXJXnmp0W8bOm2D?C);E zE*q?D*G%1prXm}5?7S+wVZ8TQWm8uqvr{Ya7#-nysA-IgWl-uy*MXD*T6{Y!aYc>= z0mWItb6Sp`LORx)srok9{UB-q$Y#CwoM_nCTM5xxck36ADL#kmENm0Slw_Hyml-m- zj#LLJxs?UM+MpL@kEDf&cjrZ~@uxi{&evFhWQJ>Gk#TWhm#BdH9Lh~xPbC_yh<$4z z^4KSX$CG-Dhc}9e*66k1Pl+Th-Lz(cPO_&;zI*}Z_yqC5&TR70LO*1nW*+P>p*@_C zn*Nw$o*vu{if8%f%EdOhZ%j0x@nA<-f5-atW%||K!Il1kza<4 zSPFMT=o>C@=$e=BAGyTru>pQrCxxFohYF=;yTf9DO3uWCyZ6`brk|u=v2BPl4bL|0 z48r5jO7_KZc%dWVg*Ju7NKZ+DgR0=ivkY^ZUfF@fpVP&&z8thHq?8&q&hY@Ktfa- z`^p88jw-y&yUd%y%Jby}BF;lTg4FPIo6CULZL&x!04~b2z$c}e|4@(eD~1CpZ2^d{ zA@#&z^Oa@}H`YcTbw7P8Py~9UXErk0U{cn<3pfTUwrw0Kb%b{;%oScx_44ec2Kz1Av zpoXq@Uwcjp@=#iYJ(##DxFI0l{M(@S0;*^|6U>2}<^EJXsyZln^C`ei?(Kf?e8BL> zHDT|lwz$sAJk)!G3O8dw${UXbdj8|o+hMD5WH_L?>2f2GiC)PEu4%muZr+i8Pp;Wi0$igy&xfSjP*-h!>T?- zgQ=HJBA8$Y>Er9XZSCrUAh$M&mn;Xac$%TqZt|a^HiCSuU%1t9Mtzn0J{bS3E+^Pf z8^mZ7oi=mhdWrVgp0>yU^;*muM&luuj{Jf`r7;*&Tkbx-~)I_-hZl zxln%5UrMJUX73iVZ)ZOFY|2||G#%Rt#3IGt^v7af`}VgSfSJ#-f)X6PKHbVT1(@-z zc3JPX$`)+6Q6GTaP~EDphj$zD(nDuJN;kn+9Ca|olpuOn0M{-;c0mFFt*2P; zUG0M2UroI@HEl?=ARD|uWvE84X#-VTl|5>Z4acZe%ASf&WNCRP@@pw7ggCa&J#Gw@ zSUZcMwR4EcgFyG|Sp30_1utH0p{HtpDuB+y ze{^LBD{*1T^^^R)jq6XLGmD4J;d$V-Kd$k>v+QHAnz6sga+Km!^;AJ9lR!~*rcClDSRf`2VrzgAYEe&@u{aMu6y<^iT8+IZ`t z@Y6*OaKAY4i|fF7+l~rmn^Tl$25)R;p%xM*Pu(4VlN{y4pwRjk`q?RmIy-7C$!%&W z?Fx6tq0wxY>b=92)cdW9>cZpD>^5KF>(QdN!C~AqrU>(w)Qt0KpYP;~_1F0@3(0(y zjg3Qg>WfInmPleUX3ght!a1HSAMFAse^Z{|1i^UmF`U9Mo%jGzjmb>^7wbt7^=DDK zGJYrTf2_a6q(rmd`}Z6ZJ2%G2ytdZkC)iji#qQ?tipysY$R+1;FN`YEQtKR^)KjO> z!Q%&{KPJqx6rN8TI}E@Y>YsBw+%iTuYN-|f_|d_43W$0wb@9t^*n+8f?3_AB?pjjq zCMV=(xNyBbe%7#-=s*yWP;AVZ7@V|{c2%bfHVi6OF_IaHuJ7+TK7f_!)U>=AFF1=z z&$=;4sta0eSWo!(WbnmFSif^hiI~pgn6wd)tIrX2r#nW5G#ey!EXuCQda3ZSGx8*v zCzBsinHPAEzgZZG4=?u?OD0byr^^*kfpN$rlb=W4aJ@r87tht0&5}qzQ$#MzKgm>T z2>DUUk6eUot}YGs`AGjYW!^iI`Q}RHHO)@zIqc2z{uz_DM_c;?D>?QFa5F2~JLo%cD2nJ|s=+8!#KV;F&ooNtWNZubw-B0qq+)XW|<6w(LF~|`SB8GC&^}Pu} zJBCE&5X5{`XCHLR!E84c>f-Ni>EKhwV*6|HDp#bGB_0t83^FrZ-Rj4O?-Ua485!{o z=40Hxo34Pbx@%FBU=C-DhGzZMLB)tLK>${X|Iq7QpSr3M8N3i18NBn%KHlV41ws<# zpO%93U4Gxm719>h!o>?5x=il#z|_qlLcH*Le$lv}H;gB&Qjlq9w7oSLz)te`Ga2V` z#1-Xn9Gk&x1W4vILN2JfReaoqvXzZP7CA8IKfm-l-v|WSY>@CqVQ`P+SEm_#2|w9w*8t}~ts@SX!%q~AV?^Wr^Y z*_}%T{u@m`@#cuoT3{on9Vc*PhQvB{^r_3?O_93KttABS>9tr@D{JSPe2bN|C46{r zqjjtEfAM2o3E;a_Y%1w*QB$0EwN=4p9YtgvuvLvN!B;}nO->f^P??({N-$vq241vC z1@0|ToW2+7@fTNKC0mrgyIYfVs-5u+%JMuPJP<% zMa!`$%(=W_^+xlr_@`hdMsUv4+hHz$IM^xqYc-v|F=%w~)`WyzJc{z(XoIix`DJ$q zFsvapg#{Hg_8Eqa&uEiuch2if(XnDx{_BbwlQch=8b_b zBxsBz3$!6TzW`MQrD%bx%?YGBvdQ-!PKurr*`hCBMK6Gg1bVmX5_D?HO?A3##qsB> z&h3IGF$x=)Mf%Z{Egw(u7Ang1z z);_3g{cFVm{~@$huOV2i>mz7nI~|;Njj7+k!_)N7$w@vR25H;wE?{V~o<@0dp01;j^Ob1MwJ+Rs1}cahVKO!~rbC@H7;Bhf zRfU`OJ3>hmWoIunbbLmWl>fuDBY4Z6XPS0s6umB_Wm67Tc%-nTx8DKQOG50ar4vO0sjJ2|fC>RUD*SC5C6`)OjThcWt2cg)F)?L0{?h_#Mc@3dgGcL{cKUS^{_qEmI*o$f4e91g#dR*| z7$;jMTW%3m;H-o|D;&C40VUqf{$ETX`Y62F?03B`63{DGF0TmC(JM)thd?wi}Eyl9H2sZIF9s*bkPJ;~f# zIe!K2{I8W*FQeW_GRg7Ge8&e41Ew(*c>>>%o@1uH0^WVc_=nH-z{Z%~iw;!IS4T)q zzk!5*oYT+XyJy9odAp6C*Vx|83(gX*IPq!gx;=53YP)qwY(tJ4`dFWJ?Tdn|40wH- zM+}GHoByJ`(#cQ#1`N7xxmI|OHjz)=LA4@n{O7hEW`?s)ZJ$fp-2npO-Wh&U-K4w2 z&xKXymN97-YGMt&qZK%>**U&k5Flo=h))R4SMxeHA7WHZt7c~<%*DzbFmOU;jI zBO+V!U~xgiX@dMzzQfwb_JY*0%qmH=XHUDw*)a+EN(DoQ%CzaHWRnq>wgHqm*u%5p zID#^n<>&oZ21QA%d09Y|_*gjUW2(aibCn@jP7mjLvMtF$iU2P=mRZ)wu3Q)s`k zm~k6|yi*b4x}_BP=HDtiNcS%lup+nZm-TOCM#jwejFr184Ha_|F>{ciSNhYmSX#cu z6ZK|J=~-jpEI?_VL9L5Uqe(#LpgTZ8XYvDvR-(6q$<-NBD_@~pZRFC@l36he1qIFkbZYw z?<}{3QIMO7O(jmgqC=xEr%!(9Mu$B-JH0zW&>I^dKK1XfdS1!2R$s60m67rpQ+ePW z$pss|y)o_Ew4X0}Nzy($6vwvB1B$283s7Xcv~J(oxG{?LQ+o}`7u&~sRuwkuh+KHb zC!)c7xWzvT;?|JQw9K(p%E*V+c*2dDxcz3RuSvYI#Y3~xZ~haBib$a(uC8xO-3-ll z27PL{6AVntuyC+mPbXIiWaIwhyVYpq@;_;sX0MaDIdks5pA|X%dT<~-8hXGr>q2gn z#tFe@W&HZe^hj(6SWT6X+$6dydeZm7DX#PUORvj}wLVBTlT!V}cw-3nlRd4xwo$Hv zthC4`sNJ9uefUzAj%zsVpb}VCy|L1l(KVgRCKA}9u9lgbu9PQR<^F8(LBxty0D+?0Oby?-z0p)u|+l%fQ zkNJVZG5b+2prB`FYjv6J>^{o>qeL!X8vigHvf@Sa5cMeglpiqDbGQ}iTZZlv*wt%l z&Q(jkjB_Hp;p8sdqYx}UNNX8aH?(Yd!gJ#(a#FYG1GH5fnldc+(`u7|cVOix&r68F zMr9tlv}%;+k(uqQ$9}Vr^Jtn3Uy0B4KQnJxjzF1+RGF^zvH)dmzZq@*${X(-!7>HB z3L20fOz_+YX<+t%d#?)*+VXdL>5u$Fafi{fANG1{^b`lbL6_@My5|AyDbK76&;R!s z$FbWvj{onPw=x4$w(7&qy#c)eZ(>w#Nm&jnOi@ojq&`|2;wJ=?{0Sv#8C~D@rEt58G^#$=O5Qwl}c)0GUK9p@ST#$V(R}2R0FIe zkv-wB(lO(3y-UV2oq1)bOy#S4{n;|2qhc?(;+9Bu!%}f*Bc-tKO3U$Cy@_q$<}o&ZZ^0!i_-p<2T(P2oJhu` z$o}P`bCgfo&Yv@SOndBMB?FS<#0AcY6wj%ShsG^)v3WzguRW3zDY*kQIYMv-Ehl^@AoPFtcO ztKrS7u_UbHDB0vv<93uS^H8h>P@6Z(T8%IE@m!sp@$;S5tkBysC4-?yAh=4hQ%h@v zn?_V%gI5sHnl1H$PeZZ|r&EN6b%8j#%avD_ZO4tBFeVxkK0&Kz3>q3Hx~usdSK<;Y z9l?uOLm>ivpIzUEvrDf%!oQfh!rPd!H@PPa*et3&f;EP33_G`;p;1Ic@7P`%QoRWJ zNbsiX``2j3yhhKAL4}Zn;Y(W*f>&sg+htXkcsRrQX?3;NEDVJEGUw=4vQoT9tSWmK z`K(IgILcrJB2J|g7nIn$z`MW#&liDBjvrVwmu4DSfndvGd2o1_6=NTY^rM4q__$t1h^cLMj(D@)hNzmW+Fyp9xAlBl;?-C5OA4?V6C2%(p%AF zWEE$KZw2*#25eADLfg@~>3HjnRkwvGWFea#o0g0=$ZP#$l%%ZzhS7PFjHuT%zAqbSH{D4vO*G><=ENKlInWXKY6PXQ7N#7}-+N}q1% z#am9GrMUHq=Oq+6b%<$E!@q-~nRkW@g z`_$+tiyfH&PDbcNAL=l)S_8Mi3qvY-TYrCnr4N=fb%*+{93o^iQL(Z^`EmXmK1}{s zQ~{X(2juH2PKbZxH(uV({iYh{oHAnUl>JN-(Au!I<6)BrnrV0g;+eE<4{3rfG}rDo zj#?nP`M#s%asIKk*$S8E{O8$KRE|vQSn^;p5aYj@75U2FQh4t`{8O@mX*e)uhTd^gEynh7`6Vj`m%qD4+o&=-#x7y1*vSjn z=bD55$E{pW_(Hd|2hh_!s8e{!}RCEk@u-+k3gKT$kgjO0{{rowonq}N2`L^1fY`ShU*}p7i(P)LZ zsL`3+upGqZ5ipvS?OfiJRkm`OqP3DT)>-YG&-QQ9)huI-r_`H8e!fbs>L7ynr;yPv zO+yJ&9*x6w7aX0Qq-N!hq1Bn2>ZPs_+SwlG6#t~p{p+DOkj24*Ovb_xV=1C-CPqmK zY{gs_DWb!Oc$o!aM2&053aQhe)j|Gdfy0BgV?#t#OOD?HmF9>${QI!m;@s!DpFC-cjE!4NjTrI(3m*Ca&Tx7CGrSnK95F!gGnF}^=i}D zuucxYHe(sJUM47D(DyqxUCrA@tgGjFJP~tBXyc+WlW{y}1NZwQ-GWXrWNskZET(ec#Y z-vdJF$%V~6q3=$;jEyf*5}+pkE!*pMC9Ri^;t+ii43q3u=IA# z+1yFCN?f_kATLb)JW0TZ0!lMPskZnnTg=&@T~0f$Z4)`oae`IE@^~|hr#RtIFRi&m zMQFRVWAckRp=-KYbJ&hM%~6l-i~A9w^p~)4Ti3cRLC615w*D}KLsgG2r(1EW&~a99 z?e254o=L&fH0Y4`ngU@5gL22>_P#VnuYJD!%&zxpJTIxOFtqa1Z->uq2{4irtxpgVY|Gq;m70m&J$Tys<$g1e*79XeJOZNW_y%$m^N zHH=+PrPrgj0a}>E1%`#q_E>FUB z=&t6$xec4FpABt?w%qHFMdn`vkskR;x@rfy?ar&F)sSsP=3xvKZIWrgA^zd8(@OaH zm-p^ZN1xGC&zZn(tJ6K$__qD8T2`RvL4AoM{%YTD+sUR<#5;3oCMW#W?p_;ZW}G^D zVtBYO`VLgNd~;bjr|8ogF@~T1?BmQ@!p)%|A0orYRH5yU>w~!ygysvY?VwoAcK`JuTW@OZ<{S%~TN%{2LOSKT3m{ zv}4^lmeP|0bz{w}Ni}GcC14k4^B52*E%WEL6(7l@xxP<|q0jdomvC{}ciuES%Scrg zxo$k;d|PRBDHM+1D;7L>0CT=l?{shY;%jHnoZ{>$uKMA!(bbPy7JvW37hC*~ZoYR) zfs9d*ScfZNdLqX!#wOEMm|a1M}DkxotWtx^6XdGhaM zxe0reOfAZjC61|gJ00COxNZu^Fi1>G=-3`ur(ZQUzpWC&udW*9oOrpKf>X|FKl4?;Nj)l5kSCyqxF()L2M9RwN=)g+^xos~+1fAbkr+1K*7 z8?6Kkuseh?QXdgd*u=BgNp+aJYxA7%I2&p{d$21*ljLb`-mh$>-li2~Yqa+CR7r^? z$=G96OnE(>jCSXnNPF1}N|PEz9V1=*RlRDAn!c<-I}#_2J9T-vg80~uPmk>=$zkvC gPw%Cl*EjjaUmus6-z6VpV!B|>+wF2a`>*-_FMSDWFaQ7m diff --git a/cpld/db/RAM2E.db_info b/cpld/db/RAM2E.db_info index 0dc0ae5..e2686fb 100755 --- a/cpld/db/RAM2E.db_info +++ b/cpld/db/RAM2E.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Tue Jun 02 17:00:54 2020 +Creation_Time = Tue Sep 08 19:25:14 2020 diff --git a/cpld/db/RAM2E.fit.qmsg b/cpld/db/RAM2E.fit.qmsg index 1d9b3f5..bd598f8 100755 --- a/cpld/db/RAM2E.fit.qmsg +++ b/cpld/db/RAM2E.fit.qmsg @@ -1,39 +1,38 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1591131663188 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1591131663191 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1591131663220 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1591131663220 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1591131663252 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1591131663258 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591131663331 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591131663331 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591131663331 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591131663331 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591131663331 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1591131663331 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1591131663392 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1591131663402 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1591131663402 "|RAM2E|ARCLK"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1591131663404 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1591131663404 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1591131663404 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1591131663404 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1591131663404 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1591131663406 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1591131663406 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1591131663409 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1591131663413 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1591131663413 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1591131663415 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1591131663434 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1591131663434 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1591131663465 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1591131663466 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1591131663466 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1591131663466 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591131663490 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1591131663565 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591131663722 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1591131663728 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1591131663956 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591131663956 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1591131663986 ""} -{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "4e+01 ns 2.7% " "4e+01 ns of routing delay (approximately 2.7% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1591131664101 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1591131664119 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1591131664119 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591131664186 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.14 " "Total time spent on timing analysis during the Fitter is 0.14 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1591131664192 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591131664195 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1591131664216 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1591131664260 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4772 " "Peak virtual memory: 4772 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1591131664332 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jun 02 17:01:04 2020 " "Processing ended: Tue Jun 02 17:01:04 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1591131664332 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1591131664332 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1591131664332 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1591131664332 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1599607695785 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1599607695785 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1599607695835 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1599607695835 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1599607696066 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1599607696086 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C5 " "Device 5M80ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100I5 " "Device 5M80ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C5 " "Device 5M160ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100I5 " "Device 5M160ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M240ZT100I5 " "Device 5M240ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C5 " "Device 5M570ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100I5 " "Device 5M570ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1599607696246 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1599607696246 ""} +{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1599607696496 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1599607696506 "|RAM2E|DRCLK"} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1599607696506 "|RAM2E|ARCLK"} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1599607696516 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1599607696516 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1599607696516 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1599607696516 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1599607696516 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1599607696526 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1599607696526 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1599607696526 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1599607696536 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1599607696536 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1599607696546 ""} +{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1599607696566 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1599607696566 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1599607696606 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1599607696606 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1599607696606 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1599607696606 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1599607696666 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1599607697106 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1599607697246 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1599607697256 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1599607697511 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1599607697511 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1599607697541 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "17 " "Router estimated average interconnect usage is 17% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "17 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 17% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 17% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 17% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1599607697709 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1599607697709 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1599607697869 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.13 " "Total time spent on timing analysis during the Fitter is 0.13 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1599607697879 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1599607697879 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1599607697909 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1599607697979 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4771 " "Peak virtual memory: 4771 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1599607698049 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 08 19:28:18 2020 " "Processing ended: Tue Sep 08 19:28:18 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1599607698049 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1599607698049 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1599607698049 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1599607698049 ""} diff --git a/cpld/db/RAM2E.hif b/cpld/db/RAM2E.hif index e0c6518cad412fdfb4ce8c45e09159c0a75aedf8..8f4d92a1c0515fe4672962c800c50ef5b1a7b4c6 100755 GIT binary patch literal 577 zcmV-H0>1sM4*>uG0001Zob8m~Z`v>r$KNgOf3Vb-?Ez{VNT6?&1)2$xE&=vvIrgPk z%a1MzNT~FGpA%TAO0?}^+RLWIvh%$=-}ydPK5s=)ZAGV9j<~6J7 zn%C1Dc91YcPR}|B7u-amOeK= zJa8ud%yXCS@zl@8Rf-aL30Y}cw1YZNzAYs1SSNrAkuvD+&`69dI#B&MWmNpF=-imWbyiltNt27DGJWM)o>j(Mp%SrOW=){Tp;s zNb_RfbjY0X_zz2UjBIK_pAkugrHEp297(ANi$~0|)ez_}uEwE-`%R0+Wu88ob@ubi PRC^^*0ebfxM*|c&x`iC^ literal 576 zcmV-G0>AyN4*>uG0001Zob8lbZ`v>vfZr|cKUnI^_5igFx%G{*Kr=zoCBPmn$2lq1 za_N$Qgi8PWoWM#|(6)!|X;Wg^IhUNvmy^#MQ8k+ydmc82y<63w6;!n<3rq)n(x>=# zqp}3E0mH<+rtF##I=~TBTO|SC3;7jO9DTxHAjWQ@8tsXUA+k-Ap43Xot3ZpsK7OT6%PEfChqedr(XDY5lwbQ|xb&Y$yR^t}QMft&Fb@y?0R4aJx za;~_-P&BI9q2*+*AL^#8!~>ut-iM<(bNlbyqMd4G!x6JTJ)#4@M@cEW_|Fhkmj zV+^Sy90S?fO%)APg~(1(bwS)~gNLN_?7AE=AFe{NW&^R?R zlN!k|O_|M7Nn{*b_Ar)|5{F6=|HC-1~1A2xUi~=MA=)S?EiTFb)Gro zWwqH5^R< diff --git a/cpld/db/RAM2E.ipinfo b/cpld/db/RAM2E.ipinfo index b9c26971f85c34a6e2ceded3667f29df5e2c05c5..7b8313f17f82c4fa702fff7a453eb8414adedd7d 100755 GIT binary patch delta 196 zcmZo>y38~oxc&$O1PC%h=ujv>7|O3;{Pq9;|9UqzHnv&?Gp3|;?Y|cuU0Ia$cg2xC zA+^*0Jh^n|%AQF{wcpPO^oNUXSr||ZL zFq264_s`#pQ`w80KX3Z{>GWr-8xBU6sw-VP&p1q*zfakN`$SNp!a7FjNaibPte0lZ k43A;D#$~e0U^BxKMhzYn$puvtXDB3}`rN&VapE3#0K(}{VgLXD delta 116 zcmcc2)XX#?IJ2Aq0uDmyP$j^BG`e$?)G#QN?4+UJ!@L`zD!{`nG DG?64m diff --git a/cpld/db/RAM2E.map.cdb b/cpld/db/RAM2E.map.cdb index be207f6e56e88308fbbbbf05660b644733f437d0..da8356e1e4de6429019fb4569210561d0160c44e 100755 GIT binary patch literal 16723 zcmeJEhgVb2_dO1S_!0pH0i{Uq2tugRi}a3)(tDBKYk&X(3P=a(O;non-a$H%(816n zHIzW;AtaDre1D#Q;#upvvXYrKH#2kR%-Lt}Gr6H8ARwqFBgB9D;$KSm($K-j*V)s9 zRajI|NKlwn$=}({o>fFtgjMJTKv)DIA|x!zYT)1#;B4o>`pU-6l~tJ4K#SGL!Io9k z-q{b|bDoe0KMVmuiTwWx0K`sO@QyfFXfE#qwMfwl*R{lJ&ol~Lyt~vVk9!I2>PN8=!k+%w?@W!LEgOqZ* z|9IZ-p>XdG{U`Q+cK`h8SyVGTwq^xgeAju~{3*P;+XY%9U1nrxXxQ>xK)|A4zsO+L zE5k6e#bxMshD)2$*tV(QtPjs&o7j9-`3rN<`OM6PTZpr!jN_20<3rOuS3B4Ry8J3T z3*&O}E@ZsbsQR$9n#tmky~n`7tG-n7_u3XqQ8r@OjXW`nPs+0^qzkBo zrsbtcNQG*w)_U>rs}1XpFzfbmFL@?7*GLAV(Y0A?Z-)8Y_K&U4Y5ZC>**#WY%*Ev3 zT+j@2BOi-a*#Eos|LpSreB%G#@gVlP_3dG0Mp0E&mD{jStL~iKFbsvS8-)G*<`8&z zRo{krZ9+;6ouOOs|C+1uQiYXw^DQr!IZLTCRGCpe{-~FH=)5m%+DSF_bCW;)T@%fxxR0*EVmCbp zO!2Wc@!|XC;TEP#1|dC2Ys&*i`^1ZYDC6+v!+?haJzLE!Azjel(+uCAScR_*91lCK zs+*ShWnPq?To5>4z-^yaLsS14=^gP4tErge3_}C-c}){>J8oB^BCy#i^ym++2`Wo1 zo1h6hnd_a9+-C0wNVemnqbX;)HT|cxg|gbeM$f0C4Zkh_7JIM>-%c0pk^){W{qQ#` zfbtmgR#>WtpFL05G1J%{Coo4FXs z)1YAx{zCQO!>gR%e)K1ix+m|n225%a<4a+ykE>t2*_qP|w2c2#%I|J2_T&@UKzwJo zz;u(Z+AROm*8R!7hTbCmMat?Kr$7AS zwNJGpmytL7^gJM+qLMOf!wW+N79uMxo3BD%hc*%UUJxRdu*sN}3upbXeh=-lB<@^{M04r$>Ju%QnW0N>&caMvTy5TeK%hMYSTwWzTf|XK zy7N^KQA8EE!9(0LXWZcJ-$~iVMc)jOo$pDrF`q{odS0tQE>gfZI;QdWBbLz`|CMv$ zlvLPD9=(or3Vyx!^mB9?hgL(^j=8ubZCL(a=q!+wf0*)6c+f>C{iy58&EfAUuu8B9 zW{4x0c&D7#=pFrIZu1VcgFpF*{L<_r+X`l74Z5ME#^7Ax!EG>q6M0I+G=_8HcZq00 zsgerYH*;x&2anlFDTV4lYRYl3^iQDC>*7(oy^w?hlQ?dNofx6M({1$5l;oZAhuv+V zE{~e_JL{U7$=t6+M)}OsrgeS`eKn{cej8dYCUB;&@b#24fysoN`h)7)^#{TyQ>xVU z+vq1gGtJ1jp zwZKG`(W{)O(=u{@B*DR>brK?YoaANO&i&Y+vm82K0WRCUT`5X!M^A1_^>zcHN0f@Q zi&c~7?CcvI4=MZ`x~kS~(mOtdZ^$d&opL3mJcjCJ9v%*!%(PVwN5(4dC2@|4PB=lh z)bqVUsi}4%=G*PTJuRDu?lL`(QnPtg9Q?{uI)(v%bRY=`Yo?(5)_)56p zTvFky^s*d*eDENVwZ7u=$z@AwlYyEFTfaXs2ghfE_`8)niT69y#gcYAp+ScgiR}p- z%qW@75lXdBQp-j-rAH?*V4~QdfPsPBm<1Yo!&c3>*t*v~qL!8;qo<$$TLD>ih1iaPgyql7MslyrCOWo zNv=CjsH-=JdLkWvP?&6dt@FJo*0>`iTqUAOqpm}$1Lw;~x%)zjD6mLTj*{{H>*7lx zlgP$$f-HNnE8m4>h>74kr|i60gvkwi4yARo&Go*wO6Zg`GwYpl9$QMvlbtO>%D(gf zt>uc9Rrp3+xt&M_{@U)hxVGb%L=SrymV2tsL?zpCK~(YXt0>X;DJKULl>E-0e{!Q| z#yKN%>@>bUctq$ot&+db{a%k*N_duTxgfj#GC)pH{dlG}gOEKUnEg57()#r18|-|l zT^r~3_Rx;hZE&7+!^&1{kgIJ>jdudgXUg{%V&EA)VN+uEJLP?}85}j?QNtFoSI>Gg zDrcG?3KE6))iRKNxx}#q9Zu|mI&6fL5(=g)e7>Z)&51nyMYewAkJi`YKgYU~Q*|BW!LcGqypX~xA7h~&$fyv@=***E90 zV`UF=!P!_iNJiGIBSks+x}Z**h%2$or=csvYx%9YEy50rBmu#xl8Sd8Md@oQO?WTW zjcAb%$Sb6rQrd)xF*EJ`Xx3;mRx;iKf*v4+7=M0z$njw{c(LRg^YZY?OvgiPT#fe8 zdm0YNlOhIlUnZu*!xvHriTaekaoH^Ks`fmt&Xg~Gbyb!qz9`eE-nFfrJE!@0G0t_T zw(7wn8_wrxu^g+k`+C9H{Kukkxv^hWieZREh3{A)vWV-suu&2xN^iTvrHP}Ao#lwo zK(uTYXLI}h+J{%3v`b5p1NlB$jcPv<+k5La%a<)!*`MvxoSjWB-8g*E^h}+ap!j?A z-HztTLueINsEjM5`4~-DE+E-g@`yZ8?6nUf$uE8v!T~TE_K#2QP&T8SKB5^!@mRDv zC6j#fm>$j<)-If{nr{y_>3`=|G|%AQoLvN%&*f_c8~VeZc<_grke_IcU6g8uln4T6Tk z#wh#}aX@w^4w(2a)3?UD%h@7=3i)uAW_H_57OE}2?N4X4Uszb3oZBg7zpyCgoEb`} zlZUHuLXw+iT?GWFoYp)rZwe;15YJsZ8%hGIKDIguJrIAiRIB%llBy-`!@C9enA*dy zJJR;kuRIpy)uFD8N_`}YT?0hCNecd3cLlDW-zi^YHXBy$f69FRZQ<{DPQF5?HH-UU z+Q5fm5HP2C=g)g!UYCKh=}ytCxgdpCuGwlasJ-h=%gs-v4^F@0F31a0yvN(en&`4# zw@bw&_LwidyK@2Bymx8VY7G+L!f(e^RCJ-|E~JKP&!@dI5*~lVS3J%%@ldj1G_Nw2 zPUGtqoMC~81T(yPXEZ?m4LWC^=*{_~nG|bGxwC85oFV#>^P4Tq`>2!7@gkHqYE$58 z)ujmL&v&PP;RKfJxzjNe(25DJc5;I8tEe=2$KLIPd?w8r^V1eio_i0?4O8R^d~!1V zmxI0FfwRqFi?>))`qp+N^+U!_)Oo3#-QUA3cIKv)Rt51fjFB0fxA@G-LV)0prU+q# zPb`np!4pZ+t@|@z+QSz~Wr=nHu!6}_L~n0(b&bS+gA~-((nMS3uH=&_0{}aF3VQKO zHIDQElGxl&&S8kNR(Qn6?5X=>VpiC?5-$2a^%J;&DECeLqY z5C(*#B=PKba>@#Z9SvRtKJqWlDeibedFbKI^xq`ZqIK#$&ogP~3qHs0L{Z4X%f~@Q zv^1#|1veG%AAu%|MJ2P&EiY>)7L5GktAfnvQ$-_3=(o?6(N88i z05A5G@{;c*@0leFW}Mm8^*=B6Ph9<=jO7yACwFIDww|qJo>SiI(dG)$|D~5feaqji zpu@Rxo~rx&Zyi7e#L1Z1^)Z^FMt>_kCSFZIK=L98Agny$A6)R-a8l*$7m+*jySS@kcEcZdu z0HhD(qr>hk1Te#FoiXJIcTAFUk$?JBwPC*c?|BBWPY&)M2kOQ!%=4e&TTTxsP>! z`zQrZnLDwpPp{d($t`G!?BBnR&I3u8J6?iikkEpQ14iYm@EmD88|x2dRFh=v&Mc}A z&iHJSMpcwJfL^tda%lOk1vIxhmyKUyf+g9H_vepx|Hr~!Q;&bjK`5o&o(O`Sj*qyK zOB$o!3g;NM#tBg^CAGF5UU}n*o>BZC(VHdx6>7c+NW6R`3oeffa(`+?O__`_8CM2S z7DoS5Rc_ziBq`%}pO&pv2-C3Z-74wa<<(d6oomyNjfJzM^i@dxo~Zvr%)armAszZr z>Pzfj9WyawhGu{iBgWav@_ltq_-=>aqphEIw|6$pI$A063FBo+0qde;bdY(IyddDbi!1)`q24uHLvWV8l;aE)%Oi24 z*PNq$$6Bds^B0?)>RjCUzk}!X`;Cq2Iugg-lMkq@d}7GA{ww7(6af;FfK-fcg&4(u z6#V|IRlo>U{CD${-kd)g&EgqZ2^0Db!V1#9=giVROtF{T&y5~b(p8$A%!@#+TA!p^ zTNXPfayCtRsVFhT{LH;;`1TWf-~GB=yJ0F}K>(ssQiabUB05CLnEfFS_DSSGj7FW4 z20P!eUD;+zF9)aBN}J$`z}Jcgk4~Ny{1J$g$agB*W@DeSwU1a$?fMH=Tzf^Yt|Tqq zTt`Uti;j^eRP3+$znK{8#;VGvxMsX;XtKu(E5bOE9PgHT<0l$57}$tTC`x36Dk-ry za38v$W}VDJ!k#{)79g#9(HOJuJ$-D!^FAV0zmnqEf;1^2_R^%Z+QLUtsHvy}Vycz0 z%xPTWoD<$MLdKx{Z{nqY6U)L^Of`*7YnuO9*g|BZD6Rc{{c!NM%0ZmhIYr8Gkg(Ug znYe-YT`I?pm>0=UPo{=DAJB)%n6jux-wI&yf`xESJqoe#LdKB)wV0F9wzsBQ0Rs)1 zC8wLbS3c?fSpo-M&AE8xuRUO|(l$<9RCZvbg!!KQb3pw;_Kx9?N5G-+kV2!sRZ^Z8 zj|f{>*gx@@ui1Fb6RBXgBWr1Nk__l%H2f@bGtqD{BeKT3-9(%O!jh}D-B}QhBvo7G z{*Yj9yFTN)|ECqGApD$qI{ov{hNwu)Lf#iZP6FgI?|OM>eNBhGrpaz1q+;fig>`&K zgl0ja7)JId@u<1J`;3&Q)84j7HLBVm`PpX2(4O8L0VUuK&9dBok0^`BuY2y0u$MEF zNjhJ+kdKfrL49Jt!fJQLm+gK_QX$USy(8|j-WwtLOgXKt#4z$=WZBo=Co0X|Vgasw z#J7&3s7~gvVIrBKju2K$kVt5GF;O=*_K=F|x={D({_(;1^tj_=rWD0J{S@`l$a@lW zB>I2$dcY)8(zo=g#s2j1n>Qi_8DbP7Kz1uX2k?PBxV zKFq0d5WjKdvdg|pwf*oA>jH|nyDK8eSrth0yJjhYd&u2lYCS%YyW0JP^KY+7WF{pi z9V1oM=yfpT`m$S*rzj)FNrITI7?~IJxBIN>ANQ!=;cQc+Q;zKO{w61RN}l%tAFG_K z#`2?h`s|Y{B=YZuzZd3ZFHRIiEMQ2F)gtGc5}z^X^#}X*`)cjM?12DIh}4C=%T}9_OF$(fFDJi>8o+bMLa_Ff!ca3l!G&$kZC;I2GX16IW-Qq?HoK9<^dzM zd-c=K13ir&lk~r3hU3xFD>%hH?3Xn5utxoXKgrj7BYV%{em!GM%y$TXJG}h~CIsbt z&nmI<_R~rjwU2UA#0$1VcMb=0G3?=$#|e?Z#JTxs%%P>PT#=+ zyAb?2-qrz#hhbWD5sMU@cqO~YyPL1!1lRP@IYW{H4K zJC4=HEPqC1>p zcTY^UzQ_BSC**9t=20oWRXx%{`bQ#b-{1JyyPHKvUkTq?Ea~Z{Q$s3sS=T$jb{e}O z)gu!<`mte5!iR^P!CSs@lgUNy(#FvsX-!nBHL0^J?b4RrmH9@lDA#1Ke5=S5*95gA zD$VGZ9A#Raa$uUeY~yPku`YPY^($e6s=`ujbJ!gIXoK)aAMMLXP)vJ|&S|f`MB?4C zS3#1IccTE&(O>9XsDgU}6P;yDhb`D}cAVTm()exL ze4D((p<~bU^VLt<(HoFL>d_|lg#Sp;3p9~OdB zthV}^d)jF_)%j=piS#{&+O}YalOQ;#$h=QP3 zr=R(Su0^*lJ{x73H)E))&U8L|>N}kZspb2+!)x1g{mFK3t6KNG z-T0e!m0$+UuYN19ISG=54Ru%+?G#B53RK+w1A<`QS96@%q@kNEqyy6Q48QaDE_%W} zwRW^S1GKi1#zM2Nu^wj90ZWOPl{WDOG;pzk=zxPN?(Gl#cQtQ4KoOG%VyF8Y`8uyx z`NaE|uF=m$WZ=7|eek~DY@GoZ?kwbd$!XqAyZ!fu^PI`u-{wZ4JxU^;P1ZRI zsSucD9VGp65qs79v4X%)$(7pM5}3(U^$!0}-#ya#vzE^*t2G2Q^e5a3);sy-o(qq% z#5{*g2JNpmir+!JytaCrxy8&WVJy1Zt>H0XzLyFfUy}S0!I8Rhm6)D06Zatm@mzzW z0-zKipPBu@tAt0XX^b|->QBaj-QLsF*!K$af|Z*F>hBb0K*ZuyX{CC#!S!EY$vqmY9)v`0C7V-o!_`LF-+9N7 zY|o3fxKwq`8^}?HyiDta^~L8!^dCQ-?aTKm$$mM-EcC}YsU)WY-& z`MJf+4~a0$j-1;hSwNU}{SO19>D(~Bn4kN<-;TL;ZP|xKaKQh|NvIOr{psW{LV^6P zQ~q?%J}l*5Yi@SsT>nOq=6Wwb>-KKT0hj%fu0O6OK;e$xk^s|J4BGr+ZFWczerQO6IncVf;L^XXm>C(EzS2BS$5tTp*_>+b+wp2nwY-n5V?5L zz5<+75qZAXY44sBQL7v%hE7opXHl$$d9!BhEUymvbC#-{e3(H| zhrpLRC@upVv+4Z}U*vp%i{r&FS#2CR^(;~++sLH?Z!FK%4D?hN1PR%$|D*%1xa9^T zkVC->UAiP~TI#0$V>JP~qdUge%rOwMCC{JRn{hz+Yqgz+XAnpGH471xcEDxGd2Jdn z<_E~bNH7XyF~LgM0Dv{?ieP!ej{%(H3dvWh(j;fh=Wx!$udF6>gB#ihIgfc2$h}`}b=)~Mddk2L zg}B^9yE~Sh-F&Dl9$o3Kug}c6d{Z!cp&>E`owt|A3RDxZFrzJP`iIKO~k57T*dkBa*tmxTR z+^WnM$i+?K_YrG}bai!}9KDbO(s&r;OR4OJIxVbu!O111qk1)9h8Kp@hFAd#0?Sr$ zcJJa;cb0$Lyk5P3f&+@Ny*$7Tze|*Boa9_S~K1q@iY0&8lL6|#sRuH4FJ3z%S#SmTw{CmmfLNxl60~jatZwuyiz&# z=F_CMMR=f(2y?+RAWBapo%JV22XHSPm{aDL`o^HUovPwsC>TIZ2&*kcuWkf`7IvMqD3|nj7 ziD6PBmh)08qWIa2L&2;Rbcu7Gb>r-dB;%v@Nvi*1)i~??-Ooc=4}3!B*v_QB+ZReN z>4B)frhUMVig?SzxQB_44qfNX*&HeA)O>I2@@t|Qy;i%s%MHT1=iWFS-9wyC*Yt|nss5wK)6qkF1NP!6{j>$^QyjzZHY^`L^ z;P?)KI6K~@C?xFxF4(`4@>AA_^VnKOIfLC&~Not(V(eS9&E6!8Uw2 zcYXJ7==XZ1ojsUZWp2(%2N4cM43)KQ&7~R#VaQr)ZiPsXRk?&vbD3=`|46%kHM;>v zH{)r=_tYySDJ-kKA+Dq(u$1{!`%OuS1>Zr2vtUiYk8K_IO*w-H7MWWohGh*2@3Ae<8kyNA8$+FEsp z2KDA{fov4l;GD_mV>XPKxIW}KT#qR zH~i%fG}Nx#@)k&WQPwBGZOObgFsXK3ykg*=<7)cijpvW_%L237F4qjElPp(8+oZLI zZzWtpv&j*DHmkQZ>q}3AFrN8c*EgM)>lsPap>{t|=c#RC_wwTswi5enmL&#jZvoCF zENA6F`NMqy?Xv0~0TrX6GipPRbi|6gv%}>XUsKte#n1CbRl6aJIVUhHZYh|a&%8pG zacEx;+*P5G#<@oa6>QezHfn8@za>Y9+g{p^J~3X+euRP zZa|v61${$Mc3m zAxMU(eQ!_0smP6WpCD z%K%+<_(ieSqQb2Iq=Ey8IO96aDl3<*04_&#(UJ~$iX3L0tHV(}&b@m{2pRnbW_Cmf z@wrG6r{}JT_D6_D;a;QkX`o3%8}~^;wmk4kJApYBze?t`%e=MGo@7lHv{*OGc)NqJXc>~@<9 zj8pN6j%1zXC!DuEI%9eF&U<(d!@KGvsnL~Y@L*g_2+S@I!Bl!7-_svRZe@+M8v*oafbVnp?zMQ;T4%@fHv&u~W3=JpT(hUXgJZtYi<2j`LoB}Xv`&ix-8d?6BRZ;6ThLrZvKfk*#niKr z)Ay)&2YW6KcSjV8L*alK4EP7sUhOs)R8!;LwE4zjgy6s|eMO@dT))YYf7mL0imEw1 zyEo$u-Pc$<__)6K$%2?8oI>Zamuo&%_RNkqxe>?o8J_oWR8*ll3A>HGRU9ie{iSvZ z(z=%aIn$`$6Dv?Zr=YFR1S zHvQ{jV4}AjZ=oAQ_1iVe%Wg|7Qw}z6%e@F$n?jF12#fnMta^IedMn9v~% zdz?k&Xw1bARP=`+ZvAiR-VFZsEcw{_4Xv(sAj!hXll-j@M3VMbROm5D!%5^lB&3SS$7_2uO^9y^A-Re8Yf^LRKev;=J>zVKHIWOZq?PVSmSa=85ShQ<4oU119S zT3`~H8UtF627aLFEK1tZzGVdq-+l}7mY=Vj4oP*-$Nt3e=v9Jf^#2F~&6bcR8zZyZ zL{NeF-q9X|be2B=j{LpG;O;OwPd&>&$*>fPur=X^$sNt-6sq{!ya>J#0^u)EcwN~@ z7G!Z{8dk(Q%FrUlhD710#k7w)W1Fcg0DU-gr=WCWXn0`9>Ty{D7kv@=YT0q&gN6iT z1y%^89F~GX&_7?;#hQkR0o%_iLH=PoHwdzFqZ-O=L#4%FkrI~(&+JDMK0#p(dhVLWouy1@h?K4tS6dwT|Uce`i%Zc=2hES z$ksZbt&`$!-QlzTmDlm;f;zMJuD2`-PxS*MG^9FE<%?sX*tUJW`Vy!DXLmk6zZpwEQeU zUl74@b;{8B*>qWk5tT46i@$|97Hav8MMQ)2Z-y3_%aA*~!vP*8?*dANFK;32%XwU@De^5gvAZ^?DU=nr>iVmvapo34N)~Q_?z_2jU8Ul!s4zg$ z8FsPlJ)9n}_U$bGx!(l*a6jbdlSYpDC-uK15r5)}@?~utk(gy{Xmq3GUS5_uk9_F6 zG57Q}C)vTawccKaJymWP9NWnW=$}?#=Fji0O&ef~mju>ka-?^S%5R*jnqKeZ{Y5GY z&^loqvGDUqWeLV502SQJI*4ai!1Pw@U=(swbMI8r>fBf8OTMf)bTaGc=QW}b{%H56 z%U+p?Ta&>YdtFHIC$xpg`&QGCK5 z=#zl^DHw1Udqc@=m?Q4)zfY^{LFzmZ-8Wd(O9Ab|Tkjb}=qTkzkkvO3sYTiFL72qH3m7yOD`(trnb{jIUf;VltDMi0+8ZwL0IJ=o01Y8qM9K_2 zgx-Xde^PLVk{#5%-O{{{IiX!2-Gua=uTRBw@i!aGs^93|&cmQV_6-1dEusqUy!IS8 z!eu@oe@=x8FB?J}#AAfLt&vf5MpE4i>bSOyjqO6B6I-9EfBH!T_7^*P64^_G3Xt26 z54nz6|JK%9B!n$oZoG%RYV*sZn3KZHnb%Kdt@!F4)=nbH@Z1S>hjBK zA_m3b$PyOx;2^cvJfyebUie{mO3&HY%IEcOXBYyTE4eNh^D)X!!0OKdp#5*jL{Gl# z1OWKZGD-LPdsjfvSWmf?h~rDWx-+WvTit9T&PIT~E$mtUiRFhA#tWDysmxC3+cf{U zi4lQ&6C>>c;l5b#$Zu?u^k;?PjER8BS0eoV5V*Q3hr2p=n|{?x`iZS=FY7f96ZrmhfJ#S?>Y13x&*0KJ0823~rh4n##p(v5`>A+h zy$)$H6Sr2z**y&+UEm>eoJ9JbPL1854Vt_7z^^yWgcNKEnMK$C1 z;Yz^fswQ{4au*w>=5xh7ObLeVVwnriA9gz?OvhRE+onJY@&`!M z(07v8Jf}X`iuNUbFrjkXL~T&Hi{kZeqvwO8A_tZe)D)*g8r-42u#tYpp6jiOD0Kf_hVI5JsU!Q_w5ceZR;peF||z*lLsLu9hJwDVjRDd6sZF)M70 zo5lgEHDEL45Wj9-kZM5D?V&V8p{`$(Y z-x8mIT^4Xbc$fgGpsPNg&}3PJGLoLdYxHSM{tF!VH!uF73z_p<^IIo&~sio9)PfWe(ntp@#J({qoknx2rZNb9k-fR866^k+9xC37DY~nSm`0)^Rl)6L~ z1arStJ&hKh(5z29o;Xz-99sv&W(}CuAvGgf++pgHlOzX}fQ@3?-bxdzcR#)dr=5Fu zck!-&2WDttz$lnrTRv!1Mu*OJ3JcyQqJQ1D+}CeWu%#-COTX;Z$2;gS{G451=AyOf ze2I_|X&~G?2E4}twkraFWY1(5*JhV~Mj3~G$nxZG6{>YSOL~o6WgS{&NC!~@BvPu7 z8aoH~ut9m3qHyP6-%IeH-^*jcTYydDVJ^_=pA7&qQ%K<)Vz0b~TwKCQts}zNq&>Sf zKi!mZ0x6HumvDYIhw@zgY-3rmv?r#${G&JYSB#UxWM@^M;y0|Vz`z8r%Y3$tZGnDJ zKR6y+7mDe!w~CHRh9{)JrEEZamY3dNmVAzB*>0s|neRTP` zGm1PF+mcSLmle%@QGB=M)7q+UEsS_p5%ig zhL1Ax>(|4F2{=7ODv#WS9K~sv0GUYpREW>CM%F)8!?ypAQ|dptJjRjd~hsl zHcYa3YpbF?()AL?K2Lc>K7%$grQ?a1Tu)KOdV2=sMaKup8(?tCZN(=`B>kbrW9yoh zdbN-WIEjKl{%Xt?UN_mctXizEQh7K#_Vp@P?cN3 zZj2qtaP)mF{p3Ft(B%aXw}+XfgA(-p%ty&4s~0@EX2!CU(6a|zq0us4(Xv;VDtn6` z+h3!TCzd&)*~pJlyv!VUwJYDcRE94jjHm=1S%P7uAA{)ldU?@?9%J7E@Q_B(_F$&nf=s&N_L0WCK zq>eD^Sj4i0B%+mSeaiixsUe3y($9qb!s2L8bJu-Nf0W))m#2$jNQ(h^PW59@n2Jnn zomJ#VIEYHH0m*AKsyzBlMH2gWW&SHc%tWo^g9YB(Y?woDh6X^SuZw$LxlJB8+EEgE z;|}+^qTF96Ecj6RU6ptVpi+rx7SD0HF)!bzp4T6)NWfKB-qk0>gpYyoc!{qX-^opVN8?Wc`qJ;_Io33fFPL3kFPzmQs|GunsGZk;f2hec0rtO#GY zhylEk?1d+f(>V1()^S6$ZX1Omkgi3;lYY6Jahabu2iWFIZfI-IK`MFmjq2Kz{}djl zMZGJP3l|NOFzx8hI5$O0F%MkIrLTvs@s0g!Je$3+WT+TA`y07z>-;pMU;ij zE(?W5FlJ-BxL<(B{0K`02u00c7*1L{u|qw|>0K9v6vGEBUt~9>PN5Sq7vFb7j~PG1 zpVgYDfD$C=19R6dmRidYo50^8wDv5h<%B?52bRfHpdj!PKM8X-R`yR12Cq=i-93nq z?5*ygmzTmUiYsPou@lJE=;BE^&b`FzWlR$;bp5E%tNoVS!k-y#xpp**@ggQg{|mbQhMVLaxy z05`Tq>w^2KF_vRzn9ILY+DFS4x3&!UGfwZl$+YKQQnz#FxSG@AG<4F3vy&j3!`!Ff z0r^Z8NesJX8~2Km^kK)NMI%jSeA*|ukqqwzd4F_IuYUSI63bK#v}Skdham$ zm}ME;vGhZuh`R(9=u|j6z7A5fuR03!kUH-#&7rTG_ z`GB}1RTaGV&Nq?1zaDn9>|%2ri#Tier4|gxWKr(gJ)XWiIeOYoRq$n3{;zr(P(}d% zOT=_rdSLtQ3Z4gqqzv(FoN%L;1~KDaHS;Hk2Y8M6V_^lB7nO*c<<5^bI`$*#m&KDu z<9%w941f!~IeP3G;~N2=qiV^>dh`n~6Qz6qW~)EPilYbD1ziD(Sb zwpYX2!1j(hiUw?O%Xner77-DgZ)ab_4t z%9pPzD8Ica=Xxvw9=n_$_h`V(yeNyAT05VL%GQ2-_a$HKU)4vR{}nUe)n`V$4Egdc z=us+j7azOIqt8y>@6*O9NZN#QQ15%tJMiu-O}bCK1S_wQdc~2Oye4CX1-k8p$L|uL zQaN2-@^8#0DARiN?tizGt>2$|5t*hj>~CxNUd&~k7f?IYKvihBB<)aIQUHyA^V~US zV&U-}cV6P0AyYFeE^SwuJ#2Cb(>Jz=g_~p6`h;&{(3zALhD^%GOE9#@*TV9<_L;KP zKLnZpU(%9FO45C%jc&;mc?4VHb01HQ=B78fWKXTQ5>vMUl5RP*T_X*!Swe>@|8{WW5t7K-xdQ5$?E z$L9%L(!0O>g8Xc+%H4cXT_L|Z;`#b+Thp;Td`8+K&#)?&RWM`na`fEq(8RAVRKbA1MBiO|q<;xe)y3JLc>`zix}q3AW5& zHW((z)^QNKb>BiPp_5NILN+Wq)qgQR&Slbj>FcoR^;CD6!z>j060^0_pFq;%=n-Nc z(|>Sdp6nf63q7js_dE^!{;0daz=H5m7FlmqL{wv~t-unLm DR>o+1 literal 16719 zcmeIZ^;cU@xIGLlEu{hlS}4W6P>L3Z;9A@@6o=qYBuHqB7x&`D-Cctew-$GbO9%l1 z1OkC?KKK6c{tfS1H(AM?HJQwrd1lYv`#Cuw#=*g^Em|A7Z<~!N~>uPl5C7(f^j}QvX}};2vcW{QRKniMSEqd-^~#>ZuRCnw^G}iT8Xx0UJXUcjekeHL$EBJChVU}fiAV09;GzDlH+9Q&9bA0Ky8zMdRrYf%;T zKrYpODSztx*Q>rMqk+2M2cZbei1N zQB*b!*W$9gLPk6N&{$|#S1|pHu{Pe>$Zy9by%-|j?YkQZ$NL~6K=<1#&*Uym%E4b1 zVtWj-Y{)q95QKY8sKy{km^KzkwdEc)x>YH>=FS0fPq37Wc`d9LCBf;=@iqrZ1#_<$ zt*sJ1{=d)uFE0OwiT}Uv2lncW_m@)>>SS2@vG5yu+a&&bEtZqhS;L<_&q-AG)?mdn zr+KW0dIrDgn1b=|!nGVHBJvp-kyey0i^C3W&gki&oB zHmAd>V`3a$l8zAl>2jfEZ<)VJCjf-EWcSsm!z@sZ>DNK=EAS*_K)_>qIlR53S30SJC`l+E~CIcIO~<+ z%!eZ8M9&`&ZWnF!`J34+vRyj>Nn$COSq6(G!uXZyg4uAKmiXADuSO@6vP@dM#A)H6 zS39}%>O(vX_@m2{xwPdyxME|&Sg8}ZahR@fQ1aR6Vs1+?$V z8ylD84wTGNcM>{eQ`Zh}btj}ZXA0!J*&3sFR@3`yK}4|kq|SDe!%T!;g^g76G%ljX zE&o8C%ZfAq6F+y%)f=6XMj0|>PJihN3+Ug#XFsg~vx)bnqpEZ3} zGF?4E*JkBJD5_X7@DdBWEAx*$f1<)jwy>)ToPP6-!9L-YTFFmC-^?71tUVeWTE2g{ zHLSm!eJ~4(OAO)+q#L0@@xi3upk??( zz3Nv;sfh0QR9LtC*PYOVkuc&@rFr-NS+M$(a1_(ClklyE_(S=|)qsiY@F`a9X>nPc zEPmqo+Y%7vVmspL3kSJi!%zUWmS4!@F&lLAP3_N=66FLJlTQo=!l8It*uHF4e;+uT z@6LRC#+O_-9zyZHdaus{j|*dTNdKUOwfDiVEShnSezODN&`-Q&qs1A9*=F0bZwEn)6y_Vqx|RmK56%y| zPr8Y1ZrcY1(BOWVlYM^iq@iK4U`Gu(Val1a%9UX;E2kzOt8i2RylJT7&FuMef}$XK zz2-3E<^@UrbOAVi=rUlewix#@3J(Tkx{Sm5{m(MtkByM^zGdkPnXDW|a4feddt{9J z6o|=$mEN(gL(CSK69(GqR{Uke)&6Q*W>m;laoHCcX-o7B#{P z7BUhs;%Se+;rmw1{0#3nBNQ(rg{}2u=`Qs?xWq4*88g(3V8blm%Ml?o5|DeGw4R+{ z!5+UwTrhM|zI4U+gNPLWUHhfBnq+jisZL}3VgKRsS6IPbKd0iM>u~48DxiAOtXrrs zya7a{PU#+Kz860qCMm1+k)sGRxt3q>O{qFwBbF%c($y(5)XfA}$a6HD$iTW(9b4mo`43+)d%o_R}rVP0E8?-oHxb|EbFk>gOv;WU(7*U!2F7-uW8 z_i+ql`r*I6bE|gV5@4GqpWuVG8Vr3N@~&O0@##y1{2^vq7W-UyYQDrvR%=*BEOiPZ zip-B~8W?PKR5M2U$wbtYhfFkTGsK>3F1qwFA3r<%0O0<3w>SJe%6_FNTLN4;{66MN4ProJ+DoPAi#qnxb!e87R-BGTBhonDCb1l}kfg zQgL?Xd0ou2W#vAQ5Atze+{0Xd?KYrHuNdER=0pE7zwgzQbE(&KVZ|>8h*cm})2(iZ^a_Yjo9g13ew;YozAb{s(8AsW)vY4t=?h z)(+J+OxdkrU?DM>Oqh{j-=kf*W}V;V*IMYKn^C2|H9y;EOqWkzWDV;wm~u8JApK`e znZL9w*5b<8fIVIlKO`u)ym$PFKy_kbZ7QzSbs9xv1{a89(wjen+&GXG(R7U_qBI-_dGcqX}a!4XrcTZI?*cw9Uqg zp%zSq@B)>ga-->okt@k85)H+luZf4mww?pZ8=4YJ98_NH@W+-AMLKPZt-JO~heiL| zFGy}b_ocS|nVb0T1i(4QAn=oL`u~H;76B6_nFyz2ens|@>*sg0Xuzwh4=KU7lKPzv zz<%9clFsAA4{aK|ojsOqm-(M|&Z!!>Ro|KJy8e3=NDvh&Lp{i|a9)nTqtgb{B3L7> zk?RkpEkK2x2DhJmGF5(aG);ye2xE4j-_T}$#6wUHBiK!R_wlpPn~o2M3Vl*lfHYHg z=3KU#J!o?0;lvrwWQjqEa#7AMLHVcNrxwzyEjtM(`5zT}NWI#PB?vSutyJs}*I-0Z zDi8f^qA4Am-cSV*{Lq@eCIz8PUw;C zegB^D?ZBcdw@367(c@A5EIe&VbgCubELbGQvc`t4Zv#z8GUkfJ5fy0N*4rCh2*XP- zvoBLVBIT;tb56Rz8&D^3pWhaKgB5rbA^R~E;SB5*rN~jr6>ZkIGe46T3>uSB&6#!drGW-7;WRcy_Rv8_AIye`w_xj3`ENpfeH(5L=MH^-&co2f zKRnGj;sh(h3#-p7QU4LIkBm54TMz4nxRVe+yW?DC`lTGce(d|O_mPn~!j8)Lx@N)E z{LpCPkbXm2%1bdOh?tC0M`yq0W7G;OBW~12LO8F0$=2@kcJDEYC>*}Em;mGdCPgbB zd@nJC)j3u9Bza2WkD>8zOx3fW9Cm{l+~ZV!TQj66;jLNq^|+6O7TlFT0{F_h>o8qD zk5+K7TM_Cj@7G^l*}!!W@oxF-^Xs<2XNkvc_aXCb=@q#cU?G5S?%e001~RLWsW(*a zOGx*t9CY;?l{_Fq?STG)9VM}kOsc{RtFe;&fmDunxjMdA1pvH->6(Uwcs#l1()J6- zIgDs&Z2CoFD$*YQ)3`*%oR`1(7txuKg}nWMu`cGP`$)yw9){+du8Wr~)*{W2e)~4} zYozZ@?sUq(gs7(o;Mq@61x4mWdvMkh#@zor&IESCh69HG342Tb6E$tay+0a4oDtk6 z37RCQO&_5HjM;bf31MmsVg#Oju)%$KBToh!o{wsQl7$?ynds!7Pc*WF4=c=bUJ_SJ z&;?Zqi(C*5iqp6SpLdLp)9>5Etkq&IWT|ZzLLOa~U4&J6Gxqq9J3IUmy80FuSm+zJ)z56@0l2_gsr0XGohfzaHT0+a-XL|8 zt0;~=2l-5MSxKBhQ&|HOZYtruoQW7M3aV5oY0tTuNpq%xwGVPwO&|C-H!A+;AyD;=BOf@Z`pg@?k!f`ZNRs726#|G!+<6?0ep7d5tr@o9MFG8HmHQB9Korr z%Zs7f1DU7)aV8>=d_GZQa4@?$v=wI%fATk`-++4;H$_{3=>-z6{%HhbVhvk}ftY!h zOC1H9C9Lp$Jdy`Z%Q!wjrov0WB!5HavOH3D(8GIH34Vz z_6s#a(-DXCo5?>cmYMN;8NV2;>C;K!C41nqR<1bpX-ZwYA9D*2hSTl&D87~d?#V^s zr-)Hh$DvvI41r~vK3n>vIIZIU}U0p7LZwTH$iOa@G;<4zk_|KS`8kEGv z{kd@L_4~SBJy8WdA->03HNGS~#X`GTG6T{-IrhoTlfHeo!?@fOs>_gXPX+qhV1ZoZav9XINU%yZZw z&W>7qxzYY6LR=;&Tcy)52B8xC#BlUYyts7gJ9TMsmYG;L_o`o9l-g3Yg5RAj!r&uF3K`|Od@SeFRordJixR1LIb$V$0 z=OC)mhl<=Sv@rJfLgH@;$wZ&qF2I{@t9|9&rlaes`n%o4dMczdtP^Uq)D0A!Qv2vl z88!QgKV%2D4(jhpOJBPb`ikK;ym+RrGk^E45BHI)M^n|tKewZx)p%-V#TF^bQuZ{6 z^J#XRw6v16QCR0zd`+B4M-)La;|i{lqV_;S@VDy6>&F(o%GHEk=HKx?P1|X}olbe^ z6Mw}_KykvGxlS}q8QRyr;aS#p|0i#{Ijj{QS*%EAq62rT2q)X}fz%W3>V;}X^~GAj zdzPMi7D45Ej}NOuZhU)r;aUBCKlhlxCM?$=KDO7Yyqt-@A3Wt?F#zBSM{!x^v`8L8 zVtcA|>HcTuhtmyzb&uBE*}yy$3jCC1m2{OW5oQ-kNk4Rc<20CrWzSmgN1PJaw&_vt zT!J|y)A1MwV}{wZZPjRww00vFGIzYKI9Ok_Yu%QnvHTU6Js}wb49hJ)r&7j~|2htf zj&mjZqT>-tf3Msnt)Ej*P2xgJ!xZ@IG9b>X!>^w~{bkXKkOY&>wdXexp1Iwcg@5w0 z>v8{45>4WhsNO$A28a0{IRtJ~(pY%JYd2C0h63j41R97nSPLMI1<#S8P+9=T74J&2 z5_(~+1}!UOyN?WQq#{du0{%750;^j0?&!$H0^A>L7S@$5d9YzQ_++Mj!(7-}W~%1> zz;x!P^Ov>tRb-br*>t@{vk4kC|GN#Dy>g{J5|hczQk10SRAGbPOjTT5MkErO^*9zVwq+M0OJOuuTK zO6080j^9_aQ6mTLU1aP2N5C4cspTG{G)G2iqyAk^B%S&n!Q-3|*0B#dO;s2%a|(o1 zeqGNXOKzTnh)2U#m%qX1hAO!wUh$q+apbX=yXB_z74Rsvq?>s8&(5mCEz0c|zsBO*mQl;@)OBn?^JF4%SX_E4N9lnB-x@pL}$S=ANzNrtYkMPnt08utUtNp2nDv@ zGh`!EGD743ZEnVGd{(YtA-HGA(s;kbsde?)pvX8z&Zf>q$1u1!^Im+yKR95bG4Q?R zo|ud^ekqMg1E!c8bx^X`fq2&EVtTrKb7Oz+zEdFaN4|Y@b?ukOpVJi8NXm&WC$~O8 z+mi!VXM8}}^ESIY_Ko_V#}=O8VE5at2l|D`A1()nPP}{NB6TPcgGS>k5>{kO5AWm! z$p}V~g$pI*8kUW4s{sin40Cabx`vH;P*oW3Mg&8ECH_rh-g7W_TBp8MC%_~l;D z%r?>cY(dsbZ38+QOh|U&nO7mPWa~*>St4fO93*q-?iLeS*x8{893=NxfdJo>AP%A2_DkL$tUiG(0iw3mGoPcXAhUUg=hj;w! z*>BC8xmF8cyM(G~Cd8d_AK5EPjCaQqN|_t9A`P!^=iX*WxD3QGt(|KDn?QrBzIQ6V z$8$5&_f^Wwb`-qTiDTp+t*eG4*6Gr`(`v_Z6n7Eh2D@x$>co0=JLs%~E$rm_jsa(i z&68hdsNHwm!ywJO!xRU@X-9kk-lajzEZ2Q;Y1ahm)c$ieU#S)EBYP88zMbFWS5gi; z{rp7D+iFM|`pT6qff8!Yt1ZNXjXZ@tlP7p6WsoEim($ZHyv+XrIHbxXM|D1qRrkWm z_``*Imaa+l4WT;|la!Tx>QvIxWqR5eD|6+Dia7;#wl9=(bG}Z$zs(3JDZMmQEjnkP z_~I?T=g6)uqLADEGZT3H)oL0yL;Zsrfbo5bmQQ}*m-<8PtVx|uUiD8r|AY_dt4- z9q)SHUWoK6AH6pJqUNUy9i`ko=%d!AR?4!I0he|Eq%C{tNte;o8P7+B2gU9~KZ;b6 zRdzqA?Xn7aq{j`9DJNEa*J4GU6paP5$75@Sv9+Zkt z{KhCg$$4~fmL!~k)M~2R_=|z|ZeVl$d0NpJzVdNwB3EILOp1QPn<)MxQAJ_Q&N-reR#hsX#b_8 z!N6#GV5&poUg*j7*i+q9He5h%F~q>VFF!$rI&tXI6cn%gW3sV+`<@i!>HblK=1)@T zABo!4Yui!ulx1PhPLTh>-B}@mrJ!DsS}TNrE$v)PWvDX|UKQJAN`Iuy`$JuEaygwB zZp{1mwL91FjJZ^N{eA|OIw>!dE6siRyVhQgAIGeAaz8Pg10!gwM#_7t9+L83lz`YV zyQE%cm5N=)u?hFDGpDWQ8xUm(zQZ9uP#Hb`>uCC{&WR%+R=X%-4p9d8hydGaMvi4I zr@6#mYYdy@oF6squQMSbr5B|@Crc!yG{F1inXa^Rsu3au9Jg(liI9i*)TluCx4a)W zLck&HCZk8rjpsiBZ5oy3{~MPkIPYF+c7%#Ra@}=-MULdb_NH4<9IZDn6))bj=pdc+ z$C%{gmxOOpBY{8gi{?z9v)zwYZj`wNXUBqk!&!I%^hoWP$r+|JyDAug5x*l~He2c2 z8S*8*>SiV0cD0TOnO@2n+4iiOy6p||r`#cxJK%^)qZRqb4^yg*R^$V6bDlrWF(pMc$PbBrT+ z>Pywu^y2Y-Yd#+1^!lKo@yOmPMEaQFTswoKkuGp*BH`Z5SE&f7vBJG>HGE&+8eAY4 z$VZY8%pVUb5^A=Ozegzz zUy@qKdp&dV(k%A8mk2FOmqxUbFIgj%RIdvXQ%#6XOh=O)t{Q!WwD^XlE9JUHQI`$$oKp{#s9He7-Y#=wZpj3(%hO&ebu^z-8^Q27f`;Y14JgJUwZ`9`6S}$s3Z-7F7-Me7JsV z@jr%*9HH_qpUaAVEfsF9c6r5@rU5C>T7%*j5G3oVt&L}etwcq{XP-dI#^SF9*r$z5 z>=nmRHzmBjQuSps!C3#3+5x_DbT{Bd`@hUl*E> zP2p)Ww*%@Gpj^sp*;BCsN>|FOX2+ake2E<-1+fB{6O6kG#k(OsLJLq(2fO`7HGrx7 zO(NJ?ggi4d{`UO!xaW^yf`8e$PFgRIzB-&$Bp87PXC+0b@C7LY!4~)u<@R9~>VK`O z*bT?M@&(w|>z0!}8ykc#-J@m3cwl6Wvv^HWjC8679vyNmzRx-^f-1o4J5$WL^d4Ck zHwZQMt>q(rW_Z;0i=NzN!T#zyF8f?sUErTzGCC-c7|k6 zD%M$u*=goD^jrLZghJKatJK{20ecJn($LiMY~9EPV3A*^=TbUSX%1J&TMvy%Uh0}^ zY}dk^-ve#S)-!A?av*XRMd(iZ0W`)3`?`+0xH*Wr@av1Z_*9nmpYB;fe;i*Q2YY1I+QiY!%i%#5aN;ygf$vcnUz9* zPa7VhQYc^w|5XPHTVleC>t_&LHWjp|UsBMWZUbmyb=%VQoDbU2Jp%QW{U60-%iWb9 zyY_c7+;15oXZRrzQU?cR=Q4vfx0Vpyr^>XxKW0R7ZmWDCQ8frXLLHtaPXHvADb{T& zL}u-J3Gj!Jhyt+sPV`(SP>G6l%_WY?g824p?D#p&^Mhy9+S~G%0E0IfqTeF7dm;~?au+<& zpH-7^(Tbg|h7N%f9RIc2=TIZOof!D*f|~i_GO}ZW(Fb#&KK+JO(c$Q$ujETxrb1^= z6tdJcp{LJd8n5{pzr}82V3K~dv(1#l_2AVY-=lv{pSDkR;>8lM&Eh-7O2#aCg%oCK|)yP z)qZ^G>d=}(k*|Z`6rvs!>yy4l^c3C=99Sw(Tv5z!v<0VjvMQ~~_-^yi3L0+5cNP;2 z8p*C-C9heJ?bpvY4?~QpwA{=g{5j`3fWci-CjjTEEnI$HxBYnOI=+)DRpqvSTMkqE z_7d%-52{{OKVQ6=`tTdrUVT)Ln!z3*CjowD9U%+t^+(*s!}+H-z3H7!TZ+Zx8KRKo zP0q7${dy{ByJGgXnwAyf83=xtH}HO!iPW-@bn)ias`^?cwNpZq3aXw!x#6F2rQUk zS;QGJfD$z7sqGnqA8eg8a{Ck`yE_m#HO(oK&3aU^o49Zz;)FQ1N9mT{HHY-?4gpt1 zQZJf|#Oj(A&!V7D@VP!;?*E(d5NJg{jG8V>mGko zr^&|#1GTU56UFG~2%7MUJ)RB>S~gbBhem}%(H)$dI}aL*tDRKVv@%jvnvB>%gGS4C zX6xNVEwO>Nb&McXqSAfw#lkm8MMhSFYrNR)4rb}7i@UeBE0@;hLjZ0D!-|HV;X2Mu z1r6rJ&xegf4Z}C6_o<)0>^{!wc-iCrlUR%U^wE(|($b14?+1WFM~Cdsix}w8y#cb- zWLe+{-uY%hm6Ql!fLO`Cd+0 z=Gw6ko8q1LPNIZNXsUCO?ac9>)q7O1Ky1tra}1%OEq^Bm6kLmz!0}RvAv(!EjBGeH z;Yx0dA)3=I33U5G84poc6{;y1T04J{BHYEg9Adc|kLbLt+)IjXjV+Nlf!5T|sg|loruuCHCO5q;b9OF_XdseY_Hneu54D}9&<|l~9cSKL4 zAEd>19;YfQn=l~)%U4rDen=hXaeX%tpL%Fx(PfwFz4!CI7J1{dn49&kYat;EmwC@;QR(a_uZ{2T zt}QO0pg%o@XI)3rz0`VHq=$ml=t&`PJaq#&w<*^7$&4lC7xKS_b>E%vedjrI1yM6Ri$y_9o$HhT=##-fqa5#QLtCY5KGga_|!eTua>RwysXvn4L;owTI@Echk**#*I6)5nX*DlXZ96t5x@m=)cW6+f46t?TlDu8MKOS@m5#REo+8aZHo< z%h1NTC-}Pu`$glo%xzU&jKg3lkT*x*2F)wX2f6uK(t>%1V0e2~cG~u$7)xCc>;dZb zKJ$clvM6;&bEU?2bf-BQmU4k_xq$xQdv_VD#O41sEuI>?((&uYojXqG(y9&=|8wvR z?aH8p%(9`9`uO1P0;p6&bf@i+!}^SzSdd@C_9C)Pfa*4jW6XRh3Obatp0z~kV%=_e zDtO+t$yWF63ROdcnNYegdrfvXP#1LbXsQ0yHSX4P(IfQi>%la2Bd8oEl4B{eYB?FD z6IrA%^34Amc%hR-!s#qHs{`QzLlRHi7C4w@3)F6~JNXrRP`}4?M2y#BhUNP%?c|WItlJBr@dNt0|x?)+yB{762i$NmR&#l zuHDRhApY3xE2*1(F5PO|EnMzY}+Yz((vf;*h*thPe=4K$Ad=q{@eJPtY zJWSfbS|a)QQK^&4A!!eby?3>hLfYCYwc0dPG>VF6ocSh$N6~yDtMjShlXpZ z-_P#CIs+zOylGy!Pp5W*=h2=`kRBWCh&aQ|zKGJz2GPr*1AuikeY=6Ca0`VT2$5#{ zzcM{bOpMY`)5Lo@^asW4JGZF<39EZ5;}6N00e`1SEAI^IlRDtCwHma<^cJsUb-rOZ zk#J|hn$QU?+cFxmD05Y{x7RQ@;5*%!%*fX^*=J^e-?WG81ZQxNdUKpeq;i%AyKB}G z-}~eIrBh6!?&?Jt^a$*-oxp@|$b^onO!=|o2J`#Wo7C}j$B%B?>FiGc(QN&$73`Kc zaGhi>(2TJT^?7(n=JIZQ=#oU8_TVV|2xDyC0$jqht{K~5y7*RHSJ%yiZx32l7Q9R8 z)OZ0{EmokieNpsGA!DdrH{;slM(bwD&LD^@9!vv)+s{g@C}!4A{0UZ*#Ke{9g6 z*O-?QH7Kd5@+Pmpv8Qh8*Q2=NcNXp(vFGguyz2)?c3Y49#u0GenH^LVQJ)+nFr51~ zdpXkg=qhtbfO2U0T-!|wdqd`=u&&u9;(aE>=}fP0t#5_}D(F2)<99&hqL2Yu*x)%* zxO9hix>H;(`O%wVMwfnU@NQx7!Jsc(*! zSTy*Vm7;JrXfnV2mxag=^>Sh`n)Ci4)|TCQf8`bgbp+&Y-O2F&sGf1OpC{A>(sRn%gv?q#HHvc#N8cu);;wT9ZCw7-sb!y@ zHOARp@fmW_i-ysFyZK;@Pp{mid#9LuOYFtajenUSh5>t*^H;D-tXQHYIHR-dJwo$N z?Y2{77Q$B~*--f;T7yLh9`x@sYneMnuYV2xlT#l{|5jJXDI6+7jdl0>K@>ZzT zcnJqBgA-u#s<(pINkx>K^WD^3)vKJbMGYw5!{6jbf@#sTLz+x+EAf53IrDT;~}ZOXwhhd(}7oJBCZ$SkGGH^7=9< zIP#n?bJ(Hp+jWVRX#s3G{s2u@IjvZ_8PeMYk!;_0DXlb}O@^*py*U%0LI)^1O&xn^ zwf_xxx?uavb`r6?{g)H9H#vJ*#KhH0kBANSv&SbKdu;Bb<_N3!>mPK1b4)&=e#Q`Z z$2v<}%wut%VaM$D1XDw?t`B6XBy9vtCYq7G&O=A}&;uaG=)!w9{$k`vrI)i?tLvac zd!8-zffOheQM7Pl?*z9Pv}J~MEeU{fn(|t{QTsjf*6nQ^o<7)lOW#t>YGO5rc-rSZ z%K?GI!z^hW zJPc}_&f+}s9C7^SnfT69xr%)=a^&yaleUR-(j?^IWgQ(rAl*u$ud86momsF9S?M_8 zYx0@vSJ$Kbn?G;1sePBki&*&T{>xsLkpVuthEL}~*S5Hvb$wSjGDi08_nAv@Uz%Sw zKdPBK9i7P=ZyXX(Ag9Tr+8~Hbprbn2p6`b9^|JCDtfBK4{@w(ecm5rwW}ABgjwb7W zcrN16?Zb!3nIa|XzJ`UxP+oqQ61@Fq1GiLsMbz!gmAO?*uU<@EQGT)>+yws{%hQ!n z(_G-ih?yYXB^mrwK8*w4n`Uo_u`NCoIPhNosR z!9)|TFKI;AihbwADpv(C1r8e*))IB8U=CygCwR0r)%%kHt*`kMeH}_{Hc&~Z-7Fj( z?Y;8nz5z=Frg`YSXAUSfVnRcJTu1o)nW@zAWOwtaV@J&dsAY)gG9k10sqG{M190N? zF;Wd^71qC;%8X&4^6#+vDmF=QV|iK!M0c8^FRq`>)9-o?cvvCKBZx9=<%Ft=xoGKJ zDt#6e(3sG37&6uS8LAQ2YXNhKV1+OM!$+&%(781FfR(4X-YQ%nFMcGZGMjvi0sKNE zhGL*9*i3;@OMKG3hOKwQyO>3V+*!EerR8(l{?zEY3sq;xThmEHL7%RSoTkOxy3NHU zwFDIh!OiPQD_CC^R_d7YKd+Efs{n&7u~!|porKP}Ru}YPH2vA43h0Qi&8OzH6&;^w z=2yK5ye)b?$CuOYvsUQU;Yld5p_}O7NHpBQ98d)>$@cPuoDyu%B)SrFtqhXhA17lE z^b352=o^Q%-Eik;*2Q;-k0BtWO9PKO&U&Z}+1+60Vkar*=C$MOeX45!vN19Ex}m8r zv7^bWP_0$%3eme5ph!uGfp9#Bjr=A$3O~Q`EyI@qB^GZ!09O6I><)J6`^$->THE3A z%fHdJ5Er>z9Yp%$-9_zTeE?D52Cz&Wiekb1%-QdVBhNO*UOWJ$3RA<1y_K(?G_T`; zPf&8hZFs6N7t*j#FKcT1k~DPm`0OPM7Zq=8I_IUFwu~7O*_ccGjo$n#Suw zn~-#vCT&bhDD479p@0^3Jthi=@J}Ix%=d|IA9F5km$pzTtl*ztPn@M;1rB1n?)uHb9YP%vi*25|{tlvV0f6^W zYEB)2P+;qJ*cJ(g7$VIz9-{C}hDw@@t=AksK>zy#DktCp_h-g?%YanhPnzvRc*v9_ z)JEGjJ&dOA0+rbr4_R<%)grlgmpXl$(>xtW(j~1*?;?lk{_i!tMPx`;Y;l-K9T;IU6RxOM<-?9Y79C z3?lQ2xa;-*u&w8}XH1cvq4P}Ow+}rW0lB286`8s5-Tr4NtES_U(|I%v5pQdkr)lgL zkUiHKx+(~0c8RqE!2YUK)5|rwm8vOR@ZS!Mc3H8DDsUS=>=}OGXd|Pq^NI3sn;|8F z?w6U>pna(+H&cJ1`+K4yT5FCI%hK`ceZD1KCrPgYD^u|;M${T^p^2dEQC)Y{A#Ne@hRk{kK$m^ zAE`I*T(IsPV(u>{(zv|C6-(|+k2NLafgA0qYir?9xD^+gI(@scLE>k1pw?N`-VhK* zM5&+|ctZ7KkIf}B9x^7F=55see%3|dU=W!UJFX~k+?Px;aU5uK3-JX)CfIyToU@TKx*4e(nC42P+R}7d)HEVA(b=(D z?7eYGdOSr4jxrl4Sd^q0pYP^HHF(}ud8NGv)a9EVy(D@BHv}arWY8vZpy8?n*tA+~ zE{C=fB5(6wk^?iZo@#?9PTi0>2`!1sQX(?pY1?uznF``;_}sVU+pk`K5dA8{NJe5;1=fgBq~vA95Nfvj-;+FN1Ca;HI};psW7@ezmDl)0 zZ*hcI_<-EM0@K2lMeiG^51N5O7R#7q?~N1Ebr)>f5_>aSPQ~@t^KnJasQlTIK4NasJa)bbERV`KRy$#hu$+VQfoIXe6tS=TKO2eOKyef}N%5i`^Fe}0xlblGtXpYTAj@N(QWHF?n6rCnvcmg^9VqjN+ZC?CUMu>F) z$8!$`QHiP8%cC_a$Kd*FtyHn|El~kuVGM)BRcOt>_U%$$Z1 zaCvkOvWNy;l(TBgz_&?+nfNk~!TMRKdW)%PyA#?R-ysG9u)n;zy~qBI{QlktH&{p2 zj#Tqyw$qf6!@cp1EwPklDRG`w3G*w#;nB<4ap$_5DS_g!KWpcIf_{E_g(t-x{!i|u z%cEQxJaw8QDZivVpO^789qbIUFMrs%*(8h;5wvipp=`RYclSC|mEMHD!&%WlsO5g& zdS^JAou%5EeWDvRFPzrl3eYm1AWnd)J;?`()gJy4_@1CR;AsK0`3Ty0$5q{5N0M#1 zBw}4um^B}%#bKW|vGD4F(>wgMej{Tu=1&e}2e+|>)Oqw@7ceJuwNZH==cnSDC{u`E zEv;WW|H>}Gvq}-GEcnpCm6Q-uSeWSkSO1Psl9jV5GX2$`q4dNC(9b_B4)~-kTrqb{ zpB%pHb&{kLJa3UL@0xmGh}J0iKGINlGCb1#@_g%XVk9=qieQ(AE5z0};@baZ0xK7O zL(iM4+vfMSL(tps#;1>{ zB0o2tc@VBW`!LFvE!76Zxs679wEq^@q9ys|F=6o*juVXd#?^wJb30-vd?R04^yFlU zAN}j=LiIe#xl8`HhB&(mcuDQavH&6Spu)*yQ9&ZJ@+-&2eoMm%U~fvqIzz7_osKhk z@^a|>3*7KakKgkT7o15YLEoOf5Hyv@@%r-IZJ;<~ae;Eh9M-sbut{MKIIfh)A(5Tc z@Va;xP3#jfv8>(ypiG`*Q1z+hSEUqZ)z|jG9(y-&PC)tDJBhsVoNq*P&n9U%j6paf z>?cfJ*P1PnX}-W@8tnm`pDNZL@0_-emabz@8T~d*+ZDQLUZqp3NqJarxxQ6hW;FJ{ zmAcv9?TsSnvUT>e3hRYoOk&-FtLKladtJ`F^IvvmY1>ajRaov=%pYI0PEJ-$3B0lJ Pgorq!d#~{SL+k$o_=>yg diff --git a/cpld/db/RAM2E.map.hdb b/cpld/db/RAM2E.map.hdb index 28333d577f18294da99f468c17ae8d28535d7f95..b532496c9624d6b7cc02702f84955fdbcdfc28e4 100755 GIT binary patch delta 17534 zcmZ5{b8u%(uy%}%v9WD$Z0-h|jcwb;NjA3aWMkX5Z707Z8{4}3-uus2->I5@rl!uB zdb$T^s=MbR416dIJXQ&40)d15_uvWsFMuJS{vQsB{~x-8pZUON5=*4HQj;{(gusQe zWd4>;B_B2Zl}p8*V61P7Zb}6of=A5`FCGsM0@G%7=v2l0s8xGn?_-PD4#U{+oLBGoq<;T-YF4?Yicy5MY-|v^JMmE^7lq4cy>;bBi zoRD${21c`8qrRir%H@!W)`dZic$8687+?sgCeL|vS`EJkVJ0~ssdhSA(q?d(qs_^K zljcQYf1YZ{OB*3-)>W9;Wu_o!ve&pjgY~{rQ?R@dB`-&ry&=mcju#{$OFS`}chB}= z7CJ=VgZXgjNL3(lPMM5X8<3;mV*?qkqY-)U(x*n�>$@#q>=!VLvX-`HZaB&~P45 zPD=6RhdAe}OXh!O@EgG-mnQP!TeEVmkZpp_9r-35DO)SXXCkh}-K}whyKykY((|xA zWYct}1W);_y=iPt*V5*@+S>kBz#b2BC!4VRX6V)8exmUs59AEk2TESAs=o{;F+q|l3`ty|ra`|_Iof`~W z_w7lRJb=A(F2b${NHv)migSOF@C*r53XKt&rxup-AY41I{2F(W6zH}$X&baSX z)2Y2h867fqD*s44g{vRpBK|yhGs8D4ekXWF?^lWpBb%JDVG`r2rDcB}9g^IdB3dq` zJ{9$5jV{F1Tj7nUlikvn?ZSC=#_KYa^@R~@o|jC9`kN4ONMG&s4sdbe5V~&tiDFXA zHsP#pomz43zbt4Si(9!0rF24NS^xsuz{k2r{%Gh9#w!{t;t392=i2-a)J7$t+!iL zzLY4WGc0cHy2_^F2x!;&aGY?MNDAFnHAH>mr>df3zwuVjVG?5=lFXjfU_d!Q0$4e3 z5I2*>(tT3dGO!Xjy`x%o{exlgh|Jw{|G$cRk>^gtXwVAm4*lW^DGsqlv!&#Ri%VC8 z&)^GEiuz>^KDyrWLq~|M*i*dA3tl@dklMoC)iQa7YKusl?qO>hxu+kCk55NC%?Ts) zv?@)&nmaCs8ikFn&0BkCHq&tF6h{;ObG8J@ZUY(~g{%`qdyfZmi&$|V2?9@CAu~_& zh0P(Mhs!$jx_@ZD2L;DghLEb>(DVl`j5>!sXC=}u)at*DriJCEwdz6RtU}QMt8JPmn2>{oF zpfU=Blb$*EJ;bA1`B%XYw$Y+@%NV^i$6+Ia>9Xv>I&~k3E<5FsL{m$jF(!{>8y8Ju z!fWda$96^DS1Erl4e6sI%J}s{_JjT*NAmUD_k9_!pzf1W zZ+xiw8yBi-x}JMy?ek)Vm~?WwERUUb#jiQLB-U1$WG%tu2eaiG3Wq46`ng{YADRM- zx6F2=6*H;b_{-JCZtcFn`n%TBWcugXtKE+4=<{He9w)t7X_@2v5?=lKBG6W?_kzJO zG}xZ?DLd%8{DG#_y?6h*J@56aQMF;b{yMSHwbAij2iZhunY!tHwIaUI^~mu;>1^uW z>Hc&1Jgef{E2nB}`noB_rBhOEbBO?RQC(L|BnTr+tEl5+%nK1Ha#S-Sb*jrq8ed_W zdDLy>s&-8fHl&&8)e!RG9b=Pkc_(B1X}%v_tK_~$ITZQ!z^yo%?B7gCi>u>BH!=I5k0hb+R-I&bQ>eyNq z()}i6LVS}_jt)G_{E-Hpn+b1Bm}5_Uit1EFikA2dn$Z|b*4C3vPgr$8>$4^gf?i3C z|0V=h1~!#lY6QipNB14AlLe}5n(9+r|4~sU&NoTKbV}gtB^*$r0c0fJpITc^HPROF z*~k$o%UzO1(-_ozf5fjBdMA*Zss5xB^04TjkwG|+c1+80-pYU*1uac?av?^+j~}gF zO?-JKaL9XkK0EsU4hia(9h;8PiXR#N*dQApzbB_GFr|%!*7(axv|Uyr^tj!nsPNO5 zGOd&Yt_b*k1WWjmTFW3Jxq{jFdDL|20p?4Zn^O%h+W=gFf8ufs84z3DK@j9UD1CEV z{v3{t8AlLp^$pJFmizfTXm@9$@S=M2pn|VDUho-LEg3=`Cpt2{@XT>6N1~?Rcb>c( zQueydkejVkzaWYo?Q;Wxu}*E<*mx8P+W#o-)DDjSxX&h2Vf2x?}&iR6{+ z`d?tflJAx4V7?59JCMYOn-iprdo%kH-_HL}{fwLn$M>C@n6}aTk_Ejx`69if!~=Zf zMqrJD$5Q#c|An3AXF>u+FFP&&#%(|1sMJ<>>6N^xN-{)*{`4PfT`&9kkREM3D5Wz6 z&`WIM<%J&2+K26LnRohxk|B8wL6ckVsIxT$Hrn%Xnv;+niB}b#`|=0c6eV($#V+4> zX|uGP#D8`X#saN=9rHN9ehzuKjObtf1dL9GpLe-=`)@GW`hKxho6xp<1IE5!xF)`f z-L3L2P4(LMdMB+p)T?vNj~9!&9M-A=MKA0rLAzkt?|fnHh(RIr&A+(3hNB1uMG^W)I%reXof zSb~=_95Xts%E=R`8)Co-+CxXFM%+AlU`~fq((@_0&)`}#By<1e2@W4Pg2z~19%74g zc|uTTLhvrIr4l1WhgVVhot3eAxo*y7vyqPC-kG_oSc;9Yd{lhdr3$y&gwUhS>Sm^e zGQv~Kl6J3yYin`CSJ$U1+uvidvBj9UY_)E#>p%cpPN)~?@DHb;I#tU4??PiP zi9UE-t4QnJiCKE;3%ON`tkQF%70eexCS?y-GT@ECC506kX^W#@uF&X>xvbW1_q{_u zg9GWNfs;#x4JW#x4Gvm*H_4XdJ9bNr;FydI71nQK^YZZZIdw6f*fXCCjiB zdt&H8{l!$#d<;S~y=k>Q*zwGg#24P&MBzJ>)odeRYmM_hPI?5XIaM3Ve($=LnonJp ziFlAlE<(QQqu*UICzd(U7BNKInTPxh5vDZ?TEW6RXI@BndTERKWT{xx-=oZdGw=LUj;lNmf;ZW5?KMs>rhp-ygJFbDE1-P7_uy7) zh}i)M?ZORGi5{D&MtEctIAeuQXE(kNacAn(AS@_l`BM zeGh6>qYB~iS&laE|RtKWW6wM;W{>lm4=ZbfZXVm5F;zkTUCI(!I(=X|drHl=4JXvEc)ha>Jt1 zEGPKaj}MS_#1eeTm78#Jcrtv+4tLqCMMk-beK0FwR#q~0KiH4wa7)|UTp zGj^;wm&#;&mBd>HXTiMcfWTfeBIQG)K<~K{mBpY%F6;0?RI}*89j?))ZNsZaYm@+> z!2{=w-7ln9h~5LI1Z~D-gkazU>%X#kl{|0?`ZStzfQyhuG|2 z>qsrGyH-zD7{WVwFZ|=9HGb9OOnbR!89wpyP}*Ay)zp;(W9IHV(gd($>G|!^Bbctr z)m7&!=ag;G{rUv;@(_$JFpIsQkqtp`WW&faWsLN&Zv846@cz@)Z(lMtnSjfagWDEl zZoknoyVIi3KbjJ?m$PZ(hU2V_kLRpS{;$ygE9`g;-Gt|WzbveweBByl&q!|XU8t8* z{0F;8z;UcO%R3rU5nq1qog_zU=Ni)CDPGZUXO3(_neEouCV&sIt&Q)iiJDt6*?*PU z#m&;t2RCXp#`2?}eCyAF9(+;!sH2NGpQH~e-mPu%;n$46?f?eirCt91yPR`Jci6+L zR;Otd|5OAyQC;=jW(9@`Q}h7xUQ`qg!{uTvM|!vE{8-#|gg1F5O{bGJqWsx;m!vou zXqDGt_gfwC5FWQ|3#BdK(I_4C1HXS&&XZ}Kzs}VaF&)7%Rj}oxE*ps)U+t^zQ-O6a zMu0-DhUf6I^~uob7Znv2hD;tl*!GvHFle{o-v_4mJ2Qh;s#2Y;v77jamEnfD2b%$# zo$mN^0_1Di212pP%&Q&AU3blu4v`l;GMp9N=KUi;8xC^k%tGbYC1F^XAwHUO0YcWH zUoB@=j9bG7cQNo9^0rY9W>kb%_HqXZLONos@j1{DL$E221EERk9X(h9N%Vm#VxF@R?mBBIgTg&LdpR$d=5P;SGT{^{2#V(p(N$Cf)bs z#W7|EBKLPH{8@`1@~{~~OQ8f}e$+7z-CBEh%T5>>?%Sj=6jqY{l9Uiy*n-KKqxz$yHHXVi9LgfBh# zgF$=ClX7Ab*WH%sCChSXv`u*7OkZ=(>h3J7y}jvv&xl{x%QswU}|i(uufpnd{YxP`U(_N>CSXOE`#CX*EH90u5b=o@g3n}G`zB^YMyXl z!^lK5x1P=0;k7z1nwxv;K7Q@W@8b{Ml!XOJD+9|Df4E+$ z9aHW~x~yfdb^WyCXG>gfe}vZf%Q}JC(-Nr3yit!#>8vW-$$0mkq^YZ!|#E8TLUt-;Vr3jAIBg!W0AIjFUObs0gcyBM^4 zNQps1HIlL{8yGt=d?y^UZ=t`}Z)WFqNn<^oU9}PH@5${}52nyO`grfoqdTmqL)uBt zN|OW3;M)7NXOd^-Xd2a2Km==Z$@eM{HzJ^V%Wenr@w3R{g-#3w;B31gyuG+0z@{>h z@!JY{93RTdee;R|oz%Bx(~>-X=|ZB@KkK5GpDzMdwIg4XGtF5ftsYjExM5W6y14y) zu<=xGDr%4nc~2WFFR-c&L)*ev@bXQOGkU$qjWSHw-R(@g5w|jMiTgX+YzMzkY#=`u zMVt^3tec|YG~;LN1EF&w%VUD;SRVLh?BW(9tDsEtjI(6nbn+~jYHJ9dJing%DR%z` z2j!Mxx%Mb-@R4Qr_vZUFS1;7YtG$ElTxIQwMSNQ7l*C2T|)z+)hFtJn+{sN zj=m0f`6OmAjxpUWbAdyPc)b{%3^FBUDOxt3*YL`qV=|=$AhM9!t#k4GF`!abR|tee zK(~LP&$)2{w#A1>EH;T-62u4NdYSA9J^eA{ru#l>`1tBv2?m|n<0!&nMz5bWi9YR> zV9tC!(qXbI%(X%@j@&oJ;sb{ar-qRA^)2h_Z&{;N0w|vB7bg6)Qs#^Q23CaHbL8b> zLdX)L>qGMcz|wEejVvGx#gS$}dUs_$Fy`>jrTNwa&T;J9BY$?C^Yw%MgFM$I>}zZm z!hkwGgad;#zLDZj!@ZoH_B|o*KesF~VHoVpUv#hBwYWK9FYb16y@l>)oSf8Y=`a=<$M|!_9{U!?4ph%b2)qf!X5LI@PPP0Ez`q9y z7;dtWbalTwqo$B5fNVf2#MV!FyRe8TwFNKW!~8w<~y9WV5ZLYpkM#~T*Mf`+NTqUPt*>oM%ADuPVtwW-B zuou%mfuyNvueUj*tR+*Q$_Gt2-7WuP5_jO6$^#yc-rb4-aa(2a^>1P`HvZ|vmE{=E z9sH^sXVeY6)C&2oNY|e9!UoQhK&I0vEo}klHYzKtfNlpLDK5+?-x{mOfDG0n{o{tD zoJzu(gtWKd^L4r4$~V$Pwpgl*S@<+o<+NEYKt-0C%m)K1w$g2tf2kvFB;(hpFp(Fe z62JZ}NW8e+3DnvjyqZy$DKj67ZL`h$&e3z}PhIYUH1!_cxV47i4I8BY zm>tL>ksnFWo!#!snX0}F3!KMNaTdk=U()#{F~PDn5l%e0+e->LVguyvTvTv&)FDGI zU}VEo4S#xTj-q;KtjqSc<^U<-DKBeOhr&@UZF`O7RFDQuP7>3wm(VKVsE}iP z<#HzU5g*aR(bF-dpGeDZP|}3t9x9H07phs1)CVe_8%QjHh4VdwuH)v0lUz-T>D=;Epv1 z{BzuoADki%`wywCI}%*NOqvlNr-0omMvAX2AD;?>G$eUw}YPm*#S2aU!FZav~I-f6tsG|?&v4y0XNbMVehYoCELEJ z$jFLoN-mjxeO|r4f=cPEM0eYJJ_Y~oc0AAy$e?|a@0o-Og{7W9!w(#he!vbKk$-US zA)xBTJi+b=5jk>?3!)4&%!KnYL+tX7PXUk@Mg#s+8ixg{X}dZRP>-F!mSvJoB>RJKR3Y5(tcWWIvY2f101{DQw@wM~vmmI%zq z-_Z%W`yHs=kwtrD^LR`u_)MO|2 zcTKd%D#s*s5%UW9y_MSo_8WMS^x383VMapv*>(j#-?-eZjcz(1kJ}x*F)ae9- z*8OexJ{$4p2Wl^C-k4(#2g4qpCfu$Q<&yR|jF;>Zh<&hV&>7ZMj3yTO7JRj_n|==I z?Us-20m+9n{X)@4ihQF=jYA{^cX+%aINEgZ+3tfNxXpn276Cy5(`m5q)5^Wl7nRV=DweKpw2d=wYF}L zEcKqa;ctN&Ln~>G%!QUKW2u7xkHeTn*iTY4E_d~*)C_>d`+67Q*UJ|IH`V{rI_rv&APqQwNAWo%VaU zkfZ&2&xSWtym3e<5~_E(5j={@`_vRxaQ(~Ud+UgIx$zvkVxFKFFZJTG_hTnNuB=xk zGHjQQVe$HX2Yma2rk#FGz0~O5^{?A^;2g)0e~iBRT&*{FSoCkLqOZNHyupCS`TXtp!nD8pa= zd}0CDG={b$zJ%BO1KYx~&-@P}AAmhc8UYN11&Rn0S8=K!yb0H_qan^#;Ql>yI>St{ z;6Tpe!KH+!4)oHYktfdZutO$7_VkW^&I>F-qy-As>xa^K!R!X=OUKkF)N?`X2e_fF zd0qBdHONXV+hS@pbgoCPJ`aMCQG5@J00KDJ7JXA4;3E5w8hGb^m=(^J=jJBD6lS|P4ei2U-;OgF3z?4g-}%V1iEQ+X0UC@)|Pjsr1M@H!VEF4W&? z5&0>+?^7JQF+S_yI50FlBF5kFcgvdcT~Ql!|`&ESn&HhxKHkzBsooF`E*18eLr6BHQ%WUXQ;- zt-PBEujv$q#*1Ytw~~@tQfjV|jT3}6S?hDWOLM$#wyz^)af?r+4uZdKx&ZFP`lU(@ zq}gs?#VQ;5x>&jI&CL@!-`X2J8cd8Y)Nj>KPZsH=q=wVA)Z7}TY=|?O%LaRF+^&g` zCFzd~=&Lhb4%!-LYI1s2haXPW1SzUhoueK~p)o4fA5AV>LIO<6+apWFTgE@s6`Ghs zQbMYw4;PY4gVyrc-<$Yv5`k`OOB;o*D(2g66a3a^<@XD*uijmPQ>)0~mmgocjAXo> z$xe65hmFTI#B$Ec>PAnZcDrHk5A%`}!*chXQ9)+-&ug@jzDauJCsS-WI=IA)BkL1v zIh_f#<(5K=M>L(*GAbG^zP4#)zHU7C&u0_OizgPika=B-DnynUbb!#yT82y294;No zDrlY$S5WU&PO_*v=iqgqvG6028K_$@Gf%KgnJld1XWo|j4kgb!(n^_u&k6IiEy9`S zVZzItlMEXFq0q&!o}8p|-8!zE;dEMEaoG*x_f#omN(Aj23DgN{9!{pT+mxNK&nu`d zUKWe#iJ7);t}_2k0gkVtEcGn^>o*i9OU0+4OmedY<9usiP2~6tqlYtIKxxlLTTd5)^V4THl{MREwkijWkTOytZFK&iq(;o zl0JgnL1U$i8*Uq%a#Hy8-Hz=|XKIC)2E}?l_r(Vrf7~j8UTURBIvRD>MeUTVw9%lP0tGh7C9P>N)WB(sIT#I;vn<-S9 zMOE+jCS~6ge7eHcR(GtP+PFiM?>Ecp%DR*Xxn|iKncSN7R940BSH0D{><0PZwRZVV zv+uCq98&xMiG1xLde?%9hlmqq+is3A*G#>IZz1yMmkp!ziF04 zl3IN>X)-ePR`Q%-b;mV@(xwF!zS~*Rt~tnQWi}bB zi$NEcY?FBOKHed-YKITw&MUadJoSA)AK7ziWZmA&h5|Y{3q^QGc>a`G=Qk-|s~oSV zjg_6S5Ss8QZMwl~6%|AkiJ2xbdD%Xy;$^jis)h1v&3i^sN9dyg(KRD9gjX!gGs zO($*#OLrOYyq{a`OFo0%`+f6Yz5tS0m(6!}s=5~e3`g9GMx})u!=Q1ow~HH{;`ir- zhq_O;*CU?ygnwa_oM$)BF|n8W>*qMdcXPDoYnh3;6_Yf)&9y|P4)w|wxZfnP?>G~6jC#L@$*vJ#n_|cbL>^m<6~XM^=N!L_17o-D%a}A*LY$* zUEhz`N8lM(d`sw{E0Ou=Q5vH+0hvs%%UtsfU+**a9fN-Q<#OOD$M%)FTuAv-vQ-0U zeU<6}1SbqA?^fb1qN^i;1)i?Dn^E8-2j|oHb=ml6dNT{C``BE3re5!MyMGy8pI*)9 z2L^82hk;Nbjt|i{jc4QYqvD~m6CldzW8y9)M{X|v*C)avP~H2=?ZSXlY?@OmxMH)<(uD0-xC;ffC@nLEzRfT zUFu_5$(zQ7o?6UTJi#CTE{aBD3?-25k4u~o zbrU=#)-CBvVxe+MjREDr>f9F>bX8vViIv{IFf!2PQ)YseN| zlH)W<1`L}TsFeMEfu$@06@xJ>0z48|TJCc++-fWanVDqLP`)%PPzLCcG7RzRVX0s; z>VJ(<3u#xp+v|)iq*?T+W#K7fJm3>*7w8x4hLsyWpcXEy8GNg|fmjJRU+nA(FkDeV zW>#^+@e$?%X9>ZTtA3^57VLCsSo~=_*e^CnKhO$?n7%=FpNz%UU44SH{egNGqsh>> z%pi+SLc|)Ul@R@L4h1(vT&hVPFc!E(Q-Bvbx5#nETF~@oP@cx)48o==XHZK^XuMV= zZ|*Ke3(4hjf4%uK3Xrejk1k~U5|kXfbK1NY263*DyIau6vyq)EppttIzSaa`LuLLn z9m}KpHjM56Nsv-Nnd{T!cM5s#1iiMJyPNZI;NHzjj#i9mz72U^2)*Ve?DfO{At!f| zW~M117`RKB_jg+zAc9^~%Kg{30Q_40mj-I#^>Se^f`(26ph3vLN#6fKYUZPBCjen5 zAm*!iHQ0Fp^jf*_>v#VL%NarK+)Ai(G3YfM^X0GRtoq zRo4Oq&c#=PtG3Ao!(ZX`lSq?#nK#(~1fuT&^uo~j1AA231}>?L@_RNkZ^ZG+mCEQB z$TH!`=^BatorN90R&uBl@xGML@aHf5I?}KtHaRaEbcUN+S2!u&ZA)xhAWuG?8!a45 zQa{vSc-v-vv+MTgzxtw>UDIP2rzsseH^Kyb6Dxgr(qlPJeMQ&HS+DGzTFqq2eXUtr zo8qQqNmxOCfi=ca9N#oiMRxURexTkrUDC&lKGL=l>_fyg!NWLR z9AZ7-b2|)@11>G~^R^5V$<2=5uLkB?`UJ4Vwi0==ak)$*b`#Ia+ z)c;1U8;-7-9jS`wu=6De!9UoBRx8YZkYVr`>?c*@kzDbd(#ZFwpyJnYL+n zb|C4v%piYwtm;Hah`0L?m z+vX{kU0qpbJX?gKqN$?vn6>neGzD7PdOAuI)51R!OfL?j`CC|m3b`pO$N|gA(0V8M2ffJr`Bi74-9<4v2#(8f7ZO4z|3)fE| z(|^@8te=46Df|OVAc{tPO~?G*mwGa2_W)@U$)WXq)(#l?#bkasz1ZCus z`Tw-OgF+f)D9yz=hSxOtWQ#N8TO*-j$pFg; z(aGpJ?)JK9$^A1d&8eLm@$m3^`m);f{Iyz}=(@7Cs)rKfO`^iu&brnp>b3o|va^}e ziW01JY?I{oI23E=;wh^L@LlzYrTO7Zvlz|Z2C_GU{g*wgr z3cE1NvuGL(LIvIP&^ljT%rf#ZJwk(ozO|t|JvkE_ZqUT3e%HvVuD8m>=D#*7s_UiW z=`YDH_sm3e|59j?YP8vN(p9$;Q=izs&V^Gc8$^_+(4C#PV6eR4su`S)WHFr`H`OYc zU%FX6?NZJgixK9$w*_~)fH-T)9H{q0m`~!m+} z>H@8CyX?8~WXdxNQimnT8#zSGNy^a-FbCzeIVUl7xGoL+ zoAFPV)7r@QB7B3@mr9boZT}w3jc3+jTa)y@2ZC}^#`?LhEtJAkF$iMOuuwpl+RzV8$-!_O;(nKx zWwv6-*b~(OHCHiFU6_$x)Hdgm;!>v)iyE`txvr_+BHhbIbiG@->>O4GTc; zH+{eWqlt;})^U`*XAsC^<=Ehv+2mQtqHkb(^0mjjVA#>#oJJqqbnp7o^~cG)#7#qf z{@1j=otZCI!_2M!A<8pvdvO0wm1h36z=8X$K%@Bn?c}$UmYQhNr!r@x+0Mq9r#W|| z)uRQ`{qe)R>{%2zU}TAJhOZ;vP2c_cR|>F*;W+AF(=cc-qL0Id8H@<&2-W`g*Zf{# zzf3YRmkY`R(|(T@cZ>V6pPMWrQiLwd_j~0!f>6y{sGN;OL+)-S$gV|Q`Tigm+^d5} z(658*EpT3M_nJp#S%ZE7U9R>eF1V5>_>FZ{(F!aJ-AvkuRXzOC)1^QN zNeYh6=1!QdY%B8n+SKAEioFTyTOqD*lkF~&8zi(Q5sVHu@Aqi zpSi6TnS3x)SJqLbYv(*H5Vx5%Jkv;G$X~>e;l*^p;9!sS<<0aie+`ld&@r3_8H~&t zjIbJfSHf|$#&OifarDM<6vg@Hy>wK>xyfe%fmlG8!dGgwi!CG!#s)Zbh_OIIF6`;( z-bs;#$K_Ri(SbnL5eH-M(rl^BJgoSjLNk%*m72j>5s9G_ssj?L|LU%e2z0Ons)nZ>Kt+o*xVAMoPFv z!Ee1TyBGZP{Uyh*V3BcB?j4Aj06yq6-noCZJUmtV$%FZIf62%ZcgQ^z_=;JF1lNl4 zFWiFY%*Rr}L&BCwX9QP61;a&-&V|8U@^dXBMl!0)IOh8gFNl!tImiHI)XdzZuddvT z1G)yJUS2_|QHwr)a5i`s`2MTR5Kh8ShpT9*kmM}WVLkj@5KgEptUkcso$ni@aV=ux z4%3jxfQrB|OJe={ix;|3IsvMqJC36`j-xTo4TLZ^nn!bB420j}wVp)`_?|Jz*t$>_ zq?`3^`h@T+)A7JWvk( zkQ+0#M~Dv)fbx+ zLW&Yh%4q&l@axBfM1&`ANUbw2x74|SeolFHb!olZj3Xez)QZ(AEEf~#>=6?RUw=G6 z(43?Z)=&^IHBD0Ry-is|zs^n3PhrU1Kqbb8p%k-w30e(uSBBNiL^> z9aGg~H^`(Sgk3*24>I`x*JfmQjXl7tGRQa)@D&l2PmG0N%oXP285u|a$v}I>b3e} z*ZX5XA^x=WJq8AC5C^}pAbP-|rqAtliadLuUe~D3r@wn5C2G54w)$BSBZz%q2J;gI z^Fx1ce0&yl>(Tt^n`9iYmY4whElRhQn*$GbI0oEI=Ec=Y!POM2?z!iUjI7|JMz78# zpn>Njzf~($ebGvouNOcyFy0}A7Un+h^45QUqC15^il_YRp6h z@d|{9rC`mUba$R3ug&dCs_SZ$$kBf5G_kGL3Lhak0^2RCFDzlE3DlFbhaX*_fFuen zG^>R*{Gov!oQWTd2!VbgFV@5Tl3+4!uRdGHV(js2+CyaES!4j(K#XZ2wySV!@Pr<0 zcV}qbe^aLYYD;!nzxyCoJD&JMKcxiqu?%1_mcY3=VKIh6-KsP~Ti}^F0LINsC~P^< zxv;{tzJ|5Kac{90D9z}FMUV$og8xK@;P;zq%uMo-gF1|dL+onY$CD*faw)|(m_$yo z7&yCA^TKVGwwVcSS~3h9wL>K1gl@E63O(b>RcvG7jR!e$^_7i(6}GpCs|_}wR|ILrYax0gzj_s))3giOapo+B2C`@7=x_6^Cl}fN-!YJc@VCk`>cmG zOuNxQ87P~PGZ;DQiv?z5!wa8n`~YRWjmw+AwI|-qu!f7zdIG5BQ=ik2wU|Ems8t=W zp2_!j2gQgoGmn0TS*BU0XiiqQmtM*mPhQ~gNb#>6MGa6`X}PaHsfh7!zcQ3&e+tc_ z4kN{)-I0(i%008kpq6J5K~N~4OYs|4XnwMZPE`9jtXSdRdgWls%;#(-& zKg^l6e-jj`i#~w&Q4aiOfESxW60?O9v%M1*H=Q{8cLp1|Iq!B4G-ivR4k6Wp6YGM4 zBerF@JSWm^=xcw!IjH$l4TgS_!6l{Nh3DT&X+5<}Hn8y}vVf3*dK*i8gGhV>Ts+rl z3pdzX0I~Jo?kS1m=!SDs{ZIebb9+oR@@P1+=zn7cfp&FZ*J12AYJ0 z64Mr=-c;IlILIF#A5!4S!7S#p*-!|gstwndK>Up&^obU=rsZVwH*8-lY=EaPmN-AA z_#1zyJ9p@l1nTv7)a!AQJvEVm>VVK~D70=QGGAEnTo-^C^CpC3ZSa?83p^d83{7n4YKBjjhrUaWkeX`J^m5qSURL8v8 zw5{dIC4YCR$CONlW=8$=A+5FRh1eXuKmcV%+~HQWCrhW{eR^a0jnFb0?h`l#kKI8^ z=Tg$X2xi^fYB5~gXpqeaH2<)k5}{u~y`B5FKoauXXHozSQ5RNPIf=3k$t(tr$naHw1%;^nb*ZUoA`~L!AP1P zw`bhJ4YUDiH+Z0~=0dxDT%lmf8;+xg1cC?}8DtWg8>ZlpvZSyBlPuJAU1lIfS}3Bc zCSRh-o9P$eMD%ktucGj8%&Gp0Q?H?};)y0Ncc@!mBr0e6J1Qp_bCVR9?tE(cm0`Wy zLh95l=u``OdV!;es$&J0%Cg<}-rBJV`}N`uuOBJnjlZV&IEt5vJp8>XlIBGSS!8kv z*`QWx5Pno`$mF|76hb_<;u(>W$#-3u+Rgn2D7ssK=8vMiCv@>ozC}LD(`9* zCp_V@y}~B%KQey#LNb1a*cB)=oF`Z-vw;PTt(}F9t(Ao~TN_K!t>^WchtAFuHnutI zvrC*_t`7n#z9Sc~L7upt+i^``aiE47@v3ZXAFUQzG*mu3C{UYjSI}=|zl%-7q$*R$I!+=tZ5K!t( ztSr9S9M!{h@R*OcAn(DdNnS@()DQWkExs8*{;EiItw?gqc^C(UPy5JunO60qJbP`5 zId|j*Fg{#(-91S5=7&HRJ+dp!v%+%kg6s&3>KuIHkV&EKw~4L5Cn6kOnJH!yR6HGo z<|~FwXq^Do=X_vl+`m)4+m}mlJ#-)*xW7?MEwNSiyZ6+jCWB>-E;re1d-9%v*p2}a zZAg}wh<a^DKb~Q@TB!(t4I{T+oPORNm-lsOA@HvmFp%>5&Z%}@UACf`X*+V8HT5<4{3!KF zSN+?(0fNIlk;+tDU}i;(+cUp0?7c|65Dx?7n286f;aN~4dcpn85ZEbYRj`?C=aj@c zh8-9!N)ZKm58K-#34{*M_l-FtK`vdl9&;(&4z(LQM=GE*a(4DzL=%)XiFbe97CR zTSqX0`N8I8vrOC20y;Bg7)D?SU3=hgWeoZ*@j8HROv-Z_*XWRx&0B^ghP?f^Pu_wj zRCLpdDgufHNZkdAL}smWtK=do8RXWet9W{4hyL_OqOP@ldOyrAbNU|GhpHgioOBEd z(+~oju$ra&D|4%8?is)rh7TKXYlvt!UP%i5SHZr2rg*{on*;nLi9+SngclsIoXfYh zjj6xN)ocKKTFGEc%O%B>=dz^7!(Xo851i*)Z@3)*+4xLvz?&!#Yh82wqkxmaU|Nn*04!q}066KZC)UGzG7PkhA&jJO3ZSEi=ii-0N6;C4q%=ve z1X2T{;l%z{c;$aX!7^1xc$m&T#T~(l0W|7d>rn*DjEluCZct^JN!Tx5pSE)dtVrKb z-eZj*98Sa7b1;8+xvmApEr)@cg}#Z(J-X9RTB&B(51z3s0Y4_!9zTtA(jwd@k%LTf zg@c`q@DPIF2lUm~+s&=GBt?oR5~k=l+{++&^V zhQeF=kUI`VZ0=WeQYwX=Ws z6=P(`?rlI{v(owEPwr54Km-vwm8kYU-CBazmcFT6#Ugv1{q4{iq?hgGW@jiiWoO6! z>X`_Q)l}6oJ+7N!D&zL@{{2wUm~P(>&cOU|$LCBV#SeVC`>k%!3~gk%n(v8~o*y-d upt+*v>^uyY)IT@>a8v@2qyVjyQ=t@?(DEl)6q6cJdb}`V4f`Je0RR6;R;Gyn delta 17598 zcmZ5{18`tJ^lojpTidqn?$+4acDuEEYuo15+S<0YwQbvPfB!f0=Dm04CST@cPVPD1 zWKNQsWGEc;G8{Bc1yDQ$1^Mq075pCnfk*k@cq#tB(H->M2R4gPBHfLOI22}#hPv+; z9Gu~1I(ecdL)Juax(cV1U@`VPqPJS{7%sCc_rsWl#s zx!^_%D%rt4!8tt^!Vb43UKA+Z$Hsr#b_ct)7kh6}X4I;6b{t2p`t0dlJcX8f3>+pN zHWuQ(Q3%t8)^J3UrfLuZ8~I_mlSM*_tUgL1vt+Z{VReykN&C^)2r-Nwp(7#BP+RVb$A!b-Y$qsn9s3@6r@D@bl zs>wr(@K%`%ltr^xj1~-iax>uz0`8x?h5^XZ-wmDx=xgv4UI>CpQ>CZ~BCfS(d<&Cl zq|flrKws_ZVl?m~xKjnXL$djSh~=~n8GVEc^)~*YM-jd>pw4J5CGEbtiEXG@*WYl< zfX8JoeA(>v+jzq~<#d`qf3mW9Aj1%p_V3J&$>#Zey2*n&gidTOGUGGm+rc#KIfPDX zV|UJ6pGCLjXT?=UYd86~)6;@_!rxeX8W}f%RqdtY`-nsPk+n6lWa?1>&9uR>>*{d= zh>zo}_dCjBit*~Q!Tx#24?G<8&12@;Xv5W!jAaQn{=)tN$<+ATJD2&UDI2_VAgosBx$(teD!HlE{!xArDHo+icoILhY$yDi?uFcLekD#BJkwFR@L3p&dF zOs0w4wGnz*t?F@gLC-$9x!FC>I5$`&!6!H7v{J)$@icmzNw?LtY#nd=POVk!I#V}P4(B81z{keT z(1NV7(6#cE?6qd&RrM;DY*pff0H=bwWSWONwsb`*O|0afx9?S&-+)~HZd+JiyA=2W zYrBN3(jU{QH{m=US`UrWe`HPd<#8WXHh=x(Z=}d=jJMg^7zGAD=dHRsYreFMe?E5a zkFPv89zyj=-@(^#HFadTd>>Ut-?MhksV>R`>s@G6_r01S8Ti;Y9@)EIIYaAm&(POCZPZ4Uz1VW!Fua^T zbUwZs->9r7x}pcwoz{}}1sDmvB3JK>XwTk8zVpPlxQw0EbO6H!v~C=jtW@oq>BFuf z9#?8!cUD}mL)|TyJ?)sGo_-NiEshoTQ#I$6ymQ1=%dd=z1 z5upA^BBbx|{*E<9`tfehcR#L2y!0k(S`{E$<Z`f#^09sde_48;G~!*)hA7sd|E>AopTA?^7UZU z&`FZcXB73I@7HglB=;`(CXM{A!x#Yz-H#vR0Y)SP$bXGsxt6|nCe(C@^9F2($BJ3@ zSER-Bq;LOFBs!Ct2utE>gcP}TJ9mdd!_Rg5j`kmoIFS|AFE(5Ku=2C4WbVbb_d<4! z*&ms&mJ8iKx@Zs~EOY0+zc^8hPEMCIiY48?PjJil^w`E2wqtCOzQ6Hm zE;)%cuT0~usZ=X-ti6fb;S}*QzVjtS)MoD?}!KPai#{`vMl7Hp?6=VCheW{a4XHU1+GIE)%nUik3$ z^4+VK8gyG?s^^sv5#NScRfz83^qt)k(yMLxRK2r*d6n>(0;6$&5{%rU}K>RF5Eva!4&DiW^Wl&Nwj0;&j>N0t~m%G{^ zqB(swngrai_|?mYrZIpS1=dd9PbanoDR!5Eid)IlV0TxnB?=Myor%~VMYB-CWjr5m z$jeL88b=C@AtLaToP|Xl-W|_(^zN^l#%3UzG9v0iE4#9(+>1=YL#a5 zJL@?N|NJH=Bxzl?&BIa@2`d@Q@^wmyC65M`|VWxNJj!!SwNAUZ#8<=K>RjSn>4=}`Ob@Pn*7^PL~R9ECp(5d zr_=eJ6$m|L^4C0QnUDs7M8b(0lzy0*i)LI90&VbEsI=}XTG3A2_uVmdZWYfGc1-uJyf;~Y9r51(qb+p zaq~`6-c0Jgt=ufz*;#SaPo!h|f`5oF#ldUq<${`JC@W=NaxnO3sAc9_D_d=BAQm1G zsL>aHZ;6xmi;tyD>8oSm{-O0xtSLU}Kw`Q(92~M#Bk})Kn=+j5Sm`J3O7AQbtLxsb zizWYLJ7-_MB86VwGVvC*VRxd^t}5AI;IfIJKrxS@*yb8-+Csa2vWfKr8ayE0+5Dt> zgy=jVT0ltYuppcs0kALz{`QgrL}~-v=uWu+y0;SBShXvAme5yRvZzz3Qw^rx#>!Cf zjt4Xy_0DcL%xv%ne>!0HDen5oH1xFx|3dNV>ILb+e<4+@6UgHJ3tgRv^zf;4ph%l#Z#Y`2{O z26l&(o0}1?s7vcUuE*lEim3_aTgVUg`O-_aO>{#D`i1=n^DI^L&x@&l(s*O|>n1-w zbgVb20QAeN6;7S2{3q3ipIm~~(QF?Ma#=fjTHO6_cxhh)3-?x+cTs9O7w2uXHdz~R zXU*vf)zJWAN5OPiJwGJ()S8x4)+p|I^U7l|)LZsB$Z#}q6_4G$#jo{|+3gmG!d{2M zkVGcDL811wOXlMiPWIy#$$v@hUovC20QgqFpwf{Ba!eX!{NhCdPf(wV$v?~kpyo+t z4PMD`W!=OBPogcDy&CZ*mN;Y~Pb~QPQo5XgLR&X%XBRix)9OokiT?@(740gh7gzGt zT58kq5*wf4{#-$vxYHYCZ?qqB&K=$1F;85xH=mTen_J?;Z<)vL0Q$vKv*)CaM;4Y8 zzlr`~yC&6`4t_X6FCHF?#%6`FCA-%{v8l{3(@k_k!DG%hy6b_>OKWj*(VQxDEI?0Y zNvHLG0T1*ju~P?y(j38@>qNiX<0RvR#e#9f@O5PD;R7$lPDj&yC$? z`IQ#;upfi%X0{57y!5l{dY%ur@Wt|vg|~?V5TzA92yerp(JHFZ>I}K*4~zU0R*%2U zG1_BkxdpbC*>YYM@t@K}B)+d}H0xMcQtZpzSK)1Ws*X7O>)Wuzqs2>@Mz-y`R? zHkc^$Ip%RPq7|7oPB@Rc;m0Ggwj7f>$pTaj)ZSlmk0(7QiXko9ZAMaU zmlZ#U_5NO4EC4*RMqn4w4@d)B20ZcRLyc;G5(FkY>8`&ITAF2Du@4<58+2LWARew| zu^8~2k}j#BMPlh!+!v^^UIz?vY+$Cni^|E6^(Ji~E_>e|#{J3^$;)uDEe|nno?D|u z;?BWyXVUlw;O-^d(D-k!8Y?SN(rdK}vHG;){k2yyx%^W7wXre4Sv;OyO$SfXfoT5P zmAfXQPu;cN^ja#%ZYwI-68Ke@?@BkgK|ffmk7vs!Vl!RQ&azu5)5fm{CsI?vBjrx| zTlG|@SlA)&^Y;GiOE4QR1VRGzB{wcTpK-}#1HAo*Ba$K90gODMSuQ-i&{a$v(EF*7 zrJ_g9Xj?SN$S*j+qH_1|0DOkqHZHL-_@mk))LOB-?Ge9mfUD4ubfLVH;UD&nNz+(N zo{L{NIO>|KHFhX`?_gOfax;&GRlFV1CWXGHC679UyAoqL0e)30N1l-)0< zy3(d`YO!6TgMZqe)_z70%P#M@bax`AJcPmlxLeFA>T>kJ&w@6y;?51B`1S^=2UFvS zC$RmwD9Of51<@cxlMHY_nVl9bw8`8L6avCE8s734w8D`eL`_l;VdIk4XPk9>X^fh_qQ9TeNv%2Q1;fwVvt+=pK}+IF;EDc11C zx|M~If@3~FcQ&_SVEU9Xs@Z1_DB`GO3c`Mi6zO+Z5ePd?iYqkgkn*w~M4-TtNa0j@MB} z>S^$+tZj%oUaAtKvkcjq`V_=O;(`^Acv8)>(ER-R40WusW{k_6ZT=JRk<%!?AD4)ZIAk$NxQ0rVcRGnh_2){oP&(&Z zlZdQ&XH);it}2+4I%<1RX?j2A_2$U3*$UXEHcqbJli3gzb49gwEf{RGSl3BG8}x9K zCd3eMF?2{?FqUU+ntKt|5nT^iAU(3OpJlOjo+6w ze#aI1dUN&}!fHww@e+HvQ~UHA{~O+x$3scj#?^cy7~D2Q3VZ-hJILY+{Y?i~_#;|u zpY|crlSowCIq^BdeCVE^W8;iw-xe&Beyf>Wf{q$Q1nJIqiZY@R+gg+4>1RCwQ;SL9 z`?R8z5Zk|L-we2T9yuF*5Fufe22b@tXbKUxALTmobGX1~eIGCgKr}Gcg0(sMV_QoQ z%Y!s?P#%y|enNK9efCT7BHyKPQ`{A7yEv)8AxK2T_6xG@;5u0_sfY8z3|Rm#`Xn53 zdOojM2rjbrMAi+>PrJ7XkW~tCeba#OE!9+qDAxGSm;Zi}{h{-a1krlw7oMroBmc;) zXhEPxthiEBvbxWo|14tWAE-Ws#=m<9k#LwmGMpeZlcYc2dEQXvlxxUR@Hou(C`IpX zC=C2HX($L}A!{-gM$;3~|82aRCu~0y=6X0GZV}N{f12uhI~4-K%QdAJ2Y~I*^C{Xp zHp1@vd|B)IE;X;oVEU^bXOxcaRn13Sf!w=g0X$P}g*`~2DHD?%+S(eThkh;{p?^(r zFe~MHgI@S?$XMF`4Xmr=vv-)3r?xI=YTDOca&s1&J%#pi6>7n8LQ0X>epy*H6i6Sn zU+$VTSso(-N%d!UNR=M}qVmV0y2^}rQBN|7{cJwU8H^`0!#iM;!IEmZm`E8rxsD%Q0!up2)jSJCn_1#ctliyav-W*Y=`>xkp_SANz#YIG3Uswu4j8Rs%}_X&27$eB38AzPkqFmMJ$X zJL3xGo;#I=;M@0Zgfuqx5Z2!d(O(Fx$a~zdw>9%^*Ks8(dyx)UHQFxDI!rd#**oUJ?Ek_iN3Q8*h~YW-Xyz#xHsxZW@$U?SzbQ%Vyo(mj4^GL!MK_OWYt^oiz}*UA&}CzgaZ06}d+}QXkuiBZc*B zvv;oDW}z^GQ00$TB?r^y?j>gNz!4_oL@G4-!vBDtO?o^F#S6L;xN7Hw1Yy)g}7Zy*0+J3TNq5-kN+q2FMc-~{oT*Z!{6 zz0A)0+$bV=>TzYUC0z@kf*_gZc6irswFCN1DealW5iiOx6(oXz5T1|+J-ea>XECdf zo(o!OrDoVHGP&(6^SP`-xmS=x;ddLl4;gr;IEiN9`LKS8G1aLIwOI%o+)=vOw&?^L z-tx~@?q1^nL_1?srEOqz?RcG!(LKxK!uX>7n!)($X9Lh|vFCHJ>Umyg)0W-D0yIs! zt}>3RyW!cNHH_aDo$dN~lAd&IW(YFQS0v>v6Wy5MzwPA7Ayo2#pKU}!OSee=nTEx0 z70xc%;})qFTz2{eBVI?P=m|&Oj5cJ(X z%A$#lrMq5C$2a)vRMiw}=`^xacqilR5i*=fmiRc>)PcNLn7cnSZD@7fFzuLpwku+yQn2jmjkU-W;YM{wx-z@1OS34>Xmf39|GY zO(Jsbw0PXe4G@H(LiYOz|It|M9`g!tQ>+qqr{A0g9YZ<6;z(Rev_ zS)t|GgYvl&`AkBnWYag(o z>hsaRT_2Mx*+F>2hgu`~-i_ka_=P^ewW|?%-;P=%HUWQ)$jwRmffeS_dpOBkU;_G& z#^NbxRDHi^^e}bOVtpnNZm0KLfT6$+Eogxmlh z>a^%P$H3p|J&^q`Xy}AdCE~b8kbB3#h!d6G_8)iQhVEoBOW!OK@)ZKZgo<9SBt2~* z|LvD~A`DA8=zydv>>A|0O5sOYB6GcWRXogE*unWo9sv>Wwco!`ShzSe6&}^cTu7(c zk8H#(w})0N{8L!tffmS6kT319t$=6Afv+fcm|@UWslQje%Y;nx9lpQAcf1`?=FYKn z5Qu{J1b?HJ8Ju)L-|sniBW1BUESvr!yRe&)7nSYRcIbdO@uByyE2!m9k&~5u<`ri1 z|3cbjvl3nV@s_ZW2ih{yE5+*BIKydr)8e);6f*+L=TvG%C5pY&T z+%G3VVHuIgfkpu>LqG4gGrNa<95tDm!YfA`=;URK&$(1Nvo_pqL7bT`a#qr6jb6)D z3F>*(80_`C02|Gv%9HUFF5HWa=mVKlP}2S@Px&Jb75T+!2-6qdcl2dM8TDBERc_n8 zhyx94!CG5rb7MkP|0>DxXYXGhr{g4HzY+vZihr@H77(wA3Tgx`cfIY?I&(!?gL@54 zB-8P=r!=}vS~%BaH5M43OkBp`jGI>-=dg78kKNLU0gQ?l{@h6=i`9A(kxiq)|KiXe z#FOfOit~J{saz>jI|$;t|@a}-Ycr} z)mrUP6#Tkk;PD@~$;e~l6z#RHrI6^AYse-`EsRUG$MUTdeVe;G*J_5br3aVKW*f5Rtnw{^(tznDD&94b(G6`9H_;z4@+Jh{4{6l7 z9QP;HyK)Wd*e~sh_Jmdf=`g(icA`C+;%l;v?$uu!%P;p|&d2sGL^jQCy&vU+)E9iq zrPaW3gS2X4w^E2sdJ!FJswZ zSv4P&1@lYOw?4aV%D~uNF7*S>>hD!kmGIP5A9LZS5I_DWMfBc8B+{DPl>L|A)cPs5 zrmMEh;FS&s!I}sd@D31=N;`v)#Y_Xgt1jax87Z_H=0_icom+6 zT1wOUd}o>qL#q7x0v0885?$=17I8>`8sIf!DU&RJx!JyWMAH59q;gmFrA@jzVpyI2 z2JPZeVcX4Yh$gH^A0xIiZ*acL@^H!#ZRO!&6ac+0TSLtK$A{Enq=|w`<>6yEGUFhQN)2Mq>#ofwAa3B>^ zycQ|q=+PyTi>3RI*a`8`_Xo3G;8sq|5Ic+^hqh)!g`+c?2WRChx`UYrd{o6MU0nljLEC) zi*3U`-tCFK{#)}i`V;b7+^N*Nk4x9rY&T9fKU4g)!|x&GLiXYP7ZMLHVA}HQ-{};M zuZd4h`7aZ1w-2^2c_g8qzMO(zn2kRm6nHi6K5plhiyEzT=HX2Nog9lKC>C>;LBHjd|tM1!Rb-mA~gbj~RnT%5*k~hlLZqe7h zmxCi@kFV5Rk^K*qOBoMAA+rpa9A^}d&yF8A_TC?2k6gpkul7#23QZsA3_?G@Ft;kZ z*FVTMg^2e5pn2K=qwK@#l-=t*U96wtnbc8eSTYIbc1$@u?oEQTz~sd9 z7mo7$i{|%`Btxsi zjDRv3t+AI`*Y9^6T9Rc*#wV8n)Hs-w|5p3`MbD_kR3$KXt;0zMS?5&O@O_jpi3U1o z@dMK0=%j{4=psqQ26sy12}Lx$-mT0OLAn+w=(T@=<5H#bDT@!vh>Z>LcRhem6UH|; zrx*lBRFH{9oM3#UrqEeJaAKriCBTx6HXV~ceOvw27V!sa(GVjCxX{yBT*P%QBu0;-rMRa1?1q@3N+?n!nmU z-6ODoNKu_5QKQ!7<*@7dGSQZS2ZFUZbMRu^Hec)R4cLWc-p*YU&w5v$E#1r`sI8Yj z=Qs9uqR}k+Pob!uuk`U*v>8Fw9>jph6R->ZnQJC6r#k)2gs^$|hO0nVN&iQJ89j|W zAFKu%$W^47YkN>9)9nM!S_S_m#0DSu1}b5|OY7en$KvgqMXY}l;QvT9gG4>^39~AM z;eDG^e^VuZqMPWfiKa=AB=nrNKX|aT5Q6cQ5@9#=uQ4hztr#}@j zjq&Tw@9hc9me*p7euh{0O~;=Tx`7G9!Y6M-CvOt!A_Yv&?=czd0)NJiZblDbl>ic0 zUCHxl6wZWPU4m~&&--W+=7VV1`ep$g`1r5a;^ckg3-iG=NWnFf1U_2$yAoRf^fO2x z7jlrR3wfQ*!d~Aju4rZ!{T@>xSGg^&XlEFqF9;x4p=PQXW;p#Ho4_vMW;V6*bRkzG z;eC!d@ALmj^k!n{W_%G=W#AgLMF1})e^)*WChD0k=+zs@)mUM^65%&Eiw(%t?7VE< zybVy?!&&E?E@_2w8WQjD9U&~k?-J5jrXDL@eYbfXd`B2|3VWjr5@4SUGIpYSUp*s{ z+lZ-)XJjoc@+H-QlRF*NGb9VFUx0ai0}z&%*2?_=eCzB%MCeGK2=86 zE|#H6rBz2uHx0k;9=Ha;j{wAez8@Za_%h-t%A!eI%b+SenP;9&0I`gCwMz zOA?jOrz2g`lWvr)&gWvFzap{w_g~r1A4)Kdl-vGp?)NDVBdRmL{{(7&2Yxxm8X}*+ z*FootmYocrtri6-+ve^DnGI)M?0@x=)~*}c4EV2(^L68#E2|ll)Y(XxDlksIug+9L zbJULFZi+DK%E@qr7dg-gso5R0@8bsL7MR&(OY``Bz5TE}Q@A&!Rh6 zxsQu(iQ&qCvHWt6y#!Ke^8Yfn#~En9Lfe)#q)?$trzDUdsGF6B!Wy@c-E*iFB zL}Cp=rL&}D_` z$V?g;k-7%0xlW6$8@af~H^EDRA)`S>+-X=cvR@=bbjbY+hQKfeY%$+&7-LE4xUbLt zrvCS7y>c|I+$d#KW9Tx`x_cT4+2&Haft-0qub848*A=JJIxfvsD=Z#@-}U{Qf19e> z_SZPQH|(QBI)-z!1ddTOJzUV#c(tJ~cs`ePJ#VL4WZ<@T(vr#WE=Fy5>dSVUjm_6@ zg1rovi40}>i-7YCL9@recR@sLT*)8-%th4y@-E3>DY0ea9X;$OXF@#j|2(s+1#%sv4&vh!|nTQq1K4-$c^bSyMUQiHhUY^mtKx( zR{W2d@O#kW;H!}9GmvAL+XHdjTHch=RfSsmn-YHrO4< zS#5|xl8Zl`0X&-Y6|GY75vzPuO#*h33V%wIM{7~DPD+y|>%K}IssPgs57FEMCz?6) z2T(a`jaBmpkm&!Qv0D;@{(`RIv%v98M){C~GnU+R@%QfuCmNc1Y6{a$nIGw@G&EPe zH=B>u@N#$cd{Ix2Q5pPF8}3)sQzxZ(SDCR}$rZpPXI6kj zI#?OtptrUAsd?KSRL7SP>oHz>bey|kj2Eh8=1Dugi^66TAm#Zhp?F*;cNA4doo$+x zv#RqQJ4GF)rup_tDnl3O?YU0CVM7cQwdY>iPaqGK)(2rIZ&I4)i*}|cr-S>ZYGjUI z&Ic3^;3O~B12g(OZa9rmwo>c`6ILS%jEai~j4B32v`7$I3l^y#6D8y9uFWt0zw5;V zPoqTTVrd;bO$p?}=kXe{dJn=ezL~54GiW|BYgTs^Y~W4}89tvs&1 zS&)Z))ZIIdk)D$BtEfG{aIC7nBm5nZ0_#h*)$-|QzOmd^9)9O>LMX#_1MSo2b&)^g zcT!s0F4)X}DU^sBt$|9hK4hCe#fu3S(#)^25dGHF;#t}zKqNK^qYBqpLsutdH<(yIo&<&Pq@6f4gtQ3 z7gNG87f8)Be-r71Xu}ao;qt0Z+RFXYyacYGWF7RMeYqcP?@)EHv1F)z0DeULUTG~e zZNfe?F_^SGbWk=h)hE&lnhmFo`1iWBR{Hc-n4SSR^w-eZ-1h%1Nim8C>hN=w_9)~J zn)eace(Kj5<1p)Erd^QfS#vWdsp{1v9v?-2g^*BmJ5)EOP>ckzp z$*)hN$P5`m=uj|y{RqMrG%xy zLrW{x$AaCMxcY&}z(W*?@bg}`|Binx1$e*los?HqPMi!5vzV@GAl~wj82B^@R>+vt}p`FH7h8ho~!sR6igTj9^sGj$$Q+gy^Ag_JiJSlW^ z)Is#e6=D<#iLi_Nhb5t=1~51muUt3O9f=g{SSo`Q{J}Xk@#&#+hmjd0s{f{K=EQ%lXPKE^ z|M&>f_t~4gLBY(~^tfICnLCXLp0x%kvkO`RL#|_gjt#P{{WbGJ4m#tp#kb~V#{Nb5~6GK82LqZnIfro)U`3_8P_gD-P3vgDR zc^Hm37>?W+e%Ho!6vcM@*GrVK9UZY9rLi5Yuy5a)f&B*NeP`io_1Xn)s!9_(>^hh@ z6(yv_#K8r*wO#*O58KE$uPJ+Nzp6qutYYM_h(bGpr0tr%WjT?7L*iX6VzBytz5wY> z9Pti1oe3Ai5&aMn;9H0{Gr~(d^FC2uTr5Z*{E8y5)g$`$^we7XDW)+?=C@r_K*x`( zb}J=`V}rjKQ`ri9CjBS(3>5yE)(hMA zrw^$lc$ulv1gJr)uk{2$$(QQ~Cu3HK?cpZ_z?^ZZC8n+K(k3MCm1BAJH$k7+8>tCZ_nkUzYibQd?mSy190 zZzbj}wma9y0iT=xJm4QbwuRtMbd*y~e`={LwBM_Jd^JW-3`gMm;+8B_>bQ)y{dIsA zEwVbU7e^ZtJuGn@bk6-d!x60hxXk`IreKohAQFA3-=5Ci6qWmn%==Bu`;W}~NdJX1 zw#t2LziUpKjY7Id@Wd}qjiu(kxG%7vD{Fh5dZW>z%v79%!Dq%E?s>+I4olr{mrFZn zvAy4Hg-WfQWiuV5Yw;5SM&X5OegG2&SMc8v^r;?$ZdCfAX>bHiRk_|0h;d$y%Kevr zwu0sl8e=hFA3rd`P9tQn$&vAPT$N{k6K?=Vy&j*)OK=NL)+r&m_>_tV5J&E|u>?1U zouW45Kl49#YIAxiP>~aAkhQOUkq0~n-XM|1duR!|V7|MAcC1AIiQs`(016Knq^E;z z!NDc#qozk4!LXKlz`JWqm`*1BK%jJS!+)AR=TdmQg*f6#t@JKP`Wc#O(ozRY*4$<+W#9Ovv{LmXv({p)gL(EL6 zG-%cQ<6H%`psE8UKNMeFEtAUBi#*1t-c3{gZ0RVV460iL8Kltn3}p1I_rBEMG>ut& zEn^c3#uQt@g8^c?5{@RFH`i8P=AP9RBqCHIYJ?3xnda-F@e%x}1@P^e7xO>yL#4veWRkyYugIRaGSS_mqM} zzuiWA)Xfc?EFm{oPq|OS6cCkA6~6=LW(&Qo?F30Tw-UnaP}%v!~);Vo3GMs0ql8~PG{b?!=1LodBTj)tSt^wLi|a)kH@e5br# zb;dvuR;X%QIpJF(f)}wG&z%5@%y*9(dhMY;NCr4DOz81e=CBs( zFn7!4xJVAbi&|*G6n75PErI-w2%%~1Wh;? z`;%IjQ=H3(Zny?|v}S&^W>U0fRx~%*Umf6mQ~BL!sgktXh*|g$?$X)TJal*EFcYSz z*xmyp9BJZee-G?}IQS};!cI;U-dHV@SMyM_2_#~GQF{bZPDq)RO4Jo(i6U3SvF{0V z>o66J>R`P^BAbdbgQ{8*k9SsOo60vjY`)!UarG%XHR2hj-|%wv z(V9l$874d0qTFDK4Dbbnxq+c(+;1K9YjAW;=_aV|xqAC!|Q(+C1~u zICxh9{$#}lCwupS*OtH65Qdpvl<=dBuV(W9Be0}D4w#RND0->#`>DU)eM&Q2ezzom zQX#r^EnErrA%r|Yd%WiN>p)<+yA)s+GS!IaLdG~_zo3sy)6V?rQArB!#*3RFZj~~Y zmNAH@X&K881r=^d(ujjJ9QpSm8jeI0hK)qMuoK6? zX?A^Z#U{u~-KI0|*Bg!;f$pHk4TAd^-=(-W>vy3n-b)CJ`rIADOr(-BHwR6=I08W# zH6VKy(Bq@cP^it2sLkN0$60PcByJ%j`iNp(m?7Q*2rp2?3%-1~=T-wOA_GnVVbQ`6 z{BI%uW|JYw9UKTAZrHc^%=>$O?vK@#@-IwEr3&KtpB!*5Vi>1G)PJc86?1P3#SbGg z->I!x1rUA6XXKjvkafRZz1tv?>H$RJ9p7LCrSNfknDAbV*D3BoKkWCrWzOz|RJsH; zcHaWS@YwshAAAcXpSJ>E=uy(p;F`lV*(jQK`g)?HcYNQ$8n(Uy>xjVBHxPtiNqup} z-w|LmbRNL^d<;iEdL4ZU#raXh`LV_MF~r|V!`!*Uo=s71Du{QNLBa$B z0Zb_Y6yVK9FJ4_SG4D7hV^&E33?Ye^ea*9PreI* zClnQGHJa&PaSL$;Hb3u7M(aHRudW4H9p|@fPgO$XbgXZz<`q@ zw4SR%k}$wev3GW80e8}e4}}w`8O5%CKEq+VGNj6bE!%8(j}@uYWV8B>2cH$H8SY7c zfafVog3=}DNRUB{{EILo3p}h4OOr7dUF{Z6__)Xe-04Vj@F;-@kYv=K(NPQ<5jGvT z2xj6;My2M)$`I+GE+P4mG21I|9a zqz6yFWtpz#Lr`ZJf}A)WyalJvgUpdm{dpkM1;hBz1jA@I@DZ+6YVL{Q#3#7WjgEPo zl02$RC)i+XFXk|F=EBX6PG`frAjsA|$>HyIed-q#AkoDE2tjg$G$RoQhK<74Hf~rR zFCxD>3{UX`xt2#Og`N`sjXRSMDrVWOz7GezJ(j-c}`PRr8cav5jviHCSOls;+6l#?^ab#qi_?C!f5zqdm6R20sa z$KI#-HQby4>`uz72*hG}{5j)il5EbY-*V@Ph&Mitg1iqa9g3SnL%-cZI-3h?wzI1m zbfc$6V^}C%J<=0(OEf;1wweNoWaA&c+ROO1keTZ4_mpC})sJqjsaKE8MGxD1GMAUN zqe?VQaR}|gOnBVIF?4g{qoZSI4|eCW>A=z3CV*jp_HD3dS2O7z{6mR;&*u6j=?8*E z_SLX^&!4m;zq&*w`>omso8?i+`HvPP14b;VooBSU{dwSdFnM3d;-))_-82SzdSn}m zH^G(H;%_hi=DwUM&lCcIlk_e4HO{<3l!u%ZWwGw$NcydB#X-Kq$>r1?OcA*^&g=d}Ia3S18U zB0nDYgiHYoK%w34(mOwX|&Ns-p73EVJ`ux)W z_cMp=qOZ~7MsS0`yJ+cZ_JerQ?D1RR!V}mO>wTwxqWOM>k)4_P4dQfDX!j-ay`p#4 zkf`4IX&{#&ef`*wb&mL(Yon0X0ml~fm(ahvOzpug3vZ7@_Zy1+5C#wt2;T|}xd zFd{I1N)usRPHkpq*WDSIVbV~>B#H`3fPdJ)Bt{6b1H;@50gankzbGiwPRmOx#1?&S zDq?Obx+#Q~#(2uF=RI9PWU&U)7|&wMtZC5!6M(wwElx$=T@uF*8{GEmsSi#^D=vq};yNiK*(`~s;CaUf z2ft~nFbnE6&I5o*mZIjf0I55;$#5V+eeC+QFi!_>IBe*Os&AHQEt! zw`|g*-}-4s)v-*DZIX&DI4BU)Zo?5JLJxXZ>+yhXVZ)9<{>SD%qg%POW$dvp?MK3d z=9&u}10zp6&iD-&d_j{yw5|+^@~mJPgy#1tPZL|6qLP^M!I^%pwrdqFqyojKj8Rfh z1i*~jgW1@eQy!hVpB_vUO9M`jxvv8~QQ}o1WSE2L(;uOHlU{*U@$GmC;*nR3;>+;)f4OCJp~5Z#t=qQI0ewr#PjdYq9f>xK2n+_SPH2D(Qsma zE4=c5p^Yb}yj<6U;+Dfe%|hQq<7;{mVh5qYLA~rI%yGZlgL3Pxx&HDMtBH8 z@B{kl>+R-NT#_Qi6A4pv8Ji9BJBKb`L!4B9Gj0k_Sy|HfBC(gAi(#l&BL{jWP#wJf z$&SyF`BWIFr{-9>KxC@2L6uX+legzTd!~9GC?|D8W8@tYLX+fewr>l`F+OA)$q}MT z$Qk7o-#yvWST{2=$%@lZHU_X|onaYlupJ za$IWPh%pfvp#be?Z0=KMT1|&5 zNeH+n0(1ixsZ!E{JPQ#iLR{&tqBM}{Vsi&7mnR%WP@1$|`wDf5YGp_~i9Fq9ZbLuh z&xdaB>1jQ@fuLA}SpsII`}>dK70D6accLne-CzoP73LcD<9wtW0fQ<_cd_?&k#EbB7lj^-jtdH+iFW`!_cc5s9RWhV+ zOW6}0h>`xM;By6c)QrDebqGepAAS570D_azFeUNw_5=h74C@!TnwC{`AP1I#zg*aNS3T!xwB&(a>u+T z?#U)_oUd}Y*WKv}MofOp{$BF;a4r_4+tt&Co`MtNET-<@r&Q2%0Nu&d$Sd nN&RyR4o4*bNea+PITeZ&i7kJUMKP%nrN;{+*0BEp00960OdQah diff --git a/cpld/db/RAM2E.map.qmsg b/cpld/db/RAM2E.map.qmsg index a0d33bd..ed17ea6 100755 --- a/cpld/db/RAM2E.map.qmsg +++ b/cpld/db/RAM2E.map.qmsg @@ -1,19 +1,20 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1591131661124 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1591131661125 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jun 02 17:01:01 2020 " "Processing started: Tue Jun 02 17:01:01 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1591131661125 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1591131661125 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1591131661125 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1591131661364 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(37) " "Verilog HDL warning at RAM2E.v(37): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 37 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1591131661398 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1591131661400 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1591131661400 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1591131661445 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1591131661445 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_a7r " "Found entity 1: UFM_altufm_none_a7r" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1591131661445 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1591131661445 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1591131661445 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1591131661478 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(99) " "Verilog HDL assignment warning at RAM2E.v(99): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 99 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591131661481 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(102) " "Verilog HDL assignment warning at RAM2E.v(102): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 102 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591131661481 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(504) " "Verilog HDL assignment warning at RAM2E.v(504): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 504 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591131661481 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(521) " "Verilog HDL assignment warning at RAM2E.v(521): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 521 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591131661481 "|RAM2E"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 78 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1591131661483 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_a7r UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component " "Elaborating entity \"UFM_altufm_none_a7r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component\"" { } { { "UFM.v" "UFM_altufm_none_a7r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1591131661485 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "263 " "Implemented 263 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1591131662068 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1591131662068 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1591131662068 ""} { "Info" "ICUT_CUT_TM_LCELLS" "193 " "Implemented 193 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1591131662068 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1591131662068 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1591131662068 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1591131662109 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4574 " "Peak virtual memory: 4574 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1591131662156 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jun 02 17:01:02 2020 " "Processing ended: Tue Jun 02 17:01:02 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1591131662156 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1591131662156 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1591131662156 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1591131662156 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1599607693606 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1599607693606 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 08 19:28:13 2020 " "Processing started: Tue Sep 08 19:28:13 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1599607693606 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1599607693606 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1599607693606 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1599607693837 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(37) " "Verilog HDL warning at RAM2E.v(37): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 37 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1599607693867 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1599607693867 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1599607693867 ""} +{ "Warning" "WSGN_OUTDATED_CLEARBOX" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v " "Clear box output file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v is not compatible with the current compile. Used regenerated output file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v for elaboration" { } { } 0 12136 "Clear box output file %1!s! is not compatible with the current compile. Used regenerated output file %2!s! for elaboration" 0 0 "Quartus II" 0 -1 1599607693927 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1599607693927 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1599607693935 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file db/ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_a7r " "Found entity 1: UFM_altufm_none_a7r" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1599607693935 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1599607693935 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1599607693935 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1599607693955 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(99) " "Verilog HDL assignment warning at RAM2E.v(99): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 99 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607693963 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(102) " "Verilog HDL assignment warning at RAM2E.v(102): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 102 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607693963 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(504) " "Verilog HDL assignment warning at RAM2E.v(504): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 504 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607693963 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(521) " "Verilog HDL assignment warning at RAM2E.v(521): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 521 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607693963 "|RAM2E"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 78 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1599607693965 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_a7r UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component " "Elaborating entity \"UFM_altufm_none_a7r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component\"" { } { { "db/UFM.v" "UFM_altufm_none_a7r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1599607693965 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "263 " "Implemented 263 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1599607694566 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1599607694566 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1599607694566 ""} { "Info" "ICUT_CUT_TM_LCELLS" "193 " "Implemented 193 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1599607694566 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1599607694566 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1599607694566 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1599607694614 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 6 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4577 " "Peak virtual memory: 4577 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1599607694716 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 08 19:28:14 2020 " "Processing ended: Tue Sep 08 19:28:14 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1599607694716 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1599607694716 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1599607694716 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1599607694716 ""} diff --git a/cpld/db/RAM2E.map.rdb b/cpld/db/RAM2E.map.rdb index f4b898727694ffd9536364aa13422dffd1705b73..e6f91d6d3b6868d011b275bdd7e703e47b5cd9cc 100755 GIT binary patch delta 872 zcmV-u1DE{a3E>HlQvsEcR3m@OZWKopogop3PJ~c+EFuS5Dtl12WCRemYEC;@Y-tLG8G+ib{4t$LmNI``hHIXgQ$dxx*n z{<_^xf@#UBUktCbar1-Kv3milw~+cLO8*L}Ti6;ZCTlA!-JwaX+%bO-^NBA@X6jY> z`TQ~_jjq3;Cw%|a0%k8ZPQdXim>hBIgevGe<_m7;?yZj9->~`%F0Ov7fdA*81p^OI zF<&FnC%j;io@ysD{;9ybf1*eBbyXWt96MUuU#E0;H{BTY(`_0`#|@)>ZO~!N39XW! zwKwD~C!K%d_^zcHnyG(K%s1*{Y?)*fPDj_P^xaa|(tCNQg^ zu=1#oSQN=BwK0Enk#kEWQ$A;z^9Ec_>vCn<_B`p_$Thsyb@WhDMfqP+{5mWE9 zv71C=$r%h9o})rqj+2!T7ojzd=H4_lH{*^8X*ZK@=Q_IKNINt~bdt-4-Q}hFM`)Mu z#za&l)bi|iT(F&Q7H22II1f$#!n_HXApgVRh^A6!FQb2a7hB)zo0UV4uP{Xst}{T9Rb9_`~TJ$ght_ck61N6)#jsH6x9 z$aH(NznRiQFF^iadqDl2t#q)}wEkpFDNP>Jk9HlQvsHdR3m>)j}$i$okNf$U1NyWO*Bqvgb~+C?A+ zO_)tcPLZd_-Rs0{xBRitT*x8+ic|hlZU~aibGzpQ!d`PpMryfizbaR~s ze7)}1@Andj6{~+Tyw=7oHrB`fCz$;St#?uT6|`<*X{4BJtgv*Orj37cCp^egUscRB z>-r1%5`@;)Ti6NTzcsTGQY4{>4FWUg4vCY*P3A0w`f;m2?xz2sXm5WH|359=CsyGdy@E5h=*2;#g zpZ&~pM~Ty|Hz2aIt>d{?a}n9-Jnd+nM4#v}98_R9nfjT{ji>{dMy26A96ng^K1OPh z8cs(Yy(`T%FuaU>v$~cdXEVtOV|xNy*<3O-pyau5C!iLvO2k+Ph=Dn37RkqTiNM>y ztcJnbqe5a)B^>E zywk>R6HO#%IMDC{71D8>tcAD?t#!2UrmeX-cT7mTo%DOx(GADip*feJ?=%aCb=Q-cB~$Xp0KLBRl+m% zbTl3wM$}iA7>;$lCHX0rbf}zg&H3ohfIecCklpJW=Ht;1@I6|)(ONq%R~N9G?e9kD yx+)U-9?MEvKtRC9`r^H(;I)Xd zbD)Qym!Kw^a{Io}KGpNb=V|5T>1j>PDd5GHoO!+E^m0*I_A#TTBzUjx@h{ChT!31g z2GB?aP)0;bTH8BN$Y+AI9|{r%oX^Ad@UD%*ZWj`->jJQ)YCwgTDChPb!ZataO5ihQ zD7aTLIk#WTBkQS&NAtiEg6GC5yJLtkCBvnc95x#)->>(>#v*qTA7T-(8cjrib-LBV z!U_ia$u)lOfI9x@RPa#yk}y+T%2+xKFysvLk3N<8KS8SqQ#_C`+f8lHGz&(AbFxVE z)Z*XxnymzBW36U`G!(w?dRc7U^n5J(L-mr92JWa>8@{~3WzeLLZ2c4o3m5$k2Rnnp z_l0Pn%_n82)g)D3uP3(Vj7uX1m^abl5&q%1%bM&__^wmB`X#ERak^Bf=1%_XWZtUu z#;*EN{VrSPb3Jv!6to)0b}z)zhLXgz(&A5Fi@s|bD7VmEu%RX_3o`CFmuhc3C|_i@ zjQ;Qtw2Wt71erQEL)W6+E4PYlKzJbjVf;Tx-I>mI?(HToGqCdhT)y&jTw%u@o6BAw zAn^;?`JHcj{x#o4T37g#YbDj+c=>Gk9)I!wzxn_4@;}G{qqBxH))IJ|0x~^RGQ}D7 zVM!~PD*y^5;L5AII8pn`K(_MmaDsXX71Ih+8M8Lz!cI7_q1{$sUD}?(3WNoVGT2L~ z{H-#pi}a;;8T`S7E&5dm)A-hk*zHP827YF_nX0~dk8};m?Fowf`^0y^lL+9`$ zim^ZPmv-tRK3^8`VqO9y>A=YJj6&SL#s9RIxxmS5h5=P1_{)K1a)UIphO{fn-WyZ&+%cF2IW1rKprOZw-JR(OtHm- zSWc=kct?bGeJys3R|2pE;t-jp`j9U@9Lvjz%r(TU_m?Zhs-d zwy&L$r(}PB%WKKh<)2XjVLoSsMp!abewzLzXT1#dP7~by_bm&H@D=x_X4lD?6(g5+3u|G_QYEbscypT%(Buw z=7-&saM$ViT7z0MRb!TtEllIV?RIp`=}w@NKE2HlLL>zc_Z}e>PNdN@#?9n>Qi5z~ zS6Mc|c-4<5cSK1$3?yNqn8!Tx$KaizFVfr z9l$=vJcRr{N@7zpeS7vMLlD|H6;S&52c+~|%{Sx!x%g6k-MhV3{Ap_jX_EODy5@|~ z$o=`mt~CuqlKrNgxI9$8CvD_7XL8w|;ZCW>W%Y0NyOWX5$#K_JQ(V5^TS4f{lR<9) zbm!W=-lLNWH{kHLkiP-WO+A9s9bhqHw78@?%%t^s(i1SI6jVXAa&TTo*HZNouJk^6 z_BgZqU7b@prX=FEbI*c}k0if6p!btt?2Y~~hCImVe(X3W7g%~Ov+VVQ}@SvG*wETVvCd@vPK0&PX5|vqYrjvrRGgx~} zk8`Q9;sieV_+0dWh`1(5Cv0?Blc3c)Q3Gm`{Lr!MTps5p>cAXZNAo#sYoZutSF=pa zYmV_)2DWuBwZC%UqFJS)M<(>%d50b6>6Adx?$;JcbX2NyeFD37VWWFWqa;IUxf)Tt zCvWM9NrIm^yf;I8!u2%++F9H(tZBvCj!98Blb$rb!?b@eZyIKVt@z?^pUNGFIwX7} zw-N+Y^z76nFtR1uTo_-K`XA7Y+yUEyK;-8Qz&)1QSTwacS>G;tJR4^c0~y;1DI%tp zE+NN*)`r^T3kk8vrA}SnH%(B}H)#o?t(%LNjS zc{FUTCj#g;@qIaF30)`JM4}t5vYX7IUD;L5bbaF3npp5%JZ~#CyV@Ft`#JG)YTUA3 zz>BFiZFgtjp=SAxsVcOh3=^aIEXtR{6wbwIACZcq>4KE!3z?a3W1XI;c{6_Ldr}^> z@TvHl;~<&$keK6*{GZQ1mN`YXb_VNV^c6VeaYqk#;^S@9NGg89YAH_1zSJ>P6~oHa zBz@4g%lg*t)17oCO$@lX*|&`fGLG$>I=h`zGZ6O3T~WAR+b1$jkl%bsNJeD?vQ$c| z&Sz;S7daHJdPQ z6H|W)%d{tH8uj1w!ZT|3aWVgQp8GuiA<-E#W(@OAp2s1{xv>{k$Kp_Wx+sNt#yQCi zW7Fftv{cjQ)cg~`--w`&mx+yE5qui2*qmvqN|>C=4T@+Br>-yXr5cp6L}O|q zI>vbsaecY=3S|mhORg+z2|DZu2+N%ARODKKL7G7xBmQA(rLbj1$9_En=J77mBKfjw z=0xv3;c4V}2W^1(<8}RO$Rzu6;vS_jLZJx0h56n}ha3D7&WZ?%OGE-pd~L40QY180 zOo3>@@2)a{V72Wehf@qCm42pBmY(C?*nNU3rVCGc!gz?K+Fdhfe-(nM@b+og1u00# zw=QgNle2gUgFu=~;VGhY*uF zX(ruH&x}t<7x#^@dbe!9sGUwtoSF?DR(m#-*VbVGO%tzYjl?l^dlmCM@p%zf5M(2M z9d&K`mSx%I?(CxVIbKMvCVRPEEb#C#6ucOykvb%}D66$B;^FLE(D8>%$z$>lSRq2< zk#fnxHhS(9@FU|=@rqwL^>lb)je7zqb58kyzb+NmpC=C!2^D!>JSA9}trTkv7wGcc z^(Fjq(#Cv7?wgVUQP~-D>8VJZtTwaJ}8XRR4VYHAPg7v;f|;c zxgX$Oh>JM=PQ)&o_ntRg!9S0Otip+x&&#HGu{FoWW12(%jT#OoyZ6ogYP3{f4ghQN zocm?hQF2l(dacA`7)_Ccq=cBLOs0-jLMn)MyWXTy!TGTR5$AU=f*hIfk8D8WLDWLJS^|25XoQz=n*>d&E)E!_rrb8y^gHP*vq*V5o;FzwJu* z=~%$`y6+9H#&QuGRJ293xJov4_W*uqh!a@#bz$VX6IgS);%JNqQPvfOaGk>SW9(BK z`r>Gwu#=2M6=CG(?=-Cu!@J}>bQM_(Ha_53;(HM^xki;p&{wa{k=vJF|#Yx4aJ}fs&Q~o zb_~6~EE_jz@)Qz1L<@5A3lcuUKy>W`gud)|N0($`WMP(89HnsAL1wzWjA+^DD;kQP z0i&)q8Ek@SzYazm?3G%=M#&93KG-{pRfvA;kC@y zJ=l9+<4L?)9)#bl4aMl{4kVfD;?qU?yCB&AazbQs!FMYxR_;Sqdn`9DuLS8+IU#E1MJm0K@IHi*ATQZypX3FpU2&4frDx3 zYmOKwmkGt;u|S|{gXm`Vp=C-OL_mk)(CSOP1_;639%f$*iXiT~nDw<8k5jE}ZA!d? zvaNyd7#B`XMei31O}*WbEg-!ukI6_lF6-E}u55=Q7#UO8I?xP`9v(@nP{7=QYbKc5 z(vTf@e4Koqfmp|~A+fLe{Tn02S(kg{TxdguAS5-2;*5#VXQ5QAjm?;$Yqczi6`wf* zI96nq{W8QHz}BEASBR4))|x8T`dZ*q6*)|j!P9%%iKo!uu2i$J9vT}eRN~C0jCXvl zbBTC)106lW0vUYt>mH<)u|D`kQJZ9>!GZmvW7O!r#<7+t@!YE@kG|#CEeM9@`zgXF z^7ostiB1Qb>8M`bJ)!v>Ga>{Wana;uKs(_#;&<+lC=qWCTyKvn316AEW#`|qsNO>Z zBXopI)UcZ0QO^7}2BjTUMQh1u1HZ@0nEDE{vRyWdb0=PK22ncKk}(8+zn_CLoVLlH z;B{~-T&Uck)GTe!!QuMuV?w?$0WFbTL!6_nHQt@o{YI%$l7q;R?iP6H$~3D#4M6LT zw~NT};#kujK`|)h=r#{kY4Ea{qU+5w90(MYX9@PNt^53W^$f*t#o zZ|Z(7l!19V2E>lx$ju39vsOK1)4DOSk8ub1?<25mB|pI2O1hA+FtX~H0#lagPU7_K zr}zBhxaD_T6ch-|!;zpLXkwF#5YH~J|2Ff8nI8NSh#emM=cN2^;I5ZGmaj|Vo`{1Z zxRNsy&t!*BA;iRLSK0L_mnfhOl-I9^k*2sK{TS$`ZC8qQcu`1?!2!0vHBOa{4CyW4 zd@^bHhj){(HY)^;RrIheQP)v@w`>#9XiaZ-pVODFr;YCFS~g4yvTI>TRy7 zJkLfep!KzK2ES# zWWOg+^Wr1M^puusW1OSCIVxWVCZ8Z*>9_njUe67V8(}MnqdiSNfn0hA*9;577mCwC zC74mv!&nop@9W^3!I;$NDif)PaM6aXqFe`Ks5i|+xfXVM@1Iaak6QReA6>AUDtyEi z^%IOVYTrW})xW=kclkX~ijUGC#?5Pu@vap;PSIo&G?>FZr@{~2>hpr$jx|@p*uUY4 z)#%ADQjHW4Jw3GZ0%7l8EL<)r#J%yz)flU$h1r)&I&#lErM!@>sz?aKSz*=6s#|wp zQ4)%N4|Zxm963Wk!OV+o3RSRx(3!QOb_hSDY~}Ik@va1s4mR?^!q-vF`M4nvi#~j5J?;4LF>NG`1IMfiE_5FH_#Q@4e}8c$?L7 z{5p+(-ndNevifV+QR8mjkxNKqv~-N<3B>Idg4C!mnJ#Btxwlx|SS#pAg-@x%jbB;! zmQ3!|mR2n|pNg*`s=3G0@>2s6Y6-U7CTCRC^9BqUUCM68h>Y5|c1|lNv3g{Ow5JC; zs+%bzMb`J|0P}Kkf#^;K$#2w6i*7C$CCYOM;j$&fl_6X6oCUYTEQ&vNB~FXDjS^iH zwRQ}Ar}^E%k$vOV;-z(cRg0MW!b#?RR6}j6z(uW1O&TJhuP4cnJ`nwIshABB-WZ>zxBRt#2(4@!KRwVUyI{$e)?)VJ{O8l2LTTiA5U@eKi@t!}c7EqaCGnBWU@nM3DH1ST zjB;-2YL)8&k?o-l;_#e)P#6nABX#B~P@NoYZwpWB#09q~^z7q8=_PzH9ap&sspmN~ z7$PG%z3DK$_A$E*-)>!0^Q@qJ85Z4a_^7_Se(gSa0Z;1Jx;ow8^ z1$eNT&z$LWt$D4p5BaAM`O%Tv{Ve-ScJnR2(JID*+U%{u5rpht@3|HxJfR=>pap75*te5(`wP2Gwb5p?RPd| zlYzFiks+QV{;p*!{Tii^F4&uPD@taIK!bI0l`y`Hkyk>P)BEq|a_!JA65@mvB6-QG zm{i|NmYxIKU#NZNX-XusDpe;JALwWs1lYBV3>B={wLaBlxzgo?^lc)8bnSpr!NDXH zN6gln!vrY*BHF)!rrSXfnxW1J{@eP=kbWyq!g>YR4eY`J^U)olT{v1~T@1E$q~2Ge zR!H=8APFWzB7*al5x*S>cStOJ)DzqjYdc+@%??Y2+oD5GvskmAKQE$nrAM-|@cFZb zrKGSt7rH-h;4#V9<3XFlT-(5Blmnp-f4k2@kiwqXND*z`MgRhvHzsroBIlmi+OypZ zBt^f=4J!Pev_@o%JySCr?DU40+~SGl@iHkY1qKF2wA66ch!p>D_S$e3C@X6uI}5b7 zCQT)^v@JO0USQ6&CpoO!>xrD|>-ie_bWkV;Nq(W=fKfdaYo`|(J+#40Ua)Ce~ZT;cFanUV*oGq2UKrj#kge9ufU z1LwfXXW{vo59@i-eP6O;`inv7&j2^k(%#Q>r?PT?9Wm4!X_%ighZBr9u|-{@hr4Nf z>d?FPDVe}xq%P)I?68o~vBGkwUyI9JDmUjRD)%E9R#M;XN8sc7Dj%i+&QF0&E6e91 zzPBFZU*k?&x~J2c6#22ssaLjU+4@@i*v&xVNw$CQRh+7o9;)vI^yPOI?l=t(VyA?U{R!Zk*QBt))NgbuMD{Lvha3lX-=q2% z*`Wl7_-AAke`M4;E@>;?b}IT1?SfYrulnk*wh#?yH^65Z|qwJ{OtZ8t0Tl9EhS?C_>yi#`EXZ`%aF}y-d!Z?g9G~ zp3m`oPeYs0DFNrPpNxm;Tf1>2a)y7b?p#Y*EOV0udPI^^@&00sP0rBk)~@mT zXdNvv&zG<-VEs3LzJ@+qxpAKPUourdHzh(K+%dt7 zmM*_e`S3i)%v;2}NyFRnc0J30_o$a(q1I9{wusk^qApzz+Fg1&C$0mmMH9XoOSKIz z=zkwRwp83Qu{!$2U1ih#n3prtG~K5hhB&90Gv)2Cz3su2L*`|R|5rC*&Q!Y*z-@JD z=Ac8`Y=*u4u|jfB)x5FOsvAYhgNH+FhK3mkz&@}r^g%b%Oi35clk|}^n=xsB>}h^6 zZW2;aHw-XDGfMGd4?j&1W{c>M-kXPS_i&Ea=`Ai-9>@4=KKWu)ubG~oZWKn?Xg3u-UUj%6*g!1|fTc9+>{}fj-bngf(QQw(S|wghhYCEHuQ4=$jEA`< z%eoyL^k?!r{Ew*b-d% z*b*Ya@gF}og8$TZ`~+lg%5(!9-qh}EE8_Ay`99Sz_?Mr{PPg2;KR#Y=ZS~##r?0j? zZf>6q-e-(H)bEeNHKI=epUbDr<8*&6nOOagqsmY9Ta0(x#(23;iGYCDOP-JB_KW1v z&$^%fH}`HQMl<* zDmz6a0;jvF8lVAcdy&1*DCoJv#)wmg0LKzzXIKzZ_K3XKzO04~6jK{ZR5!BW(?fMR zNHKNnk5k?-h$1Q!;FY@83lO9ILuNi`klqNF{LgTcXI3a1Mv6^tYwUuUi$Kl}RpsPl z$q@^`8x_oE7F5}j&4Q)yE*E*ff>v!LUf&oy`PocosnVSkBu1w2F&GhJ+RK8LIXqog4oF}k9taDYM8NMJ;N%wA5>UjUA>mKR zP?4$GBSTrlw`o%bhlVytxX=ZT>MLwus|{Jo)?=_??*SDib&c9~c2>MpNjjwJ0H{?s^e!)hih?}_J&0NqG*KW3zP1T-;WC@&G)oM50S$A(T+lsRAc(Lg1apBl z+jDQPn@)Kfe9aG2jo1_mbA`1{#A!_e>(F1|kJ;oB{4i5+VK++*;~*UTfNK3p&;%>W z|GPjCY%LJxB4G9loAqO$XPsy#PLnv&nqYxHFkRP&YJaU0+=9>qa9Ugac(4h6(47^s zm^Fl5qefoa`tblC`~Wj6r4wD7`NKKx>o)p$jY}NqEnq@HH$!o2LwDw(OfCoQ*0cT8zP0E3@ZUyROaRa4&-dj7O!-IY+eW?90af0$zYmjrg*17ujOzE z(ykem9L$bPn}l12Tz;QpIlnwc$HvaL1(90?JZ-(GGjfj4B$-hT%%0tR%P|gh4SH<$ z7-L`c@a-mIJYkPz%01OLFE>mEJ(aGfTq<+zLpz3A3X;PLn;~LO_*XlIqGBZSg+}(N zgo=;6tXU_w*YzeI`O=kKa}Ps+NL^ged3$*aLm&pre1#43VSlFQsjEl~Y18vOcPQe! zQ0ry#Pz3#ldUqRc{c>jSn<49NV{iA)FvBjX!b05iXM672NF_VkvF0ZdIudKpXHpUw z)i;q`(hU>pRf@*aj^w-Y!j~U}a=FVDh{qWs5u0+;|sZqu7IVTYe6OEfo7p z+@-UQ`kJ&5L^#4`R%n}^aj>DPEYCCfmBuRqO*S@z^rJJXX2fMTbmE&b%|WEvWYHZd zC#KE~wN#VsAHTtMoA&mf6`cl$iUyvP3TGGOVZq++E2(QOhUxC0j@sAz&4iW}w~62f ztkn1bF~+>f;KMjdLCa|BtBB68k3?}i zmHowEC1+`hi|OTMJNo}`plaf{e6dz%(WrTlkz$RRjGk($TBIf~n-V1xsmV&KLQh5M zI~PZv=E40p5~6z06W4gac)`)03pFdLv}pC4%%3!QJTX$>xmE(Jv^; z<_yrWOLE|{Jc{7~gRCiNx%5NGBxCEf5}zLuxLKYy7tCm3r3O-Gwc{;4q?DRww{WUO z3i7fHILYX-rmCjh|DFXac^*6G+}?hKnKbM)s~?eQgn;Y#Tb^(5%Z1hJuPyvX_B zI9mr5-q3PS<`k_+#(?rK`RF*b%3uAr)RVle+$FiT-C-zA*fr{ zp4r~fqSjNm8-a)~?O;(Xy6aANzeFoKy*sN*x3gllvJ%AyYvuf4meH`>vKJjORSWsg zzuJ_cLHU@RW^($2f?@2&4rSHAL?w~o8uN{qhc~cVHBjZ|{-o1i)eP!pm^-vs#6km@srO%=IiJ8Lx^%L~PcA6xsP!E)t;Y)9m3^$e>|dNCQpxD<7W%+t+7Gtd`5Z z9zUAIYuDZFm{U%(9P#Hp~IlcKInrfA|3^BobOY3s4FsOxCoJogiGPNp)_Q1Cef9#8CGBKLV zbZ*np5OGE059suNVa}%_8ec)$BFk30&@6RyBYI_AU3h&>`I#}GMgjvdGV4lAN_ap= zAO4bxd+XPuHwgmoGfFr%MeqsN8?B#RECeoH97q2;j>0>RM*hImI*u(T6UlTTs4nVn zkJuMg7n(lXNKkMbwG@eJ?jB{_M=wig?FiLB#O&A7CX(`5L*@nRZfzTeg-Fy=gxg`u zfr85g#>R>3!K#n@ILFGD&CxK-f(tf~pZU4>s~tx{V6-)N=^J9#QtpKZ-8q* z)Xkos1O!~buEZM>oM)Q%GfVPmhCq?eLKIY?-9o1nL* zV`pKA39cvYoQ1uooOrm8U~!BvEXa2`Si0`+4SzX;I(J(6l-pZb=f7KlNMAHw zI_Mw`cu__By;8cJYayn00`y~#G_SEz`}!_57Q^~ZMZ72l5o~oCV6vERGyuNv_3dfZ zqQ9}uz}(_LD074Ep|2~IXi*~Jl`huXf$8f*>y9$}W#$+k;`L#nUz~ zA?l&M(-BCnNCnBHYLU#GOC)lww&HQ0W ziy|rb)KB|0m;;#>c-I$CfGcH=MjE(L5z3c1hRqG>U=(Ec{2(&>a$8O=A$6lPi?(07 zPBarNs)iMF4Hhwhg>N3y;6)!~@(>ADPaMBJe`KhKkj1nTD8)7_W6}i^hMu_hW6#$3BL2%a7Bsy-~suhMOY<9G@KQ<`+h}MxhEBn#k zJQ4uyhY$9Kb^M0ZKveViOKp^k)cZs?C_zOPy#;b03uC}|K!t#0T|jBwZ(G@Y){)VL zTS=x9GQ1alVJRH2_0e=(A5fi_)MIE<7@oz_xJf(;OY!y zV=wF>n6aPVv53;TXkJ^epi4MdcrUqK1AbTW~c;dvP0VHn|M7$G&jX=8MX?V3bz zqvbf7T|ze&hpSDB#grIfPHjX-5ZUWN&g4daTiJ@y8U?C~`Er*56V z_JD8v4HmayqDCLJ$R}YQ*qRSXXCG+VdM~8Zn2@G`|bf z=1~2D8h>GIh#8)SbrGW43b-FeT`In&Tw|8;A$~1d?a;bVr!GQ+3pZHH5vy@W^yv-Z zSR;}AAQ6cRGI(+)nPKIFt?XXxDU_Y5y8uL8;p&h`T5_@<))|@-w>ds-QUZ>z}d3&=KN3nY=UrVP58)kr3%^Q{89-+%LIDJhAUd>3IC zrR(@YE3JSM?(PyF0p7S1g4vpeD=9D{ibI{iDsdY%FW|lo0gpj@L^^)9FerKCu+rw^ zopV4Xn%s>2r)wA8nI)3PBA1;j#tRsljyA+uxY;{?j^H&nK!PK2b%FgopZ77e62YcT zgx~Kr)TbST)=p@%g6-6WtQq@@=UHd4ZADo71dAWhSL?y}3mE5{4 zA`?22;F|H^!o4rUy-&-%uOkvwJrmpa#o_tTpa-=XlNALHfp$eym;^N@bv0X@(u^C& z*h}Zzv>O|xnUctgRdim=b*~~XT~|Cbx>yrZIC#IwBbGk_6?GFL$G0^aFGA(6hwA>8 zoV<^cf$OY0w@TDZwj3~qaG+CTqQf^Vn~zUdJ&ear%m}`qDybHNs%)%Z!ZIWN8<;3G zTzDb2oCY@6TBmp!=7N21_l4`J>Xfv#rVgD3t?kRDAFXa~I^<5dTf7=vZ`L;I{889l zCmH>E!g3oKk{y^Y$j zAH$2wxyz)#sGuAD$QwZqG3OY{8!XqBm+`L@%49n+uAkk=T;{YJ`E+{3KD3*H3fcw@ z8sn5@oUD^8ITyd&DnO1l#$O=Y!DqBBp$`XD2BRs-oJ5|)U6$(X5s-{IR|$9cJf#}q z-~MPyy^Bu0>y#TImm4v0i)Nzw^YM@ZUBp>ZNUT%82L*1q04RYye1E32SqlJ*=&C9# zorJv&{ikFkNJhXsOLOlxPP=|gG44lWM`#`}>yYZEer`a_0d#kq-1}#aqugJMjcq?5 zMWUE5xwXgVPW_Uhix!`S8BwdJg+0Urz~QVm1s63I^X?J~D{kovw9BB&Z0FV7ZnxT_ zJMd7osM{q?qe_3b`WibXpJFyG77vL5!N8n3rsa0Ao|J7LkVv1x%Vd=N43@yY9Uqi3!U0R z{T7hh$C018Nd4OCJ38&GSL-~U5BMErwx91;@%eqr)>d6CmO`?0F#kC>yax^Ap;Fg9tb|!dZV4| z2`Fu3`R?LEC=nJHvIHo-+o3N-IHz)k<;>p;dv-%#DsfJ?F3MS0hnCN2F8W{Co+1Vm zm?VYFvFwjlPbIpBBE4}?{iIevvFX^)c^A$=zJKF;s%qZ5%U|gn%78zSPYn_)>6^Xe zXb+4`fEIWlSzkc5HxUz({>pLH?!k2ByFtA$nASQH;7G9OaXnv%{-F~Lgw{hnT64NH_Vu1x^T0S+_UMQ5gDoO# zonJ7#7d8!3;9;u&s9vAwg*yY9#lEO(`_&IYOC$7%|b_m3G|g6&fT|0FzE*{ zVchbSeaBPRc^MdCg;u&{fmZtdj>@2;N5&yIuJo;g z%_j2$3}SF0)d-KG3}O(F%=tAT zEda@KkqMr`q)lwhby_m}6I|NO?7NzqnVi~0QOjXm%LB9mB0t|6J_y98tE;P*d{uEH z?%A7uzdx~Mu&A+-ySPJ{x_Oz$t`z7j)IH_s1)aD6b6Is}#QJ9g^sak-hk_J+)MftD zg*`iatp6FM2a3h{(D|rA){6wF*FQS0zN?5xOk3&TojqN=TXVaioX33e472NBKRD&t6(7*K z2gH~2y>4T9Qk~YOmyCD_=FhP+xDYu77LPU(k;KE5ke^B&yfq*3{#*x4y*|7Hd8a#*^LXsal36Kp zsf5I9ao;CUQR)ile0lq^MF0nKDffH>zax8R$~mFPsET|T0)$cT^qi*$0IWL|u*UG#ynqaoe^)6C#KYs)ut!4i_t zBZ|Bcqq1j^FV~9fCV|gucvi%(PfH-@Ky zOd;>iNw(WNRgO(&Hlc-%#ffY^uFubZQDvW>-r&VrcJ`PWm_3l{x(H1NDw`gnk_bcC z>MzC4UJt~*jNvXF9Qq_F(lF|XC(w5P7MT*m(9YWq>=QQl*FXO2cAT1-7-@A(si;V&4ee*#*y z|2iabvD-YXEK7akhA_QTCmg$~rPXPpuIGJjX$1&-aF}X~&C7x-ldN0H$Ef)_h@gOl zj6CW~8h}XthVL{!!`j$F*HxT`2eA-}Rw@T;R+-gdf#2^8i}UyacNCWGdKmY!1j+xK z07=C4uWmA>8Y)4@vWC$)H@7t0(NdHzfn+bEXq8o&!`r$u<{k)U1k7ulB0OBI3D;zd z$;v=`?zOw)bxK|0aM(XrWWH^W_v}#NekLv=n7rW=mKj&UsUJM-zq7L(EqEk=rQ;j z)58;;U&4Y~%WJE9EYR-*#gFg@hxuIy#;^d8A?-|ND^e}UySJyE?2YY^(4j2ZCR=iG zn29~&?21=_d0RYl^5~CN2om*-+{Rcx_-0XMjAX`|5kKQJC}Kv*;v8&KxZH&$Hl*PR z4zuFJV`jyzh;bdQ5F98ndHkUXOK#R!NGxx;aedb8uW{s}hK973vcyml^o!-Ftab(< ztKcDh=B?(a!?gzd3_HJ^`Eb6pYuT7%gC%`h%^xrPT7<=Z_^0Jm>?V$HmCCY>F=y+@dGD{RmskRjB4GP-tdqxZFeVr z;A(=I@qH&a^kQ=2k08a{GSRty<52;ylZB$WV?SY)cPiimD=saNr!>ZC$SvRAPb+Li zMkAbEaRo#t%|z(U;H_#VI0K+~@ZiI2&isFrFd&2R`&{g!c`F45QvC@f#_=SvhX4Ck z)woUbV*le81$>qcQ9)R~zzR&Q-(W_VEg}wkO>3K(HrWr^=~g1~I(Y!r@~rN0X^t<&VHDXr{?*;;ze~DTyz~AMANU z+aoD27*@&(HfWBCqdgpPb@k7v0l1R~`&G4`_N#R`x&Tp$OmxBlKU}Ht6M@#AZ>0oHkRvrer;Og3BHLu(cV%0 z<4(y;rN3%TwXm;P$wx;ZVmLycSB^GPMOXdJ9yBv@4l$CZ^p>{hol9W=x_IYhf}|_F zA^o?=z`-LbLgtnvc0k+H2DUyv2?QCf#l#&yxMo4S`|ESS_jh%UoD>2Cq_U#ZWzB*o zO4l!i=`>0VB6zYU1xPh8f@9Kj8e~Oa?FRPaLd`AIcpPr$Ti-L;w->7S5%)v(k#A zF5$$~g@7)d-d?hV!{+K4-2-0Ew$2AVc}9>Dt}flU#zI*AXY!jMF1T7UmJk=Q{C>0+ ztIMAyO+yhBS&d^MoD}7Kwyd?ej;INhK9veXe;KrQ zni088sOui3frg5+sL9%75dT5=f292X2kfq9#{d8T delta 15963 zcmZ9zWmq1u5-41>xNCvpZpGc9xVyW%J6no7#oet?T#CMUarYN@clXOV=g0Tmo9D^S zWG0#DPG%>YO5Y?PUKM!7gZ%X0!1F&)h5A33B=kS%1##k!==W99{TvK0?G?fw7#~cd zC?!qk@1upoXe=a~YtP|>C*1^3t8Ol>FuQmq#t9$%4@)Lf7-0vp1BU75&V5-l9|nQ3 znqNlc3r#9wLj#sd0wlaN95fuf_tHJ^o}0atoxQr0{%ST<*M2<{z~;LLjA!wyqMO{pUl3l$Tb2&tNhU9bjZx@scMk zE2q^x?19!w zsJr`tbRgd}jWdq*h4W8>H8Apb%6)Y&Qkj<_`C-6{{wtz3aTCM{`?Ba1iiX|MHm{+T zfc_JMT0s46PE-KmVXkZoLsRxCjp{k(&(EaR6QEaL?_xAuB)yyfI55b7Nx7w zMvF8Jf1R_Dp#wSIcclspI+n3F%q261?{4Z;40GZ#Y8Oy4XJ?Kj*up#O?SYX1uCt=> zF}d;jdcG%Nb@IXzl;2}WA|05Zi*JcRZ~`eT_n&E`^C)JaBjkUW1kt&k?UKJy7uw?? z4CycRwCtOl`puUFq<$^sxAH+X@BjOmr?B-YlmY? z|96RhqSYzh7Q`glA{Mtk!|7_fFE-05@wR8p#Z*b`A&9+vO48V7xqOif{OPT-=eJkA zy*I0h*V|3k$+Oj13Bv)kmn}rD$8)UF@5w*9$Jr(3;tu`3Ix!vX`Fm%HcsWjT5P33HOZu7PIX-G?FOq)1lrek(%PVBKcgK27l*d zyKZJJxDsc5LT7D@t=*TX@k{?JRDO0^zg_Lo*CSGw55Pj3~s z%9}uUrS$vUKiD4DZA85V9?-$n%z^_WwT#7%Yo!umx*ucQkQl3BeeI-B%Rlo0$Gc8b z^WwsXMQ9Y$Lsv7?yNk)`^~;j7g54?ltE|RcD0Qyt?AGl0ID{; znlUbiPsw^!>rW{M9%d)n0{{+?q`GULR%CN0pJdMWOP=)O`xqd1w9{mqhV(%_H+t*j zrG6_n^L6ddQtrKw#q)RhU(rRfJh{j0vMm7??Cg z#t?=@#Emj9$khMbDX0}!QneqTR}!cZ^a#BrIBmg9*Gio=d9OICM3Fak;GEB06Qh^A zPm+lslf6S9oLvd-OZul_^?}9b5~i6QdYg~Dt5If5A??FVQKr#)R)#iBtC_p>)0c9Lb2*c6*Weo1_) z|69wXw`3&b^>N-YMsdHRR8CnX2VFvhJlRT73h*jm{2b2QD#S6_75V~d{fAkT_jH4_ z04}&nff*4G>L-9A>n|+0ZD682r5N4pg&OSmb2}G-AuV$mDtBPkuVEWoS;^CMx3|cf zVR~#AYj5sqr|-b|Dnd?V?4I4J{DV&%biq&;*}IcGFYZ{BAb<0{j$?{o=p#X)i`ntZj?%aAqW#p@<5+@Lql6UU3r3F=`gNX@S=l!rv4xW`f zI_OSTtxphS7@<4tPN*n)m#Iovqi>OUs@l1_SRo{+a#56ZX>MKB^Pxu!JD+<7!U_48 zi%06h+o5(Sg5@ld4jK8}5L|B!=A%dc_ekkTkU`FLZdt2aP}bI9V_H}qRd+LeQ@_zP zo7v+DT-$LAJdsC{bVOh}M)>HF3NY4xi?#&TeG%{ z*u11=w5%NEx&j}#Tg(#eSRTC0v`GrMQtcY1za+21X#f)k7Ylv1V@Tb$$jqyJ6~cEN z^Qw@kekDyF%Tv(_3to13wW=F?IoCoD*X^wrWPY2*yEeQZX?x3q4;aIXH=`$KBwh}C zrx@nEAwYf~!F#lWoyl_((Zhfn8(Q6{p6K|Wx9_5=vO5$Z=mKzBcr)y44^L*eyK6$UR< zboaC*>b%o*Tj;Eh&BDV;Qk<2~{@oHhF-_wqHyewU&tvd&vHHu*>l~mlT#)TO%6baV z*Xh48h5`Zt|A4|~@8i1&dRxK%3->=2C7$vz>AbB)Y}9m3tpi;W#< z#aiql^1|;W!=l;4%>8^abc#$>=4|7I|1N)yJZ3ZIcJ4LC$MM|B)Xc28cAiwxeYBrd zc+|7>o~TU5ecSsq(>!$4s_cqrQ6^fDP!Rz(9Op1)IFkl{CeAEcNK+t*ADunn8ujH} z6*#$x5-U@YVcOTLIF49+*qq0WugwxQ#X;L@7++&cFe&XLd0>c@B2R_;Qee5fLsLb> z zZ+T(IO0n`Y_C+A%%h13aMx>#Z#>i9#Z9ot{9tD2EApNMjRcXyHfe+ylYW^PB%*xm& z-W%*O4=U@FFyUT9bv4E?#EGUEax6=bK@3Qe{!yLXXJ^sF8@2OZroqoX05^|cm_Z*w zhVm%eh<%qXH6j9wIKYVl7gu~t$U*;^{`auaZvQlOMOGT7TBy>?mSnfKIee$b!t8D( z=U4sT&Ed(rky?*OR}yY1^ab@m1R3uz_SBR(`sr?@m16;1n^5f#+t}*7IJ(E@QO1G~ zco&eQD{kwIPMEAVcG%GVBQn3M+EB`iwiKKH?NzjA1b1_tSsb16Ib`GV92dg?g!4hg zQm!+E`}!V0y18rnf3vj^JD3kO@j6w!8V_k(cQ9)`e>+fjdtmPVIFo`VLZ0#`o-JA|&e#eBr)WN*iNqvi7J$`PaWG_AKsRi}GHg-LB3Ea3`xVUn>wKXP zeyutLv%Mn_DDif0z}qVI4ZfY>>jAs(2_-3AiyBNVB&Fv-o|M)mos`x=FT2k#>;F9c zJ`VAGOG3L;eJ@pGIPuG5`LxhB4YP=xtNLlLycn_Ouet(6T4f4T z*IGLdj1fqu^;4VV4%QER+e65cLXi(%Jr3><%7;by!`Z3jkfwDYt`#W=W-db0hKHq#35#XNO%EO#-Ec5w7JWu+!t9Bf?LTeZXFkiy`L)(-?NKJ66UUPdvcjzFi?RpqR8c>C>LVN7@2 zT}>8#;k%D^yq%`}jyqg(hm>U(=h$KM(pVqjWZtFam8nQ+%DzSlOl2D+5q{`8Gc(U5 zQO^|7YKD-rE0Jpr%orj5#`?`ReEGVYF}d@zepvBb6>j`8)cYI5EyS~1n5cnoz2us5 z&!_Zq4knT%f8tD+n$kV=cdLCIa~EQz?)a1^Zhf1c?4gnb+&kVw1-yHASDV-^SJ$(g zi4X5;Q9`2f^Y%CZ9EyvcHROCUw6Dmp2CIC(3^2|(2%X5G*B~!z5yEcMH|5uQdDo>b ze`Tso+Dgf67?>ucttrMR`kYS6oB75V!LQiD$!-|7%|ctT{r zM7|HPRc_Gq!)5;D!i9AljwLrTC#PC_TlHg147Mu+<=>@%n7@;336T8OHoA4lQN6w>IPPOWMfOy|@)PIk=(yk)26wch#@b zfT}TC{%SFtH8OfpY((4wIjZ@)quVca<+GgZXp$CCpWcl)BUAMRjbo0q@EIJB9w4nzz2JGcuwS+EO?wux$++~vX1e-% zwEqS4;#pXYqDc--h%*B}7is9gSLn?A!3(d0QdqrWl-u1#mC*Rqh&odSChg4*Fcc1D zG|M@F=y4il*ApSdc|d&GPA!Q*DVGP`pUy0?%i1IzSfsQ$EWNqYSsRd7S?Jy{4+aPE zcw!Vuh^bh?yv)RQ_^FOG+yvFI9~V^FM9a$x;WCQjQ=NNu`?6lJ2J`*;=3Q}pGz|AQ zT(WSO80R1HqT%CN;gaRKNUng$tyTl#^LainO;xJ%FTYKhI)GI;^qV76{nEDYhJdnj z&(|?MYlXiDw?u;_{P?1vCa)Sh`-*o!Y+ySnv`pwc5H%^*QtQNpdU)1glVQ%lGca`m zWCrKm&#&}E$788;^M*6L7s`My8elGZNyt>wAHB zaqX<BW=dlpZiKZIotHcAf7L^*M zG(|co6_-g2F3lSq7bLE!bYKj!x8ebu%Jt~5sm|bt_)Y)T21NFeuu`MCs=i|6>aytG z1=}&Sgo5#Y_##OM?Oo^|5=4jW^-Y1)H3U2OkL_zXSHh)kW8U~ybzZyf%S*%sWF^;d zieXYfd^N$k>*$2C+P_T|z81~Loxtjqzs~OJdkBVADh&Ijt(A?G5yC6mbY?&qMs&Y^ z3R#h2!Vg!k89b=L-#glyqqjdo+(A-({3W?JR&nM4f6ZIaz0Kgo)~{PAKR`FEAxkUK(T>|opj z$g|nHo}}|41(ok|jXiNU3Kj5dJ{rUA`!;nml^4sC^6>Dg{H}tJ`VTZvFvWa{O$Hdj z#3^VHve+3mxoWYm6qFeR65<<>@r!)5TO4{&{Uz<#)gpv0P2j*F#26q8VMcDT2g`}{ zJcJvdH_;jJu=~7cLjI1)4Zyjjc#~~$GQN*+51b`z@P*@pBG_$*rb3?i91>Wrz4q(j z2bM+tCM!NAcj=L^?2uO4z4#1GJnbj<|B4P(^+u3{N-P;y4=^|hVtI7?S~ACDUt(&_ zm(%&_wsU20##zuB3<+eLykBzby)*Sm8ldly(`@V0#HBWnWig(6Qmo&TZkrWpav!}h zcfH_$J8|VqFER`ade_>=AZ)O|!XF@647#2O1i@cko7pAaR&-rd`%Ct3Z*n6lyw+9u#gXCli(IF`VQ(7IcTMWl0$-!q;m%PNFg^r* z&<`Yw)#b`%DzqlOvQ?rgrC6B_{3^#$qXmkH`kMy@r91a)_5K~TFFi=c583vpFMH}o zNI~C>J*3D@0Vw-vu4ve6u6WC^g1CN$^MI&qr(*pOj(Hg+PGMwJlVUr7e%#czl2@+q zA9q^R1Y{N_OuHaycxhcb%B4Yq+gH8o4X*ICJ#bj7;m%6fF-Oq|OiBEGZM&sLdsA9_ z5{!p4@t^Iq0bh04qg#7Bh9{J1sZef}K)?M`8h%nBS!e(A-qE_6Okon0bvdtTRnwB( zFY{47e}De$F}+t^t|h&yCFe#4gd<~!Q_}epr~1xRt_O;PM1=@cEGXQNnz}HWn?P4( z>I<~qsmOs<#FUN=dX%5OBhMQM!n`wtHL2T6s-eo zn)AE>HD8mRweDP#d4aph^>0kUaHl5zzKF}ha`Ep?AzBhJ%fBRx=-jB2 zY(+fwhkyURs~W4+&u%>Xt)6JVP2aZ6ORXUfczAluDlI7ps|1>~pb%)=EZ^YR+|0gR zUyO+Tr;#@FrMzGrYMX6(YF+B(Cc7nm7M3M&Q*oQU`NB>)Z52aA-g4x#3^kV_mUQl- z-)&^YUwZ-i#Q_X~pCH8^*nJ~mt`yigBhuqL`^_(Um&l@TgL%8?<|Dp;NY3$Gqc4CY zZ8d|(cE^aP?DiFdFk(UT33@{Vvk9n?``iMQN7lk8|I$37@nQS0@bfB25F48xJK3>i z#kStHwpiv#L3>NeaqmQo@zh?89bbH|y_qdF@uP$XV>#cooe!noED78rdC)-=r~lA` zoq_VRgrMZ1wtnQ*%I!!h{@iBl$`xSeQ?hJhWAOCpwr(kP7k9S}TrwCtmcpZ%ZMWNc zq|#?;+u1VM@Td)@b{Kt7<$WKDo=_?+)Az8vnr`X!Y+M|afp6vWFiWD=IJ`}jQRke=_UR~q+EK?Lfw;i)LwH704YcavH`^2zpA3d zQXk9K^GRmb-$(wc&Vm?7|I_R#%j;d7$M4DpX0FacMCFj^1m+g*1vnBeg|%^tM>FoE zx%PT)yJKTQecAp}A!Zltzy`8s6N(U!jxokA?=3P$&ycnYQcYTfdPC-ZA z=FRl*`RGEk#yb-C^y&sCse^`Brz*RQS^5RGD8&1g{+foE-~8{DhN7NMp;j7{ZpUB< zQ*VwDB&`FUNuS<&;USiLa&q;TWv_)YwAp0#B|&zn?=?Y&CnHja18Wb}TRGb^D+p#E z#s3&E(f)dOKW77uvvw{|^Zty6S4&w07)<%hbq}@C{tfuWB#_=@REZLs6SbZrA*gIt zPX>A%%tY0XG}G|j_4gD6`wNyF_5tRr_bBy#O1gh1lMu`7qbj!rJ|amFL+4XWF`@*QmA6g}nUC zniDk4Kmg9J`C15usYX(&P>zJRgy{rr%ROl9$*4h4S!r8?klIKz%b_1G5b%~i^S0!vJN?!|w;)*c1W?|M{hiRe*59Rmy#_2_g1$)jyOPa# zrsLk)>iqXL@X#Ngr~TCudZBa`?v{|c>YANIz1BQ_fgKa3OjLIbWs@`euE^$Y2I_pR zJ2td_TNWpJBo|8?2eeh+wx=CIuLJ4<3Az4n@lC)TzBpJ=+fZg7h_pa1wwK_|?gShR zPBLdFiq~IusNeX6u24N*^>*8i40kIYH9^3`@;+37V)`rnX71prq4b;Hh-2*fu29uW zOKh%e_PTjzagLDYyTQ?&^wB_t^VBU*CqVr&w%hiU+xgORG*P{WGxr|4a>+Ku{A`!- zrR8Np{k>(6`iaAmIQ1>!<>gMu33!=lIZYgV7n6M6Io_Y{9L`;SQk)iiyc3$e-d`5d zyGuX6+NXOrIzFcZsvcb%Iv~sq@pwdLAC`nf|_nq>uI<$Kcek#9t^ec z9*CXw%;EYS372=&ZUz+)O+UZ6&YUVn+`~ZL)><-MMEp~sOCkwZ=T_?)2gv5{-NZv{ z_*sR?$b-<1!&;-GOZepRUoOal^jR(;J7NcC`zy6zyWivTL_cgrHXk^XM7YaJc>ROx z;=E=o^PZNZf@r(c{BlRhX7hHXa)(q>i(w3Gn}fcR@+Rk7^;#B@6T#1pa@_Lp&}wjF zHKT|kbCmPj7>)vPSQ#?0KoOJ7O#jbt`cf=cOqAfGGnEs#-60lHe-JxW^RY|14PIY< zibF|0Yro2xUdXt_cMCWTV(T%hFPMEu!8W~aqV9j;u}zpV=!-tKU`V2jEQ9Xx=TI*;3my-NXCZx; z#17IgYkcxZVIxn65tZ1@>0}UDd3<<#Ror-?c_F4X3sn)l;I@(^Xc!RY`VELsX7YMpz2)g@bmD@vTuwZ_AMe-6YV*8_MX2YM)YXBKc9LQ-s`G#wS z348jQC$OCNiqv3=3|18JjTL!@w;I522ovU8%X`IZz(fMa3G+?m3BZA+VNb*I{0D2g zVHY>5k-$`wZ#{YX2;f|Th7sXs)u20@$+yM4n7}(pSbM?-;GUoXe$oSZS^n^`5RP&)LeU3b}K{ZQ=1?UBCC2>->|yrt5}zrpNfFNPnt;t3_%A<6*Lf-)A!52UBl;88fc($IzWUXOTbf2%C|EV&pP+ zGR8pwLR5}P9&{7qt!ZA~!`Nj@^1tZRrpZHJ7xK<2{LZ;l_0(r&Dt@cgw~Le8Wn~y? z1iyWG{N^lAe^(>Ttze2yX^xhjlWiR;cN;e!902iG37_j{dx4gcWIUp@ zm?$)``J9Qs;&QKjk3;_T;kXh|iS}B)|FVDukjJ*%5OCp=3qDi=Ao3_~?U{zQ{dJJy zsp*_I#zD-hCbw}o`b$^?5{n2V-A}i$AhWnpvSX3y!U&U8$*&v}Uz1wqa#BqIqj__K zC)tu(whFGolCSa&vi)SyS|&xAxT!iaWAV;1R1F1kRt9;r8x^YBql~#iB`b@a)JQ6KYm@H9jxRYa z85Ry+Y_A$G6xLRaEmC0kuKEYB*YHHxhH$SoAkj_=~b}pgB#d$z}euNEVHJ zGBu(J(-uX{7n{Q(+SNw&am70e^RllTxcDPO3?HuQXjH4VveFGvr^I4HIQcm<6DFVL z<-19dl>#1LyuXOPkf<0>qs|O$IOQG@+G}Jm{lThoKV8D`@@K>0w+ycje6q%Du*oSU zDe(pvWKcy$CEToLm1L<|QL2w{EMcSi6H)v06P{5d#|S@M8}+-h1(Eu3P|gGUXUPt}%^dEjb>9+& z$cceiODauT~iD#PFRFt$ozmrnx8>>uz;Qt>;KyZz6J--uZYor?}5-&_kD#J>|$Tm?aP?M8s zh?I`dVWFLh^3dz7LM8qbe)7))&!X3;(AAC;%|=>v%C3mQMz#cr^mm9jg?oH(5o|*I z0W*BW-3MTT2P#w)pvPKgf(^#@eimz9Lr8yPKb_+jCPR|8az5wID}S>OG*U`#+QyQe7;{0+_$E$k+x3~ z@_e=xoWawZD~J0DaBs$qb8)CZa#BGCzsOsAXMO=H`hYI;Qggw7jFdSYfV;jXN{5^` z-G~XU>Jl{S!X>#Hu4Ttjv{r^rwrl2H(`7|z>A;=c5@*x@&c<3o+u!HYO z<5o@l*Eh8GWnj!;%Ayfy)!KQIXao+*Vm*>o!;h{+y^}v2l1F#6we?EAPbjC!tf?5l zh!&Ulm~3Un6Iqg#vuX-mjS?0gd}Dbd0&!9FhuK$=N{y&|YEXt!jlqX-T$WW+SYl&X zju{=_~Y5=aj5_R`o zs#vaYb$izCsc39#XQ-VXDW~uF02Q(N26sA#bJl#XN(SyH46_EvpF+seK>53b4v*0&JoWZmu#EsyXfceu*L;*-~@6!M2^RKrDRFh~X9S!}yjJ3fQn=FltgL z!UkiGH9t{p^bZzC=X2POGPuW148ZsymitRQhY;vKaor&y#gy^0{iB|HxUAgh`N+Gq z4Kpo=9a*z?QVi!yaE9R~5LsisGmd*#+*&6^Oyl3F+=IfLPE9nth_vp`R|KwgalM0m9Jzo3vjAY$mt(K>n{@*uYI&I&9e z^9&&KEFkls#&03KQrW;MS*(1oD08C3@J36H^U5S(Jq|sAEz(-9SQRxm$GZCdH~u(V z^g*VkmqxLfbfEAaXW;>wsJ3Co< z<*ltxv$5BJsyhY2mc(Wk{yQt23pYLcsrqPs)?i}`zg(R%hip?8u8Bu>iIf)7Qdz0@ zNvar@WIs}ps>^2p$%xxC_9V?@K|yEbDT|i05&IHJ50fOpvW3(V>St#c-8MgMq0#sD zVdQSlG@{A}mA`0y8$8?)tqyqB4YQIup#-*EHw$69Pk$DM4Iz53F+y*9#caclp`iSO zY;|kgXyuZwgB)c)zX0^)g}2>nPfm^~;_*y(eVfm7O!wP>jKJUd>gu@Y8+*HkX!g+O zqeEq-85534KbE>RwjAusXtg`y>Tzg01loPpb&P|EBZT-UzZ${p z^E2}tm?qiJ7XJeI(>8OB!q&N1RWB~Flh{|-qUO!}wh*PCV#$_}qNR@Z>6?)v4qi=t zSe17G;c=jMtS*t?^h)vNrNdx^!{F~9HHj!(N3Z_mFxfZkfB(#^#^bhe z3~D3-1!@jP*sHwiMFdm=_UubS1#I=UJK%y~WZ8ZI1;kW8E z1dzCG^lk0&wMyUU^<+$Q_))N`1n%fJFh6Zn3-43^I(6zO%@VzX=$2jh0xjNREcERU zz{7&nLV}SWgX)k@?ZD$i!+TJd;uTz4L>|~{xEBbKoU&YS)h05=RNJOX_mf5vA13P15mD6pZC0s{QM4}6;~p1niKh*siDF;$rOE%%ILb z;;z<#wnAS%ldMz=w7I*SL<(dkJF&e{84+3!+@Gu&1p5x^Z_zdv5Wy%nHeqM)XY7_; zt3uFm?pg~gU3TZEaQMF>_gnodg@VnF4Bc?eHqYM2xgz7*q5Pq=Jtezvf4f*3jLSQx zO^R+m-(iExgI+1jeNs0~yF=svkaEdLRlF+i_NqTE)vp&^I< z4tP(q^F0WbyQAW7x3i@XjYmkVN6h>3*39f|Dk^bQB98V(Y3l?l1U@086bEf?$;ObKtMvs z+18KTGKTz!DjZ4wBL6qOZ6&^KHU9ZiJ{`xg{^+YhA5>jh(+)P5lFBA}7LVL>8NpQ= z!BsQC6&6YV{QjA7Sd*UsvthVSwH7RN2$o&FAqw2T;S-{w1m|Exry>T5edCM-XLgd4 zdWks2hiVWZc0dyh1|ZsiRQjpM_+AtC?}VH+u5)~Y@|j(p*XeS22j8oh?Z5`dVVE{4 zt2sBW7K$gq!tGt$Tx;91dT2ODJ~Py$`cNuV;*6Ow8QZ8N#g9Cc;9jMQV!G_gyR%91 z{)VOVK;P_E_AB%Z^?4cf$P5s(VWh^s><_2emUY_TTzw}ve85dCbS3`QVUT_$-dI@g zjU7@hR>(Sqz#X??3VCUVVLw@+uUMh4VkJ_Kiu9G>PvV>*RB$$dE}SpdjghOosh2RD z6x|SW*d!%F-)k!Y>t5(fC;=-9oZ}%2d^zgf;$KMnyFPiZEh+(L)tu&$z-=NljStJO zxkq)8-KhX`1E6&;-)%@-zv1~dZY`d6(qFB?Qnx`!OEaq0^(WGz#P9$E zk4Xeq_`(m+W~zqg2dyl}S0k3I34)))N4Ok;tFD!kz?S~X@yf3zZ|G@T9uMu9&Y76b zd}t{9FVtK+hz^57ZKR&fq=s%{AZV%D)ttB!lRjF7KE$kWZ4$y1|3cNl%oWipSFyE^ z0f`{EG8SgA)dYJzpfk^|d*#2)Bi<@A&knRJ5#NixW)opkl{^F!73*smA`v?`4>baV zVSj!K2>E7*f6UDSME8C;l)qQ~FXru7WMr`CPN&##y^v}^b$#DG4?1x&-1GGF07VZ7 zbx&2;NUCO?YAqBI3YQa>zzeFu7|1{A45W7mJ?BMVcO?U%LbEf0e>lYvxK!6?5p$z| z2)O4%N99HrawGfdMi#Im{<0Y11q?EVe7Uu7!$JLon z53H>5%M8KOU~dswUE3@B4!F#hJ96vfoUWe&Qm&_5KHmhI%zcj4p0abk0TAm!6a$qv z4VrB(D^YS9ypIN?3M$6LO^qLbr%ICzZH5Nv8PF6L)FwkKGePsTf?pYxi_h7+N zBh@k?1<@a&X~OCjOV^4KGR~+Kp?q0syJ`dw^yd9Z>Q&BfYA^bA^%W0Ky*5J zNVSiK3N-=8q;()rg+f1a=`5g_o9-H}NX5wy#xmV!@RJ+|7D-OkOB;&|ov>aXOsiiJ z+ozcv{2zxucOfha4G;x%oEjQE=D<43wx<;uP43kvI?BfM0Lf9>RQgKkG{XV%Yk1eNg<0EVJKeA@5HC zy4z5LE(T0%K&`|D&&>F}VYOd^1Pazd+YaT_to&SD7G_U?lSTEJ#I|as+fxl11-tVE z4S%X>)wX|@rT;t>9W%+2_`)A(M4|f&2B768|1XPdrs zj~G4aV58U~9;_8jpJ3hmnje-%v0V?RuO<|WOHNcHm$obE<;&*_lcA@!uK1qNyUe3@ znrwPd>ymH>3`-}aqnvAbq9ki5Oe^yYZJrXA-wm_eXz@r}?otfPg?aw@Z$WMC{~wPj zQSDm8yr`Fd-e0V^I8JS5zITO7?Kau)p!VjM&3t*ZTiQUYV_CyTV%jI|IkX&fg(#3B zs4}LV{0rq;>mE5dMQ~bub%F5X@)Bu9^^0g+aonGBzoIL29pV2m^&h2n$vKHPW5-VG zF-_}dmj79ldYtFvv}B$22naW-uT&LeNyoJe_zYB?rk;OU$8mJtY}x_K9K+%|u&uR1 zT`Zi1d-mB8bo%)C*iEF^x1Q7;KGz(E8qVAy_dT0L=$?2HzsCBp0>A4~4gOB}ZQs`2 zG#H#E`h-$eZZpPXdDm_y>U-^~9$^Q59HeJSpYboZSo$rT3DnQbxC(YpqVv}Q?`w(Z zY%wv`n}`kU3n$pwj=jIxC9#tCJVZ*SlwD^Yh~#0)ICiMyT)4cOL`!wLINvT8{XcHPTpXWn+guhi0AWDoqHg>DT{R z7OLjt?DHvq`)`%6`^7aJMW$TfH{%%9r87B`$-wk5ERoAtJsS8 z%(WBOS`V{#f2$eadT$1`7BJjduijmcWcMBy&e`gwu7)}Fo1_Wae_*#59l4a5V&VL} z{$0@YiNMqVSk8?2t;yzB-kvKHFo@=(Bspx_Y4qn{>|fUNfy=ji8<{grBp&nIZoS{X zU9?eNe!OE)ob=>_FRv%VZiq=r;*3C4;CoK#ca$yumjRJ=q(4^#?L~AuHAGiCezQN{ z?NG7>qf)i+?t}#$KXuZXZ`rzTW${1sczOl$zb82>0IO+nj>_=-BYQk+N$3GD@L8&y z{=v7F#73{0vR{q^_^nQ4>-?>*AG&* zOWxnuq8Nk-lMrAMAt6kpl_;R$|A{Mwe+lXqm&Ihi4B@2fhK9i~=^8*q7LuCXfJ#n# z=*5c3akV(JD-CH1mOjhQ_67N#dFQs#Yhe>~19;0$IHa_G4@vL^TEppzhj+rAZ=fHHf2Qr%&I9H#{K!c^_Qln zdb8w(kj=KDN7g2t^qW4RF_<4ub#9h%1}&7Yx%pm#dtp6^&6AMP{6K8W8wj=>L-uyz z01ln`k|knuGVA+JYzmjrZd70d3Bq zKMd_)k)Tb!-~Z)B!_P##HKIEXHLO0Z;E&6UG@2}Fu%O*F3-K26_eYWLhZvf$F3#x} ze%?j|yK7BUOigsiK-GVWe_?(mgjDwA|3s$c>T2*kYI$Yc8)xAvz@B1Otilr%$oCJ1 zZ#Mcj)4dv-I-eVC;;4*qc_XZVb?J|+{)~4<#r3_Q-P4ejbXl7)crLM z*uQEDf=jq0N`aY(!!)NotaqCU20mfuWT2%T8O%@W6-Seq%&%AHltTtb!%>HIWA^dG zHn7Gz?X~LlO8!XvWt7&m_E~Fq`cqBfg2LCpdv-i4QT+pF#Fh~%`!L{uib38GdobZ2;b+zGr$U!%w=;2*~mmW zMTy@PI|s*%gZl#IBs)jOLY`gl;?KO{su&&t^<76kNLTDrGd;8$6z*@8m0*5EG(;(qN&bBp8$q%8E1mV0V3pT34n<68 zAVnqtEgfF-AUo?bC^AFmMW#z@QxvN#Co%A9DV(5(OxwCUm0aZwMy;zqrmX-S*Wa?J zo!e7fmEm16A3x-eer=m6k;_0k)!8MEQ&y=I+l|9)6wg@#Mm& z8R#LAPYoIEt=}9rky(HQGAAfeS%6SjB2wsmXl-E%-HLow+Pg|?*T*>VB9q=m;XO(t m{%Ie#gD~aO%Jk>T6_F4Vmt$fxWjPyh>#3e_j+6hX{Qm=Vlc#?G diff --git a/cpld/db/RAM2E.routing.rdb b/cpld/db/RAM2E.routing.rdb index 771094df7234c310b9d6f3af2f43809e48aa11eb..1d1fb83168c32614761434a1e088ac001c3ca567 100755 GIT binary patch delta 1191 zcmV;Y1X%mv3$zQ6TYvKe00000000#M00000006WF00000004La?3v9^6Hye!?Z(7~ z3yr!kF`|Efc^@;=c4q<`6WtJrYeEp4U`w!s#EpNnTeZGnm@wyuyx~z4wT}ea%bU(U z@7!}g=5?`HEPjOFTc-484?{RqFkheKI&den+_cSoKb+EP(3c^mEqB(1O%1|r2 zCTpJsb7OWq&3^=5x-=_+&x5%++50fh3|^7JT7s{4-)90BS0wOZEx}@~=^wAA^WDRp z*>oDp;h9p;-mUVu(y~x@+*8t;)Xk(_G`O~uHQnMtFoV)gvNdydInHXKt>^5#h^+Si zDzy6zX<@L-GHV&)t1?!nW{}y*ESEVWSFL@FZGp}r$gP}GXVtHD3bKf@p*wPMQ}^q13xuJVO(7uz8&F;8RgY!!bvU^m8^Qb7`L*eguADw zXpP0K{8;ly{d%Xhy%N9Y1S}VmcI`NJBp17p!hf(@WB@}ic1A9?x?jKmb>ZfdPVi-C!1hE>)py>pyrGy0w!Q!m8gr99Uf{nDG z0M&|_g_lvh)F{MFMqkJ2BuygAAhS}X0)NcV1|BZr&qqjDV8)&4SH+KuaVx@zo&@4n z;F+eDFXRGYD3e6BV&X^i<0fhj9@6s@y&STkmudCp!Gd1g^JJ|Og}fpD{6yakN?hL8 zxvE7bX~5u|quM~Y(;B_DHcCYu0R~KbmZ(&sBz6gM&=%1MP@w1+s1!z?zHTH_Fn>|R z$1SWf`g!{5JRUM7F>b-s)X39UHy2Pks=wU?^IXS zx#vE+dbwOKe}>Q6>EB?mc0XKh&W{$eYH#>{cDOryyM6Gv9e{eXIHnmU1?dUEAA<2P3UIQ&TCv-%9?8NFo?m^PNFq)b}`OsM_bR)c^+Bq@9Jm| z8qz{zm))#oh~LUs9h$+-PI|e_8M$iZGtRD8yn^#5ddV zG!~5?#UfV5z7TCWiRZeBk40}})Q=Riidf33zQ)^l|1IlQ>sA;LvK!_!dxgw7@GQ^0*HlQrD3Ff z1Ak5kkm<^fm3zSeIesdE1Cy-~2B?GjpdF~vFtBo(4YX>oFS;O%GD6j3`@vAJ!`u#i zF*l1R&!xXTE9h_rP^a}5)tOBZ+O3H+MYtJtKmG8?=l!X4Uswvg8REB8jWCLiu^(4S zR8SuxR4}?!2MpDSHqxU5DCl4#y%|e&z<+?}+%geOeyJx^6qOu!EPX?tj?-2k40WWD zE`?ZtZ_xU>6hl%qflHeK5HSj5NsGHi`$p{6rt0AtUJU zC`~ax(XXn{(e(ANgk-w1YuZHi?#Qu7Zn_cG*II_=1UVM94E+@<4o0B@VWe%O<$npN zklP{RW+>PJL#adjqVPdM+9-ElmknpOJ?gQ!`-)2py-w2z9QZ1255kK3s<(H9q#Cp% zB-4rFFOA}a3Yh`opF8`)xeDImLBz=z4uAN{FTZ(=(-)4yl3s_?Eu6XGRU^dw<}prg ztIP~)K^}GL@jFHl&KT_lGMzWDGDr@M;Yhf-7YxKcH_Go*qS(*xQ{s@sn+x>(NPT!2 x0q5`VSPet&MHl8{1BvY^;CZ@B6Fny;C*Q-7{y< zJ#(h3x_fGTN4?@zfaWW(FaM=c_WuE2!jS$KO7i_L^Z>i?h0P)qOLwOvj)dkQ9c9iM z|7KV-X`(aa$9hMB!zz)LPA=*)()N{X48rLPjf_q$hS;K-`@75i@$vDDuCDzuIFhIs z*3V_u={a-SFyxQz= z--SUY3^FeJv#kZ7lE(S}e8`J8cEtDJH@wjgxzi`zHFU)kuenfs2ItW}g#P}%L$c$E z53&D_bKD88X><_*T@MX*V9@9$CQv9LJ~vk;nF^Bdl;>lMOBIeo2!d0j1FA_snhctSS*QKMIO*+}$NyqUG@=fS zk0Jk(ztmKa-p6S)(48>8?Qk==@7;L;3(Q51?{y&h!RXtKC7U#{f2~A3KqS-w4Lt)m z;B$vM-cb$M574AH`{3y=+cpE(#RDG>-FTOS#`b?g9?a9tc&OI8xieo{=e@NRQu)1U zd*-}m#Cy68hI)T8xfAi?UH!(W5wT% zx=-{^nE{`2tEiAA%uaFD{CYvtfbp-%kTh_rm}PW|ng*W@z1EmrW=ep=&c0IJ*sXI( zi<}N;lc#JIg2Oxa&iw1OgM=1AGQxv7(aPtGt6Jgz-8=99442f>+tkLTV$1!D-Qbw=3{8OZ78aA0h0al$?T=kk&)f?h> zyyyKwHMi|BhnR#trM70v({PLIVjoAA91PV0XFfE|>-<*kGtIl!KjYe)ZyN!*rR~Hv zVW(ts8%EuRJ^seWi72n?Cj`y?Y@@9t{l`)EXU~Kzni>A#i}&Vih4wVR=J4z0@wVJ_ ztYR{KmODKLm}gHhAGNLirqvqfpq=j)g79)3Em5JJyjIgE90w6iGQu<%pd7o~RIXvx zlxZ2|=K*CN?#WudysPa7 z<0^a4k{k|EmvmRokp@JHT)m!xPGzsFHH=#8XbWK!gqEsrfoSBH=#J{t3CPwI z%Rq!1Mxx}LGkF%}0Cb@L^*iPv>r9QezXqT}a-oAmkNyj{%kDJ|T>xlmKW&KcqqaMd z_>gpV)zi79v_+rhcuoIMY03p@yXrlCRNgD?0s*ZQ5?!izcrX*uj1IcTPX!YS`W;PK zR2c~~_k#2$pe9~%ER$|C<9xs=W+Ha`0tg$GmN*tUa(O%0ho)EEcXxgN_RwOnjd>;-n}!Ew}%x^FX_4*f9`)g|Lvq=@DuCls_MJnE_i?odFnbg zSIRz;@J!Y{lHj?p&9!LU#5<&|*wz`PqnY8D!B2e{^e`Kzn=ekNyYk|l$PN#NGTy6F zCPe|I63+OO2>AJ7Bw9it07THhpye?TiflRasTb?R{1)X(Fg)KW6L=AWFZkoX^TSCep$v5r!ju8j~6%_E-vq+eXrpS#zh@ zw)Pi(d94712^l3CG=v9Ex-TJi?x-uh2Ae+M$$OBq{njrUL0;kkh={w?8(CXMS2r;? zHxI9@;TdbMVQWhm+hp6ZzYCEO7<$I^DEae`M%ay98{lWkqc4(Cp^ zK2c_RJG9qcT}{j52!;9jIN!UxUAxech?*aHYXLPfS>NKcGF^p5JQR^|Z!&$R{Cr#J zK1Udwh0Ku6Z%z|HbCl7YMOx=P?n#VleZZDvGn5zE>OaE7I*;R5wTV4?PK)V?+h)YU zjZde|-Y|Zkhz}A(>V0@xZIR5N&v#$aBid7RW__vJ@Mr0Eu}O`2Mx$;heB-H#EzC{k z*`jjf5@ktb-Xy|xt_tWr98Md*Bnd3pGfETD>ks^?uuh-`>;;iBmTkw%(x6|E9SP{v z&wP<2;A?fVQlAeq)%?!)(<%9{{+Qal_je7c?PMLooJ`{Z(<@6UM2*x;8GF;+8$K_h zgdp=w7fs9rcmJ&5__=5nKGQIH!)+@?B6u!C0!$D<*3&e{Lh?pBlrn&vKFYp#}`e0EgIZGOuANqM(W(#-%O!H zLV2=vaHAW?b&v~b3u>IEzlP>ad03#9>706}4~Je2LyffI`TCJb5CN`7)+0{`V<&$n z**ll+4<>0T#$CPv1%r5>j&?3a6Gu_E+s9_aCJ8#rYE@&Xzfpw?qiwTSTu}O6zT%za z8_5JwmYUD27=cardn#}=yRL`eg%C<7@tV*64Z0;*X9Ahw(+6P;G|p>&Q>BD!4W3Ta zreubBIqAcd_gaqEQUGiT*4YoqGW1$Z*MpIgw7zh&u^t5-*_`fGYcs5HH?LxKyS@%} z(>rq(*%Nm7czGp#f;;*wq(nq%`9$t^sHe)=XXCP^OT26Em#gD+uMwFi@2sLzh#)UI(c}`?5rh_sz_h$O!7YT9S+>*yEYyA-UC_+Gm5?*9%bG0B#fKK zhhOr)B^)ajFYKUZPXYdE=knJ)ipgig&TAYK@ac1khdjTNv3)sn(BYBg=0%c%6j@3z z#;}15uRRY43-V*4r{iLtVyYZr3=Jo)R4qN3!C{4(?%3!dble7iMSnc37;T<}{_G1*%VA*MmP+l7*LER5(OYEGh7>=%n+4c=4a7FgQ-o5;z;VaHyh~ zOMYiLxjXt^Y3a3KM#eGG(P%$gKv|EN5$6_?;}SCrVhq)oeOZAtoS&MMe{I&Fjaff; z)PX>nI>7s5gb5R+FoQN?427z4=~Ezx>8trw4AEh(jeXHI)o%b0fziP~D2??S ztcSP27|M04{84zzW5vHJ9EX2Z zM3X4nn!E(#C_Pot#sC8?eRpN_69aI&N1*Sg6-01H<2s9&kZjNdK`i-*0ejjtS*GGl%1$-MbWhcgaVB zW%p=9z>o`Tbe!gEl^pkIy)W9Wk4EXygDHRW0M)H=cV@w?IjHamb6)Bm+;l$q36p;m z(pgYT#mWb{qF_wDs$dG+d|uVk0kIyF1^#d@q+G9monX+DL)Pc_E*|*qg#fM39;u(J zWYNQ}YSAP9FRTB{W~vt6JX_$2)QH+&D}xe45vhUjkr>GRK<_HAs5%}RMwdu~9VRD+CzO@w;6T)BYz@mtEcBOp@cXbOH zHNqq^fF=KWNh@n-6joXlXS~{+?xuTG>!nb;94q<2qcp?D!~Sdwp2X)G^BKzLIdHhy z{KI@|JIj&i6jX;85|y7fWKAcz6VinzEJh6Q;=*^fyOVa2Z&-8gh{JU5?HXesp0kRa zcZIoj+wGBYl;lQVba;%5F`%g-Er!0khAT}Dpn?Rv5J%6QyZeVEnUG;6tZ zgG#%gH4UfT)yb4_b@;VFZW&3zmgZ2eTJPgmnesHG) z**T!uiDCBW@T((hQ?m6^vs3lj(NYCI4s!&(Wr`Ewtok(gS{JW>1H5d-ADb=%X9D}! zHuJc;)%7kN@oDfuwJsa5!>ZM*Lz65NBYq4%KK;7>(&2jBu0hH_)W-vthd!-cSx7D3 z&rFnGpN6ArrOa+B(it&x^G|65bPt07Ia%Erye4l~vIjUn-qIV-A56Cw+AM!PSsl9J zoW1uozfkHQVl#o$j`*wJn;Bp*x&9q?X2QnRY{0jdL6FnkSr~PCqUsZB*|GLqnLAba z!o$%sd=OP^K~$Bd_Bxfsm72bb*Te4wnRYGZ9rRq#ijmi*Mj2^{52v6IzDx4jYevPwi~dp$rco~9>sg&da$s6;fgL5I z?os*RAEI(t{9b)IHA7@+X?HaYz_Y>Dzr~}2ZgkPRmrc%GIsWePg|tK6*qphdpNZg5 zT-)yO;dGx;p z%h2?Hr;<$K4cI)i5_C$?$gdP8I6hNjcKLy?CQ*uvroKHSSKHqAU~m%xB|k*pJx5wg zU3jOBQuXs?FGEI@FYmQ)KvD_tB=+r(N!`-Zx0qc(Kz*C9RA~IRi6U=YO2br~AHupr z_ji%ejF_*uPe z zcw}hVcrmfKzcbi+h#hiulGbpb7L_$h3VI!+s7>?NPgip4&uIq$^nJ*Y`p>KisL9up znxCHb2T)S>s#5|A8CxO*-54ieD#$ql@5GLkXBghy`~rPTYMN9&v5|FI0%y&CQpmqL z##P4WN8Zp~)uWSS&;H36l1ma6qs6C5_JTn1lVA3q#vAY}r&Px38u}LG-2Sb0$yu)& z&SKvANl>(y>rNUKc_XnB4#N17!ZT3(_c)uEM3)G72hmvqvjT;L$DAl(@4o0JG5sD=vV#`~M` zQ5gz%$%RV;GcynQraB@0DnFi}EH2yBe|;}s3CrrGKHgahSl2I9-?-1p!NRKWHpq8| z62Jrm&Sy($Gs5;G$%OkCf0Jucpc%=Lj5uokhSK_l+zpqmEy+4EasSu=YyFoD3Idm* z?SK%s`9LH3!yAOo1P{vT^1dwiYAk(kmh}Pf?Nq>j+9+N?nv8axK?1^g{UO+et z0?P6jz`K^!W&l!mfq!ysFmW zakeiLMeg)KtMq~ycS^JI3+LkJS2Bf>=Rr%jzfqfE`ws7S@I;obcEd{)KOOX!E zof=Zz+rqbYgM9#N11_{Jkm>Wt1mi~hE;hTo?-R|tZo-F! zz4g?f^AL2sAN|?W*+BsP+NZ=hs{KbF;{*2wG(Ifzh&}y8l+{s}$f;O9$POjqv&a^&^_orMjS zPlJ;>06LcOX6SWOvL#&$6oAQp8bTTgn`pM_k}ST*X)jPa9{(C;7`SaTS^S-%u@yf^ zYOD5Z1FW&1RwLHHI> z-v$`%6ns&4bNJ@FqoV=tv-mWloQGM@D&VIT)gIEBt4`7>jq`*ck;73@W`oxD#ns6H z=oN|zfty88wGb|{uBt3FJvDh9j;Wr|;*kHL5FO{vU`>>3VQG0~m5z<4v104~G2yKk z?jT^No4FGBS=8ie<7M{R{C%B*QeIqzxBk_}4nC3vuB8eBX1$#N##WzLk80{402E$- z$oDhe{Wq7a$2c8LtTI6_tktHntI3Ab zMm96I*mqA32arA%$hyTjLvNahmDS5gMM4UU7hnmm`;LRx z%=M(N(5wiG^w8pGlR8dBU1h1%{-rUPnv2!+Tya&t18HuUN)<=1dnmQ8>W1>6{2crjdX8gdyRuvPS@aOC|LYR= z>JB!}loIGwsnBz-si$+&LS8keR*8?cd;HJQljcE(n5S5`>L9Req4$Gv#{Qr2QhZ|J znQ8H6ftkmUhxtm})19F|i#%BTjXWq}WK}x3bp1Oh)u%(%(|d+tjx9{#vf-nA`!Un6 zOA%Di?Fs!z5o|_K(CMSC;n~mtM<5NgrrT-Or$HaM6Yg)D&*I1qYB+bd{D5Y$7C(I( zO}zwNJKscgVOdhYTST1DLgwzXQ_1L=Fm~xElL~kpF*Hm|5$b;(8((~9)Hn0<6gyXY zrMPb2coA!NF<%R8TI2AV2D~w!MH8kXpN>fF8CZX1+2rVker4@ zYPa+-tDQbgZILme88eNo{*+U@b#K;3m4-Gq-VED!iyH4A54>64xmrIM#MnE0w_XiY z!;GEoqd}m5mPfs#WJ+c;G0*3H%_NN<5BQnh*Xw0S;^$~$hljv1#;usAz@Z`mNCHaf z(L#rtuLbdn`TP|fL)w!xCtCB9_RksU4s@<)(okS-CFUdM`Lb48ZeHlA$I9(@k~V1nKkqKnL0ki66<4%@lLPn_dZhZfvQJseD^q5A#EP9&cg!ka(qd z)+93Fe^pvc)dE#gUcbLEPBba}%GLiI>~>DPrV%*Jy&hYP!%ZNv z|7G^Va97|b#|R_*F`B2FTJI`tQbWv}#7mlUVEU*=c^H9J$?7AvZ>Sn}@#ddFdH)Hd zbF*x3`>o#B{`f>Fh{I%Q(&<&>m%us* zZ$Gyhm~wmD*nT#*-VT`-(Eijrxqn>F)z~jMclP^yNX?zOJ_9~L=9K~hv0AF1mChqG zqCFQ4fRxn%NI>J=JTv!XIn7t+g%WhP?mly?aNMjw`gs}qFt$8X3?vJ5+~*egf-ZYz z?~d05bRN>rLFTl8{`oZx(EQxc6c;5h$GG-63TS&s{7pX>^leFn<0g@im>Y6n5JIkG z2%$6LlaMfh*&A05M?M$hCM`VuK581ShE)rQoCm{CFb4`5k1Y;W|AgwB$*DxHfSIW? zl137;K_=-Y%7gQbL`P38h~~{y-pHspn<+^`D?W3>F)|}p$`6&dsj$M+&@U%MTTIc5pH&J(r>HI;{{V5lRk?7Ambw4mYobw(?93`bg%r93IOf>zNXQ%9CWIRfK1p+E(M za0f@Xo;&dyvINugJJYF-ArA_Rg45aMHwc-88r)w_MVBxO={PEy$to_nqnQp0fiyD3JqmNs-m%6>;$C? zZwk_d6&%d_nP8**H)slYW}(iv0yIF?)_8F2ANyPj_ZS)r8naq0!YaBpW+sq>{ob^LmOMtp$n2lwz za1V5A6zTwPM8aXm4nW|ynEey*Pz!a*JG*5+n}f9W3cm&?=v^!HiZ{DuJgWz>)`76b zX|WEUeT#J0e-6Llw9{=pn*#VhY(pV@mT+`#<%Q(=4&`OTtsy{NYUcTF=JBC5;vuZD z%-XSA5ajs|4S|{Su$-T6V2w|Hlqz#YDpPbVV znMcoVv7(PdXfaC&bx9+#WxDfj9>fm2#sj}5KD%W%%K&jngs>JR|;eJ3IH2yy7Cp9DgK#B9|p7T#U=&V=K)@cjgFkvxu_cYd zrDNc>Bmgs$<##jsvY5pOb7H(DmCumC)Gy1jc6B;4?7odMM4-=-kHzn-MrOG9iE*Fm zXR{Hq2~Db@W>sCMzfW3e2}Z{ZIetl9_%D`l*_r7dA|)?zOTm6Q>&HifhAcx@WR-Vk zdAMg+Wc=?hJ8?gSLg_^e6+Z9-XJ}~#Zx|KYny?*5!q~f7upOuD&lqXNdF=)sdsL&J zcH-2z)Uigfk(Cf4G|CM9a>H)nI~pwB7-GN|9d_KT=pd3b zGwC$l(j(52IZl1Uh~ z8c9V&GCgZ2d zGaO-zUC+4YD6bQG2#%lQIkT#A6lR5C9ky7AdtOtk1FgC%Xddyi*0ILvb&5?glOS$t zDhhmS)Fhrkm|}5R7GP39uzgc1@w+YiSw866RU%5=>ZtnnS zPhN)D$!XLHnZT$8AEP>#V_Ev-V=|H%UpH>#SZKFIeW_z&u~}5-jZMvyDTT zR$kl^{ED|Uz$aaPNaRgz$EPRqpJZTz5t!%C*->f zqca3D)(R1*wUU#s&0@9xb2uh<>quVd=^lUMm72Wr)a&_q^k?3ecqI80K*1Ou9^I_y zFCrJ4r79|-m6dMq|G$BMgs;5b~fzDTE9vPRwbntQ)F?3mEj^J&xm2A5Xed9^ifeSzmv@A zqNWsQ!DIlg#jt!CQ;;%oN8rBO}d zO4DH_qQ)308+H9#3r6BR=6|leK@PHCc5Dl}c~^u<1FdM2XZ~83v<+ibp;P|@rrIm%<@)j4H50AUnm*7ee% ztDJq~hpK*Cb1nPgqIH-0UgdBfGweV{eqXXk)5phFK(gG=c65sIXHWLlr)$Ab2`g~E zru-cIaN=E_alO{#FUJl7HN(!dPZ?`B4%h8o3l6?zEh&VMZ1gDr_!QQ&)h3ypgFE%y zlXz>-iPt|!?is;dLsvLjp;pZlL6aODP$6m5BjW51nMjwpF$`Y0c1#S?QmQEqedV91 zahB+04XaKY95e$eK)3gCL$?bf*WF!+;cL;8bog~24|3+_&<;2V@!w$)enMYi=D&1E zai&JDkwW2bU}V~C%J;I8T|i9*A7D(oJ!&OFQ#j{*LIu8*yY>y96#Jud^iTLxacp)8 zV`?)gy{yj**Sf%Mo`!bfBR^){Vb}@Pf{RY_s^Trays%r?CPj94!#`R1-(vlwylo*{ z-i>l^C3yr>-ni-56sGFSA4mI=zrevU(Nq$wh@oE8K1ZjPr-3u!f}AkaiGd^@Pp&Ph2sF8lti%)h^B z#1HZf?#mPH?6aW>kIpqpX}qrB&3w~WlENbL3#d>w%Fw>hLU#UEv7FYS-u#oi1+6`> z9N-t?zxcj%Xecc?LNd69S_i@dOwi9t_#2!MpeLe1x<8O z`3b+MkN6ey!G@QDC+L2ZBmAf)z}8c_z5;aTw6863uXHt;9N62E`83arI4&19gr{9Z zKE@F|%T>RxqJYB{^<$tWTpY-llHIn*531RT@n47f%ptT*BRv1YbLzqanF>aFk7#TN zcb|oB?1cJEAv|*v53PNKX^+bJ->_5b6|%ky7Tdc`yrkfPL_{n}Wf8AEwwe+Q+Kfb zLZt;HPcG(~=B**TQwW|=#ETv#0~uR(uSqvHrQ9;)1xxK3m-v(gI51(l+*!g~|AcA= zYuPLi`6l3>^`+zHlt0JV1!?K^8~`V4>Q-70IzTqS+1mZHlZ_ev5c~>3KX2glTll0 z?M3vqFXP#Zq$6H;E+Di`AUtQ|IaT7#2bKsBCJ+8>tkA>jTQoIrZG7-|t?BMA(YlT| z3siMMQ;y9bgg)IPL;*KjIHk6uXO8uV4PsCrMhic}DE2%lf<&cIW)MwUE-jy6+^Tnd zJt3cv3#72dUF_ULbzlnO1Wa`~nIqkY7-IFX7C`$a-@~~~y5N!d5B3oKlYN6G>QGEu z`B?&wBM0iR$(-2cf75Sy;ML%1I)Z}29qWMBKa6gee`_xX<_|;dUNMfh{iiL%e;OhC zj7B{DM!Q9!+$$91v~laga|-%z<0EO`$Xep{TSB*qQbpf}?GnTe3vNaDUI+xXhSyID+dT!TW&io?YO6(#!+Uxxe*swTJaX>g!h zzd(-*JLM!&#tEo3w_GRKk4T{a-tH$UMp~CGEqwC2XU{NGG_=JuVshJ=jAE5{R>b(OSI*ydHPG;dp*G@$ zI80*jK)f6#FvzI_2;hnP3K@su8<5q`B7b-$xMm_&c0>W*?ds8I)cKY_+?u{%#1|VY z1S<{Ac&}z>BJUYO%1VAuK@3X7SN0q6N*o7bcB9zDo!TShW$d%I+lQ=I5t%oGa$b8S zypC93M(`-;zOB(Z=9m7q+!3Yh1wT=pu#CX+Hedkt?r8JGPP^2hIYx+*Gp1QbMxLlg zSXi?;t9k*MXmy*K5kw01pIpr ziL?nwnvm;D z)_PX>dUp6W7m7P4iaR%oI~PiiJL#1h>Bo-f#=hv=Ttq7tjKulYF*=Bna?g))53Ge0 zi93Y%K=f@W!eb@E0|F*&YI-P84{%)8iD4L$-461gHgi%z6^uhZoFb^OzS7)wOQxqyr3|yf%S83rK!Yk#IMz7H` z#~H#hxXaQo8|sphYk#A#06@%E`Am=JM=+nEGz^{`5@PErA)<$rsdWF8nYC*Any1lg ziedV%@uc9)>DjU?r}Nbd7Y<){yX4(KIWK%p#Ye%x2AGBGdYFX|KbVxNYUB*ULrQYp zbY8J&BM`AANs0Fbwb*=d+U1o-WFgC=2o2Iz4|# zmIIddF!@Cmiw=ni?_T<3F1WC%t)aN7t-9D&Q^Hz9;`moev92z`R5pRGt?lLI*rVz* z2@f0C&crs~y=&Lz{TxQq$5uCjxd>u!UPc|;&3&5R@CBZpbZgsbQP_DtY)<%i+mQL;*(SujIvo59nvq;>^aw z(ajzdYhfyv+9)IUOg=2Jj3m=OFC=y(q950dZ;WK}If?P59yh|-dD*bIrc5zY&&-S? zv|=Nz_-_!;ybIeNN3LB7toK&I3dxTWV@No{NN19s7g)TsUWMk<-Y#5evTWp!+M!wX zF9tb_ia;A3v|sNvLU1;xDvuw=OS~W6^k0<8CDGTt9wL~R8OP}X0QIk`bd-rl++W#*yNg#=91Q3ish)Pt>Vv zmhS0AjeCuSv%TJ~c1TZ``3d}c9rpR@0am18ZG*0c-VLs*^(_fRb={sv8e#-TQ;hV@ z9WcM1mS6ScWQ|H9ik1!ulnNlOBd0CIC@6-_z{aRn3WWVeKIk7ugzFby z)(1_)d>clM%Xd7vu{w>phDy=q?soNj)pqQAwRSTc=%1#%igG_x*0w#pKV%>;T0M{8@8Q5K%vXnIFYP5N-W#}?kc zrn_#Aj@;|UoYUysqn0gmyxcY3SCPL7w5kd%lYLF{zrTMo#$)8<5#nU0PP!4|%LJ6$ z5Z+ zKWJ2*LCt%wdnSOYI$kP;9>#zXi8rOLb7PhEj~!}8v?=J#|nOM}s1_jGTyT#(x*m_QK#A8shd zlp#4=oJsK(M9adf{MC77CLL%mO-igZ|9D>;!xfa&=%~iVFk~_=qA`XwueP>*gK?N2 zDmlc4>~*9A|3c||KWONo5E9VL9GrkI57rp%@v)@l0+G!u$ejQoLqbP_E01pxrooR+ zr{WqMR=%jQ!CZwcXnMRBNBUE|BeM>lakNtv6*g(90p|627pKE62m?698|Ru-=->Sj z$%k2)J(0Q&0jDhHe(T^0= z7U*-ogy>AmAeB(?ONdi8&Ws)Fs0C5?YUq2p*#`PVnMKME0f~#^VPr{7e>1B1Cj! z2I6u6vV#P~2^hejTwDa{iAj2dvL~(JdTn7qvM_XnsLG(gDgA4{sPIu{@q0z`?$Ox6 zshu;=3kksQqNSj4Zulr60dEeQmYj3VAFM$x;jtWE!AV+LpK4fREU=izO@*cwxuafC zwg@MG`GIyhGrq6NVH7-QxwsV-$M1~MW8yk3k#sH82?HQSs9viKzC7{Yf31=9hK>yV zDHh*tdl=_JD*lq2(Lvi=1fH*+!dz_T2M@TeHJTCdHk$#6$|InedeF;s=2xR>5nAj8NTt~dwiAigul?I9yrnh^}S}$K)npxM6P9W)M z0rQ=bK8_M-6IlX75j}BPYr2kjK<#XX@0?JpH?gm6=podKdNuWK+ro(yT3z+bb7L1a zW>agDjXO6>8<%8UC&7%fO!44>Z*L9t%>{2yiX{{dDZxikO( delta 15893 zcmY*=byOcQvo^)u-QC^YDHL~#{*dDC&QjdnwYa-G6e%vn-J!U>8RqY$62GC%FgZ(G4@cx&8g`)f~trq@Y>ha~&7a@yU%GLfNAPglg6&{wC z^q1uKgUmmZG2u&_lRg~+?6Iz9Zd#H=hfSi4mX^D#2GGzT(6M@#`QCm!_mRc#daUmH z8KyS6ydTc{&`1U0{y6yls&Ygm?+|13RvxC~jp@CDeB6w<*^R%f8-5d<+TX1QMe<*QOuzR7N;+v!@Xr;Xd#>FpBWvFH{Y zvCPVPo?s(4{R5lZOo+Y^cQkD@P+%?3*@8^OrHsRq+|*x#hV( z$s3zq?YGOIr-=n`;pa1@55c4{vh+FWW^ zLO4|5Yr>lS;Zb7wW)HDP+``ae(<8pRSR(UXy!Py3mTV0P*B(V3Zz6Ixgg3;y&H)gc zk#}Y@L5B(az7&?fqxHv7;*NPI?2A5QfQ%1q@4*!M!845YDWa@_EUi!@n($KG?!qBlcEI2ooLB?-%jJkO0*^4B5ZFke`VBo z$Jk(7GqfxVPPIG4S$8vww*|&-&T`*a9|q2GOT8ah@-SDCxCvveo`E%Wn6Cnt$(8-p zwt}{5cMm_RhGD$2*1GFMPwJFQQ8zTb8aPerS3=H4EdO)*t4RdI(_-);779C_lQ! zugusFV_2sKsnhShZTw|%dNl_avr`LkoDc(B^IPh$9h%aS zVTE67c7^E%m8$GMT=GE?1kdpzfAz9cHDA9G1V>Kz6@RFomAqWZsoB=WD(;07a{2OIhhF1(3Qgr)qBHvex?#3D$dx7 zYsf8M$~z_zzT>Fb+>E$TnI~jRAwR#EOd0v-<96`u+b^iwXH*(l@RqV&3R zY{9YYs37Rwok~@5wChyjDSt8%KR>KQEodYpEf`?H@({>FwVe8JOLT-L0uE|S%seMX z=W<)HN22y3L3P_gR@TuG7RHINJjs*Tbk|Z3CD8b7WIZ+2H_C0#HVI9dj>1d<&xo)#U2u0UFwZ1xc)3G5bAn6+Yn9ExpMz$?&ND` z=E;2j6(3VuKeO48+4J;LIztS&D+EeOcbj90zX6m_S2u3vPdElp3>zzZ-C7nC~VFhkSXXHMzZk&KI zFUfJOzf{KE3BqVM$u1FTHHBIOK2+=E2Mk9zY#@x7IyVK7f;%FoC4EpCCORZa$sf0v zV=)J2! zLKwN~gm;EES+5#kkN&75VXWWT*{1ck5Yx!^v77Tz6(eD{)&+&rrA;dH82L4FTp}ua zhDveE=``K=ytgF>^WuLK-FsPjE}Nl!uQ3B@Q|*aXJ$nwVnY$;gnau=+%tJ`8#963% z9zMb@y4FgD&v9gveU1Z}4K%_=`^lhxGLEzd0K*YBTkfClg`20-aOU1R9R}4XXf~EY zL0$olilb)U#}q|rybZZ$bblz|kBR8r8_196aP?+%WQA1b97g!1rmSh18hn^ELQ-jsGCNv5!9dZu)2ilxqZ-tJNMJXqoJ=mmu{q{2qDansAsH9^J@u zEs!eyL@-a!QwauX{Qi>@h8Kdnjg9T0;b8}pCW#GW0#fYu*%xH>qV}tR`w4alGfwp< zWE-llBr!Bl5R#EDa{CHNQAdC@`%rEHv1|@3{>T%|`^OP8T||lUV6xt1o8|&W-Bo{8 z4XFuT@DL;EfMa%-Ipv^YxY(s9VfgEAF80jIrAtx-OV!$J?CYW2L}OF=TK z!1}-~zF+ZKz}Ay?UhqGrPK05!SF|5YOuP|T#d(@Vw(f*7VwVhrPwl|-G#PgxCZ0H! zikop={}Fa%jEA@m|kEZ~>I!A<9xNd7V#`?wC6;l|1AM6E`T%Kep?|<~GUM2rok0Si@Nm zz9#MQsH+q~iHuVAF3KBmb693eT?6V2#2F@#Cs8UB$&!x9PjXFI_m*K}V$cYGxRGIF zi%tl+7+@I6Mh*7||I$=srD3RtD8KGV_i3BL^_acfnpSZW>jP!2Vf*_L-`~rxrCd@N z@*Bd*`A4y4W+c(h_9HCp^IP9#w))yqv++fC;@;~XlUy`n*wIdo~viihPW2b*a zUT+OZ#)GZ|OYr?o{P!5n_9lxY8r4hi*3|_Lx+1;r6I{G#$~k@71}N+0pd5VeI>#DGceG$+ADtN;=mDRMar*n_Q|%o77hPpzAwd z#)kH`Z(v=L215w+Rj+4VGDm%e3}a$+!(J&y9*$lAxk23<{9blKF}SMBDD4wY{PoIM zDXQikTu;{fJm9MReU=woPqTAf08rQ!?c5!;Um+%R15Kh`;1)O!tO|)pcbeQMQ*3M~ zISmL@C-Uu_bH3+%fWhLD&QTW1bRmGx;!#L$H!E-r?N*HVbul!eZs=uaZiOdej5T8{hvdrX=Gh` zNe}MZaCU*-z;@u;RrOXH4qg?~4IMKNUD7kl)!E;DTj7nrSR8=cs0qgC?D`3mdAc^@ z?v!{3-OUR8UU5ATNm9NMH<-~%PR{{9EvZX7EvbiGbzNB14>r$%N73ik6%xooFo;_-McW7>pl<|Gd`5=P$U)!OMFb!xG9&APuLdVZBGW+*FQ%R* zwjvV)ChRoiOT%17H+Ie8_>|#9L)D@n8(*u@=SQj3F;j4PZLP`EG~C>-${?4TF2UQ< zq2}z}%ubi^nc(^|Aqbk)-OOr2U|o+)504dl<3Mc$H`-msr38m6bO%QSZ<(lQI=g6k zOfIj(XS#D1BZZD-Ip1l1XB_ExL@cE=Ow_~w{M4S{Bn4o!|w$? zF>@*2@?`gWvu&}y)?>xS>R}T_!kglp^Vy_=-zu1?lKx4LkCz*$ zE53KCS}~~BSnbkDrl6p%qnc2igjg8^JVirOVH{F^ew$x8OSQGzLgIKhW#je^O&uHd z^lvRsW%`xHCD54_#UVakl3#LIq4_lTy}2s&ae#l{r?nsp#Xad}8<~aVKoTrB?}}@L z(cs(7;Eo*E8&$2fPi=VFm}>!YjopA>-^B^0a4a-+j;~yZpFQ-XyOEu}Ai~xjP(cV` zX1I?#UA}=>30@qt;eia@Utq1ZV_UX;H0Fb^jZ8wt`w!d47e#>I7vAvj04CF?4)ZJ! ztHG)#o&Au#!G~^1x*F_8!^)m@lj`BiEP4agf{oeL@5Y1*;h$1?{dYgPe@Jfs$ZAEx zB^%IViref#sc851 zBKW1bz!&{Sk}b+)n-7jn@E&XlQ7Yt*gb3Fby=y5;O)`5>r+gBTiQ@?T#SC$+L;2cw zf$4=AejRJuvpz&Fxcb*P%$9G`2J3_(j(3dvHcZ_qP?D88OeZY(SmMLrt znenye(J?=GOW2FuKFZ4}U6$d0E3hJ1^5EwMY+!r7Z} zh~=6VQF_N{q&~ZSw4wD(5Ad0PzkO#(nmChV4|=5z+~L!k6=YP!8P*2jArvxZysY1BDBv!)0yf(df5V#hmyh{qZ_6!k_q zI&bJKDQg_|aqJIl-JRg}h0SDqgsS9cawLjel{;)vTKjC(1=l`bV{4uc*>dK2!UOjWA{iEMqe4yq#K0v8g~Lz9 zZ0jMl&*vkdXHO}kVj1s$JIqv(1@R-B$GJPd1zb0P472CjJf4z%Q@i&4rX-$#vP*^Q zgWS>!#r0A17mU1^2Y`(7b3mwE>-6Q>2SrQEH1Cp%e#pr$Z&gP(0d_#BT0DgQcSGx# zYLJV#t~EA~3OrVoL#g^Pn&hLlJ_Nr#VuzekA+whp7WAPDR`tB|Mm~bYjPs+YWD(zu zEc!E$4Zm5y${SiH-Zbw|+DOCvSIYV~V(6PW6T=+#Xu#!uJK&FURJ(g88F8rXr3hbK zl_?$R0FPIkAxw4VF|vgQb6**cw5kQZ?2 zqu)!Uqq*X)8k59sT#n^e?yjSdHq)SbAS9ibZ5O;5XeTR^wv+C@^RWCAm6morz40!A z^tChy_IvrXI`C5HbQ+#yVON3r6G7Ctq_2L_2?3 zf~Ps@8+Vo^(P|wO?(0Rr`g=*7AF4}=C;6nU&O1-skU`Ye3onNcj^jc?0fM{48s*gZ zZuS&3;RV>brtpy#m~;0)TxetcA&-pTf=CTx%n#12Q9z9sKaDe4^U2ekBx652T4fUW?Vef>5zqj3XBR?k|VtV+{%mazD5AMaE1;9+4*> zKgPXR9f7$xVK^AivFD24Jw%o^Iinb zcR?Hqce>N)Gjj)ZmTbQz?lfhO$Y~qmJV^4#cy`(w{W946Lya*Gcp||$7J@%b%BiH0 zCIdQ$FbDO*_j=^l5YCO!($HaT&pMX;nQhNn;`MEMJ=>$-Fz0@=oslirJM6YZ*5D@o zQsSo)Rz}n#?WIRi%tOgSujihcNR_NX7?OEkqZn8Vnj0M;d(CE_8P`7Kk$jdKSRjoITT)_punZ9+R z$cg648`pN0#>CqGyrLt zXq{eSBx94O83or$*Mr{cwQ?R*eD%upQB3o~$jak&*+N-!Gyx4YO^MDbw_6L-MTQRB zga$O4i>_nr_U9I4{Ow41MT<=WKc(amqimTk`{MUKoh>I?hsP1nm}qAZv#^migQ! z!F(IfSnNF_+ZePQ_Q+9&+y^0*9>AQrX>psT|?2zj`=5(tz@9`A|)(+q^%!uy>>U2iZ_38zjkfoRlI8DCHCwOjA=z&>)WdR zkk<8Xn3OVYzt-np9?eF{t$I74m_0DJ%xxvxRXTd5VHcLj&UagmACbGchSNp8mWF0) zrZnkygZa4ofk0IgRdnS(qHXSc7KuT&7dFI!%G4y~q5q4Z(ZjfEPJ?6gDbJ)v~GFDiF zikT*jl?o*f{>$SWw?5AG@{p94LHya8=gy>Xp*Xw8hR9`zfNp61iE)y<8#AczkO;~| z!|$d2Bz51UB7SPvpJcr1P`nW+9BTl|#A)wPuLera$L0sk z2(I5cO#RO5<>JTlJIQr%uCVssGE4iVycGu!aEkTw1--2KcTI%KYF*HDE*rm^b zxFQwr%6Fkp51|$@2^Vq`2U3gg*X#v+-#xp{?kXSYbu&$5;xDlGv7S`XKn(%R>PK84=yXixNLo&ElkJi5L0bEo-1}%h0UdZ!c_40>{6@TqIWju;#sV_) z^OQQ#fT6x9UVD~mzx}Zi(8SN`#q8&e{UjMb5%GvkZcpYym!Q3${IDKu@emw`*Tl4G z+!P(ZhH5c3)-opk?kFU^kA{3ROFwtH9ciuYb@QUwPq@Lcm6fDnt=+@ zXGLuDZ-DZ&DcOnz*$?dH_EIIBeWswCn?$> zSK4~*8IGpU&I2kT zcSQfN>GxS#T^Q1Me?5~B3HRC0#QP}lO?<6HVb6V|J(g=4$4#7czdAf55j@NFZJ|2L z1sI&pcFRG=n?5h&JewMRe?>mrheS$M=6Gm0d{aGDdWy0BvnDJSi)^yd(<@+6sA9ut zJeP$Qzj^LNpCE!}JaFg91Vnxve0%#~RFgh8r>D| z8r>Pd{!3_&uQ2Iz(sB>_`^egR^4?U->%pV``|fD&#iM8GO~EYC34~pvx<=yUl z>%Zr$%^r;RgKPb_DbTym-64*8F4)y zA@H8QcK>bfqpraB`p)?Xv_ED4Mq`=l^Fng^gm6Z}{KDw+bdwDHx^qt!*?fIk`7@aN z59bWn6XEV;dB%48C44>xl^s`5@Xwexl{8EvRUEr6r+|VT($SPoGVG3=D1OF;zzNTI z{wYr+BrVPejYa+>OkRI2!W0#F5ZyL((=Y!a|!i@1^Ikbw?cike}hjT%rcSNUgf!wZ#` zGnw$4eo}EH6q=SI-2wF}!u)#C6542@Nzk*Nsl3DDzUus^QK-|I9x@7G%6Z;k@sr@C zlYRG1;wZ3`N7W)MN1BD3U#C_Nd$K71kwohTYV^YxWah^1m{&5#4p=W{2?K)@7i3Y9 z^u(s=h#JSzezPx6le&|IhY#j_!k}R1erpaN=5z~@dh5vhPWv$iCn1l@((?~eV-?r2 z*l_y$BnMo&aV9CP_rM@PkH^TEL=~Y17xZFPE;|C7jY4K3{tn1o+kcsRt9}$G@WBM?FY3o zi?kx~%h$|ZCv{#f@X{H&>dOju-~-VX5sw7`zLF&N0{W$Z-}nFoxq5y*|a@`AKNX|alwy@{ONW4Ptz|B@osf!b(K(1;+~vHWXZ z?B%mcI13QB1q%Ru#vOQ{`OA0kmusL~hu8`D*$H$NMx#A$quX@1<+Rl=A>-*B@Rb7S zvyopycGEeKD|UfykQR?6R%L!|kd0I!*;kh$g1>*AL7(XaKG0uoSWFj-dDn<_AU1wj zPMe8($BK1;H@f3BPW)OooNo5NM-FU8Y82tLaQ@Y`Q2GbZRf%N|bQ7@1hCVy_rEfW1 z4Pjd%=1pLM0euGXi;2eq1aU?m=*DE>42|?5&Aw(dT@8b@YayrzqJo)E+v*<3q9tRK zJIH5bUaeVVq&=VH3p(ldxUBuwEAlMIhxw5S%7E%9{u_3f79>yC!)YqmKN7%T+`g2X z4*6=`*hmccJcwwtB~!~m{{#wB%${%(*%T@r(aE|$!3)wH-HSPS!JZE z@8i?~!74-nvtB=5=k!yf_W?T^>vGwlJmjN)~nk7o)il8Q;mUp)7rafV@NujWaJY+~T_ z0WLr&#E;l<@{=!r3&M?4zY;g~5+}9I8^jp{HVdX=&vM0e92GnT#l#AYa)abi-;E1( zQB(PoGRSsY&qv#GmCb&1o@}}LZHtvEpSnyXH$}pwuMi&unZ0D$?R^Xcr3xo<*T;B7 zG&s~>l%Z8fra>@f-l2@fx4J5%TW`{sRJsSu zOiPKmNbuxF7$r){1utgZRFwLHx2WZoaWcwB27GQETF&|cTcw=uS?zG{A&Dt((|5m{ zF{+=TH*{*iN5GY&LGB~7iI<^a4D=Y!+<6ddMI{kpZS$F_c)=Po=SviX=m^F;UN)}v z6_j=8Bj>ae%4TSuk%#zuyz(ZkG?xQ%?Y8TSZ{<9NOl}^Y({(F0q;oqkyW@s+9@pKi za!#AurRfv|XQItnI_h;Nbu8zPYh8bBQCf=6RqtbC%kD@!6xK7^(d;7IOk4kx)~K9s zY&EB?BCKar8wC!dmcvd_9jMmKJc=_Jd>wkXZ1bIIw!^~`=M{rP;ZI`Z{=^i2Gw;Av z;#6Ka6>kVQ8%>l4+M*QTw5av&(U*M;wOoeO#^2~mNB)47ifi?$=wa!r;pWR1TOFcg zBzlrkpI_HMM`8ZM7?SylDqL$1`RpJR75pvZ*ZOo`a4=+Yct!*2IC&GSv(+$gVy5)D zJ1D19speLOV%F7fd{u!(dlSR57$@$y;tItaY+T5gNOhNq=ke2`sv2Y2k~sq?@rb`E zd5z|@M0m31A@zb1M-g;B4z=~juIk$faTfjWvuY=}%Fj+$U57i+xjJzJryHqAVbTyf z?Ie4x>|Oc4m*m^EG}4j^Vex~LT!O!S(@Oil1fU;hn(WebJD)BmOhk`1R?SzHmvxEw z7Ou)hH$zWNWi?nR$+F^jmLco|Mp{p>YLtc)7(H3HELuvXAk`3`P|B3f9#n{p9zQ3B zo`5eSp5H@BxgsZ?-$7X^!-vLsqKM(em5GpIFabl^H{Gap0~XH8c{aZSB1cs4j$Q!e z0=B+lvXw&zXoZrQX-VIisj2V>3kSUZ+jtQZ@9ONzozwiQOXzaWbC_(y;>3N_7SFkB zLzjd{9-kBPf=@GBYrX~=0qv3ozB! zLhh3Hq#rZJQCo&YS-hf9$0;*NCI?cAH7)ICHw69W$H$i@qci_dbK9nO<_}E~*1ZyC zwroi0iM676o?=_SK>y)SWguk`HC30%+nLCdJQ9<3ZLG)=S5L(mtr&0)NIaglBA|8&-V_F7|AXS&BT%l8ODK<*W?%U$!S&uq@9t<2`($^K# z#*D%VSe4&Pt++oP?awcr8JsO`92ID#rb@VbCNF6$t&JM!`*~sUyD*Mb1Z#R6HT{;W zabxkG@6_qgw9uFj?S!|var)=RqMiu~4<4<6w))I**D$N!Bxv0H?LL@aS~sX~@2Xrm zD|&-xQEy4@YVYEgZpo$U1avya#?Z&QD%;ooLynjBO>6cIvYTg7_BH-Yil5DcDf6P! z$K`4o*sg2R%3*z{mHi2SQZf{#$Wn83Yt`>oQTJHKs3;{3YPPCsXN|C*FNK_>`OP0ik#L*VAx-gCG2lWH0rO}$B)`EX`%V=R=`4(Nc%PwsPBNR&DWo~!a z!Dw_Om#y~2yj7kaN$AO7_7Ublv!U{aq*VDkBvsT95GAOxm)P2|*+WADV)>DD`%HFZ z=3-i*?NiPYlWu^-q@% z2YAl{-ClsLcJI{?kudRVO%+vk}B=2~l2Lk!iRD zkotDR7j0zrE)pA%GLA(e=h#ji1lQ58PQME$KX^#u!2$sL0}9SDKLl8}6pzW0)(<%Y zmv=mW3^77NeB#oFmRm%m%CnQ2*!yJ|JRcIimWkvaSyxY{IFO(Ww=}%X66|u_!|&r0 z&cX`uRCdEh=zm2T#Z2U-roaZc{6uhYC$lL8ikF%sBXx5*s0y1IbADQbOWrc_?0Ncc zvDA+8YK;Q4X=pVL5|>0~(hS|hOpqiV$cp~eCtvZI?&4FnhmKv}?zU5ePnxbejV3xdKv>ChMEUR3UtTKt#!eeKI0@X@lnjC#b~{p1W<-62ZcQ4kI@Olm>P zdow`*%dx_6cBzA>EoJ>CfThz-) zhfY4?-ho+uLai-yy+z zzy^F+nrA6@>B9pkze$DuxtV?I*$fToLjhL+O! zO}R?wti^Gx$2F|Q9k!8~caR;nk{z~_O}R@LY=vaOz`nz$;A^!7SGq|oKsYgv_WAtH zT5q)ZN1#7jpQqB(YRZ%n!~7jbgp}QT9UHa}^s`SUoKnEp@Ta;*pd_Whlj^d} zYYc{Cwr>1X8yUz!!p=#;&P~G3QKB1G#_{4YL3cQHJx+H$ZgnjVfGqsJTGJZ5h74<; zxWmOii=zH)ZKu8IBJoaydcK&m%E{&SGzmwwCJ5lL#x(8qg9FWp4P9??Pc4M@yN(jys7MYf8ir?+n)6m{PPdb4v`aD z`sB@|8D@m6m%VeU3h0Z*4!aL}xxtnxjerYa0aHDVH~JNklUbo=qac&`%+U| zUzZ6PgdB(FEl-Qh-u9mc!Bq7|&|iuGjniS;8Ds2%xrx zp6lE}cVLa={WMI3`9e}Bn!jFTT0S|t5EvtCYeD>`F2TBnJcTtoWKo8ui$qtL)Z>GYQ|Q8_=QW|z>6DtoeFT*gEII#QaRIjXa$owsr*{Rav&T4miAf6H6O>nD z&q0KJv6>izd@ z-tPb6jaN<|dbPU#1Wz{(3fy^<|h2qOtpn!LuKpc|V~4dCKKq z>tsH_NHb@}CHZ|-X z7{9j-&T=Mq8^1Xzf_=%j zoL3wm$O_vWkq!#yUflb9Jq}$MSD}gnt2byFADs}ei@!b;QO1#;s4Thsa8Nz9Boxx! z4-safu8AX2k*=lfhC{RWeFm8J?3qx3s!@^#QBK>BN${DTTM0Ned;dnOO$Zr9HLK`LlStGF`3LZw@_Putj!ona z^@hXH>2^NJb68@uK#}ehUu%Q35X%LVS!}SJ5GhFF|4rkk&875-87MN2!S8)cd$V@K z=4)|NUw+e&5Dl+jvi#p993ptR>s+;<$|&XHw~rc*ihR{SlS2#dP-F^Q;1|2qhb#IbdRYdEwr zKuDzHbCz7mtDyp>&|sb~%_u-aLunQsKMH6u$_k)DwWM1)iXd!TlZO9@KKzrav zd*DNR5I_@gBqw$t_dAw+9S`@I4u5RJxI&=WNfqVQc_1r^1M#7u2%yEbVm&pf4Vx$q z>?#gmC=S>Gq=eiZun1m*`ZvZdbZ*x=FZBy4@l8j6=)4nbk@O<=UwmwBS&sFt@?&CB zQhq*DF;!(Bwlp?TZ!SQPVm-&#%Pz(VpdGH*-x_+^?2Z>7-3ka9fp-(A#ONMb*0}Ar z!lYNaTz836sv4oFB9C1qSCs6QKEzh*agXax`^u&fcX-s$uWubv#Y^TTG-Gow0^6tk zyoOT%W2yv0GaGq+p*nP=Pnsp`d)Yc|bh$z?ryHk<5>YO3i8 z4zqX}^ZIr|nYri17tEFHdbQtTA*7Fq!#uE!p7pVfRyI-k5}L5YXA53~3KWNlzA}-ty39FJ@@m#XqhD7Q)JY z3>o^Giby_y1Vd0vxS+iyyO7*x(@Uox=jQH@+S=+E%!}Rhs*hKzl(UvL;O5i)@MDh7 zcAaNU1kAXVFh+?aeK5(u$;?O%&D_j@PhT5);Ra?frP(K#hFTT$|LW=qU}3Rf6tRLuB0r=%4B zs_>0$pAmlkODq1><_l+^-S%a6O4$D_zcHC-m3v6(lwO$8LQg?-a0pIPRt!{yw%L~+ z<2=f6S!;adAD@B}R#nhJao$v(XSs~GnkDXeI(;TzAE(Cq1z)>Ic(eS&3D z-lAAfj#U>nzCk-*T4U$oHpB^Aa$4H`!g3Wj( zO4s|olY4E8PJy0ZSOH!`h;q zwfNE<8Vkq2nAy75>aR9<()@xjS1mh_6*Twf#$F)e$`1Y{z2r#&2Kn>`xtLM+kvT{D z>Pc<`t?uZluO)&)Q^^Z;!dJd|7q*vJK3w#6T2#(?Q~xkty2gX66Kh^m&o;(BvZ#(f zW{O@mka4W8d*GgcGxoP=bkl6OoDP32^P(D0VL1l5{cW`5($yB(q!JI`sXxO`Y%1uc zbi#VXlFy1&r<#Tzc$Ogz(mvJNPsC zHzNo~G<%?}gubj@h!HLhTx#>$ea(8hl{nqRd3vyX0!d~8f>TmCJAE`YP-lYEw1JjB z_#6lO9!39fGXpQka?PI!raGH>oQn-6F3Ya{$ezd~{)rLM#l8iYOWEI#x5YBUV3Zf{ z`OU_uX_Aa5XV9na_o6(qi2Y_JEGOzg^p*Kwo4iFEUzB{=pg-FD!-w+mZJNek!!IyZ zJ(#drA}1>r(7nu^%9}O6Vjrs}9zI0cYAKZWu~jS_31smJJsi6=kK%MS5$=|vx@63> z?4+Z3eN>ZC}AAyh;X zyox%tTVwIwY=GFD@F2bMzFooLD`j+~MsJt1-=#GR>^t-QNlH&$xZ9pEC8myb3mFIy zS=)rMPuDifF^F(m|1xI}tQOGMc#^z+d|t8p`0)5rsAX+~se#!If%yz3>nU;)5P=!N zRGK7AyO!N0AYgj9J+s>_1$nB*<<=-&3 zHnRJQqdcGJzfBsA6v&q2`uk*sClUzKx1mN9jGi^>K6`41b2JO!aqXW3=kho=sn`ZW zdtAAFV?y!V)7QYV(wluG5EMnP(eF{mVRQ`y!$65fq}YKF;8WOUvT5badX#_Fq&t-e z#+)AckfC>6wI*N#|M12dO8YdwW%40YU=ROSEF@;5R`LlvIbNPp_8 z>xV&qunqlIjh=T0f`JV@V!a$!1dmzPkQ~ zwRf@~PoTju#2;h>jRC|;Dq}j zipUT!BN@QF%DGLV7rz~kp4RU-IVV&UpeJ;4v8cEJCf>ecJ6H-Eh)1D;C&z28Z@nw+ zpUBM3^oJHK#sm+H_o#vfx!)VWM{JBHiz;-0|Fu7GQ&XK!@h|Gj-*C$B&_-}G4m+X( zo!5DW>E#rH@rwk2Vh2SDGQTQw6qcq?rq;O7H4E)&4_QUv zLe=QJ@edwYcpCFslUbD;rg>D#q}-psG0el?Zl(94Uz+H=UCp*C=>)9;luo4441}lj zK_t*OKd$Gs1jegcDWxK0p|@FuGL{9?Hu+uJa8e619Mu?0^0oiI64s^WL>iSUk;8aV zt01-CUrkPN_dmGcmX;iRZxj7d?jRPdLY>fJox`st0F{*3p2v2L4420+ylV4-onT@- z%$-0XnHpObt^qC{ir*_G-Fl2u8Yp(H=l(p`FJRq4M^wLu2#w>(2ez zo7bT96M=Wc(Q@@`RQNC~l!b_iGfKaZM}$oOU8=ALiYZIz&ycYTGEDYd32eXC({*gU z4Ad`VbfzqbvVtio^z92iJym}E_==-Q$$@H3h!NDv0W0n}d8rIa0JAvWk0Eb<&P=c} z#xPVV|F~DyHM(x`xoouZ4iu7h_24i8@*osgJnw0Imrw7niAYx3=z+pYJ#>7XVRO5n zZ{S~b6hco#VOxd3Z^3ZNRR0DDBB0&CITn?)yK~mY9T_{r(5KQ6Qhl@hJM%(yj6Of= zaD#d6($Yrs7AHc&4^)+u+||AmP=qu}HDll*2#py;%g)F6zXT^eIutRt~fCQ1sY zmvUfGQ9p(%ar+3rH^*`;Ctwrbak07>l+JC70M+v!Qj9*38U%$(mOs!L`}*X1ebFlH zf{>D^B$wH2j*#X@qj*!ASjSpZ;dqygXE87xPyy+>5)0940C|x*-^Js{EbfuVPv|?` zV*^@l$=nGyWK;PElow^ykc5`Ik3ek!DPnFBU)TPm*lq+As^ZExwU(*$^-9rl|9kJ! zaFx4afZFv#$rrs_XH1%+UPHwiu_EZ!%Y)*P`@+M19!{-?n3ebR?L6W@@}0$BaiMx; zz74s}!~o;r2Y|pksl~TeW_K5qlJ=VR_O^GitH&tAQ!_Z4hFvUJpd6_ye8^qyc6alD zWAbD8+*TCS@b_s)>0H@W6cUX;(Cy4SzYDU43F5*jnQ{5#v?g?6$8Xu7+C9Wr+0Bi( zG&^wmyMhMO>~1?=F?+&9-<$Gun%xGwrlkm>l-g8nlA^>+YHzV) zkC-8npZDkU`~AM(^T+GH&hv4`eVuz=DRUYeZF%2->3i8 zt!%0mF3lq(C@;!N^WlTMXJ7xR^%j1}qs<#nO1hHF{5V-RJeT*inwlE3zRPR1>uO1> zAk7=qhS%2bY8IUE?vl<2P+O|XC(t0j-R6@O%ZZDYkY?qeRb@xiNOpGi^kq`E2K1U* zV-Ko=igMHx@SvFhRieYSZ-M zNK_$hvL^}Z&YfT}KM1M(1`js%<5pTYe~`RsiQ) zxEo$2ZnhJ671~j$34%ra__sA6W-b@`)ULZI4Igq zMgFcykcLpQtrup?_oXib|E&8(J-KkE)bg)lY~8WRt~{K@MoB9MIWmN#n24Z;Rn>KY z02Mc1NLqb`ePgwq$jR8IbH*yqK)6MX?OVXimHmg>e6KAZe#2^Woz2DcYZiR^{=~0Y z#KPzX$phakn%5Zj$A4h9PRlhbHno}aX3GFM6 z@4TgO;R;vVgx62kO2V~Wt0v(;I*8IPiP)^1h15dssy4MBt-}p%=T-0kOqJW5{6^ME z{P}7~>Sgw~8!#vDJbV5V{zJL-glBfWm1$jfH{`Kj;I2l~a1dxHd+t1G=TKsZYpJYp zpoABs5#XpJ>tHOjQV>p*iXi>m}oL$=v&o@wjBJkzB+(9|dC8r~8xE zOad!u!`ddab+Tk-3KW#9HI1N^Dl#PTf&df%&=uz#VAPwig!&|$;w2V>x`bc{l0%5M zXR|TC9n#-!{M1X^9V=VC1-K;#{1M3xfRey=+*kxt1`@xkvFbrQPx`+hH=YBPThiz` zal4$N7UYjq3Zt|? z8ZBh#Y<^tG%XBD<)lzyOwKuD-NC!tA3cH-{SWJk2anB2&Td_EG&GV+ih<|y1DQSv$ zaJ_y-)b2nZM2Q+>@q0B(FRou)T*X~_Z{BiQ?s`=i6i)TsiKQ<}g<0J0 z%R!E|V-9E)_<1+bSg(b?KgSzeBz0bWB+n1H|sdoy?!g_OqV1B^dg^Uh29&!8!x5XvS8Zfl=02? zsqd3DgR#JBmFudTZxC$CODe(bkyAgvcm>=}i}O=xTu^Y#QrwF!HxS6A4UiiO`dGH5 z5)dngd)7EnDca%1nHPiA6zey(+gguZ2w^zqok;f6dY-8mIy2JYk<2fPn$@DxCDJ%q zKX~xY1(?iy?zTBKk?-VOq6RvkT~QziFZG}ExPt!T?QGoUQY~ZW@UTLxX2@8Bril- zNB$kGkn>UXgCD4M6A7QSm^6Dv28)^@obX!PX`T;zlvs*@>mKyGU3hP#9r>SfT#o!K zrz1-`Ex!)7L4#bNu2IJea}L&?vf>f~LczD#HNz`IbmQ}hfac*98^+qVuXy%r zZk7HUX##Y&<7k@o%=aU>MuwJTZtHiq`!(%CWB z{YB0KhB}9y#~Dq=Nj_K4x$S%N1vt_N>Du}|j~xcQd^3<_(Vd1Lwe0)<=GSL|UAz9? zmM+TOy6-d8Z!la~r>xTkFAk`a^0)_9;RzU*Yz zWmT#9wPvBY&1W>-7`(sjIl^s-Qdt59cldkX8 zc-JVV(c)`=2*Z?7#!+pULs5<7SDuT5HrJ7FstMn`C;D$UQQMYoJc!ep{djf&v{tQh zZcUV#FWj#Xy>Sy9!eI_c8SUPs*+V~y!RRm5_7@eq!`&MJ@=y6p%Ei8P45&|b%Of`58}mQF^|8Jx#uz9+7f)58<^bx~hZ$jD zSK8l2G5TtYBiUYMVXij*FiUQ@wBzYgAxH`Tm{W@Git>-;F6ROHStHCX0i6MrFZ%-$ zqYdja2@3s)pGbSQUHV}4LM2(D-Db%Wy|t{3TZ(h)<+CPQK2z_f;xq(xxv;9~v5GQh z22Ph&9YSS+L}z+H51zO7z1RTToLzfP_g>iLw(+A@eni~KVTQJS$*pz@#fEC!{LxHi zEADzb=K4ZDXCjw;z-n1XVO;j-u;0~7a#=eLHIH0vrI^-)W?)l!!V;Y4N$XQTY0s{t ziqgmeExSqn4GSe#%9H1LHOb39*;u7<xEuXr!{w_5G<1!q(vv&1@`*Du`XTk zcvhD5&cu_{u3sy6AOTZ(+GB+&;;~ttSrM^{K;u^mbE&@^v(a;rDl+>COeewb@ZK}F zrxF~l^~5hvVvgun;s&RdQzpE8EX{L&{Rx^Td-f(1nbqp%Xk=rq*Q*qNWavM&%vq2( zVJ?f#7JeR_Xw^CxaigKyb@z`7EmGoG?nj?&1GT|XV=|p4e{&*Yv1Xym+p~%pWXKhWk%td*>n8OENDV-#*I0!l$AuQo3C_-E1}UeOn+VE zFwF{P;vvLROwdc}2@8-C#?lu)_ zv_d61Ue@(zhP_U|munN_PNQ$at+z^7!-4mfQ$uUJ+Mjd0v zD^xZTZ^1{li>2{i=iphJFQHhhk`*0;?)AUP4m!V6I!LdM7jS!+#iQS_i3v{|jR0Ui z$E|v3yW%(GM(vChXqgW~(VFjE!ERD6t4u+m8Z~h!N$0a6MtNB2zvmGVxoYR7x_9`e zGo2o`J&kC5Pu|R$!+%dV^T*1=VsQ=r7vqrk$k*Lw|M2S0OpPjuRW~_A8Vj$``LCfY zw9jZFN(o@!MQl9O=DrsS`kSrh%$hL>(+9SnJ;Er&{G~8k1H0{?N`S7vVcLiC+UyQ}Cld zld;}mwt4lp$rHWPmrSxxqaPdw4fp^vk;Q*Y`Uz9y5_k z!DRLM9QOrEo_4a^QH#68y|Y#(PmeGt-N+1P1js#+>S72lLzanm%uh@+1o~ei`2x34 z@so~!8;Z4iN0W^z&h_*>on`L>o{wI?xuL4ItN;4VKr4z$AZ=~);cLgRo-$Pjn5uy8 zZNk=XOM&IRQo4`!BKfhYz7)T?zxCfS`{8!jGc3prSF`Mk%-W`n;{;8hoL;+stoNn3 zUBXn~>&X7HGOH3sS7n)3{ip_mHKEw{p9%e(WXAHkZTz|kXL23?log!a7Z6R0{ug+| z-!(SEt@b;uueT^P`kyDy{&(`cg$SPXi_P|p$8S%67lSl9w9PK=u!C7Qk^lB=EFjU* z6l&*EzX#4`e~X_9{?;^TA7XJj@BG4}5Ii!z{^eZhP1ovxs(s_14M4Bx{R`I8hpcyC zB}!a1V)w-kXC=d`@$(U$MSOs7s@u#v$>oh^>TTyMZ?(GU^BUb)Jzmz=mO9xor38R% zqS*X=Y})cH+bloLf8!daabciz{c*G0_olkA<}C z%MV;d3?y42^TgEyN}w7PI4nWyT5vTZo%kmq`=ae$-tq5dUUt`NyMF@Yf60!`A8wbb z{q(&3#cUg{p@t9iH+FR*B*cv3OG<86qQ;GDtCXw_M%y=BRAqY|H4y(kb>EnDYNj>y z=ez%oUVz5I+heHW!zy{IW*<8LI*(n^HtlE7w#R&L>mAhEVu9sV9!}Pz^V<*iYKAXA zANfblNwylSE8pG?(zj1QjHBAeRNpAA1Q_EeQ{F)MjbZui?86eKNh^})RoAK z|B`iDLpu3BcT-(s%5;lM>bY(2PgeP(Y-}E2p z{f|ujM>cpO?Z%nhKBvnE&)#IGTTQ#KnV_m=Rg>I7>+bqO2C5`4|6&pdS7$p*4~^gy zY$^&Z$Nc+VxyRm`E8zOR?z;LDo%#Cs%m$X#mm}9gr+khU1U#Nc!=#=A*j90yMy+~hjDPE9@PYPkw8^m)Hy=ec& zK+g^`Bgxi^oqs1{gLcxk?`#OLRLW_gs5-6KHo=eH?Qfuuib;h66<5}&2d+Hejmg(Y z%WQeE(h4F}L9ap6@Ez5>;dn`ch zX4hQAF@fsrx^RA!`*7~eI4!7j42x7Vx04r{LT7heId41TVA&A7T|-PI|92+Z{!w<5 zbvqxGw|(Am21K1zb(WEKufDzb`ucC?5#1qddcXJynTGoVSGUk)v#fTJuB#b!PI{YQS5GzX!$lNI>+B6s z7dgz3g^+xgD{e@?(GdXrl>BDD$UGbbKMwOfOlDk_SOcK%%WMB`cf*$KrRDH%=6y`K zD9XB`wlYt+PJ6!_d|IrzrkrVmv}aO=YE&P*C+*SPIyJfynjcHxI&eo#i>2Cw-@oF> zOBu%rHg6yFzss$BaXpJzM*9v&^R)EEKL+Z26AG5Km-YFyQ@X3Op=T+AGIptwbV`;h zmgzG7VcGzdqr3m&*gIRfm+YKwR2&1tyT7kiXsZ0;toH~*(wLH;PL)n7P&m^=FuwKc zF?y4(rg1@o<(DD#9S>u;1!Z8Ut^cJRADpPWKi;(W`S4+IZuaS4B72qdCzJW)QkU;i z-xri)>k6k5z?;gVsFfYvxz7|iD^mu?ekqi1rwjo1-8DmD#~dCTCe6A z`=p9GYNy?HpCMusN#kXd3nI{$42ID+p1N8_OOQ8C7IEC=6ByuxxNa)yQu$?^cGnE!5Webx-RM^8I&TK4q;e$ObPX>D}_cq0Ayx%r+MZ z*%o`cFIrCq#r=UhB{i(9R!!PcZcWgIx;<+aOob%8`)WvO6vk);;lkq&cwf)ZZXYYt zT27JSlsk+Ss?(Q}DrnU4=Tvh4_96DLAc7JY5pfxCaTj!Xh$$CmZQu_6Wd-Y{;OM0a zWhL{Qo3697{wS9?@EtX%;w!BEd+4t8hcwmU`NJ7QBTn@SmEoHcnLlLgMVyCeLpOi6 zmE^p^oC!V8FR4_jf3JVUoXj87Ca8Hf@>=$!`!XXqaR zS0AOhnS=_zWGfS;KfeFl<>IP)XX7#RA@iZ@?l<1@f8#x(h#1ud>8IO*+U_?JYey8T zkYjIM4H~rdzxAU8aLkcut)i7W?rWXpGg^RW3B9%k`LI%Nl|rI0BrNaG7q((G(W{16 z&%9)gLK>}?zr}l0q;_#-^7?`oJ;)-<>IOzS@dmQ4c}+ori}*; zdo~Dfe)EyOKSm6u5Vd;dC*7cWUEVbIXq@Z;-?{Tu_G=?}S%GijL*rQ&bwY*bs$rjLQe%Wj4Ez)u3%(xj+$iuVZv{m6 z2GpjpUq)67d<&?OVHQJr2Sl%fKcAn69~ntlJZU4SRFWN>^*ztAk<2{`4Ltf@7-$ed zzg#!QJ%>H)^xCKo91ph=>GSZ9rW+W1$SxwRutG3+l;4_&0Vg(S)QI)g)s@g&tkIje zmD|g81k^ya_Rkg-V^HORx)*hKJb{%)`8cy94V{-SqPyMN=2W`mDhv01KOn`tHw`H_ z{JKpPoBmp>Y`b0JXg1(@XfLYzVDrt3uQsSxFX|09-~M}KIq?QH+v`pp=(1Zo`C+q~ zPNdGEwl5;7Jesy7k1X9j)BZNCkBzud@wQ?8vDZ#wwr!&{i9! zFVGD!Z80th{9N(qs5t#m`#INt6ON`Nhc{Mz)adzp{ejN1)i=LX+)K}#$q|UOLgU5) zC3cWS0I>5-9h-*($G*kbD6Hi z@v8xqLi}G7pD{{9$9S4y&qVlT=?gK3+{WqgGAj}fP02XW|M7*M+m#dGaP@vvCL%#y zPu$WI=m!%TKYS{#+%h%E@&WmVoitj23>Kb7fTwaxMv`Moo@Uz@myA%k|3F>4RBMw2 zk)vPanWI~nk^V`N!*Sv3zC@p|*nZS{PteGe*U5fs=EbJ8>8Mdz;OBGqyu}UquR&!P zhM&3xU5CLY(qHEc3+>ZjCf&uSw-tm|3Onr<;!?sUWQUB zO%ois-*XQ-`mY8ZRkF?rr?JW|h*HMHdNY{_gEDxBjNs91CU(Sa)a#*19HJ`MX`@{A zgx+MYd;QI&6Dv%^X8}eIibU{s`L`g;L z8F_t&QQf=pH2sn^{Wl4|7Ngc2rd*%iw%H{8KPClOrw{&eSs7R1wFAY+=fl za~25uZCvN%&>=a)&)l6ApK?b7r77`vwEv;7*wzCMrGD_=`l@$t8m=@6&bhIioRkb{rrp;zwU5}FsjhzLYv}T3XEQMasg&Ce2D-XlE zXbdyh*5?;LObFoh?*J|Ly9q|pAaxJAHtR=4z8*9sOksv5(Zz}6?^6=VtS}Taws=zJ zQSI$F`>(tK?l%$sp98<)m$rlwj(EQGX>yE2wNH6v2r-KSW;;hOliAa+^wqC$|2z^l zfN`+Ref~(X`J-N+)$I9t0?U~vKTo9TGgZU%_=0Q{$u#5j^3SUuUqr(DgN~}-e13y|%C^l}@HzS>&hl~oJP@pqp}mSSD|su_ zZ_w{|i00&;-)4wvozxGVx>1XCX3W*H?>#E zri4`BNaWddHl*e}UqOj4J$ysF94V!Okm3r*1alULPzzr+bfh57g;8T%u-v}mUwd8o zYk~*;J0A7=aDKH!KCe$=Pk8RFa^}=4Cq!K5_BD8Ohn#Wye$>&+Qh&}(z*(%2I`Bh< zeAfdz=wk5nCk5WPrW;z|)TpYi{c8q08OYC;;CKyUs#D5}t>?`3yKk~qd<#mzpH1C} zERpixg_4=99Fp%QQ|M8#vdR?(C=H-d_ebk|&rmTF^=}Fs4^P{8?ZR_kG}k_iW40)x z9M}JSN7{#BGG%;wEWEl*54ZtNik7HS@$J1G_*sleT>axz^=#ei&u=?Z0wb#^jjQci zS?F&ubAojH1M}f#V~%8*Hq2cc>LvwFWDU2;e%Q4YNvM^8zjU-+(H_}4#6T`KQ*X$L zw26V$`hs7HS#B(~OZ_=(=srxUdn?Nv`A;t|w|-2<^(-$nvA`xFxEAm`P9(*o)3^i- zDc>6Mv*~A?!P-QSIk%zX_!zT3-KvwQU|^W6a3qwmA@?bj!)IisBCj(xN6vI|ff!SJ zw?{rKJpTs1x|_A(zFwZI&gHgv=piF8WR!q>CI7xF&)aczW#_?N`kh-v2}|Xsd3R0% zzV*AfC1irP_tuvR_qc(3w{}n*yE?D{+1y|oWhCP)@$~oKNHKdw^?ubQSy^WZ$?GOE&S??fekGfGdAzqX z@%Q1d_RE7F5wGpa#4znV(bX(miPy8)we0w`OjMhBPrueHALwWe#G4H+1m7Q0#*++l zRR590{-x@N+pO{riwYyQ!nrYJ3<|38JAMiej`Hu^XWUT`&{Gc$kOcKbZ6Y5Lt!Z%Xdj7li z`2@yA9hc=AmBj}OwY9C7Wr*mO`Co8pV8>w2Q1qXlM}pr_s%hz=D*^nHaEqi*XsY_j$8isdBTFO|?iYkk;g z8|gzj_Rfd8*+kmpoob@nskPTa{|ulZ|PgrmxgJ8^^eN_%Rh9_-p%UG`=~-TIU(l5=CvHV`NoB!|W{1D)Vxlo6Q9K1v zv6Wh{9XxvDJa{0codBWuL7)DKdZO&UFgkROJ+K&5; zSPwfEGlJFgBFvR~XY^shzpyVPtxc`eBY!e|)_oP)vAxwGcdgODss}=J72zWp5jnqL zfLQke>DljuIq^f18+)bF#Sbt#>_P`^E%0M}oe%HzNbh)(jG*@V{DE8$oX0S3 z_r*m0@tGKx>m#Jzr^B=CD#W;8N`+-gVMO3J-OS_ z8uN^4@9q2Dbi(yTO!28M%Q0YBP{~v;!4QpSE&xMAuPN@>9QbwEi?<0UswB-b`gtio zS~D7DD$FQ5?mK*V&RUJt%+WUvO-efPdGbaijN`FYdeLWGDdAs3%QXwuT1Rs{@C$!6 zp#S|y%&kZ6ZL>3WXP&?4t~JWfDzRX$y90ZI-gn{Bt-7U^XpO^P`C^GRbZdOWrH?~y zl5H>r!9j<~>lXLm5y524wUFhRF=2f5cOtSrorY{_&ReuZ?+OERfD-^_n#)e>IZLQA zC0qjvh}hRE$KBq?njcMOZzN^`t=e7{Vw25gRi*@$&VzkCBa^( z6TQ1Z!;iRayRs>kT>HfAlt07lQ_0?^E&tLs8MgMDmw44a)=sARCyQ-z9A zhSctw!;4RM^r{Y-+@NG6=N!<~ibB$#Jc98a`u%sbdmdVU5Po_>rwf>}X?Yg z2ENILZb_vU>did7a+5x^NqE6~_@-_kr-16Om_Lon?c#nSs;)vi_YO}D)e!_J z5tQ7LJU9->AO;N0v|{Id)3u7#d?`5e#_{I(4tkkNek#q z&Wd$iQ+2n15Oaff6H#r{#ii^tG+`d2!=@aH`>m14DJOSf_XwEMg}dd)A2SZ?wvOG= zlQAPW2$->`KY@z=RYkU+R8!J`zELk++u*G8wRUw}651^;A-@H_*5Le$_%3kcKpyoFufzlCtf>g@Ia!C@IzR?D1C+8y@n`w2Pu$akhU0O;V|%-g z1LsX=t2RDP3nBP?U%BtnEJdoMTj(d@oM{7u`Oy2tRW(;vNCvsP?5jNb#6mJ0k65n^ ze2@_4uB_aPC+E=MmTxdUpn7|)dN3#Zr(RXg&*^TYsaQ?c3Oe`ZiZwH?ZB~gg07NxD zIbv50q*IsIZL#9M>S!am|e$k0Lnmo-RB-W#n3#Z(z^&8f}3C@H+=a!1SAcbumR zf|_*q&X*f}Bplf>jh0Y}9zR9_VY$p;Jdy+aYGHn2ZEn@uTWR<@bk{5y5U>T~S?GT3 z9~~9+S@1CJ2~7`!?jkM(LLAoGnrostwrg&#<}-FEE7H!*chssFg!-QCpn76FZ}bUX zU)BmZc2vKx_R`&rA)S9ZtzbMq_3Kwv@GOnpT~H^=9b$sBrw^W9y^zwC<>>z##t;A$ zlX8v6dWMnYO=+dRK->ZnhkLeJ`^Spq+5Jvw+{>;Lc+`Ha z!>xb}xT#ubmH;lp|cEZe9aG$h#~0eET7!OW4Q`Oc|(chVb{%hOnf;TdNUo@h?|K z6Bu=t-EQJZP2OJtXr#d}T+N6zm?=UUJ@o($9yi4`6SCSHM`mCENnqa}djEz#6o4?27vMqEJ!0Lkz=AVj{=RxSx z;7#u${BPk0t)3K)dnG~Sw^K9l3onzcPP;^Y@2lp`bb0`3`>i)zFoGXDe{G(m5C%R< zPK`$<*Yu7HfxME-%|^x{~F{i1z{l)>}v_O3MBUPj>PnE~;?v1iN+ zyYo-Jkle05KY5Jb>wba1m`sbs2$;`w?+$ zG03hz888?=xswOcbp)-PyO{ub8wd7>T;YULN=%C6P3s;>5eRY|!UO6e7J!3u7;xRw zB_U$?ZyXi2_}8HMES!BXxuAQ8RKwD@$9Q)L*f&AX zKIFtR_V8ZODOR~Ze`(ch-H8anALQ*YEpgzRk4(Q<({F3C;cJq|d%GpChWbN%09d_7 zt3WZ`Q6rtb$J>|DL1R_dT9sD>)!}jA#M}^4j^ys`Q2IYJ-5|c+K8Vr9#jLRwu}Ed( z&o$SXEY~lvxUV00x@TuXqod>Hg%Rv7GJSovVhI)ZXzgVx}OA|oBDQK?onE{;*nV(j0UDy@gEJ#|o^UkWtI%WbK zQcV)!sv^|~Ka~!F2%p)Skm+5=lX?#=)!y_BwMy<=7Cj7Rx_w4pK8^q?TH;u7Ot=4$ z-hdRR99IJ22n%IS-cu&l`IAoY2n~;yTa$tBAQ^55{A>(akIM0cuULF^TSG8Bl~u38 z>x^BVaS^X*JxH<+${Z4L2usW_~&UDR7_^@%}1TC&%wW^v5 z)c<*3@9=?be2AeF*r6GkqhF8_nJV-DC237oSETJC<|?;o-OjvNMptA?DWyqB8A; zpF}RnRh^hgcJ^vLUOOnE0!uesSkz2Qtfbo${B)Wib{&%hxD}(ZbcZqafh@v^JGOrK zBmytahO_o30c5a0X!s?@l}5KQMn{~S=)e;~MvYX*nHizpk2o5hRY>mZZrC{5z?zfc z1{c;??Xmh_ko8UATB)1nHd`?3S0vQ1-hepf?IO0X%fO_Gzd8iMfkcx?=SDEz6^f7# zVkFk&UEnv734i5tA?qr^?o3YyIhT@~?;HI49w@M({3n=+F68rs*K`Wbip$iRWx*8J zc&74=PuVw!n7-|nOt{~TYU->)Vfu9$HAPuq!ciDv0jmvj~c1jfUUobtkK5;THLPE848xFa&_R z-b?!a5dU@C?Z$cEas5Y?l9)18abASc6a4F%@A&eR-HNkvmq67mRptREQC|gw>I*~A z+IHRdGm_OB@F?sAQACZ;pcqS^u@$-p(T+oe_R`d9 zE_tHqIo%X+ELuxMkW|@)H&83**%bFNl8fU#eZ(FHic{RhIB2gG{goI5TiNU`?sx!O z_({r0iV;1@DKb<}ofBsVI4gyCL5n))%*p9XESk%GI8poqfKu5E`F)**z{HH#ZdKPj z3j1$i9piK5bFb-2t4C;QZ;DFZRanm&ZMSvR=C^>Ge4rx*)Auxx#Xk6%{u>H}J z5Vu$G|H0?nR_a}Xl`ZFDz62A+OM#*lsnu0EaN>M@qatveQ6OShpUn=LlUp26z!N@Dh&5!totHpwgFr`wb^z2e@Fmg6sV5~j+}(I zNUT~@TnZgF#QkDhbBXY(mNkCc=>I3THjrV4AjStF+()!5wM@%1CdTk-3<$sGyG{6B za`FBZ#CY4y_Piy13Zq*F7}g@<5A^ECVcBEWb%^d~H0?{St}o7~C%|p)n&*PqX_Zr$ zuJsp}rM8EG?d@HOH=&)X6sy)gO)9?1h!Q>a^z;(2lMBqXQm#aSpC2&A9{=tp{Cxkc*RT14DwFu1Xz)Nt{df&sKceJPM0^oD;OqhmGx_h8OOBg* zAv}6Gv)b|agO2m^*0jy9A#n18%97(!k5GWlzGm%U2O=<*xh zwdn)H7;m7nH0K;!F+-)p`{h%WT}M6VRPGVH-(s90Ug~Cnt};W z6OXZ{dx>;qrZd~?qfQs4$4wp~hL=5W8^trp*XfN~U6P{BdRHuDgYVz_?IU1*)UXr4 z`8Le~k7L~Jg4-KaOm>Q8=bU}bmP13^Q-}#$e3zSW4=&!%iLJ9))6e!Fd(l$HosVDa zT3n0ziPc$eN^R@mT2GK&ONH`DtR+ajO7L2dYl6LNKsYp5aFzv>3XI1YN;R6 zO)*k-w^iKm{I@6wPOW-z$1XuHd+|a3^xduWl_7yqWQyBrsMT5Ua(kiJ$tU8;vvBn` z%i$t6$bEl$=u*B4^UQQxk?LUCJ&y+IRx3-{);@PMuI|;$fY*m-O#uII89mcSlUB>b zmqeslotHMu_qepQ5V!0SwJE!2A%Dmx=ZFrl?!{X6(y>7cgQ1UhGK@W&L(%&(#Zym= zP9hGRW{g8Gf=@Gozc}=Ksv;jX6A58rdC+y$r`%Xav2WkOX&A!kbgY%?DkaM?oz}-~P+nw|~zW!sE zmyc~bo!J~=4@gD=ZpfESTiDHdAI&UnJWas4v~UCevor5tifytpTi1MovpVrBJth@O z*Z7HgiGrYI;^tPuq*#nTM383hTHPytc1BXPW*WY@8+q;r5XQ#b9bsZ0pe?0W4J(s_ zHa?OlW{tp0U-908O+i zKyYR;Cec~v zb4ChuPsLMpOGGjDgWj}PR*blR6aPXaGVwDJT}o|Qqjwq)>1ADLdEyu5@YDs(oyM%mC#Z$^aP4dh_+R%xdzgyshyl;iMRz3rY{6V%4i_4~8f~GW$Db7( z6reShe|EnOSgCmcWILeGGf~z7A{jcf#eL#~GM`Vrh;2@%3-i9}h1hm8-Sp&n-Rnk; zy8REbBe*v=>~1Zwi~iBa_E}~7AgZ4WJ}gcnxL$3-v^*=0e=gF4nt9TjmjK8wDogH2 zSpo%+UbnDQFyqORzHX;#I=m>qpT&nYbfws?efG1d4hgb+SlJ`Vq`H3|WJSs(3|ocP zLlHT4A>AZuoS62ni@-LSwVXi@?lZ;uMYvdz*f?u@`~|x?sfyq!_5q<->~S2c5UY`Z z^Ef{;e1f`38G|meKQtej{|B~Lc*X_=u+KcxtVnD(4|?J%i<*=0O;nEr$?XcDd6wXM=T{BS4jA@4gcxEm@t8pn;7 z*d9EqzXyGUY+U5tLdyw{3YMcia$Is6j}E&7gPbNvjvsgJ8(^JJyHof!!>}Q66{gUg zeRLvyIy&$4!Yn;OuBZ?V(9KsRJlotJp;CPIp#$^Wp+QqYGQ7ia^IMIA!j?A6O3O7y zph$65j8gY4CU)&WS^;FN5F6e>d}t72bu}jBj~Pt!Pr&bM*ca{-j&X{oIvxeqyDoL6 znv1m*%jzJVF_N2@c=GKat!@9{+;YTD zbuXbUV0vE6W$ge+YYRZmFC!VkJEg`bP#xWZ(~Cj}Xp%}y$}iR4;6*!bDJ!XKzC;lP z>?3H*pF9}yJE7k<^5XLakL0!t(~SBZLl9VU&s-3NcG3QZK8aO0VCMu&zU8drV|NUV z=)h9MclbV95_{`@+LG&WTTX$FjWglWdqvTscqwB-7=ZYWK&{yEHl18AMz1QfNvCoJp}iU$eKfcfLjfA|%2Z8uB9tGM`h1h0x<` z7LJF7AdsED8y#QI_5wBpwmcfmXrQVKMA*lJ$hLs-`QYw(6?=CDhvM~`?``o>M*0$S+iW0Er%s(hR-}M4^no2g51LC7 z+#LPdsoE1j%{iqs=BT)Kpxl7D^`kkMiC0lfV~H6>MUPrcVrY7bBEM9%yi71IBy4%c z%{@&@!4qojdFoQq8H={h1oyG;H=*an>;d;Q8HHehvBQOf>_Rk-5(~Sm=Ev@+8PVa$ ztOKg+V|V0_@TzaKX)f~szj5EeaUT2Gg)~%mlQif2W>)d73Qti;PiRuaJ|pc;{ljBd zPJ3eGtrb7AnI&9j=;ZU=|jwXPk2}}(55C%Nx4$dY=gE?mTJo@tgI#N(H;c7I2KRWoq9Q(-A zW7%a%+0!649K(cXF4tmfNaLqGVH-KJy5&{fe4%+8fW9G^jdPbzwgOIuh z3QTu6QJeo1K=KMCX$4NEK?MsoXb=M<_J$L*oPiNZ_dwa}mFAKQVC%jkt$=2SzGpx| zE-O1e2uWId*F+k)Y_YV9&DEXw#$5rW!FT}eNAuA$!XgqP3D|##RJ>w;#7pjzdydVV zf}(8Zuh=S}@~6(HOlW9IO~@0JPfiD3ndw;i%kMdVgTrSl1gzIyMI4$yw=gk|NAIvr zx1JncrJI$}0ftiVsg7JoE`wpGIrhAZ96}jiZ%Fj5Gd^C5cegGak8ocKW|`8S3i$o+ zg{PVB3AwG=--YvJw)wwD3yhR8D_@|Anw$wt{e}w4?3`&vo@22JoFE0Qg)KXGGu<9@ z!A1Ar515qBeWmGnD23muBC06WclGoS4K22m5=)P61Y|Eu%-@STkd5$a!nzYvMq;+_ z!djR7_gmA`05Db&3KLdzp>op>wna5kVjo0w`06hO&&~&T%~x@aC}8d@c+oRXtHpce zv?)&h4}|4_-eULjwb6{%wHU8;nQGSGdZ zAM?jD!B0Fk@BmHsT0(eZA(u6w0v3E_=i;FUgn9=P`=6K3?56XmXv!x(+c|g@tRiBc zV&RcUEc)y&jLzN}Omo?tMF*7LmhAo28fzDju@xhI79TY@wy4agSZS+0vCRt-AjF8X z-B36^)|krJ9TfTvf%l2x;E6{7`3jzRX#lw6(L){q=}Rv)yHWvq@U&kv&+rA?Zrz`G z=tas!F7nxFXs{UW+J$@mtSjTbPIf!VPH!!M27c7Sgn9C$d0%x`rRoB+|Gw(?H0N54 zq>GpEjD2uSV6%?5@3Vb+KQZ4`k}{_tAOCU{39mhqe!&KZ%-)Qfa0RG>P#|U$&pbhK zv5X95LW{kNZq!_eqrmRopn^3CObbEDRk2!89oXE%3w1XK?C75-%&4n5UghnI)X;O@ z5EClL$o5H$SB0ShRrtZ{zvkpn1KJpT%(_owyW*9dL@lUn+ax!qmhmb&2~DK|zH=2> z)>3qkGDP?j+#Xs5B+(V=!sY4(Y6Vwde^82S04wGs869xfjSrIer?DTMzYwaj5jL!U zV&8aOn&&RJeJGrL{|)|v=JXc=312|y!%;%r8CxCm3SrCl8F^fa(TYhyb12g;TV%8lf)icjixY;l^FNG717*k+M zU%s^E3VM>uw=%2}Y5g*QXg26S3}5Nv##7)!8x7_*^GcQF;8q8oqt@SE>RC8P;xFs^ z1nl#NT=$UaCd{0Q@V{=ika^qo)7GKE#qvLsBU9JzG;W^JL6U=g1wHjTD8v`eFJcD) zFi#-k;&~L>X5j1hopFD>EoYHspxij@VjA)gjs6ZxebW4UEjxsFs4DIp!h=X_X9GU& zY>Ecv&|D-M&Y+{uDL$XuxRcTr!GrE3zOye`Jc!52v0ecp_H*Pr*(h|zC)%lUlh8>L z@oH}lClv*QkHPL%DJEiPmshvU*;k8GQ?D_1_H~B$v-T(6aWl?GGMl~DcE?-Zp+BQI z)>$R^R3p49TpSV<*yeF*svOf{PJk!HP{Hj%_LyB(aCG(sS0i}B&4X*%UYRI660j8; zr@>_k=VJQnoy`dsZ0a6OO(V*x%E0ic_kavb%^hKw@4QU!H!)yr8B=<6W$DOyYnpG) z+{FWR7KZ)@AX(anC?pXi9${QYoep_R5d`X!oWBxCC-akQ z_~%M)2Qyy$oBX{smMKdtuyy#tk6H*Xd!h{|=QHWT8tYvog))*BNPI(mV{w}%HN*%pfqN$AN@c3}F zZ}lYM;Gng`{*D_)q%uO(n!s(B+f0?EiM-dum`>iCjZejY!YENvTntXaPMX^+6ZkvN zcFW>mgquq@?Pt(oEe=#^bOW=$I1m)^EBTx58JCmDtPv=pTu~2w8veH^J&-zA zBz2vAchp+>xWqneAc6WkBMd1GJ7=9zVl19kt3aGN8)(@#y^8b3GkGi4F|2zE3`Ihx z9&9vN0dMu1y0P}6h!Cp%#UMvGX3IG=Xwd6S#>(d6)~Z>C6=oafpXb@r`~NiY^$O zL(F(}%T5dZb}=K-&9YMxS!1GAtOvT7+SMj*122KN9cW=>fg7))Gp^rlWypb?-J(%v z)zOwLp1VL{AyYg1rR`uWFXLl_944svbo1YL)GO5jEJgb&eI6bzW=2z4Gd8uZ;nwdS z0aVb-d--i_Iu_lQU!N>iE0ZJ`@ba#}aE7QNR1sIh12ePx;1_~%(FUF2EiIiK@U{xm z{`w2|tB5r;|JTW4S1@Rp1-SFPis)mhg^c%C`HWn5+rnA+TUh+rSFbhzR60mWjVi|| zT_1z8iubdsD)q{eR~Gx8r?R3f`Y)WwYD}&hTlMB?Xcp2vFpj5J_3gjD(j?5pNB*{X z_0G+oo=6);6Fbiv_+)MJVIE*by{rhgOihl|u{F}0D6i6~ zeu30pN^fR0cC`kdoQpg9?;rdIX*iXt*DnnVkEL_}VNQ>h_C$A>Pxn3LG(N>Ch7&o` z@Miws6~cIoa%MkzlOOsMHolS}=8+0vl9*_3k0K*4wQyLWvLf>+luyN;CrXyW7K=sy zCYCN0DhgmHDY|tfc?oRsi>OIi%lA0M97i{8!kqY_DwvUs|lB@w+{7gR5 zlQmSkp~$k)=FxCVK}Xy4sq2lS^!N$KUA}9hhk)eitzAj+I&CKOk52UsMY1Y4*+2AL z<#gX)IBL4_Rcnho`e&{zQ=Gz>+B) z^J#|1g0CiZ+PR_1;ZUue98RV{eutrTSrYUD(+Xx1i3b3xtCBk8g^9bIjd5u@@~EtwpR0=9gqn zYdn?IlnUR?Q|oia?^|`QzCF=T$aiI#Jl3~rVeTI_pKA{rw%x9ArKQYK_F3hb)tt7M z$Vl##s^0KW12GjXT4`8p=RU8UuQ7sHz6#KEzL&ORgk!#$!7s0jIv!kTxZ&I__r={(>=5d`x;1aN1G)ON{X(k=FYF8E|Gu|g{9qSPchPiv z&V0y3tKprv&3#UI@uHjh#!RK-Wz4ppS?+IB{%6S<29Z=Vx>9y|B7c2NN7JYASlN;_ zvU{pRJr|){=z7KTjdh`mWTLgQdhMpV|C-b-gfe;APFm;olf*9a(an0JlNG#t!Mtu0 z(jvtn#&4F7GJrB?{f0-8RXPjC1Y0`k^ZMtP(vsk!zmSpWlTk*^m4NS6g2-~+PRJ@t z=mqoxpJR4RUp%Vg7+GWi5g1$ZxaPSOqlsiM<1Fb^grS1f6e*AypaoiYeP_`N4@c2d(Om~7h5-|n1%GbZTpTVBSicg|SO{KX~D ziidkzzA?XDgY`|9XU@Vkspc;cYh%GMaq(3A%cqikNpoj!IFF#e_Cey8^P~ebqYA~o z2;NVl(OXBwoOv!*Q>b%xHh}y;@N%K4CKDM=nMhRcPB9Mjm|6V@(U@EM%&KUd@=O|h znA&kyoz1-}n@dHO^bm7@k4aTDwtguoyV48&8O$R^8lMp<)mM09Ct^^$P6m{KUlg}{@611Lx!FZ6 zwk#k~sCi4cG(X2zOVhYd?obbs(w^OT_%o|za%cW29sZyb{@-%WJz!Lo2pkqfXfxh#AqR7TvRlj-0e*B*Xg5xQca+ zm;LXPXX8wmrp8B8>lE81GK(e=^Q!jT$&n_J=b-e^#dH!5aSp9n9V`bPf)C>a%T)x7#9vq%goNbypsHS!A?X5b;S0r;OYh_~P)`?TvJg=?q?|)Sh<< z7Oi}0+L=CB5oa~bitl}KWpd5RWMQclFNj6D-jB~yf3el4U~(Tz7`Np` zC-3s8yD_DGqmGb=kYy=w+d#k)*6bZY8#}l`!_7I^B^r?jq!wg>WV#D_S2+GAsfzVS4t}B@|8E^(rO(ZWh5d!PvYB(BJ(Ap zYQVt5Uhx7oFUeU)*^$%KuJ!v5W?H3YKM^XQZv3L>N+;jXzh+tua%192U(q;J(?ngoss@BFRV9 zcizifa%D^I9az9uy8xluI=`}fAF2Opi!$iYRO3C>y7$^lRrPRqz$)mb0H=`5fA+jl z7l3TC&U1d5KxB&k?8@cc2>)w#Yx3G2r?oG#&~kq5jxGYCxP@~0cjjB`H(^6KQ5nHd zm55oI_#|J_>)$S={z_;t`j}5NoKH0{a8Rq;x`DyXiR^X!&D7@1xUBYusX$pTTqI0PWtWsrUbE{Bq5RN`XZ7t)l$s9<0-v5pjEV_~+;<8?Dm|b7YF@`FpN$14>8{ujK#o-C5M!_g^S=^yH>oaibrMM@(PX5@$ zLTNf0j1x_yqmOZ9Y8Tn;&D@xmY}wY!(Z+TxwM$kb6r8*{GU8Ub>C!e*05dVJF;4|) zl9w)@wAH0t&U#7kZO#sbP2R#AiK4%XyQzm9)DXl~aM&Cg=H>o0A9t_r1KIg?azb(i z%NdCE3vE!G7OH%HEi=BNf$@Z(c7tv+=ep2?FjriF-imh?3Drn}hAQRd(0nsBU5}43 zPl7UBYV+R%$rQum7-C5ffu^|Mt)<@eU&0G%)FZua9|Y*Ci!IVhXg)fzZRa+8H6X?W z=Df?fdwBYT!MriC;9_2xhI)pu@GPvmz1h8yG=i9F}7!!s1AtKH__XhuonJx7pQIzVei?N zn32Wc57RuSr14dh_~84mWVZCxUDj`AZ;T-Sxa##DPv=Cr3*;SqIA>$Swhqy`3Y{gk z5w`MZ9AhN8p-)oQQ2CL66p2iIRdMmTy5RYWhT_u+v~ak~w{5a-hW?1Fr=m7@5zi2G z;YAj7j&zYZHs8d=i_&mpTRMlgv<)iPCXmvCX01VlB>engnBPxgj|^ ze&3e%c|VwxM3qKVDZ2T?qeSL)NS>2bwifeMQ4_IUnBE)J5Vt_@TSt#?N9=40hbZ}M zSw6cD`m3R`g&*IQ<&tXKEH8zG%m!VNUahBpPUDeJR3onJ`0I;@C$7J$Y~)|R3{HUS zYw9oK2_17c=P$>pVn=84Q|S@D4dN4HPBr>q>d3zdIVZ`j8#cXgez)s|I`O<Mo?Q`S|vwD!R7cIw>!MOF&p{Yh!b~mCWi`hl|tO9I4zRDEagp z3mhdTbaTqR^_}Q8A)m@xXATu-3b&tzeYQ2bckx-df&f>ClF}v;$fvN3Ll4YPO*xHrq72R$ZQP9DmvJ5u$o<7-u zE~z6JzJB03-F~1U#D^{kbHLVfvs0o^Md}`lQPjf}@xeTA=%=w%sHiPP{K$t9rC5LK zrHjVT-M6}22+-o8rYglLf@zXtUT=5SmQgxC>RJDSZ?H4G`h9@Pd%h1Q55U$gEh<;CGe6gs^h7Tuf(mGLDipHeTwY9sYVStnd(G z5rXEVxS-_w{%7VseECNHHh-KLPW|<=)vq!Jff%06Ge%ZZ4rVnx)8XFcUXx$?XVq1= z&)ersul7{{t)IYrgjDk3l`OkACq&UKm!EiUWXU$dY~vN4S3!u4 zGyOgg5@`}@^^7^hP7q>D(84-FP*OdkFP_7PN&B?a>ipW;k|o<9d)nC#V`Xjg@u1O% z59AYB>eRs(ul2s{8Dyqy)a%U`a^kGX1DDXUmz8>G-g;v(S=hIIc%u}tp1b;I`uw@( zn_{dvvTvhM0W?sZl8&()+kEFiD<#t+hHDct%lY|4_p)RzuY8L*88L^S-Lp-pww+gBNf%aja=SjI8?hbGCZjr3qT;gigmQoM;7|@`4RATL__W*J#k}_CQ$83<`}lbDAZs zFLTVi%kJgzpMH4{wSf3{h_VWSHRrhZcZY;23uQHB{yy;xczrmihizNMd9AP5b;~tOoMGgPL~b|+&o~6Vpn`qGkrUbOoqQl)T*aeO6{n{*X(kFe zr->oX63V|&nzE!4kV3G1VD+0TM>=dRFYTMI$kodhEM}HjAJEV$*1jH-@_Tb-FF({R zT@ph75p~9iRwG0wij{8&YzI1d!yi7H&WSkigx32^pCORr8Imh|i?$x;QhaVTbXV$& zey5i@rmi;Q;0v~l4Ra-PrrAtc?FFPKS^Uvk6-!f9@bJoeTyTa zOd(q{2<840F;fG4?KIfFaY5)VoM;uDBio5b$m(>74k+#gqbbT_yaQl}c}VbmZcWPS zo*1xtjdmpA?w{Ccho|`sc|xx9m1ULv~UopA+KB}Z{+@4n1S(N%oTd`Bd(unu*#LyEqL*f|wNj#4 z9yHRLc}F9~@Hx2G0?N`JIIl;i>&+gQW(UAi=8%;(iY!vaXgJYM4(6q}IOX@&c#ex2 z<2~qw(TO|hAh1bW(4IM;+?Z$46k&3FZ}-z?+MqA{{ihs1qSNzO}qF#r<5-Y(zCQxu?`%WM5o+hcQ^1= zOdv~bkOktD#+Ie99aOODgR6~d7Px#BOK40J^;E$U0cxTR&TV=^B7p8E{GzU|c2|Jj z?6gqM2Cj5lzm!PKZ9p#A3*@XEc6Jcl#q5MWYoq&A)#fC4(Q2@F*?x$R;JO9m|Kk>U z37xVmw6L4%QW1jcsA8dX&H}5UyP1y${Adeib2UJ+5AO(QohW+5Ez4L_;4f{Yj816NPY)#*E`rydm z9~;wlLUG#4t`@0aBw(4=22J%z)J8b7o>QD@b0}l^-9nLUA#pSUI56<`)sjW<^C;0@ zAgs-3gO&_J8#YHNWO1P3*b<(5;f>Ag6ni9Cua?_3Nd%PS;L3cMviI^s2bxwZ3I;!wu0~`;3j?6^!UgX#N;T$UE7Ds7?3NjK%ILlv*~i zOPQ9Zp^4G~&aY8ujaQk)*2&L-5UjqD&-MI<%BCMlF7h3J2;v+0Fu_ys-Rjr3Se7PX zierwkU?y_0wm!be)7?nj@=;zU0{MCiz}89z*+{^WsqfJ^!c4msVV%va9L;R#8#4|< zS0AXnMJQ6uiLi78$x(+EOHk#4XC@G z&o_?rR`J4%laj?BlnFINrGqYGV$aH zKkh~}O9fkHKs3gq1{Cygo_;vf!}i;+^#3oTR|PXMAae0&AUI$l@J!9Qr@=SntyFuD zg}&(*=1#!TV|4k?U2c1A^d+XEYrz86naj@0x5IXiG zqV_DX@DC#(o~Izbo)3FD3gFuE23b1WFX5q5J|Kh4!;oMCHr+8v z+RACJEev!_N8Th?x())(wjcty%I+Iv+wYuXG+lBRIgn0CXKRJSu7G!gA=}&AkdPC~ z!_vnBIZ0K3kZl<7y=)syuGRYh`R;^3a>h!K_e3wf$p%Rsm>I-T!M4yTnQYnL>~4%7 z|DIn+RvKVm6G*IyI%}fF+Gv?_*Gbj_-plT0^U-tgjOP^(l5k8bSoXaDN7kJzFvr$3 zg`mY+Xn_ibl-bF4H}V0XI-`kFR5AIMF%K5lyS|ptJ{2oT^o}NS!nVc@b$;*`4GZ^h z!p}BCx@r4zebYJ9M?Gv5Z_^1F@($9-5GvCEWv&j`V!C96U>LIW)+vA8f%v7h8ts#n zPxZ+xcIChNjImC$gdIDYiLd$b`QU2EECH$YOs70$SLy>+xYd2l1k;|_AKg&m^*t_y zaa7~A;2_TwTCV+Lw@#~Kr|2A7MT6`j+x;c~sUC2;K`_Wy9cF;<7_`tmz`npKl*jBL zU~<5%78Ny~XYdfFZ1IfU9GSjttouZX#^i?yi8CM_wND~wXJN!=YCKkZI*>+MJbv2O z0^{16LdLOJgeyaQ(gni^Xi;w6{A>bGS znBYS%tzpYd!`VQgYx z+YXJoy|OmQQWLGy0xnTxN0?1PLHm5QZn2mH5m$Bq4N!d@+?=M zR@^9!zchAO`@x9G!D*(TX}YZqiw~C?Kc!urGpVVd0nocFa(W+W@TBBfrSj?(|9egE zU}!=Tyt&-_Aw)SbZ|#G@O4mtX^v z$4ZG#@K_+K1Uj{gPSYi(&wcTtLwWHDV4sJ=o3+s~JORu5IH?r^??84z+ccxj*d|T+ z_1>0tO{+LH34pW%6Tc6wE4SjlTsSY<%(IO6va?EcueGq^b#cVJ#pE^dGX)-OS8vNBMi52ML*16P`~^%D{1;ZgdKNxfx{2YaEKq zG=q$RlHmvY{NC)2^zd0;>7{?OsSg3<-RTiqb4PGFV9&xI3w>zi+8d03Dz3WalLabB z@T^{%qSQ6M{IB#6o67l70vG|D-kC3#8{EO02mY_r#fN(~JUH%Jbu^c!H0Nlv3Z{)C zA5-q7)#ruB2AR~9_?a*uQ8rdTm-mFgx%*hpqZkq`aN+-4Lt*{{F~8V3S-DDfL&Egk z_sL-nCea&|o1_OYKYD|#g6&u5+YXwTVXin)@j;Cgj?5nqK$(P0m;9&1DDq}LY;*Dm z^Bll)W9|LYDu7ee>RQ?%FJrfMas?Y8SQZit+i9XaJX)@jDy{jb0MIkxd5k;H^9xwj ztoP7o;L_gnpJMNn&?NjmD`d!gjTDT2ncZE##g|~;2IZ+@ZmK|_RKk;cY>f%iItznDFY@yIL4Tc8CHT5Spn2x6@;p5qRC(mqTfOB&g%-( z2hJc(6Vn2-IoM`niewL+a*^E^yB|-c0^Kk9!{m?huSyN@Bbc*eg2Ev9(%YDf`BuZ1 zofCbGLy-&TV93|vvQfJ@*^V_sb5GU~Z9R*c0wM%RTN-c&z{yBHK=DZ4kOcHO2AN?; z=@R!J5CjOZebZ@((our+@U`IAb>`SQ9Qgz&shX=bip&#SO(D6{Q2`w9BLL@a2S`i? z5HUoPK+DP>0y?sLJKyV+62uHij?n=a>6>9SxC1#6NCV0i=U@yFBG5vtNm#IBo*~l| z|3-9}Lj_s`$z!k0uvi>9osGyc*zP=js|ggZcnH`!BVGC}OVvs;|0}VuG(aI!%hRJ7 zPx^hL)TW0T&(!EjT;;jrX*iKCjJZPoY?;paq&cH*p-<-f=Z+d) zN3?$R!&&MEvMekh>RiBsL>IAbcmTW*`juii%rO_72BQoi2}ubcXL?5ab{)I=eu{{j z->KN+<7q!$j3XzpE9?34w|qh{ObdY*!r;wXgxcxOeyO?=)q&mH6AW+0qdaZ2S_QiY z6#DtHm@ntx1}*fn3O0Q+pL9Jwa?6B6=G~i}CyU%SS2RbmOD?3RtB>uP?Au*~0+3Da zK>P`%$t`oXN_cZwJh?;eIv=+!(&y^vE>SPRFlEVt6;`)m^Z=AKUNUIdLxwAyj2o9Z zCqo_b#n`>yJOZ9w@+~Tk+Xfl#Cwx-G){_VY9gvrXo8YO>N03vF8s2oBJB{F{A0~7u z-b3nm6al^zF~j!ZM7scdPXn3zU3}})u<}>1Ul`Wpwa-7dkvim;?N!0I2aR`_-~R@Q zqwHschSp*5s5!LBPwyOIf(I6WZu*9A?Wc?vppE|yNr2(~MY;jxO#9gX;UlmPgeyji z8Y-6!I0~N1g9av#12V~%H}d6e{OhKWOty4SX|B-tY7Ro=-WtFEU|4TZWcUH<)^ZA{ zJc*0%O%^!{MQa1hPye7?-U@8nZ}<;wH+Go#)DNyT6P=VSE0ns)YWcVajy*m=j+y0l z%ocbt4hfXf3@EzXsKqn5U+|3{i1Z-6^ftSj7ZY{|@Dw2F5Kh4}-nZ8ozp%oK{y+fr zw@`2Icv{eEOW;~B4zwCACjgN>4grFEHeLh}2XC$&B5*$d7NiU!jUP^-B(l5T@?mQi z0eF>aJb~u?AfiE=P-Ixx&ETyL1`G;I1;-tfuHg&|_S~Ok2-+0;e6CBleg;II83f`m zzcn#0!wN=B6Cw|NQcSC0cjE}DpI=J|Q10Whe0xpwiM9RR@K#cEDANH)c7(eS(ux6} zhGgk~4dB3Z_wbeLYY&D_E*|2BUJqe_vJrvMa^?pGv)4vY@BfjzDt0$Wh_@|{IHO4@(tgwpJSpJXfWl7!LvTh5 z{rFD3bCO61{8JGBiQnT7)BG}u0l_(BSF@{L$JYQ#Hx|yj?y1=N))` zCzrgHLlxJ<#r=HKK;^kaLCihwcVFFZ-;w=jt*mrICFz>Ls3!m88;p1Esa*dZK`jt^ z9~b{6>UH6*QN?JDebq(cNp|i`u>YRS$=+gsCsueTWD&8Dt8kbr?zA;LJiL3AIDqM7 zkNq|Ioy8KTYdQq-0BcnEW&UQCN2_jAWm}|cb4x7eue6p#&1H{0HC-Z8N2z$PkPr2gOiJE8o~cebPvZY-s-%V)@aPvy-OEp5kI{ufF~E6oL5yaRWKu>9_oG zoAf?v!JSMnc1A9L@RI_+y6f<#10Xvb65zS7%`9AXybSKLgXOeRTOh)7d!(w6D=5fi zGcts_M@)_-iGH{Hc-G3}g4-}MTQA_uS!UlIhiwi0z z#;wB@JbC4N+*~zjw;?SfqP=6?RhErCv!Bk9P1)#ykzH==9 z)SCNOj?0ZQttF2MbFe*(>5yTi4u~VWUqFNAMTnnhusliUo@ChNw<&0?iHq8%70LsBqQVD!o|=TC@k zvnD4hdm(Q0euZYc?>e#2!;3LUhpMYXy9|MFwhk= zj>0qjwUM~weEzCNOTq!Iv)=Md5Z|?=Y|mNw!YP@GgcaCV-tZ<^^va{c2W!+RGco4& zoX5rLZl<8MDt}+tKe`q%WK;RuI2-y=;huO-lf^@YThkEEkx-}8mkRM7D|D;(0v*R> zp=RHUShl|;(!8XCHs}q9?vKE3vmwqI{VDk@-!3ZQ84(uz3%>#=9V|{g3hXJDo}{?0 z1Q%lCX@FlR-R1ZVdR|{GO4=MM`9P*7YC~Qhwt-m5)&sxB8fg5yL*%YFttutUdMidh z6QeHkIEr&Zd=Jp2Ww7t{-aLZJ%$Hm!M(T0~tlr7_N;)47{vjDFbo`>}??Lm^xXlL7 zM&hTnM>@G)e~vh1?b!t4Lfs{ z7b+33X^;rM+gXZ^OukWI$<_i8_|m zqWb2|G3Jvk`i5Y{G$jNP@IDNU>C-}v#A zEsNyX(IF%aBj#CCB+De{y8a|SN7R3GR^ee8$wK#mgMw<0G%<}LGNi_9QsA!6lUg+# z%QtBS&z-M@-JIg?xyj08+`!xO)z4esr7A>kl#VrOZ~Xyf7H!w-8q$0*%QC>c6HJ#q zQXUYCj3_sE#tHP*BFBPbxFQ(|ARDFHf1cJ);NUMp~3QsEXHBQ|xtu-hc zJ}Fr#EyG3IPZ}8$Y)D#+8U~vN8T@_+U-AUKe;V^j;%CC?%qQcwE>=LXfA`0Q;<8iE zKZuM2ikI=91Y1G05`wLfp}*o*Hx&Yy<#sC>V?0SWyg*6`tK1OUnJzP5dHPe3?k)(%W)4o8 z=-u}|K&eD7Q6HNol_f4cWxE|RwI8;GJ6jG|0G)Eok|Vc|I`(HBE`P3%^=?6=UhtZf z3#D`pD$VptA-CO)_$06cOqL}}iF4Wl$+EZD08B|Fmzdv<{aanyg3zuKIgHuOgm3g}0-&(r*~A`M>CkoyziTE=Kg|<|IM**Rrijs|<@df+_+1_yMs+meW&h zKNr2l02?E&C8>!=UajG;TFO6f)y}Pq(PTY!C_Awf-Wc3e|C;%YkI3%EA!Ro!IxLP8 zHvVybTCyhkr1o2-xgH%Z?LutPu$U{cMCTS0dbztlY|7W=xWDrj!2e5KhEv>s^oWIA zms6bdrn6nQhxEjdDs|l)>4+yijhc~M@66mE z&m=VpG?+JLq*|CK01V2lBksK~d&TMZE>uvMG@TxWh~1~}2I?N_$~QXQa{4^^Fug19 z$9DgXR8r71BlCM_PR|oW_RDYw1%d6SlUggz$-W5@ubn33or<3RQ;>Ci^U=C(#BO2n z1LR)5k82to|JXXKp#ML&Xdh6jT~WdBomog+Kc9>b^ z*bm02&dD+}-(TV}etWxiWoqKL*0Wo$yGJ}<`3X?IGNBEb1ZGY^3d!H1skJHO8bP|? z7i^3rGWgctm6_ljJoS*6EVAscmfSq_r;<4JaU|Jpg{*Cgn`_Z^QbFpCTIwC^z_bKu z=d`rTZ}n8EMpndHjxcV!=NQUzt97jWuNQ9L(OEZymJ8%OIsQJP`iV6}aJ@ls+DZyz zZ{4H~VGAu}0ETyb;@3J|XFC*<$_=qKdjzh6I2agoq@o7erL0cc*?zvb*xRx*{5eBD zL`r!5A>%8a1y64p&KoB)slH`itL!-XO``$*aFF9kRj2LWnL7n$D^R-4X{lYYp2}t# zi<;8x6LUEomJVaf?`88@_#(G$1PiNci-4JMd3d`yFxS8-9h@laC3H0TW;t=sXTFqv zDIp@n^HpZr#WM8P7uVFPnf1lSxA#tc+ICE<%J|iEndJz~@b=wJvd?j)232G%N|bDc zvs1(E4zI^cn;*hEm)_5df(Q1?j7aNQRY#4BD8#3$qBvW83s2ZV{{6N-e(02K!dUYB zE9%ee09}TeitZn|dl_cF6^9=_@tw)una+GI<{H1~J4KO90+w@6r;xeWy74Bne{=P# z)%9|UhvH-=f7$$2$0;Jqtfs_P99wSi_K?@ZSee;Z%dI|yZ*`VqGb1LqH?}c1+)UpJ zjqIE>87s$g?pkY-yt&n7i}LTG91FLLxoa&o zS~s7+J$>ElKy0p*y~_%6&x$Qr;VqkCW(6^2U$LF_S1cn*Zft?OgoW~4;PJ=$J1@+d zd3N1I9d6NZ;rwLR5uY-uAilch!j)%BW6l;6zaRf0?Jh3W=@Fu&qJ*K++NYrhicMp5 zKue#LM;((7&g$dwvuhH(b`gK(8)Br(=Vm8rtH}FW<12rCZfUMu{_}JB=X0;YxW6Rz zWH%cOKAFf-aLb*w?~r%WkT}wA`rUt8^`|+%L2!siJlbzYj%#`+=*IdDA8Z34%T+TB z`Vgl?0s4^@yCYmf-( z>D+=E8^@SpTuWb>U{{Q|hn?_3RQ zLE>7m@=(Md$M&ed*~i1C+RoCs6)B@$vKHLAaYk=GtX9BR=~U5SS1qut^21RLE)r}! zlnjr`_$?q@is9xybb1)^Fxu~jO&#RpGduUSr)Ih}NyhOswNh3Xo2AqDvUA>yMcYvD zWY71*HZugX(+39dK1q5dAUf=`tu=8+X$!jWAF}=*vil!`yQ5US9og`EfDPe65liZ; zmBG`)$y+hgYfCEKP|Bi{o1I;{16C}cy3|4{#?dbrA}2lHj+h3>nY+Ph0uq?ITu~v3XGuUwl7|VK?kBz3 z`SHg#x(**6AkVW;`FluDoPAXKk4KS=89{&j{2~!fpB^T&7*ehg%Os}N z1Zr7cQGpb{(lf3-cyTH9%$rn0{q0!+oeVoi5Q%D0Atp&ouoT2)^nQcOcf{!OPn3_p z0=Zb(B1y8myhyeBsoV}wRymsWQ!lQr<3u*kATfOjoPC6>~KY`D0W>fnZq~|7?-JzZ5tB%u=na552F0`I+rQ#y?9g z@Fxy5*)dO=3)Ga?r8RQkeRH(CAKFm0_LUaCpJ+_5Iz-&GF_(C(4^4ZmF22*aV;kvv z%OA1JB^e?Q+-~=Lmx#3E9EM~&R1X%%!qvW`>n{2{&gE-ZH(eRJioicYv!J1)E)4H( z{`zjLNZLHP`#rQi1ri_$>q};-?n@`l{fYfKz|z`Y2!x4C%X_=liL&ug$2Ek-Zu||H zy*9|g)2U+bRvlidpiIMBKMS{@wOoQ*G%!{727)p_a!VD>^VK2(lifGR% zCL8p7#KbSI?^v7qJ5Xuas6k#^R})^JJ{B6bGu3+*-!qs;a~{f5a7b&ns|JlK-UM72 z>K!E;*(CG#C(|e`=|Scdx+|g7>o<|)ALRDkEviLSvU?o$F>g6rQaUZ1@31@{$aD4z z@+c9Y;Wo{4rf?e?%@j+mb0$UljlVY5t@4E3E7G4Z%^j2c9u(ah!Q3&>_W58UQcmKB z@)=R@P3AQ8X;3s$F$Me;rhw4?eg<6Q8ITf0-Ych?WFQN^_o|+7t#OAv_;wIkP(y%r zqRHkqIu+c`Y02{KIGMLGs^`@f`?Q^_L<9dkFTb{<6Fuav(!gTs)I;f`RTGawu#9m; zVZjGy4hOTGo)mby-s}F)uP@z@;`xe$x6sD2M*q3fp$ zCjNwwmD;HHJgIA3k865;q1vLf)>F&w(J$+mOZB-9Y|{}vHJVH1VcIn`@utT0dKTRk zVZGYlpFPZYGCGxAWbmY#&kx?|vHyA-)Q+|VF9c{Y(#CB&o8E$alHO>Sa;w+!wx^Wydf z2EvdrW#fpY3cg-`GWLV%*+dMh{r!fz;{(tSkvv1>L<{4Qfq7h~xaHfpvoSr*4gpL& zFY7Ms;uzA!k>#LYUuWzvt>jc0=1RqxHDwucIa|jSL+a%_Mez~Y&?^f>(El|?^I@mqxymWjBxuF>1b6}DmIw;W6Q*a zgF5RwrNy9(1q+Q@{BD>eo7YQ!#ov=<{t)Ks2|dMUM$6UOHG@h8!etJR>#VjW3Am+q zc{S8ZIDxMNyj-=CqAtK)O6n)aePk0P0q8;(12RhbC0p3jhmEFirRF6P5hAxE&fpWN zqFcEi*zw!PN%`|Xf+*ZR|Jy9Wc*%Zr`U_*-k<{-XT$09V<;~JO9x=rULwo(JsaHZ> z+LSS2shvjdVGNWLPo_pw9;X|rui6Rjqd2m@9bVHgwhI4g$q8WT9#~zT1vx~bOL29p zB@Pq$2D-Wv#^0N77qB=_e$@L3ruGeN6RbNoq;(obgk0PoRu`E2Q)6bN&{kS(N`1SD z{Hu%Jch-BqgjD@4U!5g|PB;(b{mAT*fKQhWzXG<(L~UQ~jhJ4QhX1CLa5~SL*akmP z#GS>nRBipmtpRz9LPdIqQ~H}F-?aAP7n8wEPq}fam;Ej^dz~}~Ag68K$Cl22)Y*(u zWqtIGOKOCSQ-i=i$1|T#XHE3$f3x;~UXyj1oh5U=G`M)r4PW&Wn=>P$fPeogco>8* ztpThyN`s<}b-i_kjVv#VeByE0{nfG_CQPP=L8Sr;y@1F>45!W8tW>6GV+B=DA%yS9K>jln=%C-1xWCNEZg}w?caNMWl2gJv z8da>|5p{IN6znu=d+oSNex>u$GG{XE`UpT$*FR_y@JoY(Nr#;Q>?2Eml-76MUm9nx z?;A!peo_!%F_r3#&NxxLI@i>>|0LBtYvzD5fPxh3Sookj!8ei8;F-AIZA!VJF41Hv z1N>Wk9|7(Oy`k2}5<{#9(bEqCS5m%tzodR~f4Khkcwc7vUt`^=i+ZKv2#9Q9?9v+` zAWJ{sg&xz338nmdpo!t5V((BRM>W|->HGDXpnKh1B2;3;l)*^7d8GrUkrFG*&@JWs zlvLZTwddLBwRR@P4ue-R<+O<)Ml$te54Vo9cRa2mH_E&fW1C*lwk`gG&K#DI6%0&| zOXOFm$!giC8oY;~6PjF(sr1Xk&E%PY+{o$sd0#jk3DSbmcCcIPJ|nY!1(nB^Jhy-M zbEO5hnSAZ$Ysb1bk-NZNjAPUy8id|-1pVb0CuKBjwhKpCYTjCzFo+-L;}y{4_u>4m zYWL5X&iXqsQ0OkBgHj;g?49tFgwA9r!s^yzsAboes14k!L|bmF7-PW)Hjwi7fl9j9qd>v3akfatj^5HpF}o_ijeGX)qOFtnRPoux;@towF!b# z2sS62vGy+}Ls*{x?=44q_@>|Zb8XwHme+4Sdc`nACwL2%d9r2q``+)oRIynZ4F+mH zbW4I-2eHvhEPXJy%4kF{qcCHC6D}j)KF4-DxTfHumiOK)<16*HN^E(Dt z4Q+ed%OWD#f3?ht9=LG(Kjv6t9#{J4L8=wXslIX7JV{AoP)6P}fSvrl{LYuIr)2E2 zwzs$IO#Vqv0#jz%bVt{$JU=`4O8>^!(|fBh`|*B;KBTmbU8ndmFP_fO0%eW7cr^B4 zpamZLJ4`m*Wu&|r<;kzt&u5GFN?olpnmFI5_ z)aJi1)m{?CAO5Z%m<8(1D1H{a6}8`Dt>&wkD<`!xhXXv&`_xviAv-Bgj_#SWzWR8l z&aTD1U;tk{5lUx>lh^ zF#gE**W-tSW=bZ~^xzw%`YDD!f098&$1#ti=^q#o7e)LP^?v`+f# z3iP>SC@JPD{4;j&c7;baDHp#}8@T6u&^9b6$uPkgvgSJ-Z0gO^1l$Q=yV<;2zL*J^ z@|wJp=K6f3LqMiD(H?;hj~}GG&VckCz33N_;i{e#6tGGDmYq^;`&LRzG7We*@w?CB z3_7&kcM6h{+6iOVpwW6?uL+BTAp;x}rtJTk^fBpHxGw$8g_Jgc59{kSgY#~k!_n){ z%$qgw$@hUb6nH~!z>)$lqDa`hiv^06L)0knj~4fGn{q^KxUIcg!{p-n#u9912YP%~ z&L|Rz>0$Eo^ozk0{K%Vu^lW&cc?yx5+RlCATtB47pj#a!jMC+P1j>$`c0#WIsn3N=J zb;z;4bKrM0TUK##wXWScbYV&O72-ao{tGre<^sudW_plwXIbXD`lE)IOjxEh2)e(u zRWMjFt*7FYa;rw{lnaMtzxk&gC{X$uTt>72Sq{YqXtB|ui`_T-LsumDmWXT9eAcKofvQ!j4&u5PUMnJtPB)5oPQ zTfdo)&lSW^^WeApL6G1sQ;sT>4Cvklv5()OQCp?mF}VZKYYt<;+ZXQK0)Wps-h3>!U^CSX{4G@R`0$iLP?+V{8$j z)``zEs|P1kuRF)$42<2}H7^2rs)lsFOKB_>oL28Yg_~FTF$J`H?5LFNxXRKXiZ+6ydP8NCFs(6dTElip_dt_d4Hn z^LK@Tejt5_mcPcM5R`L2lyYz5;aGaI)&1VKzmp}W5$~PI0Vw&Z;Mn%~*_phGh}@TE zKR@|3xtjMNv9{7P8F9M>&0=i4_7cxA*YY#LQd;!<%}eE;QuJxqOCFeC?TK=gge8Ehtd&ZF{U4~Xg+D!a&|#`p1|ku>;DtzLiutDcqs zrpJ!G=!MhZS@_VpVHf%@(V}V?$ywijKD%M+tkQv6xZ9KZV`qF|2ybMYkU;Us*{(sZn04UJ3v5v>Y8S znle^&yuv(D%d*zZ{>*#pV;$-Jj^*O)yE;-&R=)Q5eN{icBb{#9xUTc9r(jh_DZb*~ zQRB~}UOx+Km*vFjo?zOj=yL=5bc$R6npqlS>yJ0 zxA9MR#|1zClL-6m=@@Fvozm5$H18_}<#J6p`0(kDPQJC$egB3}!5t-!RIbx#ZbZ>P zqy^U|vRT_OUyqmTg5h~bB}}Enma9Kd{ubk4_VswpCs%y?5uq8gnmTbmaITLDf;QCeOnCZ@NS?oJ*n8_u(X_3;QVj`CG1zadaIK^aulWS5 z>6hKpTDWc~jnqVh1`lTR-aeHyH~A+2J13ELO0EahNjpU_iC{~;&j7vsriZVH(*mw zX+L147!qi0_4EG4ZqR<5o6#TUGNZ*dcC=-IpYuk=9`C5YLUAe!Y9Pd$%)d)3II26g zuEi;Ud9SP3=#yUHT)J_%sFiEDD(U?kzX<#p6hif8hR(;M5Soam_@IpEIX*hHw^*FBx(KU!nhFKhF8mXv^hSbnIG}!bQHXMYARXSGhDuXja!MJ-s{=$6-A{G7%=Ho2utgPj{6G^Ra*71&VAEn~jqPDfZf>!qJ-&R6p&@0T1NiPjp4-#j&plF(uJjQL%rY2W>3P zZinB;V=Yudz|-QJ^jOWEeeM0{u*I%^(ZjQU(SLMf*4GbzEqt%gY2Ok|p|CrxYP}c@ zdRA%LbyvIUb8Ff^*z4=i@);HDqJ86prPfcagG4FCkU)C8P2l=l_`rRn6+M1Il>ngy zoa^%8#P>Mx#LKNi=2K<)r57Rs#5-tHmoM=tNG>cFAW{cZ`j(}n0PM~!Y7{U68DW<1 zsEHoCotl8;z_O(#5Eal;I4t*)Wc2=%gr4oe2)yAehO9SUh#Po?nPY|qixqg^0rM$rXm3J*z zIsR#Z4O4KV(xx~I7KQ)!<-qdJfEJ8V9a!TN;Ti~c6+k{k+lX+3Z(;XS65wpuz_8?x zm34*V7}&VNO|4ocPvsE8U2KJ7Na926mEP5^V7d=@%svu7?5yKyJBS60->wBKHN~t6 ztp)Q=BXsY?I81Rpz-QCh)K*aNI;B=5f;MW2$t7jUJvGn@WU5?#JVvsgNg#eR-U+L^ zm^u*9b7hI=U1@prS4>Y;?fOhZ&NHb$Kvk8ZzKVLoZR3Y{Gns3$SsuX!4HoQ z%7nO8ybeQzojHC?0f@R7>N@Go;4LiL*H;2^hUI^qER_-R#xbs&awPaEY6PFn14NRI zQAk<^palASsR=ukXG1487@wPAMWrlzupOXwsr7+VGM(iJJFgzmMC?ikbcYd=0`tqx zJwx##P`{^X#so>=e;L`mv@-(sjs%I2lV*uDY&z0BI1j+#x#vyr^c}>YkPuI0f;=C7 ztsPuW0`w(aEOa1g{NJFXr!lJXo=W3=OjW3&W#V@do1dS}K7yGq4q7c$h<^I;lo7!D zK*Z$>7(wCV9Cwh+ar@xWZTvUJ9Zel9Dj-9>XQ*m)>;k}&f7zp5W5c)LOjB%_cU4*N zH3?Hdf3L{xkU%i*eY|Pg!xBnd6S;%8#;b^6lPZ!nx%EfS5uZWv1u)_Vk$uJx)Avn@ z;8!W>K+)NGOUdU8UC8(ciup#V1%5Dv;C1DMmZr-a)NGyxA$-%05$Qc<>0|us zPZ!?N-#t(0FUduWO%dpG(m_A%`#lP7PklpLG_PzJ{Es*;sCZlBT2@PwCX8%+VCpaZ zbNj;{1FtJx`1q1-u2|&Qrr_o=@u#k4(Z0?Xup{4rA`j6f5h17{WZrzjhxox1wGUiZ zf^*}}rc%?Zln5&~&H$8p+_5<#&xQ#n8cKY7J;Y{5IXC~>NfDa^)8qSKolRom+3Dnr z;cx?#{2%pY!}SuiTaw7Wv+J(CgIx&%d2S6%_S(Z z^ap%VNIhI(V!YJk^2mi9GyKKT9|5#dy6*j4*Yq2>f=#!OpEZ`AdOkfykB#6S(HW&# zUtLRFG~DzRIBJ?cK|N6>Xm9$~{UOh2ZZt4L*vx%<4lu()8blH23>r)NN<^#M)5tQ| z!SK}Ym@{_6=fK6!z#`&9(xWAlg9ed&qK*&BU7X6YKX^Lr2iX(6|BA2>xmX(#4H z?ML1CM~zQAzA*SesMN0DS!c4_F%M!$q+R+gQ&~Uc{U8kLPVy-{aQl&>9y!0ri}~xGhNM|#iaZ~e`xCi zuAs*9@1XeGu;^*um?W$3~0Z5vSWKplfn0zj#69Sx1Y!#`e( z?1P7&6#R(~9xF#~ip*^jUZd1o*Q00E>!(zYxb%{)pt|4C2ZA5g53z-$c{cXJmsK~g z+?KPLS_zs7U;lXw|svcVK zEm`3#W{!f!gZZ<#(w=032euBiHDUP;bJ1Hw@&aN3=^`=j0lJvU%tq-rvPURXrsXet zOkQ1E-sFd~_;x5KLH3D*QtKPY#f#KAet_B@2EdCjiWN>mB0U%)g%#Pi{o0^E4W?4y zI7~PBVs{|nxk$zBPSMule2~pYSRD3ntPG#iayxjB;xcITqN9Q+H(GEaxp2ga4VJ~q5|ubBsoW5Yq!lGg1F5O9Px60L_u1|?y#InVhIr;Im0}mCL7-s&vbH_{ z5aUHQ47?QP=?3>e5xFEUotz-x+V)eI351lejq&+aNv?XVg(M7OqNB1#BX6fePe&kEU7%nwOMBg6j79(7-)T}`4#otvO z{W10r)G)t|7I7)BWfk4%I9j}(OL zh~R92G0cC2asGVRU3Iw_#Fubt#gb%Cr_3b;g%oy60G5m6`@EECPgn+~uC&Q)21Zdet1>7&hA2 zYJ}6YJAW4pGl1F5NW)s%Lbm-cxlZW#XNf{{aG(|q{p}L6mh(i!ICx>2z_oE4x$RiUmFSTU%&X_W4K}U6%*|cv1PfMLdp8uD7UL>rx4UtgSA$cp z`wTSyADJ#pgK~c^HRlo=$7)BG9R4stw& z)|p&&yzM;Z-%$gk=BpD_wC;70jqkUmMqrGHP}PeHT1@#V$C5LjxMvmy>XTUw-DB=t zmp1h%JQs*KXNze0-H|o*7=Bzv8hxiqbe?(?l0Y!=+#rziTAMUNLZV&o0X7#KAO93T zjDPmoC_r%jgbE){SHZT?S9~2OL-sGo?RA@6$N+a4WZyk;w%7`P;IR3(=e{p=>s~R- z0@-V#v#`4XObG9|hxvw57k2CSfiDBd2mJTupTV;J-3;q#myzsO?r7tH&e9LPxEpzO zRDfd`YoR*P_8d^1mnU!h3Roz~9lkS9*(K#FTL(Tn$ORlZJ0TEn9_tPlHCkTu592MWAhA9D5nI z%*$lcH*<}>o?>TAW0-?aW}7VsoQ^KT$QW5}`8vfV1LpkErcc_e)u1|XE~IDp(#_PI zc=XPE&f#6@EEuC;A7o@%$U5mT6ti77XVBh0tMW@Y7Z3ht=Vw?=m*tMD}^)w?^I2^QP$YdyRmychA1XLnqZe zbE?Bf-&QFrI8uuhG6Bx;-TL0hRKP6(>0gyEKbJ0df1lljXxY|rE{MLAIZ?mQ70V)X z61j|s<{^qS@`?;J%Hhvf2+qGRL5&r3O;bi|ED~B*W3SR9v&y-Hk`$PDRF3k_b4zK$ z9rXqUdruS7qxN;Z!7-@`eCm@oMb4lQ&Wm{roQp*muKe%3N|?i4=Or9SZ@1-0pPVb9 z^Ie!pLBN$^ZP>~nojmXOnU(Q;| zXwKhPfha={11W~Lmn%`sz6aT>8py>=w~FX4SG(SDP*xeyGz`Ss(PUMEl2TGwT3X2D z>`&wOc(=v0SA9_}EDtdFBl2x!9ji3S6hW4KAybwb>dk8nBW&o%<(fyf1T@28EqZ(_ z4~pkNuaP`${k|K|q&=D0yVcjIB&0oA*|&~!?=!rhv!z~_6i4i9gwMIq1T&-KwJj|wT$ke4*e}UNjhBE z`^aLM{}4r#k4&mo=!dS_3yWHdGW&zra(sD)d6)m7==DP!<$i_y1|_5)XHt4_Q5x{dp3MQ z=A;L2^7ha=5LV2hx-)y0d%eMZwo8%h z8zVgHZy*Qe>HA!%lt_dDipyJf@(}+1!rbdzH3beoT8~op-eFM#0cC2ga$<^_#7o}p1UC$_%!I=!5@ zK5Zul3@%r44cD$d9E-6_ex}1JMtG$2hnS4p!mj3_@5hWh2hqEp9pH7woSefBb+4+)#nzN{tcXV!(at%<*5q_FO)XbiO-jQhq)!5A&gH5> zmbz#n&%T_;$%QpLA4Xh8r?(@Job<$kJ6I%6j5r^QmE+v=#=ikyyyQ}&gDJ7Z%DA$f zwUPu(n(C^a$=`+@8yuNmi2#0M`29KRx-Rm{;W#oVC>0o zFkJuT+CYQpp6q7pDkQE6Hh_TlY6((o%Gib=E6j!0*-=A2X0VCbB4 zhOP=xO33+Is;;>K9$tH*(kQl^Csf1WE8Ix}mays4OdPsu@kcj{qZi?gm-MhQ&0)?U zs`F|Ih0%mvFpVIS@iK#9uUJnWI1R8r5@a#AWcisRb!u@+i^@r=G1eUR%j?9;(VmE< z)Gh{^A8D;$V0;gLenwqf-Hw{VqxM+l+D?wHQ!a?VOb(Hy0Q8_JvFrb+QwIBQrLVtZ z`}(iBQDkh}w$U4LdzW`t{>A2F8KL6fuqvIdmGeaBCh)%ybZ(j5)oYr@g?*Uz&uH%7p$qn6 zxjDtyLyoXR2vnF&nOU=_wcc%`pdX#rmrTV1UO`BW9ykA98dl23lOaS805cV3eJ zSHIIkxQC4c#(}<@c`@J=!Dms#uR3g%3IH6_QzLM&Fcdag+!9u^wp4c z*JfWqd$=)vZj>!n=+2MCO1{pUJ*x*R1v8k3i3M5^Mk5|mg=klnX8{$Tk7@op+$P`368uDnD z0N z+<8)*{hn@a_ZkXc_)F$aP7A;@e0$lg)a&>p$AV#)*^9vGeNf_B-Sle)oHJoFAajLvJYKUh{oV2F0`(GEFflh+p7)z{S^7%(6R?Bpc>3-|}) z!sn1Zwhl!jA@30Xl*SC*Cb2LFz zar7EAYj=L7o#otYYN)J%3*cm^rdBL?`)021?LQCEWRDqt#1+Qgxh_xHXU;Yy!AkyPOuXh$w#=w)}zK4+(81JCZr%`0Oe^+N3l zVR6^`Do6l%(WnJe3pEU0)P^kstM^WbXlR6P=phW1l+y#OMEcpd!CO=tRk4SxGB5+u zEnHu%md71v3F=muFY-#IuE_stT!5H(q^MNUs%@Ybv@>}<15Vd8?z5n<^vZWc_Mdk?DHLW(q*#8(- zy;f5;r=QR@a*4sL!fz>-_H8~B4!uWw?<|Qx>0E!_^FwwIaIH)4j@C30c9sZqK_S;K zbF^o_sqPQ1B}O>R6DjZ$_jG`FHd-TxRGYv@U^RqsUPN!6HsU(w*R{4YaNq5Nx zzFOSX`C^B*{sA{Pwa%QW8N(MadVIBM{Cq?;+*F+@v-%Z2U~%l`Mqbpnu95so#g!dl z1DsO%9|xe%UL!mG64G~1rSvdWB4UhwmUw^pJ7a!6r+J$^rn;}rrj7=o8@fps4*m>H z#n9OgDE`8l3-e@R{^ZTiQ=V0x;ek$7zh$<`K{tugKE<(dp~0^_?LpcQ?1{c~2TZLC z6@^cJ0AyOh+ULtJ(pV`DgLR4Ae}M zt||Q~?#k6o0L>`YGXZKqmKP0cmFkxAq+Q8v1qB~=u`+ObFc!b=R_WPu7U^0T=h|~P zV6za6U(8p!t21iH7}l$h{CG1Dn;AcY!b?puDeyj4XP?T5_VIQ^>)=_a=aKW1-Wm%u zK9>_q(PEFs*57;`0P+V$+%?k06CR?+i}ZVNO2MP5AQ21vZZHtVv?O1cB}H;^YT zx5h9Dwv9{ui@Ow#XL_7ow$m4AHM;&!iNa{@H{Nz3hqj56zB+%-KFB+_adOT0M@6HD z_yE(?+EK^z{R#_OY(ylu;G@UYH&=eJRbTA~by~RP??=jqiEy#|KqLeiWW}j$2BF##I00AP&;l^{q@d~0xcb{9#3x5Orsx*3dX6k z^?BNOq5B<$O<3nwKZ9;Gv*6cYT5PrD)bb41CK} zOZKWsaNV5!nOq;SwH&Y2IP-^pjP&0oaFfa67{OzU+PLu<8K!NNT2NOO?!hV@J7&dm zo3im(Eq5R~v1zudeKB*raX#(yhsl2RPwd23WE=1_3wAnQo_ha2Y#rGQ4od!gD2QN! zR@q-ZOulWnsnR|ZL}2Yzn}zFi;U3w-#3s<_zys3ja)6!^9m>hS^?&&weZD0=>I@jE z&fc5tC&6&bDjoH!U!9Rfq}K(TeZL_vai}kCh?=z2ORBzqzNAf~e5;qsy{I!cqA0&i zc47pTJ&;jjgyc23p4WdPb}BNS7wO9yn9DHL%DNH-;%L`%umHw^;rhnbZ9QH&za{I4 zF)FHJ#*HLQI>bUi;fOhqN&`XhY6P4m_l#DNIOsRNYOBrxaPY@Y+Co?0hI zLWw^rLPFBZymB`eI4%dV=a&wnrAJMI?d=EdO!j-M1#?%NS)Qg`wqw6#UEGK?XmTM8 z{32`&9-Q>g!`5JD9j|mSA!m2@&$NO`H|D^i4hbUGSNe=`!dB!bvCKFDPPLu9ReOJ1 zX_*f_=v_m%Oi&ZVQhjLS26| zjUc)`__@W~@9`ZZr8ubT3R4r3JFxsw+IxHhX@>){<(<10ax%>O+2S$J&}*?rI^5jy zo{s;bn$)vFJkWlw@{h4k1sYxD7U9Cu8vXjZF>KXWQ__LN!#{-rxW0$5|5`>m6MA7S zGHlmS{&9Wcq30^fytF`qs?Kf5&wW??*f)|BH)UWs$YY*fAgEt)uR0EwzMZ+c*@;U# z_CA)ZvT3AY=I-;Q-;=Ecr{mmw%T1cs9gBHAfO~PUG62pW*}piB5Z*>SEe+9acj4+o zIa2)P=E?A3G^)i0zE?6`G}`^ZntW)>wLSOBEgk$BpVvuil!_%)E2hR62@$Dx$qBX! zRO1~@rx#tpk>yI-h_HBH<@1?YV{FZf`trCy)Z1o)Po{B8s zP&c(G^Gj#J$NV4PARsp1^Io53eRSYDKIXb+K8W=oi2~p_SiW1h1KQ9`Z+QP^O#weJC)N6&Rs%+dcu#k$mn&2Ei&cHP!&bl$NJau+Tq%mBaQ{X+#$Tp89vdb6v&#eYd~w{ z1x*oY^9t*FbLYE^7)$HN7#|iR#!A>WUXhvNE8-xSd625<>8(8XK_{|Nq@kyOqv`^Q zOm)N^39C{Y3oFfVjy%z3OLEPch4ZS#KlWY=(;BrfeWfSriuih9=UDrDN^X6{{Y=~o zU90L_p6T-*1D3s38zk*9U~(JLeS{Ugc?->h37Xc6*O#Y6W#PFYZSn7%y_>5Sv)x`1 zRAIf0(xr|nkJ1bmnQnu3fZHhFQTTA1 z@;Do_H;MS5kg5c{r?zuM!$kSI{oIK;KU;#=BP_h+J|y~(3`Anf*`m&5u4Ti>z12O$ zpblK>?E%9%4_jf33w?3?rD@=|i4vCPm}|v{&Rpe{htR3Mu|BGbPHVkQr09xmw&0d;GVi3;)=?dNo>q_`{KHK0WHQ!t??XK}{Te0c zd$i8`bn!aHcg;4$BrfJhJ)N<6avxE?I`86-qmj?rw~mgge0fhK7*U?Slv0G6pN`BQ zswuNh=#G&3S4D0qXsYk=xHcxih4ONhzQtN zkPLu>Dy8)3q=%11jbkPKT&(osH|nX4Mxxa{%E!C8*B5nbrQ~Yq2q<&mm8UjrlHrLA zY#Cu^x?RK5?9wmB-TsU7B%T-VX10OxX>IQx@tMBce&`#&$5TNbm)gkA9<^wEsBAc>a zQ24u2N}$2+!A0}@rUWfd1iwOh<;X*Q&yJ8R1&wPT{mRQsrueyEqn(GGXGcO-jC(_~ z+uy`5X{xi&DaK9jp;Ksl*9cKWF4 z)BOV>gQ=JAS!LQyuT&(hXuyN^KuZ;&cIkzSo#$Uca2QRUPa zeBVwmDSqS_^I5RV@AohxXPvnRl>2sg&PIBZB)fuF1Q^K9&9M`Ejd!^WLfJ>3fxeylK7^EQ7ZBGEjn|TJ8)~a_m7Od zssjHawm(rr!kr5ZwU?zx6DHzqHRlG&SuST|srxD|zULUY60PjNdWH91SyVquk~oJu zam*Jp;`Sr03aAF&Nj)41^atgfVFeGe($@vV zG#lI<=war9s@nn~^x6Slu!FQ{qQ~)Wdur0+^wV;3e#bh5Af3OTM}T_6H9rlQzh3p@ zIbrk4xP=9f)`p8JW__roS_{T~7j@qsKF_GPVEq`)E?ZkQWtObcZ!I)x+9FcBxJUU@ zZvFUU#$ZI19O=AYbjC{b-~FYQ-hZJTccg1o!^#9Aabu|^{1<9zO%J=bFuEr4t<9Eu zJnj|e$dFeo^4h7$$`&h9Ep{^&Z;{{&mG5 zou(}`X^d(?jdu>u9HKFC1I1A$J?5yM0(zcSO@1DhhJ(t%rfV38c(0B@s%mK2EN~ezWw3oFWyYiKnhkS%j0C6BYv&2QCgVW3!L;J zH&qrlheg|U^C!fPg3%CS;%*2__z1W>8R&p{fVavf`FQXwt&klPDc$N>q~rN zxhxjfsbg(jf)*|W5fgDxwgMV}p+}s29_(lH<{rDFn~$6&y8dbNyf)YXy!}o3hh0|3 zFUD9$_XB+haWG@C-Xs@QyPcG$c$E`VTtHg~q;eOCt6FBT4a#g@OI=`UrO zPCx0Ad~^(-Xx#JI$}(f@h2w&Exa-OT!N!_EMrv7>k(gK6(NA;<(KONd#8h|vhOQh- z&mH>|5|I-30r9y)b~NjWEvP&=-yI;o=hsJHvhOcO*y6}Tl;w&_W7ytT)4IWXvu3!Q zf}ur2ZZ`QM4u;?6#~G+NYal>ilHL@pgsk)tf&v|{QdnIgDpcW^P8t+}ZAYtjWsjAiO*xxTZv`bG+l8@Zn-c8iub66g!T{cDlQ&E% zVT*IvebC<=5O4DPbeBZC1_^WZ0Han@s8xB|H?!_&XS6w298`1V$Q1IvjLVPz+v*1i zjN_U~O7CK_^xC2#x^6xvkw*09j6{q(Rp<(l(;b;_~BdE>0gZXCy)AqlWRHYb|3*%T^BA22vi?A32@f5&HK2>e_Ry<*neiZqataAas!&5zh? z)Bs9*ESD5q0Xw=xm!L@#c^yLkq=^_6udA%M;jvdN<6#K9Kxn%P1!}V{MR$y_r9kY8g()Gty z@h=zk%Yx^Ze1^Q3NoV`baK}<2x%u1XIN0x1TV^dqZ#Ud{{Vj86s>c7cV8@%n7Yx!pXXT)4I~s!KLF&Ha{K0aCn0|IE>v;G>__=6sJlE|~1U-_-9U zx68ZO&TFmOq;9RvYx@=xCgpp`3~q+{0x4lByw8l6>;t)_ zc|5%;0skaU@HJ7`sIs5>*D?9(+>2?ljQuH@gU;LL%qkykr}+r=3JdNiH$83Axv`i{ z^tOdlsxTqSzTPI`+}z|d_6w)RV#tG{rYoyMSt&*m*@JNww@!NbNt5=?)6e{E6Nzmz zuLqe{hb|8}O$~=@zt2#;-W4|@;!_Lzslcsp>2a*D6lb`N(pc6c7BcqVpOz}M9Wy$S z^m0@rjd*T17<)~4Cvo7y56JpIBgqK-CFv^3Ts6#QNsHU@YAgLmQ|LyNnT7jjtI(If z+o{Nw8-*5L#iHXCUVYYl{4K#Z@v+LJQ@X>5XV>7W3p^s43qw zn>bIsy`W%R@4gw~{ei7?)+LCpVyPwJsqgz1kA_?9tnVYwoZh6wD@h@(Y=jS_c|dm6 z<)j}#iRC(Ug>UHYmsHPH`9nOAi?q(%ASJT<7AH*+lA;o?J-v_I$|uVHR0Ab6B_Zx^ z--N~v!wRVCDrq2Rztn4257!bRJ0GREUJ~CSgg8oCw)n}!8>jkK9kyk0Nok-@iijK= zzY@Is=b(wcH#)(EnV|=_ckt4%Wn+keiqT#f1Bjp6!P4I7@CCoh)KLY!6j46gCweXQ z_P6SBMRQ7N?*%oq3qv@Tm>PJuNbN?RkY@^!3--B(*nf)io%LXC)^CWe<{O+!sTN}% z*iMxA{aQ?}#Tx^v5lC@q7$}h)QM< zK@CY#MOG;&b!|Bc{saJ}V(32-Ifr<1PcAovFMaG%r}ZUXFI*#GsCa2Q|ByIc)CsRn7?2bKfU0{eXSP^}mg78$4i-*IZ z{O4b|U1SGt~J=;I^iD2fr*0eGcmrc@RAaa#PC-A7hVz;*IHL2+Em zK>&{i5i1G6uyIg8lvQmmhy(N5B@jzx{1bLnV>x<&Fnh{volhRt1O+aHp^i<=g|S@S z9DBj>^tF`(@$~Y|#)-MX2oQSy!q5fZ)t5ycgxy#AmQ%*z$Il>-4_bC8d5ox@x-46J zp&=IQmWn)%ss!`-N&6Z8d3fgQ+wJ&Bb!6snxC{)iaYI=(|H2)C-{!^(4qXj04gd!? zw&P1QkOa`+Jki3a0ho3=p6CiKXrc}@=Ct{RtNMK%Pi&<;{D+Rh3I>`op9aRMX6Lv!ld4ZvW%eZbn)`$4j zt_9LjKsR!uSBY-=y=B6m*4l-=O)`Vrox%rg$`9wudFLvZ7&M4qvO602M5kX`<7U$) z&n@P@q!ksj`=Sas9j*L$U|tyi0=4b2?o!1j&IKVAij+6z|L-&HE;VFBi&qegC>|%A zzueo)$A%K~BBCzuUdFLh_~kG&n~m?}pBkAM^&{E>w@vUjCX<~JLbNc4%2h#c2{;-E zBj~J!B~-4C?8Fe((wGm~8GgjyY0PrA+Vx152i119)X{Kh)Q8w>4yCINUUH_CB7>NBFI6LSs;>)nG>^PbQQrpl|U`Tid=~N}eri<>#df%u2WnMRWlK zR~1nNvGXHZG>1Lt5?-b;k0-Wv+rnm$M*08@@Zp6N!RP+s6^(%l8sp+iRhmF-RHmqi)=sbcPv=KLDdRq zEJo-`!&%n_W--LFgSpCJ5f+p0XZG!Hv$Ir1k}<+{^(5)-D2h{JPFN4z0@;NI5_hO# zXNBgdD@M4LRxJa(qLUwQ4vlhyk>eNwaP7cef(yPxr>hC(Kq`t%V7_E$1QNe8+M(qz zDkv?A)CsXP67sX*=viW-$0>k|o!!yE9}OnXnn9BM zKbgvm$n#olyjNP4>FmdzU;S*ja|bRZE%q%AKp(m?wXS3rTP>yyOxWDzbQsskn-nc_ z?RG;Fs@*(#?z)+Li1pz`7+98`aeu)(IoyUSetAgd@#A+k^u?MSWvp@xH?n|y9mD6T zM_cKGmisH~Nv+pGzy)A$G>0UaDe8L2jBf0oS;6wPBTqy9@3zWg2$r;J@hT6S%Y|&U zi$n)CWS@c(9D6KIrA}y0Q8I_DK>h%OdJ6-Ft9mjzK?LJzU@-gUt?`o!vytyINm#*L zj_|$Vz)JUCtjSoxNt20UbD5K@pIrg_Eafh}XbAj;H^)MJ=X&GY^U)y0MD;XO4R z1QW5ZwYDxvbQ%B!mOLjw~v+EceOzWvj@%*$Ks%HTh?d#h+Znr zV!`VM_m(y#?zMNpKDcp7cfrdc9}d+KIiD5j&qOO?U)tOoS=!h)VF@_Vw)a`~P=P99 z?-=f70ckaanI@#LC_&Qa=?dt%?RaB#q!wJ+4LRN&unr}rfmBogwyHPmXZBM#;g&!# zo!8X{_2uaS8Ex^Lx6;g=W-g-l?4AoXRD(=-q0|9M7yKp>)K5Vrjjgp9u&CcR}Bb-JK)Z4f&Fn1be| zd1=acp4EJ^Sg&+aA1|T03KUEroHm!r*ZW6e-B!q*!U%t*;ZS}aSRgnE$;o-zejCOJ zRcY1HGq)|PAva3$J%AcsOV&NW& zU1Kw>nY1s<8#qOddDtug?*Iyagj9fI1Q`FsPKVGany3e>7c8H8)>m_oEMKY8I$c(% z3--gZ=eqRqb~B2RQ3L)XpIiXWhRrCzK#@ZFn_Z?0sNzod)l=Sk@%@pUSDq8G2?vg; z)CdlO<+>K6rfPFkSrG-PiCW4LC$lqvdr=F#uW*5g0gM`4bXGuD{JdE9nLqtsduM=G zPX`X8%iqXgH-x9`8t*vy%4+)al!yzQFO@IDs6Irm8F(^AWzL^!yKeHFw*|_r0#rK| zJ#oD3tR3QSJLDNa^qNL;wo$$+3z_cC;(P2qR`fHsW*<9GfoBMD^KUeSeVjG#73yry z*acR)-d@3S$MQjTqR1sI_qME&Kaok}EPp}L$!{k7TzPI9Seeq*XUdCx>ab9qhAUZ) zqJ+b5_Fs--yE@`Eu-?#XlpZ|!7UfI0|2=FlajcHD<0#|Mopz{Q1Hnbm&B>h9VAL(y1yNb49 z3Q7WI*fr$0wLef&py+_Elczna)>8UoMu7qnO6(O)*#r9}Hs8)c zDdIN%yz=)U!>T)ikLg{%!bPg9nuMLKZEn?nV6 z2Mo>;VK2i5_pf}GYf5#PDtK#w3*@h@@YW?F+HG3D&$LH)<)P~m3se((u5rM$X9fOu z1jG;jpGV7Lhy^4G*(+!UJu>-uWN?-UjjcPebJrPtE*Pud6qg}^A&q&5EoQLg_e=pd zcDI8qxk{HVI0Ji7$IKz)tzI7G)nWy3V$vB0q%jm|l^Plgo>$2AVs7ZxA5SO{<1fL5 zb402?arubc#xLX*41=$z6I^H_wh1A+T6!bps83(c1jIs;?q6fHxqwmDZz5LJIOG|hnp zm`WbbY#Et!f#ejU_?Pri7+54$s)KQYgX)C4Y>=|^&Jo|3Kq~5pzXJLO2y0qFv(daR zF(Y)aZo?ZKq^$%-ZO+*q0Uet8|4&VH)$#L#PIZz)nHh716zT zr#6>^Q?oB-szEYcn+~jSHv@$Bhr_*0ptxlu5%-LH0GydZarZ#dOL)fZ$2+ARZcx_d zPHie!#Z+8S6#0x@mUxz3W0%$NCt~Fim`~W^EU-5zsRJkX@{T;d#Y~Bp`dhAFnc6D} zEQLPUdL|tdkem6QKn~VS=C}h(@Z2`QbeE4g{E5iD=1T33pP?pozxD~>yxKT&R<0M-r0lyOf%scOS#2n$f#E)4__ zBY0p&>IzrS5ovYDtBIwM$&=^I}sDUL~~EAG|eg;F$i!9{f-_@^es?+(?*@7 zJm0{niod#y;7z?o)DRZM^hC{z8AiJ~6sfk*y>^lKq4X;M8Lja;4S3r4+=zcJ;G7px_hp1!%m8c=*is-L2ksOVb z#Kzb2Cn^AYj8#NW(MaWNvG&wwy*tiIdu@SYFs0sP-t?=byV0pPPRFMDC^u|9bJ9s( z0!edByf1t?EP4sNwG?=lnA!VV?+ZB89?kt|Gt|uxJehn4*NIi$SF9GAdbLTe$pJPu zJb0?_#rnDjjCc5rf5jQNPBhI#gsu{n-rTq7UGSCe`$e5T(jSCv%T)(&3y91<1sRWW z`zv27FWRXK!5a~w0Nf{WqHFlp0F5uTKuPK_QU~BWxN1TZ-4y2iefe}J`1OfWc62@e zlxRcN?qv@;xVfGpD#Z|f9nDVIC+p&O6NnD#NR9$(1E!d&IVH;iO3$|Sqb9bF90TBj z0D9W<1#){#4#cKuy+pi%h|g4!B~`>*11ZAj+1Y8IZ%dWb7UG1_u&LMGyV7cjj_(vc6I?VH=L4hYmTpa(~UtL%oLJI`f(rPE7y zR0I+d@eo>~2p|#;JEga^dr4c<)b2DqlB;!&Xdy=zSWH^WB@%*u3_r#YT(~Vm8cgn% zF2X67Ow{tdGw5G_ohJU4UH2i&?pc%jhi3zP~%XrNA?`InP%fmj-H zpW_2sK2u$O(6Hrl5kQgWfxEi@5&`fyz?eb*m#H1Vl8GSY0y4H-iwgb8&sss~6kA6D z-aKLnib#OjJ+Q?AVd)z^cmaFW6m%ezIEI7V)CWiOX-wpJC|D;4G!SPsWR)Unf+3Xp z2n{PTJCy3F^FRgF+=fa&BGs1|Vglu;{WRDBz6Bt3{(sphM*{)yY&n0aVT5i#rV*(Nj{s^( ztF~PxVVh_o(tmX9BrSDN7pa$2i!D7U^TjD(j1p%mdh50p6z3p}N5we=wFUBLexaSS Ql5k08w}ROeoV@b?0JiXLcmMzZ diff --git a/cpld/db/RAM2E.rtlv_sg_swap.cdb b/cpld/db/RAM2E.rtlv_sg_swap.cdb index c81aba49a7101ba42336ed049587869350acfbe1..40c58e914baeae92efdf6d733e4dc6149058b9cb 100755 GIT binary patch delta 418 zcmV;T0bTyB2CN2B084nYc17-_tjH`kllO`4|Zyytm~(og-~(+^H;D_t44!ak&10*OatW&Cwdf0J%1hF&YuW$C>z+sloA7XBiI8@ zOJVI_4u+jxGmOG^(?5u_NlI9=74`b^hXX@on&T&N>3-b0yrA?=nqHe0`WjDg9)gH* zgEJG>;>St1lkq9I_&=QvlPi;~0UjJ3`w7pZ$eYI$B`t6yXF)OH?a(j47`*X*9G%6J z<^d};ey}4)x?>|M&qgI$5B_O5=qRZp6DJUn*pZzeQSp~49n>0<+S3-boo>TfdO|MJ~eT^sRgdk#k zz?lha@#EyIo$)ES_&=QtlS`AV0UjI``w7pZ$eYI$B~5T7XF)OHtL> N?Rp|Up8%6a0_HqR%ftWx diff --git a/cpld/db/RAM2E.sgdiff.cdb b/cpld/db/RAM2E.sgdiff.cdb index 755737dceaea27b07ca3376582690d4a1a531f18..d7a76a84990e6f3be14d87f116169d76d519e293 100755 GIT binary patch delta 15926 zcmc(`cQl;Q*FPE&q$o*5bQ2^}BqSv2FcA_&i;zSM5(Gg+H`)_jbU{Rv(L#h6UDVM> zpF#98`d~1a!Hj7)-}k+Dt^2NZ?^^eddw=)&L#6-yd)3+gd)|75lnlzH?ZFs`Cs0X6 zq+P-H<9CvZYYbaqTM~-gs*i;0kZGpoM~i>%yw8>qd}jC5R`ucq_j}UF>$XTjrA^x= z@kT=ZM6wgpom0Vb;~L4COjqviRupTuZK@_wRc`Q#DDecq;zx4Cp4i)GQXP*xHVfG7Dg-Tm(4xO z*W;l*ux#Zh6aQ}p6U!luI$hfjqM(*@8|c4F4Gl~o?iaq_;sl>~`q*`~p{t{{n_RWy zyw-TQ978;@UbF$PJ>@-CVcgl{ptNymIkr>5U^KbvN0+*+1=cauAVQ>W5H_9x*)>0{*npIBW3q-a zS@Ig%zHCE$4iJ&%%;SW0wb>^No~XYNCE-#JdVA@^5$*@zuPp7DfN5FG7@zh%;M%SZ zbXBYyHLyO@b+YTEf$F)^6A;-42U;f1=r^8wZ#<(aKldchN=$ot1e9aD6g{LmRgcA2vrydshhBNX&A^sY>QlY^mR&A?91)jz}8&(%_D^;Cj-AJ$3 znXq_IL1l2`%r}XIJ9ftV3pq0pHYfbLp{X0X;v&I^H;l$%^kxmW9hLF=So{? zr~_Q@hXw_|Je&vIl$A0W-S_-*Rq$lYVy^WaQ{ET!JeMR$?4OTppR2>5c*i$?e~l&~ zBa@j~F9FUnAsJ~(ojboHFP~AWhQ?ZHKYpnsK=9zhUA5f2A6{h75~^_dR*GctRJP`) zv!S&WO-o7kT*$6u%joKQu|B`}y9;d|?Wt+`wFl^TBkEzb%b~*65 z@ul98lWL%K^}jEwe-d^DV(z}39$(@S_~zeT8=VV?sLin7+GJ8UQ*ajSEPgk+t;DV* z;i3B7-ty%%dR&{puyXdg_VwB{*Pfw6vp(N_1Iqf?V#IU+($=HeC0c^30Jv?=RQrOx zQR9-G<>6@~^DeZQy9Py8z|{?aS}fB~w{xBF%y#8c0}`*#=CZ9ml-gq*9^ zo)2+UOw=BFd`IQXw9*?Zc%Gj3kdT^rS8B~q091YCFjbbvs_pnfwdO&hn~7;;ZHum7 zJR9@pXo_6uzngJrjV~qV&c231Vmz3I{jad62Xjr&Q3c!kJ%YlKkISAxqSUE5fzK{L zmAJ8(Lzi}4br(ntQf~cML+xjo>Zk5o$g-d#DX+n#fAzwFm;&nQ_|TFyZbKg3_MJo_lLHi=-UXDqSdTwP)2jjZYa9i~^4 zC~srobnP2nRcO83)8Uu!$6o8R#;B#<=ag$x0=3%sUG_(BYs@S9Mw5$l(qMVYz=pRF zSbc7-7bJD@c4Y8Mdf!29;XTM)$79~JFb~U}UrUQ(wj!a|tC2#iJ-Fe83D&UioH@?V zRd>8c6a+oZ?+SNHD1?dCKIrlcEih4z<+JUZ)SovDf6R``URKqrJq!eYyS|t`sIs}y zr{>)*w|{Zcc3aik=GE8ett)Nw znsJHz>~^+?oC@|r`nm5PbX_IXV{MKLoRRhoeUql{9-mUBJH5^tFkSq{n>Gr3tt|T^ zJ{0Z|UVQiY2FIUo@BKF|bDRzKb$fA=fFU%$Wsd?ApG4pcxjpcVR8;*%2$4kdjLwp>UKNM zPQs1OIpKZIP@3rP#}Zwf!Ttl_PhHO;M+Be{DxCA`>B7`Fe}(pns{GYK%hZp0T!%xo zr88lTT27C1I9+%SmM=Fmd)`@@x%J_;iT8aogUfy{JU_CtIQ%Rsb37i;=4~M!yW7V! zj15(Z&X(q9p3aiYK4o}t|NSVi=Wlk$>}6zaw1EAck~0SA=)bN+r5)9RVv3B*;%(S( z9aj32XG5Cu9`dst9q&1HWNB3G|y>Tw;B$?sZ z(3`Uwd+e0)4^PZp zJE#5>U+I65HFDB#Ugi@l%Ki<#bpz&RwwXh#d zTN2ZNrLbm!B9#>1Gw|w>Kw*21%T?)`TR{U6cZ>``)p1z|CWF&GueblpD9A#moU8ZJ zx0Gxf2!n=Zt)W#uh7F`rNR(mm9Ht_!h)u&BH|uC>Y=$|f)x5PcB7@==ExqI}HM-(= z!*2g|{c=RLY9A!3XqC(-k!K0=8kQov+fAO_vuMngsyVNXEC+H1HMF~EcMCloO89mn z(CAnidnCGNRRN2OnNzcWDx-1IC(V@E;Nel#Rj&MZSm)B(baBVzHFWOpx2-ZBq{QwU z5xEVl!+F-5Z<$RJhUFI9bu2E$KNOx`3qU`;bvv~=X3Xy0`h_w7hp>g#8a-!~JinhyBSSDp&w}&#ygvWP`Mbe350cNxub1|d*T0qxTD-|%YCn44vkQOt zK8F3)Y)Q?1Q&rvow4A%o?+nxPA2d-_QsUVeCxSJlY%^GrpEAF=8o6Q|wp@ET`N@}1 z$6J-6f+mhR(WNTS{yBAU#+ZvzW$yVyp^?rNy_Uj6D{U?%b*ULCPfViTz{c$KML%6t zVXNH5hhOi`<*Y%W<{UxJ&*KB0Qji8aH3{_vn+eqxHym+2%PjpDKE6JD`tHAcZCPTn z9_MqQ$w6y=Q&=tGeIbl1BrDe?=%TYstWm)JzO@AA-k-0-MVMNBOYN}bb%neHd){P@ zMPxZlk^T3}f&T9C$(d=?s***>+Lay5PO&gNi5=EpI!UwpRbbm#`4Nx&GPw?wFrB#pDZ*QwR0Xt{C~aK3f^AISZnyah>b;EOQl zG(n0eEvLt!ruT~7{Xm-yx9;EAaK( zPJ~|nyCvYj7*P5tbsJoC|0cOt zT^|N;ca5NQbR<|>I2eo`bfE21bq|E)7%+b(MUm=KJ=(#oOTHFMT!x6c-PG@){fygR zbO##tJ_3V55@eF~)zPnqF~E;B*s)BAyv=s~ch7p>lx5SGyqzCj@9is_b}p-*eg|-G zF(paIzgXfVStY;_ClRqoO^xsVm5T>KuDq1Y^})v!hTu{ep>c1aS7toaaP_9-64xu- zy^W(2u>mgezE6bi%0=86BQ*(898btRJrQ%?d5x==Liuo;FZ^eZbi&+u$fB=PKsaJo zjK0n1sxa<*le2hM`6?Yy>hUNXsROK_^HXZsgUz-nSSsg!fCuY-Q&A|`{04Mr;|j`A zHqpMHb6+TT1V1Ygenh6OeWzZiyARABEgWvrmrWcu05zp*f zF5%x7Gx{lDvTR7Ms5XhTl$g2f(Ru9e6LA#)l|R=DqDS~nhM9DCU-7;|PcKhEL=LOZ zO@FK`x(Jkfw`#u*uLhzw;aw>-k4&L{gPBA|b2K7F{zs>5US`ET2k6)JE2!FRZYU^z z?~`k?I=&R1T{I%yiGh=_ z3W}^ltV@)dgci^(>i6gSk$UWX{6!p{R#R`lJ&iG)uzxfvtFccUb8$U74YPWM{(cgK zy?*Gn3i$YRp4j&g>U5&?2Yzf@y}9S)x$8O&vwD3{$HVBxTUFfIM+ViZeKkDUE2GXR}U zIh;Zm(7AsyE6d2SlI_m!BYuQ}C;ap~5jmmY?~E*AQcTW22!?C^iYcp?EiKeb&&4;t z9nS*hC(S6tMlV85bD#D zWZ*RA6~t4uB(gbt`U=ALA(e9%W<~HtWS9OO{#TU>PJsQqBCNKI;R3_SrXe0~E|Y(a zZlW3z8E+G?Y{Sp4ZasSK0!zIMAUaipgq>V?TYI81R}z|)#eL(3$!0?#WL;qL?9H}2KchQHs@yb%lKqV|S5gx(KI;T@2qb;*F(EdjY6*~34o zQ0rexBAjn?&pnaRWrDR6W_ajNb5Fr>n^a_51hP7zP?jr@r?Kgh?4>x_>=16M>Rb^x96_JZPyoxP>~#>`*sk6$t(JW}Tfl$8szpXB)T*mHX+Ex7k5>1xgQYnNcr% zP4nFuL6`+?v5Xx8_%|0))^+yp4ryX<1Wt4WoHCz@hg^6uq$yeT=2SL<&y`4`=94&i z7~GB3g6AUsQfm&PJQbJPMi-*orXNx_O}H%94!`+vkN&+P;@`u1_X%C#+3Ku*!>J{H zw*dv0D~A~$wjHNikI7h{H-Rx^=RIzh)MroVCixNc_g_FbV08L`wP&;p{Pp#j2`_kXf z@UQ!`5(E7~$7P${g=6`Sw17v(BTFlZbIP&wRFIK1W66|-@E8c%Be1O8|0wk35!L%? zP;|1`T+$lAu;1;L=eTaV1jk8w6{zj|2+ac7@FGE1&GtiO3i&}PF3l+a{AciAAHG9? zce4Dmh1E#m=#dV30)l!C${KbI+B%i4?2dURGUxLFR0x@_-(OOey??ZKfP> z9(DSPjm@{+Yn|Rnn%!sidLs8m|9)D7;~{d3;rjIH>_;f03fa9^2aX_B)QKPotf`MK zU5M+3O{Uy=#CHKMJsyb{49i!k2$_f#&2v4l3lokJO-ZjlE29~oUz_!cdyn$1t=gZC zLga>md2f>U#DCYTG@DfLOrlx$@iOy(+)EPVlqI*df%@W9C?DrB;N>8Q@- z3d6h=G~t+h)z>`c{&vGQ0Guv`@TCbPR>GaZ>ZJ#1W-9cBFP|Sq}mMgbrnZH8`lF zD5ENS()0ko~!r^0X#d zp!A#-?6LO?1Ypi|ED&>?LS@9#EQMs`EP+L#H_UsDpN?P_SwJ_If2Emq3FU=pzi0cY zM(qb&3+p9mv;^zGax_ut#}&^t51T*BCw9Se9|tAknD-Q338ct)n=vxanbsa0FHQfJ z>-i(bXiSA9VZGl=25W(j+y4{(R?}!TIfe_-fz>ppGI{fDkMK?Du_s@6SW^)F$9h{2 zsb(f6WmqIoHBlmlC>8w7sIM%V(D`m)yA<5BJaK>Q^O6(E&2H~#(W2s0T2VWin|RQE z%657-0VUCdzKH_rD{Qo!zT*Xe*kzZ!e>yrj%R0=<)@``S&=K8hya@$2yag7VuVnA- zI}Q~c2R6vJef4ee9;vbx+d8S;@=R|&zzTo6;5HEE!hdUj9Y-5%r8p<}>~1n7Qy_|F z8#q|euO4xs8(iY?|8e9&4eEe)Xea3BN_rX7(i?7Oq2=l~=fSv7_m-=JCk?#NyeQ)I zL-00(6=L;q;Ms;%b2c@;(qJ^e^wELzgiTPK6!W0`vcNLKCGhM1T|XEf6Nlnks7lLK z;Q1p7fsaT@D0M!!i?TQVte-5of8?(u21r^`7!s7z%zX%#NcspVIh7VZpAxM?lbww_ zOgipF81;%1%=)fn;bc2O7`1G!Ta=?lL|y0?&1sa;9h95!O49LLkdR>R*bmtx0Li(( zECS<5!FK+lrjmUlDvK~Pve_)$<^OsWq?+jx&<8NkcpYO>zkTHAF`NM~58s`bShmmM z+>zIPe$v$E#|Y6+((fc0zjoNouH6KSDiA|$Jhd8dd&_^#c*T(AoktB!f(TAEIAN6S zDhUObi6sPVrjdeH$qsDLmF4CYK=&UNZ|;TJ*Rk9r_x@08!KV?*lfGuT0pjNx&%?#>c-$9I}E$< z-7l5p%igyInj>shMoC+a54NWL$cnW2SNhJ2{E4&ZbGZE8E&x4#m)OAFl=AE|xGI+y zi2$xkZ%8-gD~$WO#s1x>nf-=)j4&>msI)2LJ<`Gv&E5?hELlFyveZeaw`_7XESh+3 zDNWkvr*sg?N33jZeDh!S~M-r+`-5C7SGJx{A zaaXpc1Jl+4;6)8@pLrr973W?^{Al|~YVfM(Z{9=IQsLb#&pcj~kDyv%dNy7IZV1DF zbVY3*jOt2f#^T(U3=gmzg|nfvVZlKOVcp&_*K8X0Qn)mJv!5C-TyA-t+jPJ zSR%*!3CB8Rq?a-_xE$+LXw7;>~+R2s#3p}%b&z&QN&nxCrr=wt510|eZ^ zL|GPR^WD_}9NRu2^S9i$qY#Ep>+<_a&9b6rZ!IdQpcWV#5*?9k)r3J}gJ!*+1~v|2 zrIYIH50)N)$g$7ipIMt*RM-4AoJ{AeuCtp;PlUcvV3+e6s5Jmeo=5GXdFND(W_+YcmUP@(a^gHyu7!gf;8w% zv%~U_D;c1 ziBzP9ZmQ;6r>kCUkl()&So~3NbPhg?sk?WQR;mxRwOb0tH4 zPJ1pLabwM(p{z{(N`tt>oQEOy!k}1N3p13}8<~I`+Uv(nwVE9ysu)oKi%U}xBr2Wv z`yGOJ{Sfc8;g;@GDFv)5bk-Se37u8J$*g%iwYn)DU zv{=o8!@0@Eilcf}>P-79n@+Khv&R^<3gn*;wKwVMKqQA0a3W|qn3`MOhSv|C-~xk^ zlBvw??=rzJ{2rz!>d4l3ZhEOLaphZT`X5_t&76~5@+s}H)!{gLjfo?W2!>_bYXHy_ zQavYk0w`mA#skzSjiC=FfQf2;^-TD@s{R)Tc_{Be>%a$P`N{2?@)_?3-pQB~Vt`BC zD`;b&T@FAa|NQ`J|8@;#IK6$H!&`Y|^t!Pka)wQCt5$UDFiD_MMQ?1v+n;5f?a_pr zuq!Dd#;mS$PXZIt*q&wY%r^QVAm|>^d*B-oI#=oMFpg;Mo}st9 zUscrk4Lx6E92E-4Es1AQUU?B!9%3_*^7mtNK6fb3Cj;TaLL8TPx4bgvm${EWxAxJhE%Da|i?Zuh$Hy2rCDje*bOL%Q$&cl zl9{@7Mx%O>PRdYr(zfJRijB66pAeUO5RhJXL9(1K9`&mI$xdT_+3QQDswj<3gy#2M zc)mwwa*%M|rz8O<`ySZnlpL&n<7p9)MQIxy^75TlEHb)0olZ2ra6k^RLp}B+{#8v9 z+16Fo@ar7qOcL3n?H37)!FnL8+~iTtfAvL2gtNg8ky>0Tj!5+a`5M8!V&Ob+vG8}2 zM!>IEokiZ?L}1gROGe5Hbmyv!e@%^tB$PGCM#>ri+%O5h_mL8+hC_cjfeWzdw&AJ5 zEM6^m6+x^IRrz`W-tw0AVg)uL4#Y=dLL&X=ukPmxi>a(~ZzOS%HT_o9{|Utniz*V{ z+^tqG&{Ph%NWAiRU=n(POZ~(6#(rGB)eradGk8pbAx>yo7cLl@l7orq@rn1Tq~V@M z*;}yCC|+KwkBXrU$VDLI%pXp7Z;8v89@+L+c&<`5D&_*?(>@&@`WCX^oWRkBM4+Vf z!sUdSzWlMin*1Yc-3;E?)UuL)V8-S-ul{|*t5JKAeCo&2}XdeJNgC$BAym%N#%drA?`_Wh{2^OhG6O@NoC}2T}sf54IpQz2TQtbA7%{lez z_pp;78V<5)CJBkw-n_N^(FyIUwxWvErHM9a0c+RymlsJKdph9j0U$TkQb8-bqozEN zIs5TP6zz+#g#SF*2MxSb2RPOtWw{7WV(9zzyOS%!4~Pk$g5GgtVOtaIi13hZiYj7Y z-L+e3!9BBxdK98z=W(%|%CUXWAp*NoiQ#Gf!*z^ljYOn22#yOK#^TNH$_EE2lWqe^ zQ^_d1xs%6V9&GQ+zxFWb@LFJqSD>BQ(az5pZCX6mRS=b3LDN>F!IfUkCRA}s}5|GDL%AHM_4s#Oku0Ju+`TycFk z%Vr0uCOQBusP%hF_pW@G&x<``G7hepvA=82S(=MyX<#(l6u(t~D;CvN_+Gz$cDre< zs_6qnu20>cewpayL}HvJzPhEf?eQp-I;Aw(rw%^S)=)_8^U>nzeK!a*w7>Az^lb5^ zFDQtb|DClR3uVrHOE&fHaKP~JQD#Q^d`6RT<< zOp`&A1#9;+>avp+SpqEMd@uBcE6)V5@5!O%)h{VMS6ALu{_^kq)^j>|;wQdmeWgoL z0&h%FiXe`vVMdnRRzkLsuAg?wD9@F5=h-KAPCj{$r%+E2f6FLs1Far>aH|K|-Xy7Y z(0{Q&t;})J!u0tK)WGa5(MNZ}E75iZTF$X+XX=Nk{q<8V*0)M+*nYJ68T zFc70^_wXau?r-nL?97_09fQY?b8~kq8GdEwd58TaV)A?9^jW`}(K&@T2%((=Q>iLQ z|Igflm*(gd6JTT!T?Ed^gqXRt?KbtwAwH~n`HBE14N;117|z*wOZhjCz!OYOA}9*Z z6Md@aIl&(d9m4Px&%+l4j6NPW1nHsc*@!1eQR$^9X${1PCV`$&9cqkq* zZg?l_a1lSuYCOPaiZA;?d{QxW5n1ZfOgq%uO0xn&=(*)`vF`I6TjIeYd;Nt0KUVL~ zhb2bA+#i@ZL+Rv?Hy7mPB02$Oc{Kp!$5{l#gH z%pQpB#1?s=nuP2|zL6xC$W0(9_zSMskwEwMyC^&i!=;3Q_iZSD3etUo^&_q3SiRjadfqi1=YKf>FpJW1 zfn>*fOkAEi5Dy&ViOM_@K;e)Rp$U1W6oN>9`pvKB2~D>KmlQck0WoXp)GC2pa02@B z>pd=}#z!1<$7qBq0#jOkOa)q0wrV7^+5Bk_QJ^Ojd-wh~g$aV!Zw#!T#6Ouh5|4io z_~T%j(=Usox% zqHq52a+!H}{I4}{jd_qLY$_3J`0rC8_7SO7(~HET@tzKBHR4#Ir%J(gQH4IM+Ek{n zi&b1$^+IW1^FJ5s5H#%`f<~cHkR?Q#g%QB9 zwP9!fNboz4b-xo&R<+l89{tM8sL&W^#l+K{jI&hU#|=u)bvzljv;DnsCVn4FYpA`E zl#8?SfXOAfLGtXm8G=ZH%Z2bx*$u1n$vaP1ciggLtY>osD$sM7F0TCatQmwNd1z z1;Sb66X<*LfNi$iU<@W-ZzpXnC={h_K~?DS;GYiQm{xs2unACIHpXz3FQd1Y=hZ!$ zn_6RLqpX2kJUbrmG5_Z|1@p1R8Q@GGBFgRCUB+lTFzijJM}mg0uNxGwecmEG z_VS#!hvyr?rwWafrtfNf2G6XzDXUBarVEbwfQhnBUE|U1`4b2vPWD0Q9U!RjeUU)p zW52|n>EH4Cjbp<%8P20tXQvp}2hr}8a~m7Qb0!pt^;{$u#vpeaW7@$0*sCdlF$Obp zd?b*ar|QI;^TLd%qB&9-^^iY%9~AR#j!;EA;6ambJ1&ISEODvN=0^nGU5AzC0!+lC znINvr+n{;ERAIyrw(}3<)^en`>E%5wYgF%+ERzyKk@7oMUlAj)ZZuNvB0usPk%Nx6 z8zJ9L+Tq=Y-ptECCkWU_Dk*In_(^eX8_=(hPue>zz?iIk&Q5RvW&3EPV~0{{uMIwP5NuL=s)na3f*=e z*;$XFvM`c(8+J4bHb}JN$3GDHyMMao+Z?v;7hv-~wy0A=Eba^S`4y(`nu;Z)l4vKFzf8 zwz%trYlT%9r(I}fL12@Zq?0Dlh1IB5f#mH@XA8Dw*L^xty#Xs$IXVa^y}ASY$3wLK zzj!3=Y^f`wrR~7J4lty`6-jZr^8>(Gh2R+*IdKAotqj+vUd!1NF6X+TM6QAXq|A(b z<>sg&t0mcc0hX4e^9cF3;Q0N~cjIYO?#M{e8I7G)H^fs4_x|fMcJ?NI3l7+2WwoNx zM?b(T>ZSxNml3q!i4bJkHO7*ONA{}^ICkHoiO!sa;&LFrdcNP~H+emTCLNL41e5fv zErCT|R(jr{Vl(a1!j2&dNgM&mxLq!}ShgMJ@kP+nT|*2Dcfb|~kRas*FM6nYQ9&PC zJy6-c?r6fX@>4(sZgoagGdK2V_?;3Q6I@#bwF!}sMR5f9}w^3-;4i6T!S> zE#<#Dt4VQ(x*LlY0Ee!a1_GS94nJy|IX?7B(bF z_v_)~qOvVs)tFj+?|+GXwU1PrMr9`8-1xR%;K|r5X(usSTq@*HdS=0F!3?Vtrf$$lY8lW<2>R0vup{xsZ2&*X;3TT%PdC92=og>{ zw=Qp7^>`$~$Zj<{v=BKaVNU|q5m+zG%Ll&MX-C1}{v3;bbqvCbBisLVNiaiGmfpyW z;Ml!iP|Jnnab)+KcQc4O8YOcwbg{xvc>0bS-^kmCsD}T}V)$9mO5bGls?%069jg6e zLmF^hRmFk-N@1uV5vsrjrGC~Pb9%L3H0!hFY?ZxJ%ulyqbsh1!@HZPg*n_b5>Iogf zxm-s!yz0rp4}<}NgE&CfnD*TGNc=*$fLz>;B6iH&prOC2-~nO3)uR`tk+_eVKKqH? zHRiWUR4M~VmSd7lsC_<4&@}?}U2xI8dqtvBmwmh3YCzQ1s(TY@8ui^C3A=!i$XBk4 zfq*jzM^PM8Up>DsK9smHkWjIuq>*i8iei&w@GdAr0Mk&&38I0CUkV{(K{WNpYaw%0 z7;E+fWg5|JSyO}@>wm~#+MHuyK$6{f?6ln_6gSoUd1GxWtEH7(Zld@Z=5M*SVjjVd zLTi6)gY4z2?eNGz=D@A>+ygTuGCs7&)l%6ug>h;Zb26~~z-(cOjNmWMFWP?Mx6yM&aYiYy z1np?=1JS-A`hffFb>pQCjB4<@L(U&-5vFb6W#`1neC&bFNx9bv%^XAmx(*OFqM*WQ z3BjCcW-!6+T|;!d=F}7K=ECEW?(Dl+fkO)+pLjhQFiB9aabz`PKO6P+CIkmE$@tdF z0#&radJf_4v|1t3p0ZDj`Kuk`s`fgLzNQ&q^IT#ke4JgAwwffCu`a(j$sks0F0c@n zaVCh})NxSr**lL7hzIZPtMSP!E`J2PePlZ*~5GKl)=;QGSCNf^^hjQzJt z<9*C&qP!$VoMe?ZfK`74wz)zSV+giIV%mZr&yyle$`HIyW)%qjDOfgz>$iWdcXIoK z))g(ORZcTCI@FF@mFl0?7 z`AVIVKK2kRGMI;U5yGZrB1okL<1vSd9DyYMg5|t45m=Ew%~!gdIL;36I{5e`-XxFk{9nn;h zeguEtr$<-5?jw~9g97IYFa}VKIRACgJCm;3J2ALHxQ)~S-XJWFQZ_^ZgEll%zJDs` zzYYMYBF`jNMs)9F9Ye11gAApQEZpHf%EWP8MOCWqH2gydU5Ur8QG6T2SuuA`6h-Dc z7l73)&LLdk>gOzbJsnJVRdapn?vq`#Ut%x`b^>vK>E-*`yf1S{Gkn#s_M?qGkKaph zB+5w*;2qM0X=09CMF(}|&-M@VAv(cTR zMK4iCBP?E@?s2j4F*PCw9=jjY1{5xDr@nc8VU_F7)Ij;x8p#O$Sa54s$+eD_3-BHa z6_vlb8y;9vY<}J?q1va)z=*sxOc3M9IUgo)x~F>BBx3B6?Fxc#T+>_X!?`h53kf1? zoUOuQJ*zrpxgjjtc-_Ofr#I}a{m;6!2DopRpR_;O4{o9rE=#@*# zmy}c7?lwTLwEUb5@RXBQEhGJr!2rJqze#!j{vL$iXvrf*52Iq1VxG7XmT=K<$(AxD ze6;kh=>k&pl4LZTkJfaf#epa%-BLzsSi=1xl>NWZ5cppY`9BbW|0TWrACUh85%~XV zVE^wS{|6%Q|KeQ!KZN`rh`|3(diQ_G3jD8A_5TC%e;@+?pRTU|OUVBd;>Y|`W%hKg Ks(6SV^Zy1=v!-|e delta 15787 zcmc(`XH*l>*EcF6ASwhz1nETu1w@L}kbsD&2#BIcjR;5=kq#jPBE1Bp*NCEs3ISvF>ppAUyVm{i-uKRzGqd-c{X4VwIeYK3XJ$B@X*`@M z(GvIoJ@KF8CF}oa$8Z0S)}LuTn0=yAcZhKmbX(6^r)XU-P1;qkLDz)%mBr(_`L8EM zT=(+xSX$2GBnwJ&XdVkHIuc9jDh5e zfb4|8%7(PO>b9_fnGVA37lrfqFSO8~#Z=FUi?@t3Ild`gQAC|TSCf%GpZsf{=a*gc z>MlRB2AAy0BzG!W<(Xb`4wKl;<(;DY&8v_kT7xv7hz2jhk3vK|DJy$Tb>6mpWUwXL45>23ldd$?Hr)K-m83O>5_cTX=GEM{{kDXb~kHt8b5uq}p9DyrE%? zR%HXuXVeL@JYm5S4jGYD|~FSl4CMON8*6!Hh$73q>t?G=RT$hIpX88|}^j&THgfwqM>e@vRvmilZ za91Dn3W)MwlVgzCxh29wYEoVeVbilFT5>##L#u@(PTY#Yd5d*0yEchN-N^K-5v z){(XNx%nyIp)%9f4kwM33-fWUYPiAV@-J&8tRO5)Lz?t2isrt}qT1UT@Z#0Ri z`|NgYltj4%-Ee{AFV5w2pv(!$ojB1EudVECaK!cb4HYH_OpQC31kp--rmMy%Kj2OT z;Xs3$T*OHO>5I}A{a4Lj*dAmS73u$Z8OHfJ7W7#+#qdtvf=b`l?D;S)`QA(~h5cyn zosaIO!?Q8YHY$Sd6Iq7~qfTL}_!Ns_ThKqz8ZBj9y_?EzM>VVCXO0A`V!T_0r)b<> zqD#1)HANQ(FfEb)we*0_uza}r?G2mbgEP>tpO-sK_yaBkE(FMq2Tsw$t}0_{X-fCQb;f@~h%-VDTfCAbRYe_$RbK*MK6yBC&ny4mZ67ABZz6}_ z+-Lv#;&4z*UJRongUSLJ3K#{r~I_hipS{@FWmv)u;7Ewpx@1G?Y2ATNVEd zx;&W-Oxx1`QI0aTa8Gmo1fuq@X6yF5V8r8_{sNT(=8dAoy?qu9c75Fb9KM{DT<*GL zC#JW{z2)D8YEqDx&gh713U7beBA&8&G13RvIzV&19lNSytc8fxBP7vQLMI$Wf{l=apP6@{B&%>%I^HU-)@t?NgU%rCf!8eT6n#bjACCEoa-o z?*qw4EPTLQ#dq218m*g!kr&xDDgxqQ5A>dCoFjShuU)oTRStJ_WC>Hdcs)g?Xw*hK zhxkZGqUEFUN-Gh6FN#+N)yn&xz<*g08PZbS>1}c#=`9ynvaT zk4)N?G0={QQ-cw|vN3geXts6?j(z1-;U04pRN#?bd}921gtbJ>Ti^ZDPb}N|BxIHd zw5k}3wkg9mXR>Z~9Oig1SVb5;rWu=YN#Bxcs-AfWSlBO}17G;a9`=n%P6CmgXoUF|z3<#7zP(sQ1?eI-X z70%OZUVA4h97z3rBk%TjrEhp%^S<7`TFtq^d2)-OlbPaj(XF4w6$cQ;%Yw1gY7YJD zJT~dG%`2TJ+)=0aoRjN?2i}S$=O8Tt&sX@gFxlv3uYXW~R}~}UWWvyJ+Uoyht;g}< z-*>o+tJr+$FHZO1%w0eT#Co2W?9R%=ODw-|=e`3V`Z$sTETDkOb6tUz>X&L{vZX=} z#(d|+!Nt7o%jW`#f7F!|G;Eg!#EPII6&!Mo!*}F8fIgMC=02JM)tqB@d-bc!&Txu6 zOS_yVa*<Gfobb`+pxq1XM-1|I-AAB|hJ< z{Yl(iSoybDxMEa$mJMf89YBnXJ=JY17V!0LL$Py@G5Jk@TMMDytnR!2@6(ysS@sQu zNG4*S@{(AJU=;_-I6l(Ry(49zx#b43iYZKQk>p>p7kG$F4Yw0$<=u^R9OK|nm3roH> z!F>9kt$5*j$T7hzr_ zyzm5jPi(idfw|cPH=*UQ#gxBjSH$XJrIwHUqbjL@um2jqDoh9b^~&~ZwNqiaxM+Cl zy#kMAt@F*Y^bf0$T=&R7-F27GUENpumD$a9-eH0>YZa(zqXk;@PCJ0qi2}Zf5yZP{ z(YhV+NQHWidWX{U}RaEziQpD3Gap^lc0 ztyh!Az3yc-KxVTdr&h4ib*G9v+1;`0541D| zcYoXK5MqAojRLU?y%&9cCStr*WwvZUIA~> z^s>){?Y$@Dr(-SV5X0YaOz+_%`i3L?`x`)V}=k-;@S4@xHzWi#r} zetD`(zv2(PxLdbZB@X}M)ZTpI^(X&`U{}@8#nrBn-aJ5Mj}Ya}VUM!AuyL%F_>y>X zC}BL#ccn!1gZVSOZwC=*E{PUVm@rLT{BGpT)Zl%Ts)GA4V$kpUPRGx9xa<8uqaP#J>Qo?xAwyz+oJ3z>>DPv+(1A0bwi%Iu}|6(~K`ut>H!#}Amz zNZdiCu;z;DYpA<0wu~dcwtRHIEMIvY(Gwx}#8|W<-g@`>-?0wsjgRgNaBro6(c{$P zxv#L|F_1_$SQTwiVtUE9kyQjWL^rqvnMhrrS`@h;ITx0 zv#C0ov@j{CWX_sI>%u5YtWG@XVUYLebIzwTn#!^|!v(#E7vbIpstzTke^1N?-LgM$ z6sy!@<$digXPAa#Iabny_1Y_&6V;yy=IPux!;mIPzF&Xe-TxAL{e$k?>n)y^vG*lA zo0WM-6FGh=Khhf(`6eL~tdKqAZmRJspUYR|U+aQlo1(HGP1FGKfkP2i*)2W z&b)$3QR?T4)BY;=M;>L+9`?taQ+bf*=OjwX*U6fHqAPH3>x|WpOhko-zUyAEP9>!$ zu_Dm;X{Ppy{*QsmXDy-7o98Hl*zNgNGV~>I(|N2kZc?#Q$jf<#%Tc>VXG*Mlgg?*4 ze^hD11*O@r7=>XD-i}u9&8q;c`}V=74sx{`ISsOMTsx0M*$8ppDe|L+Hza{7P~tNDz3vE3ab6p<`%v?(N=vTBHIZ z0X}&24W3TdICWs`57*-|PK>`vM4hE249ry03YjqRSOW}Uqr>R>AuORRE{GhFaf3L0 zn$``55M;3bZXC{I<)u6~UKaekG1<%$jg8KE-hNQVE%L4OYnFV>gfwPTKHj5?51#BG zWQ{nJo-D{^%8T4y5#2@P*1cd{>763kOVE;T5q>AX=ThT0u*Zi<4Xq0}F?uw<-H-(r zDqlPBrLHd~4|3fAl3C=TTfY zax@1cEEYD#&HQ~HRC3jNlV#CAZHT4J(yX3lbaCf^>)j}DB1n57)-LroGaWxqz%|Lw z?rP`Kv#uk`AH{(W}s*r2_g-Fv);qwfr z@PfMK$~b@qZ*7X z(3Y2=#IIZ%0ZI$N7bt_`BhcZTD0)YNj^cEUN(^4Q5RV(MjLeG!td2*jvPO>2V#3oJN9l`5DixPHmI+_EJ=G{CPoXONQ31 zLvOqz_|>=F%tA{V#>6r2!j?-B!+;7tRC<>$e*fTLE-{CY+;{#GP*aM;ME2`Wj=lL_ zcpiw%g0)DYD?Y8F2{KfIntB?lN}NHx09^BU5bbzJ-OjF$DEm(*&mAm9q)W6jH(qhQ zN5lsg&oiMOXS5E8T*PVkFu~o$fchh~=)&zdsiCU^jI%R@HD(Y>y~bbEzg|k~FblHn zFYj~S^1(CuRR&O1f{&pz{9bOx_c!vwz_)mqGlNMqjJrsH&gQ2RsG*;|Yobp3-w z3?_Y~3ziolMfWJhQ5(QKEz$;+;6f(k%WjM_;=T_NJ08_)QQt>0rGy`_U0?`FVVg#^ z;h6n?vTiu+$pd<6w2u0GMd*R6W*l39U(!MOaSN1rnFTCZp0wT})(N#vpP;gJL_(OqJ5U3<@f&=Fo+?bs-Y2M<9=W$+cBp1()??57qFcvHZ@&(-Wde-sY=$_h=z=&O)JOsy{9OrHW& z?mD?&TdXNC4)8UlTD#Yq-=#}^+Y8{My$&Wa-lAya)no>DFcG!h1QSvH63lsgd1|#O zAue7Ak@=41u_cBx%;VXSUlBttF_4@zy+_2YIY#v}qHL(fFM4zBR~5H{?I32P?%n#I zW`NcgvgQ8Do5ts1JzUbzl1h$Wz_B2deC_fN9H0D3lPE5S6`+DEVGAt394yp2UNA2@ zwle*f)p>yAY0T|LmD9N+4q`a>6@=Lr&f#x5^o>xg>LV!`F}-8&FUA1bGFBsd9rsGB z9|-Y5g4%|Ztx1a`ZZj^8Uf`Qi;&3TBhr5&1> zK+JpVZ$CE5!At2QShQX7#!3E5Ny)S_KgUyO1r6w?e}m|_-t<5{u>#i~)m`W z;@Zp;e?(mi>>7|V<71u6P#f9K*zfmV%16IUPd^E=gs9jDgJSnn&7 zetRwSQOMih7Je?*VbARbb5Z7wm}Ky!?IePu`ShgY$E}7wzfkeDpjO#6YT2b_DtFK1 zp9W}a5OeV154Qf-xfn{zF{l61a-GbsmP-evi{$nQ7{4o2tgYsGxjRd1$ENh`MlOj(%US{dL1 z=abDdWAy^?AAX$Z2|?m+@RfCUjY-O?)iR|@9ECKBJO5uw!;HORrR1>$PY*>l%G8uO z?>SjOQ5RAx)YR?CoS%V3?1d4G|FdSHB>*RnXbj0=P0)QDHEq*r?D@Dr^B)b(>;WHm z^Wh4;w(+sPz-0j2d_*`5tlinaGJ@cPVj?Q1G_}qob?631+A_!?9N8UvSKKv%p`hTS zy!pOil4GeYUz~jgr@{2!W2=bw`5_?`vcMuPdkLRua-XOC&j7hWsgJDL=i>ov8T(^S z*cB+kQhJuOzsQq8Ys1y$T6xH)l&L87II6C1BlYM?=9G?`y1W<7&LjExpO&vA`ELFw z$2)0d3irZVZ-n_Lr|T~Vw6PnDi3E8sZHb`fiFR|BbQ~*4;m%LQo}tsT-rSZF34*Xv zLx%IzUURf!9v7$g$yM6vV;BGn%B?A-Okw8IiS9@mx|MQ9yWN?2C+>uzN(4LXwi{o7 zeGV5A&JQ)@aH3P_c04xA3+Rn&yI@{T9v~RCKTA3{ZIV9Hr={?oN@**d@2I7Fzmoguc2?un_EZ#?Q%zlCl80U*NZl-Tu!_F!rT<6%as-a zg+F%ad*qa(tw-~j1la7;^bY_Z)nKyl4E?E%?J6~S)&IwcX`YN&9{12CLFPQqU*M<> zA}#EugoxBS90A<-ythXxOZQ6@#l>;R;?^`eB;T3Pp{9#TbBSH}_$d4u59r$n4B`xp z(lTd*|JUp-8P=H|(vq4(7q40-iQ*lb=|4;l!wd!90OPDgj4;hJSLOaYwr2;ZjTu?v zcEPMGRV~wh z<4wnZ(!F44MQpG=CTlv;?ypQgte0=71AjR@LKh?Xlql(W~fTS&b)e9xbCIW z6i2>r4*{qE!*)g?ZyoO3B06s=0fhaI&i=EHkU4g4iG=G{p!jN;!=v#tefZ~ng$n>6 zydUJ*G2(v|?)9Fdb?eL&@Ww{~<(Nnoh=NWBpT#CJ4YQ^;O61~;A4UUADAjEubq)JK zc$9o~O8X)v;^LuB38;16%K1^(i1U#b#D27WE!MSqOl&@ewq-)UlQ&*ed`ehsAEH<@m8tK&rx5+!BYutxF%aiD=1chX8-!23*Hrf-!i=7)? zX=Mr3ZD+NNZM_8Vz=Zhqix~3Zty?7vJIgUgq2;0e?bAkHkfE)C297GAl~kFW$HHUF zcaIqELR_K-62u(D-MSwo>BF`>PEHX5>%+_#uwq)LS!yFa;Cl?XC6st`F2i$7d%aPZ zVjyst{^$zMn}Z9K%|F9m$PqSU&KCC{7xycy(f=!+b$F5&qZ9`ofXk^R)x(@q`S-D^8~N#_;&Lo`h~=gFLMQ^@KFi(Xg~ zW_p18&~j&tp*pI6tS)zr-Sl?U_jUQ*ucqrOsM*&Q$U!OkDlB4D3dU=YoRKWzXC2@#HI6oH=gd4sY2aK58fCiN@ca+s$B@T*pR zb#LzI&Slj!#yuAc|HgT=skyiFRSr8(NokM6i}I>TiBBteKp}BqY7R8ecvNO|LCW>K0ez ztu1eT(#CmqVg@d}w-{8c$a(onL+?dn5sk|_-@4|lj8Zq11*Ir8%jthTjgo^NeUgWl zc#gF)j9)b%)^V8

1*rbyj?bJ`FegC)=fgZ61!mq2kWEE|SrSOHpJhcZ0`_#$d8} zO3%QnKxu`amu-!Xi)_WIG^WW=23Gj)bJhU=qfdvYL#}NKca1eUQKFG#H(pvf{J`jZ z_ZAZ7rTpB^5CKxj;KSox@N%=|Z|t-B7(0)hs~iu&Z1_Q$-t;)^sV!sbLR$a*U(LXQ zvhjJ!N!0>`bD2OxTByx)QA3ERJBKbB2uVMGJ;_mJckY0^wQ%Jq-0uR`LOvl$qajVe zt6@2Pb=Tr;&)%GfbFPg+T#e0yLD7KgjsiZGEO*T5S!01g>;QkJVH4N7qf?&I<#V9L zigps;5woD?h>c8ylC2t)cnA*$Y?=s(#SaDNDT>hP{HC5kPJji3iM&&GnZNV5a#!ZR zKS}I0%E^6|HY3zh-=^|`XdCc!F19J90 zH0)Z*=WVr{%VRvIy7%S|U+3)29WmEt{GU{}&f(QIlJK6!@w+b-C3D7r=)c!Nhe6pr zlKfOCO|TJ#Ef3C*rxxDUT{6nS4eMV*!-mWbnbSIM;9W!QvJ_TkxA(@hH}+f_=zM{* zhdZ6n*_s5;!L`2}fk&X-K=pk$e~7St!-B9@!r4g}pSC<=z`<&-#pV(JnuUC0dK5Y2 z@08sbEL&w6@TtPDdD(r(1Gkpj$kz`i)Pu2Vy=XtxWuL3NEh$PKmpX7>RbwxvKy-OF zq~HtDn!MZ5o^3?n03jlzD;S8_L5QNLp7?8f8!9NwovFN`SUh||=|RI)fn5qEW9Z{#4XT1SekRofr0%K$43J=mp;B@)tu*j&8> zSExm`n8uS0c}j19Tb&+%vB1>@w^s)UJA4F*nEsK^y`JBmKYg!#aIZIyp5vC_i}T$B zW^^y}!|8v2&iilz`b^8428*bi5!tps!25D^ehT@wHto zHI?m9w?^Uj-S|k1{=?lHg;^hH=~2n-Mdq}{gbp3Lkq7E`8{xb=nosS2eTkr!Y_$c@+NANmBn;GEXYBP_2o%paF6bccNI0tggvz2! z#x`Dhh)UhB$gYnKbb3{7EdZ?VUmbC$3e zN-gJ*4k9ABl|B8jK}1|>*yqr>cMS;xLGatqOa6zsB21F0)A+K2fI(6kZE01w5q;8c zhQK6RgsHdJ#_;U`Ti0yZ6FQ0Fns;Xi0uC-cOzckwTvId%V z`7?G$j6gOE5G*^n295ETb6aTCTpiO(S|nK&(1Qxt85$Y@R((ZP@(h1bnQsJ5J=dL- znO)*NRwD}Du!=0q_0n+2&%KXfHbKch-@koF-*|&(rN6gAw?H4f!L`CYEf0)|6QgNQ zAW6`TN0EiX5|gu?VZKqjeaXJyJAqH?xKU%`UCCql(Z;QXfqHP4$d#QUVF^fO*gQ_9 zZd9Cje-Uu|rJDk+)NNJWasE{3>omu+_;7>k_%5Mb_pyM`-B+6OpJ=kM>)9Vw=EKlEoHep(RvUIk9_7o0kR+-dXz>dQA^X1BrfG0W5FA0+Mvf6KKvryn-? zB$g|c9o)_1ZVUuo$jvZf{CT^m7|xiZ4l-nzMU(-lQ|2A>K6P*IUDCre-%qX$oBNax z$YEEGz5tN(SKOBls_Z8HZK6uD*YiMi`no*Bn%|%g&;27PSSP!`F>;w#`R%1-5s*G0 zKYPF7#`_6lGgl$lE36Ws{>81_fZ*vkI6d@$@8Bn&xs9jL4b#PbNrY~z(xa+SIb>k4 zYBTnb=r=REsR(fp5kKG{R$QRjA!gqrQ+CfaYAGMGwVK)c_qt9&$5e|Q4}psgSw{4K zc?FFuVIGzXD&<6bHSUg0Xfl*N5C-gK&v-E{W5tI^QTSjW3_V8)xJsWrGyTeU!*=nb z>LEju2Xi9mIZp_4NbDOd6SCWx!bV02T%E#nezu9yTykoyNh<$ z!MMfkQEu52YOZggK_R^)`tN-{2Ux=~OB>^R%#yQ*72QP_>tGz>_JBFB_ak4w#r-MP z#t0`-g_QOpwyAM~APZByPi=*@Q`A-x0E(P2DWJbi2QW!Rg-`tFt(lGf) zS*2LV*mXZW`L!^B&bK}5@ntjNR;1Q^-Nl~bkJBuWSo{42FZ1fKMkm8MnjzkrS9HI- zF)E#TYor~ae(EfACSHzGv>t@Z;CCL`);-Wzr@pfZxH2QKyT) zv166}X3O0@jyIm5W`b2Q0Hghu!g9dq?{75`3Hs`d=B7YCzJe?p;|7u>B;TXu~>2Ae8>4Hds}iIE0FCB7ccm1Xe(&9e4S2`MLhym7Whi z@$|*U{CgZ?)ZPxT^%@ zGpn0mk6(Y$mI1FuulFWX!zswit81j#e)MjCJ>%+z*ieAbU8;0k@2i7HrUr$<2SF7~ynxc(;kqB! z8cf`(niSS1Ut)rJsEd3b0qLI{pL573s7@dCX&)jQRBiDwE<+glxymjT@jp=y>FjU=@;r076V~T_co63laI41 zEuYj8R4cmIda@}_>4bf2s#AJU&V$#TSq9n!{+|QsVm2Ul{-OpnQ0n!dobutWW_S5s zV?x8`v%M>>h;!?D8Tx$T>MQMsv>B3bAL0J^&59!o_Z}rl*{>l|bADw)34J$H`6@le zAF`z{f*d7Y3(cr5*f7`FNe`g>ajr>qWa^s;Jb&-hTn*Hi{(2fh1Y9kkGwS-~3T=wr zk>I844IQv0l4uf8{cr+Gy0x8oR`k!2iQ)8_-?(>JyWw6Fqko*Cxj0jr3GSiTYZeIH z*o0&|KK`zk{NpVp;iG7Fe~k#@)^fTfCB?MNcRr708g)_P@i@|PZlW^4_vjwX=avMa zp`Aq8k(>GKG)%9@zV(f{(pvxRLAiedGi3VHAs3^b6^sKUEA-50f0}MQ2?M^)p>bQ) z*M34UTPK1xA_&b>ihZQWetPD_+l-a@cJ?z$wM}*Xdq+|8rZDG*sz@8cZM5f6UYO06 zOT!eX;i5ilGDKY^dGC3te^w{O;o!*Ut}fut+2C*VoQ-ZTv^UmbYm2M15c z3SgZ?dH|7)r1|WGX~6+ReW?zbv3sO8Kz-2rGW9qUI|slKg*s>stQC6e)^cSd-W92k z<)_H1hAGio$Ri8%=`P>fsM$5^8||MDi1Cro8oaknsXt@^AlA=|MLWWhDzBv03mIr+ z@3Ps%JmVS}Hj1LTH{eDQMth5O&l6tH(i|57q&HTo(AawGAd%7iB9gr|jH}G?O7ld_ zuVn7~CyWHDumuVjAx!p?gPJU1(;&!bcQ^ntD)g;dZagQaocryH; zq{VIAu`zwrxjn$`VEs>c;>rt;vu1Pq)#OmH@hgo*!XD}6HcquA&&Ky^MZP&}RsH?` z`KDi7OHtMd@|T@d5u#z?|BtfX(R-{-y+K2jlxrK6}wR| z9)|>d;`Br1zR|nyTA7^TB3nWxenBj9Oz_1q4(r4VPZj^e?{FJW`Hf5U*FGYWWp z^{tR~uaJg|COAomV*q>Cb73nhmO-EO2}Wj)DxX<>fpwR$Wl4CI7qtA86JuqqCjx9p z_>0lW^Lmk;+F#YdzQK{E@~Vcr^YhU;a=IFll_d2V+}AtJggR8{RjpXNyJAxfYCrn+ zcQEh+)W_pVp&QtwmSux|1pvT=?wyw+-MgODsN+6mE?*1R=OD z`J?z0&hYragdnV33kuo z!{}#E$p1ZJMJ4}UXHO(Ns5rb^5gfRLJ%G2bG>X2!=8t0=B9{UKfX4J1?q>S!=}S}7 zgnG{}`|{JG!u1(rLa!y|;X0cg2z_EroM^e|&yI zAN`6s`3vT-7xVTPFuAf)H2IwRY&jnbnl{egn701IkgcEw#~P1YiynwNcth~ET*8c~ z!pVaY8t0`vqG)8sB&qyp&!O*7)_LZg-5m1vM0o^4ZJ9dQ2VxDj9N!8}Ui=dTvBdWs z=J1pC9cXrmh<$&A1BZ{W&{Ic**9uMHxHLh6pJ3jtK0lIoeNemI=FWqw@hHz3w;_y z2!;gKI0A6%x`lFIX4F${B-u99ySZoY?Y7O&I8}7AIJnX6WKmNnuh^K#UWi0X@X!a&Sce0j9`|3YnVK|9PqEo5_)em`gYxycC z1J>6Mx3w4BY2d|?$w9Y!G z6XD9+Z*pjWl8LSqoiyXAv0HomVFq~t$~5ID&CsQ>fl+(G*J;s?^!YSoai>}~e-3axF{$EkY5H@Z8<_bk`>@^weW zq?|?39bIGnupM=7cN|i>zKWnxO4yibfOa{$;F!UpGSO7WV;T20|Fb_T(4_Wp?iySI ziHs2xI6J$dpk{wXpW?uR^T2F;6RE~6p9fHPg0s14>PZ3nJSZl2weAB z(K)hi9M%Le)T=4{Zz+**X;(VU_BwJf zYF?k}-Y@gYY-CLt--7D;((c-6QoK=Zn7`AJ+X% zp3Tntvw(OjOwW(lX$o%Ap#5QotWx7niBichc8Jr9gB)XFhQ7e|M7dO+&~80F;U5gQ zh7ZLl9H+(=nZ0X<{k|$55BpkQeG+~gsHP~M{_1=!Vrq$TP1C7?GYn$2cC*(M?N)5q zc|PFPAK1?1NkAoqMH5gG0Bw*^R@rJ$!g^0Yv%i-A3Q2LNz-!~}2X}0|Za%=e>rLD5 zvHh4o!KziVOR^iI?-ucW?tKuYRLKx+iiKOP?PGewW_IdHi+Cng9X44zM$XrDK-#1%S4b~)&CuK~B?7)&*c@9>`Hpuu8N{Qkq0*^c*C zSw&w4Ao91ftHqOpmH2`Biaae~@+{?cj1etq^?9Y;Re|N7l4_IkI3|z$HN<9n1-{F<<5 zdK6a~U>#P~T-jv!(KX10QMA#y$4dV8OgA@kELrgba-f-x2LiZ0*_`=YehG5H!Mjl2 zr{RSAT~V$>k~wQ0sp>J23(7JUA2op+Kt#-Y>GY+QBP5Bl>a)h%QoSHOO^>33n3M&K zmcMNLfu^O%VW}H$Uv+m0_C8d^Rf}w6vtUdKZ-RX~NNlfUAJ?2NY}_c#qg`xIwE+n+ zk3u5}Cgc{Nyo#rbew^m`Oe4#}_mUiR>GcUyoZv@J%6a(wEtWKd%{=l1LUkqpYBdjy zm?sa-U{25=OtdL)D%-Xxke8!%bzz>!B-JQBy9 zm&OzO$R1C_2sZex8Ag8`L!`-r1I$sh@yAooMIbECZ-Qs;$h+|mbRa-~>c`h0u80c$ zv z&D*(DU~mS+bi|V;vPiq?f6u|051WQ-Y$651sMXcq_IG~=@-9QQ8|r2mj*2CpWbgN0 z*4J{{`Y+#f?BC=T)#p_&?>99{?~YxpkaJ_G)#b|tK{o}L?esy#_CP-a&r$x{exJ$-lHFEkhzTXMlbSP1`0Q3%>BR)UHm8?qOiw2gLSlOJM^)4 z@lyz&J!&+Tuwia^A2o=GoVDm%u?#oTtfSt)&0duGNG<=oF=L57 zfun?;F>}!Yy1{Y8E};DcUbw$J$+xfw&mBJyN(C0kavpN28_(QP)t=j=h>4CyXmU$FMk)d z>uO>A8)PZ24L8DV;J}-lsltJ^GPFbRrcVXo%#vBi z>sp|OZ0;Co_6{LL**`VnY(=ZzoSs(Ml_#r=U^L^BZ2m!anWa7ghYx|$KDY~&4^Q$n z>hjml{DKNWO!QKC-ni3Cuq6i^2BFzuG;u{+6NLJDs?chAL95C1P%AL z+Wj|AdbkA@2e->rc#5z2slp!FtAx?9qm^;mlNNJ#Q-t3iJ%q?yGH^;R>SW`>7v+;i zzs3$8rqQAaHs{EAJ&~~t`bKI7KEW?VzrD~Pm(~M#)o-v*`AKZ8jxhE$je3Ir{1X

$qk5y=>ik@CO_;?q6wxkA;r+ff=Tfs-EYAeopa&VR3 zT(*57cbp;2ybQ$Xz7)8jrZ$Sc*EjNC`>7pWuNDw(AtMNrD6>((w26M})qFQJ?r=AF zp}V;r4Pl=o4(sPf*ppEmg;|-n|EcN|-S}ZOOO-Gry2L=jh;Os0NGk8+t|g)-LUPXMx!0J80L}#7>1FejbSXh<1@k@JfAZ4*xV# zXcI4=EgO?D_}l9i=+DhH|21cWe^XICw$F#e6`tvb3!A448>+ITa`Q}oxZ*a_Mz_v{ ztRAs0Kv9%;NhQS9CN0U2OA4}inlq@bh!IoZ3X>=EJMEL7D_@p#WK{9oU1)#nGLqjc z?+s(w2To-#e{qpr>iK8f%I0H^g!tll&$gnlaaX$!j_3hY9Imz@w+IJjhTp1-4=5EG zZjbo;tW@oBYp$b~DRQho+%j?|5yx|AYLv)8fX;bKw41=>a*N`*DwiOYD!Q8w5e`?w z7-iRVKeb!bnCixmIyIPG%XXzpZckehEjv9A+3W6O-=9gV1s^~cPMhapadS!@)km_c zOZzlXI{+z#WIS>ip;GDUpTCK07ogQn84V7aY_bSW zOHzLm2urk5nC1#CcEsf%xvw%CWeOz5!s~7+Ai>1<80sWn!q^f}owqwA=zJbfofP2A zf&KLsg!-9jCq>J=J___|LK!}Dg0JV8KtXw^tOwkKQ8sKBW12{H6GN+>wDx4{pM)2S zHMSVrG6HGQ2IfAGEADB7!?_W6)J&qeg|!2d2)7bjaT*H1UA(f6=Ljs@#QICUxQFz% z#jO(ltX;UXoK({QXVCV@LSX|$=zj@+RJ<`ywBvZ19tGBJDtMr(kMXTi+gh{%9``AU zn>_9>nv$XKnkV32|L(DEuG?(-`VcrmplV*9kJvV=ke5ejCNZlF%<*0{-vy3|0N(o7 zr7YtW_1@zgj_u6YJOwk4JFxe21X~CA+Gt|FAix_cTjzb%aOZQQQN?o<^ewbE-TSJ6 ziS)R9k4hBcje)fdop;0v`iGOi7Y5j~HaPp;#U$vvwRdN#qkj1$sE=1+E`hdlE$KB( zR`kNj6nZV)X#BQC-&WWhgT~uO?3w{WsgXBQ5oZNsk`&=Y@6($1mK4 zPpK{e<%u?oXOqjVPY=XfW$GV~XpQ+C!Ra$Eb8MSdohpEkTb3iqEgdsKj5Pe+n0TCA zsg7F*av-fEV78fO{__Q>{g$7Z|F}cc2v%O3bT?rK-4(x!GEkcO&#wu@yZv`j!GHJB z?~>o09mAgzs}<^-leSujyoN*H_V25b_b?>uFg8`I&=WKlqpnV7(dY$$2ES;-Uf;a? z#T*TWPf{#W|LlQNXj?<_T1h)gMVXaEJ(rk0WbdMbN zOu*tJ+yASUDaSnj*UDmXd~mgmcf3*2E<#~kWyX*H!#G~tb5cc~2{A`RUsX_T%{F+q z$_Sgh;a9FFmsDl2<-6a8x(bZfLJ}MKr4GCkU4R7*lyjXQDu*p}i#&HhLZtycr@7mU2gUHy-bj;W_9rh_ZqoN&mKVCIF0ggp z6LV;r%QFKCkfTpojHtMt=6KpGjT@+jSNy2^T#ZKEkZ>g z9=TaDQCe{0%VsCHbhFeTLGavhVHv|%yV0f{?C-@SaL~N|ygd?>HPq-k6m{mw*tC3Lhdfxjd{i zKi~EKc`~%+6P26&%v)$=)G$iSjkZT%n zTRM`vkQ+DF#Ik;t5IfRh49@Uk7=vc#q~1gDsVcdJVtl9rxZ)cQAor1Jm2<#;KjJNA zyq&vYIm8wH;xYYvFab{+%}<0sr7X=B{ilSSawMs`wJu(6$Ho8XN9w>-_4Y_^HIsZ* zh;m(wLCg6hpL%ki@r~We@~nJL4$b|dHR>X|&8*~`@6XML?Wo+NK{^UYfl&rLZmSa=Z!9vpMnuyZWdqY}iL5^9zfkTXF2$n&gi2 zDs8(cb+l;@UQ#K5N!5Q22VQa1g&h)|?dcf5YG*mF-=jPJcz;DrA1R{^Ok zVjo8s=cr#>uvw)3FSi87&nR)zep%4 z?1xh6YQu%wu6iyA)P5DIthM>xahY8OaRSi8JK35#beF?1*88K)E zr_KEO*kJe}JI#=ZB^h}}>#MX{z%TghXJEu!0~No#_XS(EVs#n2-H#?8Hc}ny?p_%- zDI0aX{2gIAW4hbv_9!VKZF!pWW?=c^xbsp|9QD?O1xf-N3o05+u{x=DoW;%bMf4}FwaD)SX3HvQH8HYBm5rdQVt$qb@ z?J5`i6x(BsD$;}T{79$6(C_$$YJu8j|9Vtgw$Qq2|KFih^u7^P{IiTbqy&r?oRe?9 z2g1t7q<|cFgv!3X@axI9$!7q`!f;n`NencC7RX5y8sS7HjJ=+b_79Q!{<}-g`rdQu z0p`rlgqNi;k7Z9nWlW9UA8*y~>|2<`y;kyvYxQPQw;tngaQK7AG0K&1m#bSV{8l6r zh-=N*!bYijYf0I0?K%>x(S&gFh|pLKy0=ZTq@U(vkQ4{va!K9cE1&?U-M5YNAE9z- zL1K79E)2SQQwl~a68Q;D7sP84CVJ@1SvYAi=qYUe^EvKbO{OKyH@lLS8S5NhkVDC` zs=7!%%dw?wwNDG~xbi=MQcFQVx7JLNR+n){;+w|)HP2jy>gMLzzx-3_v)jNyMh$(? zN6x9%-=b3pK`6xGh0tQea&(0pvc zFFq8#?IZ9Ete@k^MO|7L{5vk+;$d$QFQx5DIk4YX2*{*iaP!k+(*F7={RXvb3BzYq zY?G(d%udvc(qhw^+ASM8rW^3nbndfx+AVV-eX83h2gKbgLd+*|#dR6lV76?tz(EJb z3WU;(y=A`^K}C8RX+rMV$UT>*<;z=4O_hbjqf~FtCdmX?OU{BO$b0`{em62Kt*|wP zNMguELgUHj?Z5@@PVhp38gaDYymsH{kuj8#CFMedBQ~AW%OynlvZBklwYg882Z&HB zfd56SI5B@RD9yS}!!BpyS9G4b&*Yc6{-jB9Zh7hCQL09}Q+nYwtNTX11s!l8e^{Yc}tAzLPR&tH(e&`>j% zx)tNz^4|Bg{4Y)abt}$CF9XLu@f@^MgXX1M^ANvi-bXYB=p^UiJTX+Mr;%X{#0+oTk zssQFVy4piXvh+hZA<~a9*>}Y+HpV3rzP-zu20lx=fFrUbO~ebo;3Hy@Q0xEXxoW!e41GbPzaG?;zF{FGi=Fyou~4NLXy zF{Gt{=!D|8n&Fui#+1MLak$M3aZN@?#dXn8!@Ajb#O|giuXypuqDk=U&w61oY@>al z!-7Zz#TFNng_#9a$xngLq7?+nnwo=?jT|r}9&eAu=-z7a$-qVDJFwuAFi%9|$x>Zf z>=zP=rJ5bLQP%Ek{tTZ)D_TckyY_RH%?f%wq%*UYLN8a_ zz4A85t$k==^8ppgY*s~c!i>+L^Z05fW_!ZS5}te#jOETbBm6}0^jDeYAl#&L#-m(3 zF?udIAjX(_kIhgyuAuPo=B$9o9!(nS)ck~6&uemn1eCCbV=}v<&_)T{5$n#s9xZ*5 zgJ-*E2D|teaOeHxl18mzoA_8%Boh}}tmk5&P6wd1pff&_)D`RSB)#bHw=O?KHP3Td8QxrjGC zW8lTk+kH0#*U*_gpuzcA|9*fbh*eF;q>y$1J+nV$J%@0$v#PnMwh$Knmo;7En@wZ) z?$*_#oP)EgsDI~~(DUQYDR2{k+h)H3DwgM=tZg6(n$`aPlY5N>lcN2csZchC+mM_$`Rg_Kx`yy=c!@q`tGZX!XYp(wU;|BGPSVL(L6@G75 zC4G~fE!9=~Q}{p82THXv)gNX~<6V|wH!WrP=ob^X+L+P8twA)ID8>g26VN_y_ZSm3 z06yGPj8Qn1Kz%E9e_sD$4-ZRjS-S@XG}zkHEHy5H37!=3<92Q^7iEMrWJ} z*))9Sg<@QVm>daNzCf2?O@D`>QtCL%TyY*t9xAx5>x?+@5XXr>vJjaX_C3lM-2XtJ zJeTtptg#su2;x3!G~7g%J^z2TkU%$y?b132{Qoe*{(5-R`{sAG!0=vnGnZ)z=u;`g zQNmBPl1brH+I)YJC559R=?UFLrHVpc4%OlNi8{2|anm2D+8M)jRUJEguRG#Mr=g;3 z2Me@&+BRaAwS^lC*-PGW%|#de#Ob^+5srF%e&=ZYZc@H@m@J2VZbgbYn`a)$l!&=? zZ1O?jKOZt6Fd6I+pr^FL%slwFM`K;l4CtrU7Q>sbOA~24qh&#kZ*;EgEPXU4>M|Q< z;0;P*1V*74C@1SOIh}A_uqMPp9J5XJ4*=xf}3t%m%wQ;xK)(rkgf8T}JQxLrw>5rQz8Xsu_CPbFILuE1J9W zQ?yxbr?bX)=6q5euf!;hukaOVnTw?mPUz(2z;V|=$b=D;{O;b`qij0MbtD zlTr0$OxxlsmKpv&YIXEw+o%^#2>MRu*&S7Sduu#dFOTKDPw@h7c|T-watSg?m7@bm zD%m@hZrum)CQdl8%u)nq1iNZ3sYv21*^(_S(^1&nFfIdG0yEOh`*~-z0@YzYN4ON# z${b?-K-$T0VCb>wMpl~{dUJ>dnKpB_-GVN2JHvr4Za+j5ZTAd&-%i{~Blq*9eDE;u ziqb^femmMO$`_6{BUstaANb>9h^<#QWklm6Uljn)8L@a#iwQ^UeC_q25(c;#8Ta{5 zngkuxhwpid+m0xUDM@;G*VIvH{+T8Yt8{pV4>)B*92MQ?d#qzwaRqfFEXF5RO*rVi z5@bmbs9LmwH8uBNmh!ze3I%<*5qOeG*U?*REMb5h7slp3Jg`V#->Z`ktpFn z?a9b@5jx zUuH@XR#xsqqFdK%7KtWa&^o~{Om~d%BYAb8lr{*7c5&mm&vLmLp)swC;FyYxEK_C0QU8(w z&ZBrPNxc964~_ZB5cK;u<5HwK!P39U^IYfIh!n`(g_M6T{Uq=F9o zh&WMaLu;6PT`1|U0~-!1c&jb*p>u3GrX_`U$Q9)>pjB-^0Pbd*Ib!6wq@;n%TFt&` zh4_i-`AZv|;+HYZ{B-I}Q?X%}q5Se4rcTE44wXW;k=QJ0&Hk&c1Dj@g*K~F-91Zp= z|NY+S`Ezz>wfqcM&2I96s0=duaGUC86Zte%qN{g8Z5pM^DW=R{=f?|_Z|s_OFjH&r zmiIrUH`z6w066AT|E*b<>|8p%J?}L-Xy7E6&T&Yr@8xvCNa}@?Bt2>1Je+UI+~Np1 zrOH-myN$z;UNrcaWI7G4vc{q8&?rs5#P%IMhST1wU&?_`Rzfvd6FbBFO&9}+9~tG% z#qI%@%g9UCk%|ZU+98jY(5+l)W&A&8;pkIw&`Dw$L9uv-0eh9WFvVaV zY@kjMs>1%jLQu!H4y(0VWyVoK%k5>&xE;RhhAOZLlI>j Q*Xd~o|H9QJe^!J3KmX4^nK%i zNh#a8K)Jks%(> znl8fyj7BXA@ux1{HX)n4epuGi+?6v#=+Jz#&KjwC$$7X<;tFkJTikFx`M_sH#N65g z<1;w&02Dam8x3Ni1F~*>^n39p6$i1@`aBq-mqPE6IEv7=kdNPeXJEq-X=*WTafbmv z$4XO#D14rSyc_o*;owiduYt!@;~{bA1_Kp)#V8AG%OPT+S-1U=!t?&#S_&gFH2+tL z-Wxul5RBkg6GYap9s%o^s!{aJZQREw+4hK1VyCFc!yuL%1PWg?QaYjU5Z=|T`{#%v zo>zam0LT;ca{nJp(ibF;%d-&n`?SmFkCzpozp%0c-3~}5?98E_-9VgpzL&18BRDdb zxz{k2RZjc|svAi9+hqG;SVA~#W7r=ijN7QHW5Xus90+D85W#;jwjp>4lluIC{9tr`tCxVWEgmQ$aEyrg7{11K1%~)+ zdxuSVExosrp%G;_9CG%^JUPX9^laZGNVq_Wl?m9-luFnh-W2$^q8wBc!kKa3(+Mh` zDP?@?(bpHdDR(K}9xVX=M)DND#DNw^cu`mJTDpKxVPgmYVWjwHjY&!2s$3Z0Fw(Wj z;9hH&WC-vTp}#MIe4?zl`v_xP4|*uh(K_sB6yR{pWdb?bP;rC#P}{k7VW@SaptPcw zBBRYPo$mV?!q^cAvGQx{;CN)vdI|fSgx5oQpl3DS;6&4qgEyU^I^!encex(WS|!U6 z>YC&3w0Jv!#Hcm!PzNav>wzFcm3gS5KTLGR$r$S#sbm^rq$UYp3C@CLcU)&SL3yY< z2y7Ij3pc`|0&&o~E<*6H^7a_}p(e3?O|nud8>T3vuulTYUR;!U7a>_xLm00c+r@}N zoA*+beeB5MRLvCPxdl?T7_?%jutC4FfC8AW?G9|f-#;i%cL(climKc9AmrLZA#CA+ zZ)VPjiLSBS9}q#zI+;hJYr-Z@q>OCJZBE3yXf29QTzbw6G4X@B#gQKdH5)&KgTu;g zY4+wX%fk3=476=H9nA9~0+>r#c|n2W7G*4iact(Zh&^VZXltcT!ft0qsJzQ?itclyr28AGxVEcx$t;J|8SXj*U6%D2w~msh5p{Fkl0G2HU0Z_ng>Spw+2xAQv|*>Frh4H5{=w36Q2O+Vi2=ukkmYTL;uOAjW(}7t z%=fBOJh2<1`BC9$wz6cg?t*t`Vo&*t4Ns`$X-MaIV7G8^kK^6Ec@hFlI;$m%K%ZnE zIE-t5n06gyCpC4ty`!T8KJ|%ErC-Y)YBscJ2nUSWXclE(o2YWqgW%9f)@Vu?Z@94t zJU$#dPRB)-S;g$^)LM3q>!Z4@6G-ZLL+TTn)TNw|OhCq=6$yjZ_Ki~Ao2){_k`0U{ zzDG>BXkQ@I9U&Hja@e&)?IIPY5VrIL&5NyT8lptE-HoXjkRLS_+Yki6`auiwJr81(V>s}LSK)%b>&a!rIw@n6liDu#7kSjspn=}e# zF2R^)qQ1oW2Vd4i1w~Swn@py**p9Uk|EYk>#Dx57m&^z$BE)NQstc@Se>fe9Axp+C zS?t&Fe3#Wp6o?2-`26vWxeM+$&l|}zHl;RK-VCg3LH;|_*5||X?9;ACJC!ct)Eb0? zN{N5rXaDMJ___}D3E{yG;6JOiUD*d5s|Z2wzC4mV%F0K6(wE4*(0<2@GuZXQhCsmS zjBEP_Sp#&0s|mH!)?E17#*&M2Tm+=u+$q9*aDdHuJti2Ccz*VsCH*(kWDP*fvbpKD{TK|Iy%YDo`hgZ<$D%Nbgj2Q&V#(fTtn5!aI?D-6_6KKND!OHjU|NE2O z;_z#SXCebvPqC-BgplwE59rb4JbC5yMXQoO)Iuhcxf%%imP45_Q6$i_;H{x$m3i_f ze0OZHGO~{}LrX7VYSX zr0E0$g6>7E$QKyzXZ+;PJCiKl!%xn&;x`y-FgxVkzokI*XkvSI0`#v*l{>BJ5>X#tAw?d`5XGOmce``7; z=Ug4SS<4JuZa*2gELg$KfkS(fFMzYI8-@AS;Zl!Fd$t*{{`(nbet}2##fbsmv3c6%nqdr-) z*A@!+7)|BO7^N247CAODzb2Yg=-b2S`JJhFVLhFR7rwG>+oLw3^=2TdQ~#@@Wp51+U?ePD~IEVveaQ=cb)FL+u(_7p{6ihSCU+G}N)lFEf zfON5nOOPW0v4XeWcAkB~q~4OYnMsFgU#JO~ zt#!!Kgytd%YtGuJN5A%mhnTCPuT9i-UhFaXH#$w}ap@l41rE1k6{+|zK zx1+WNR}jM_f8u05$=iX6sYGKUHFfj1eQ%h^$!u(HznI;h@bz0?yU=milG~*a8Gt2I zfb@zHuEkd7Jnr3R!(!N$v}l@4f9f^?Iaoa5v0>>qhDs2$Z9hjavvNU;%3X{ z`_c}2M(L0712*J4;g3^v6H+mYRKZcN$#ge9n0cgy%23|KQpD!NnS~Jd-V22ISye9& zF7ZmWWw~(y8b5dQ9yB09BmrmSaQow+GUsY|?0y0a5LrpJ`Q`S{RQY^iynfgApx zPe$+^B{8Ufb^vK^0A{&RW_Whi?il(`qmM-DH{-9X)>M8uk0VCPXSBUL7y$#Bd3paH9;Vmf) zH7WZ-z1VTxt9?befcZ4R0cQI~|N9JHA`CwK6gf>K?w4i~6kbq89acyRp<~884&!(; ziBEDpk!$;suT-&eWbrAb@)z4KYD+A)f~ta#FKF2p`c8`O`%`a`IElAK01F-*Y&gWA z2Z=YMzELx(-3#dEsKL8Esa^LoSQ~fcXK-ls&ux1KZCC;_Rg!VDc=(#S4^Iznr8`tM z@E73?T3<)jILY?5jFk!G4GF~t?2p#weE`)~>ux<&H8@Ucbc`0LXBleSjq`cnhNLxX zQ$sjKV>Vm73%F`r4pxTGchZTC9J>~C29P;z;&IJ{5W3oH_8mpH4Uww-d@zWN zG#GVv;`V?59|`uZwwd-1-XRCJ0g5Uev0pOul4@oRZ|?i23Ps`|TUWeEzor$4oqJV3 zP9nD_{EWMEYw{uDVqn{|&)W`bX;kS%XQ?IgBP4WP+O|yasX_RZOofj0naUS?I9R`S zr(Yz()r*@H?p`wBVk9U}fI23k{W!NY3;t9X%%2Awb7!0woPE;_(&gR+B=evmhF`x? z{kGY1KI>J$JK&uTs|gnw$aCKS%1>w%px08ARE?+ZQz1_Z!wsO+?%RIe427J>myczR zy5M-@JV@z6`Br*cqangCYfu7xJ_Q*1sgRrV`}pGeV8pf=)t#oLpR>H*?7jzPt&9o< zyTJViDaaJcC3DL>hk^-!Z(vP`F77^f@#$e`%_(M;ltUaa4)djOj1C0F@(?s;86Z|7 z62Yo9patb4S|$PlP;1#?Y_k;vVCx6LI=7B<>7*#^hPM|dO){Tib8aHU*z7LgJ7Fdc zb`f_??NtiwIMs-R#V!syK?9tl05HiiKucr%lu>9qcT;HTJ_3V4RaNUMy`R}2nKWWt zd(H3oJtvFPoq$vVqN!+*S&~QmWtv@~F8gLkRgJNM?>@LpEO!7S&1FN1sDngDX6$Rt zdMa+#Jbi~o6Ge3P?o$LUY>0OhNmZ)`3r~7uPkGn~lUCtZVfyZEvF18XabE;EpetBA zxjbJpQ_x|%ll$U&kj;11D*TC+A2|*Ah`^WdcnS(yHx>CO7yS2A=n>lErti3%q3>@y z{${=5qsFyR6J#=o^&-ssr0cQixaVI5Flxevyt_~~)G&KzJ_~W7yQ;$}e)2iNbdKVz zW>RSOK_lz(JI%T=3_yHF=nB$K2g*z{@ee0l(Wjx6aqwmU)T!&j$(UxtigFT5 z)UexneEKt2&AU_g#P{ z*ANq;hk7$yl+C$?#}U4TQsLQz;Ur3Kkdm<{7?4(ay1d_7P7@%L7yh@K4tPJ*n{+0` zR|1>T!D$BivWRj(T#+{O-P3O0{hIpWFUd+_n*2frwqBJC{(~X%8GnNmi`Ga#Qq?HJ z3kC9?63G5!s`S#2XxH+J5U_}Rm&K&tpz)%q zMb{+Rq>~}}0l^qZu0?f=Mz>aD8L0(MoXoOl zM8sW1JPh`dD17ZhofX)1Vrtx)!mKh#a*#{GBZvFj-se-%*CaYon)6a+0C7|+43sZ4 z{Y53l2h7ewj|20Fn!<8&Sk;36KsQN#i_rTaC-clfmrb~W{8^tk8|aq8hIBTMjP`8s zSogTLpkebE=gloJT$jYmlncWJ+dZX*8ZjuhJ=TaZpY0)|T?kDOpXKANvJjn?pV0l3T#%RVnED|r;Ug>_bedkzROVHA zO)U6Njv4l$5thIQ!-vl5vQp0-bF`bC->~#ROEWc`1AQDU2Jc07ORkzY`CBXp@{%j; zv_`kVU}?$Tc9p*bP)oJ65drL6GQKOhGn2)6PBaEi zl-9PTlvHZPL9_Pp#;$3p2~EIJCpbW5yM5)pK+1IS88;02B#Z$R=nSsvFJ(&rkf{oh z{T1WJIJ#_Ze)-Kll0IA-&zO6zaFxb^y^K&>LDRQW%%)vBurK@NOIbHMMo=VG1Kc1`L(laAorzUD%-EI zlg`8V(k$ej-WuW<{NXt-A_~SaI5RukS%^7#hf*CsrP0n0QkZ+CK zs-1mtav7*uO`>}d580S4y}TabyZ@leyzm&#Xg<4q7&E3@KTz~d*z_t$eVvb8jL7V# z?POT(apRW)tlOk2-fXuy)BZj+=0Jv+rfo0pP58=Q2u861vckp9H!}Q-y~Xz6wBQPs zZ6&v>IG^O03$?e{`u@|}Ea_u=qL?pnPzC6fFzi4#UIiZebRD!Vl3P9aKSrJw`gs7r z5Oe<@m$uhYorNn$Cfmlcm37B4w3t$)SZSe`i zinDZVg>TVD2qTy=kSc8a8t`TX)j|?m6hLrvP+=-on(D;#rxEgTWS0SZYI->i6{``( z;s+pwW_dF$-vKSb^c_)*!8R}QDGia?EWou-k9z4%0aSwFAm=b9S6zBAK1o;q_&u3k zft7`v`G+jt*R_H<06R&goaw%^6{YsK;X|E|A86?8KG7}q`7ac1Nb(&}Yqv`Frq}u; z9U`V0tQ^%&z%umha$esfD@;c^{zJ>Y2~?x0lb6Q6DN8*xs#0-0=Q{}1G%dl>iZa;V zLq3sOG1Wvh4o}o9^?CS(ED=I3NUrs;BAFbsgmG77k*+gDNODl5^5gm7-EJh~%68=U za5F--TmAcBZp%eDr2BmaWBtSVbx3NtSz=?XA;IW@%q zZSC*`t}4H7#)Z#2+pV7(=}Sa_^KlS-Vb#E)le&|ROuia>s^Anv!@pCmaP_?y@RfGM zk8YsV6D&{xhQq%eU?nT0M&_w}Pb?Ez>sW;~bhf}A0#dA@n?Es+M;5aHtw%HU{ca#d zY5n|Ap?aXEZ^8JPv<|Q#l0=m?k9rc$ev~jK6j+z(N5m^dmbK>#YftYcUF#zv7W1jZ zF8-GUyH>^K-~2-KY^@v)ckfbj=X4#f^=;p1quVL$@%WqAJA#Ht)efZmfK~~!<1&)^ zluE5eb6l~?>ik8Ye3%BB0zm6Pzuqh{MY`|GS^b=;*9jjlc@I#5Ov`6)@g$9hLhHXq z;82qPL2M10$u7C&!^>_5w3@KFGDzx>${1Dek2zH@G3s?0>^)wu;Vl|lmr8je%8Y6L zVn_gLP<2QauIe=~5s>lu@MD=?ohf&g4UeP82+Q{El6>_;P0S_x-kBaNeN*GCK4%eS z`RJCL0S|0E9%YV=}G`KX}xX4Sx>Fv!k+vedvsXLmzrjxP((EhGi`#F=}berOr6xmL~%G`HaW z1V`oun`A)hfA_+oz)HiIM#T?3C>2+baEf2gl<|joZSRr(qI|)=R@aQ^4W7NnU5D&f z6W{*^$Q?aKr&x^*kgg+>gUCv5{~vS&)LgObHZOOQhKuN ze(gQ+vEZH!A0^!HEmtxnK)@QY!`L7n^I^`1+A$u-R#{U^0)LjAb(!XjHt(BxUJ;*>`qqLqHEG0Da~1BiI(;%f0hsQ9{YDvn#r!yxnIAe7|AuZ zuBGCnw~8TqjI5F0dbyER{clb}U{GlAJ#$yX8v4Jx`2hz$EV4eOGU8thJ{4axZ?rG} z+d*2BojL_lLv89S9pq{M)Pa~azSGYu+0;LcHCuay&C|bA-*!HIpfqQnnZ#VxoP*_) zhIc71sYLP?i>ZGLgC_G<=*s}(sT8&Gp2+TT@n)obac&@0O5%qg{=`_9Xx0=Hdt$Y$ z@3_Fhhi9MYd9H+OBN(xK6z}^Ev{wY((UCk0#Kz}NB(k6Wc-l14amM8!+;)UP`fJzQk7n9no9|HAXC?t_ zTDom*4NKs`5(4%t2)m&m&y{4EC(*uBtFa`CdA>yX^Mn!)W=1VHU&HMl#)yWfqM&~j9lf(Dyoah5#9P*jzz4!k z+d4ROse}Pp+tklu1L3LYXgD$>1K-Ew;ENh@PJEncwLF8$1?7e7;=R9^Y3}gwsIEqN zb|`bPOj+QUW#)%M0=U#9)Hx#8*s1s_Alf;XO3zrn%CKLmNU2MEi=&J+A3+XnXwHR z9O1FWWg{ffRW9-%-b;1msk6Nt7kbC~s$0cLjV5qJ0Y8A3&NC^54DluKd)TOXHt0Ad zNmm}=BgQhuvpzvla@RJzT?5Nikh`70ci9f*S@s-tuqtRCQ8gFuUC&FH=BqR5XZ5c4 zW8ICV7|<=*^VrEDj%GHHT|}6FH>Obqsi$&Vm(B}J`+LNZ1OF)Y(Gsv2eaFkn0dmNL zDdm7+_N*t+zaDJaUF~>|T(kdiyYjKDid*LA0iyPfSU@eZUv&ZV7o1YYTrJZV$AiK< z_YvNt8m#AnC$x+-)m?w8IF4?rxRY@Yy}(&|K5HwS9$y(g=p1#&qF!?vErfa7v7UNz z7bWz?WrG~mR6*`{2ZA~@zYq>0%%&9Ef&Xaw25cVV1#g0TLGEs~d~w>YeE1CNd+@*C z4N<1lTPPM`^f~{5^D@-i>ye!Yg~ei$x!JZkWzpkiv#`eoS=(RLHT3H8wn6GP#E$jt z0ahqo+hH2CJpJ{2-Ev=kZxqa*>c)aIQdZ1p^CWSK+OnB`iehg)+__rGg0-F5N$@z!>##Bu&}20%+0z7X(Dqw8M{DK%5a z9>Grwch+oce4s5Ql9yyA4JIT3H&AZtM zo1O6?{VnZsK4)W-KLDX~a6*nS#vHbP@|hIqmigs6VR>FGxf5sDYbK!0#Cl~8^JJ?O zh|AW`>U%BD`mJ~uEl9t^syLy@GLvqaIFc@<$frqV2gvI-`GrDyoKxXk=wBIpUUDHX z&wsK!222HdY1d_iDd&!v*jv>`)P{W|m^zE(=q2Cwi2uk)#Of^6aBF&Sba{CHGqR{; zNLN(5Dv6qe4Ii>}8L@T-9e;AO)m-JOcgDA~MZjeWweY^9cAhK)K3#R_E?{hi4eJ{= z7CbTP(dU^j3_Sw)vl2d0SMjtcipY)+a98YQg60(gONtb&KWDjIZokpEH=YXPRIuGl zeFa-?$nG&7^0PQ>N**bI^pe_;Hb~!ztQ=^Jykp7AQhzGmVm0h>_2J(kboc;mukAf~ zDFSH)`l?0Pai)PEcR7v=KTgV0AjM;5P9TnN6XiuJ%f3kO*nfH&sfxz}sy@7j;#O%s zV9d2mc$I-#9RHwzKuYuGra*eLlR#Kp=b9qM#q@)n;*FKnMs`8An3VUkBr#%#!*;O( z{Yu!)4#sb$B5806&Q5@XI3Ch3B2+k59R5qs$=f6wAuv!efQ)8GBuq%A&-b169fed_ zZidG<{<%%cCa2kXVf37c5Zkt=Gq(Y+Nm96)j75m;g>^-Cug}d$evYa$wfHYC{oTm^ z{H7r1E$+>cNP9qr|Lpr`tIcq+b&{Th%U&*fb8Eh(p)non8M3=(nQS4HeKO;i4zUk- fz5{u0Jmk6IV!r6c*zD7y*>*2~p&F2wG@$cWw9mJlyyFeBO`e<8^QIs#UA1H>?KU zqkz{Dpgk8985M#I|M!r^L9>I0{yh>Ma^uRs<`(AvnpuGlnS;&E4q5!`6%-j05*YOF zsY`*O{~r3+%k^KMpv(UryApB>82RF?5+G*PDz(!8HLHFp|DX0}`TuF-R{t$r|MTpi zM5v+TW=`>beDB-SE3fycesw>R6K`Pdrt!iYr(?d&-223l)Er}-l81W?@46d4-pQ1q zU_$ioA6UoF$cAx+1WsuhnX84co5^f=D6vKek^EgOxcu(C|NB$>{ti~kG{bUt*F;N9 z>qh=g$gF5LYJT`3kNVC$HB?Q3ZrYFc1FyxyNRMZ=>fbLYuKyZ+M3lJi`fpnAyRqiy zK!9e#U)Xl7~2C41g;kbF`QIj7l6v@d{x}Qw+&* z5gj6VublFEyX-J6(6$^V*({h@Is~DXhAx+metysPDvUyu3o`agVifZi=A?xYkLNj= zUC^xH(=vCqq5@l~XvSpBaulHgY(1@!cVFTt@b&&B)3k)2)weFzE33?1%@ z*-fb>o1uHVWc&Tfd8I+LgVQ0l!||jaiamseLNO;uC=_5n3Gp4%b8@6_Ipd74?X;qP zQbF62CPeT$WcUMJRKx`iq*WfvRuFJ2vo)eX&KwA>H!tes#da$Q_&UT-`S)QL*~>}y z`dD<(Z#KGcRuh=Ff!ex^#Syj_l&QESc@*}Pd2=n3z<0w$)9%Ibe)+_w%B;%B2q!EY25a&my&M^Z8; zFO=9m5xBKtgHf8Le%|PyxpLHLNJeEWSutmat9dV=Ws%>{DFO&fjCe~^MYB9(L{1)7 zC~`S3#WkkUN@vOzDl+q0;v}YNw3{s99 z+b~^9{N|J8JiO!ebEUIU#n;und+t=)p0ZS_{PW-c|G#{$B$m<6RPNixPjf#zM6=d! z@_tcFlbd?q6QAF%Dp_zj<>nu~Q+Ds_9n9(}SV=A>w(JM8H{funSs97W96?eztdd) z)k(4Lt$e$yTi6K>47jgy4i|KvxAluX;+eF*6KN&8YKq|C~iOlac<^98o4CHc~}C!PTI;bIrOG;zj(k z)9KZ1C!17I$jp|(7AoU=5{Fl!YR_swp%yAldi!AFJ?HdcEDt_YZfbMI(B<7eJ z^xzAVYK_0gV zLwy!tI<#lCi!ofIEJdMwK2^Sc5T+&@Q-b`CYwx2u(%ZLS1;R}YLZS2(8TYg`mc@~? zRE!{tF55FCA3;{~J@FhWW-3jamQ}l`G?APM2r;-PcN!#b5|3`ika?Of$b}P1TLB?9 z4ZX45Zy}a5oUt-;n>gduO&d2wNBM>BBlHuZx%GHx<#~sHFnK(eFNxUZ$K-Yq62KbxvcP#q9{q;w5MpfM&8J#;&!nnxZa4)!4e#gZfB-rMY!PnCVfe`yxRh)1F-vLk(*mYgkL zvXUjgAZrzq_wb&rn4&e_E&6X^930^0e_guiM{jd`qOQVTM%pC%Tt+_3um6Y8?Q$+< z!x1dF40UM|>;cY=%8Gp}zmND9*~+8;prz!)2IDO+}iWdf7r7q#-tw7wc=USOXsw)j82{Exocgs&?2W zx%#(&tS;WO^@twP{Y#2*W#}i--#%J1Jxzyrd|bvcBrboO1vAVF7ZN(7vu4GTFQ6O# z)S6zzUCu>+CWNW+5c)+l=tSNXHIw*fqd0J8yfct&kP`ca z1xl``aJ%Tu!418>bLLWG5kW_;*5Z-M_NUp=HzbZZreTZ*k(-t{*W%n5UMR)6ZK{J4 z@+LnVD#XF|BI^R2dapQXVME-Dw>uadv7M9RFg0#8AJfFH0JRVNj@zMl$Gxf}*KG+& zC9kIq#QjDvCh~*%oR9feL~G(o)UX<@CsSc}kp*5@U_6}=6j{7}1I0UT%)J2riE7z99p5-c`ARJ8 z$F4?Lw3CyFMRY{FPY)MKKM*Vua<^Nw?9`8^iS=;EntrM|+M%`Q4)wd}dy0>>0rRVa z$UGh1h240M!mP0Btx4FHVaG8E5pVotLkZ5lp04q_SikxFr)lynuELC`Xcsp}a1lom z7(BuIZBpvpZtU`e0zRpznbA{G=(4b`sCA@MTOmgD1%#!DTqvTL%W~VWhJ2bKWC5Wp z_W%TWaOy~|l* zd^WqQRjL}gs?gfVqLXjoYfmfs?$+5&EKwzFo){;l9U-@tRWm)X|FL`y@Jr5sE??US zdPWbMpj;7^au>6}pLZ0WcWr(g|4qbM^GCYQJXQyxT)G%@rRXq0lG7V&wAjFl#Qq{U zO5dq*R$&jf)ArW&+`z!o9!WSJe>M-|HG4xPpFrP4e>Fe_3#x<^Iz*0c7g5T<8c*e~ z%;baj${&1gFPn~2FT07K*$ut>i4@X&L#PY!=wZ(G5rG64CJrA5PrkXGSinw^TxhDB zL5e92e@>6OI)1%njXCj?954~9OV)6vB3%A#V;m~lO|RDjcO@(Oxucm~vP2VUZjKMP zXze^NkERjnKahAo-yM5No5{HsZ>R^dGQ};P+!k(zo+>}paBy%wLloCMnkuu;c}MEx z6BC*{mXG#aoeTey|2a&48)`hZ^VHZ9-rinD>*8$729w9vU>dwT4Ayr z#m{?=IUa|0Om8imF;d3Qvl5?U7!wx%phq)XRm2(YusVlcEw6??oBV?l+jlUHFM5fk zmyH%ijx+N-uYt{E*Vb&XAvPA%3|Zxy7URpXKLqCmSKFg9yW*p5rEhY!QqHw0_8#6a zVydZee6)ve^bY>hijoNy@5$9%vU$?Hpaa>CX>6#oz9&oQYK&?F~S@#MyT zb#HUnUlFiXM)`7KFA=h{eQl>})fuD8bJ8Ro=2g*qR|YqDr9={+^jDo#PNK zX7sL2v}u1EpORt&a;8B9rx6p)MyPkW-gl=Mh#hB5Aa^jk=Q9b=f>_J(1 zMI;%Xgv5(pD+NyUSfET=d{fD5k5Cia3MEE!1m$0J%cQ4GJ{BlmK%4Rt>O6#y>suE4 z`VcdcduglS7F)e(q$eW#xiI z(*my+1a_WyIYR9eb$$UHwO}+bpB2)~g;s7>89hPH6Xr?3vWV8Qw|%t1395GRpz^yxypC&NgfCcNENDq@$F>(BQn$(SHe8vX*1W2goVy^ z@*3BnH3nVeW+)KDEtNO3o)eD3XyffC0t&P9@&-z$D6ya)y)KMj=38N4KJz)GGs;$K z!gz;hrzdLTT~Z{7^_;6Mc9LI>^AUyN|Jw${W2z8hWg_-j8p6yP7Om)`vHS4_;)&R; z(lv}jz4`R6Ab$NP+*dA!TVgKtjLXZ!VnuWv*%-IoTnZQM)WH~lJGvXr7avIRz2v}g z#=f12#`bpA{DV%JVTho&<^K+L@jz>!{|?SObJ1B{5s7PBV~;ELwK#wKg+sKXp`N80 zr8d8C#CITp?Q3x<#Z>Di#BF^7dhM+E zXMq_BKVWUK04PEELUS9b-{D$OpG45))~uP(6dqQ+HJfJ>UP3p`$`06M#MavP_ZsTx z1h}o`FbFik%C*5bQSnjX2vZ{_dANb#2Nyur6y=5Rx zzELsxE1#kQ*(~cUL(a^-hpd-vEW_?(8NEPW6gMZ!P562Fa&9p`vlVk3YbA#0$hPqX z?nFpDxvY&1>=JXlI)Y;J%UqH9$xa8N)Os!zpAiDiFshRniY?ti%gZcq4=ea3!n8!8 z+4`QRtpM=XVBG#VT*en-)DMlWcywxQ%M5gV*K*^v%HUov=p@;HZ&4$6Hl#U|24FEA z`2kdg8o7`$`zXdgi?L`gHZ-4ur?>hYSEz_TTO>HTVNV=K^!3Wl-eL!Uz)P!_nL zGoZ=kEZMq)pBK05ncS;6Kjiqrw+t~zwBRB1@x)AUSeOq+Ms6ed969< zKeSPs=F%NlXOX0nVPk!V9O%!{X(7jcYSyN<#@60x>RAxZ)~iHp@Ro1#LPT=USRVQw<{B-77=qB`dsXN z(?dliuk%GweJF!_Z-%kBMe-8+C2mKW3=p<0mzl-6F?s$hc z8p*W6mBAZT@+J{K1e#dvV3f@P4iQLIhYc9eEtqCt2mB9OiEt^j%MP7bRjD0-ouhT7 z&oe1H+$wXayJ)-4xc>NH97@ZKuqmSy~x~lx>UD{yE0V(1R zGQVG#3<`2EAkL?PuA-7RF-ctK6@&zmUS#kb7FJ3BWw#rjBmC`4BVxu|A+@FKJ zwg6^sAo*10(Y@%=7SgS8b83oht>LLyb%cF8`8M$x9nt1P;S%XpS4H*QCl=B~(S03= z9{fN%^J8dPOH$$ydXuNH$xV{NP#pVPqFaV7-1_mK-gi1AEM{yE3wMnCX2z(Y2z@#& zBQs=&_6Nkbgaz^@%0NYHQk2`h@;63By&~|lS>7`o%#y*2E(f0g=SE63G~~^xB2F6u zVb=McAOC#+2OyncP)kzvY5|WzgJLeWxt11;6M#D z7;Y;1>kVs8$o(jE`-P8?+>Q~DJWSl)tug}$6<74 z@K)5g8~R@PAyf;nR#&<87Gl#0WNAU1I&n{tFrB$^uq*EQA?=XmZ$0E$`DF9BolT(^jeLkBYy+r>gJDvfNfT?DEUL=2GApV za~u8(*KwlV0tIV;;6)i&Ws2Xq0fghI{SZGwDr{rYhg1e-6VB$+|3t~1fmr)b916?6 zP35ZS1vk-LdTElDwqdp4K<6(V*{Z=jTbbkf`Nb>NqsJ+Rvx|fF9t!WLM(tdh1p~VW zQeQ3?q16$iqScs|6wpR;kLcmeRvS%B25%ukNyg*0>R@(BJJq1dm_lGjI!RVPIEFAFI*sEzYRKKukF< zBjgpD1cy@Q*O-e{`P+3!t)uTRT~)v{<)1R;TSUswY{1F*JUWTaeASW^+;wk|Nk`xM z58dZ5RC71@nS9fNq37@u(JeRh#2hc)WRO*ARpSmP zZ$Wiw{cj<18NE@GmxqY}4dy{%!O&R?KIsfdWF{)ok=vkR84*~=^~k(_s4~s69e=0( zEB6uihYlfF??RAhk*ldA--Sw3!|JpKq>}%kS+yfl*o~V~rzneo%Gl5~^9+aducw}C z#EjEM_;Mi22|WDv5oqt<@C4o#l(iLWzTzy2S%=6 zOK=3u&S7q2N&w^yy^DNG2eny$;o|7*VA0_?0Mn}@!0pgvVm6%;DgFKH0ltTX=&)6i zUHD)10hE2;c}s5m(5LXg35MC$)(d50$cd^eqFC+|bCgv}E>D#3Oo{lcU$KVxC~}E0 z(7};JycOmxmj(8lmr)lP1`49ci4;1%3%Vz zWCFEOl&6EQoe*O_BSxwx{5SX3hv^=b`Pal$XQ{>@4Q)|Gk34iKkay>W1LA)~T2*;m)PbJ6k7h+`RcUuJMHU+D~} zvu1mpb8*Mf6mlAua#Vel*DU-d^;fj{+UikcD&xJu9n=d3gLTn-g^HJA)a!LO!C# z?^u83uIC=tksJ79^G?Yl6rTV06mG$!!jh?n;28C^y0VXK9js&KD8MmhQjEAGI&wqQ zMn==QMILY}6Cy~|D7h_aE|a3gwX~2P5Y6g9Hnb-3Y&6KJ#On^d?JLA1sx^)HlwL!x zHAfksbQrbHSm31ARUGCEjpDL0ffWg|kZOqrbl?*oGsx!yFNZqYV+ zMo@$NT(!Y$n;5)!Q%B^$m}X-8ypt)`gv2kc*u1-nT%ND4+%0t_lG{T+V!v^pb8U6t z8wlj&+)g6-*%CUHxLPp~R{%ZGPPQ~)QYyJb3rJNv`5V`gj<_Nk;ZiJ6z@ro$xSsrE zDm4Yx!%es;BfY(~W47HWI8I6QN{yxsIn)kd)jWFE#8&f`_0qx|pPPi+l4zuUl4%c! zkSOpSwi(P*9MlnyC1DDSsfQFEgI#3BTT3x@Fyb)c-MqK+qNNpqmKfnrEHAHe;}1Cz$@hTuntNLwC0$@8YjH7*L3J@K=FG zQ2z$@b>-YMZbv5JAJVPcaot=1kY0&{icafbR9Zq)Y0A)D?J6JbgGG*9XA9K7EncZK z4bD@##wWm?Hm=MMAuBVUK&sA0E_?`;2n>3UH%H%3Rk4MkPMt{vao?()emxZ%O> zqL?yxSK4R4g-j*!xiXa}a2z&svblwu+gTbRTb%OKrp8PTkXO=`4y0)XDia$|^nz92*WM;kFFEzcrC{1y9`!!kqdsQ~45eWkA_CUm7Q zKz^AY%wp5_kV_-Nm|}ni)6VM@FuowefuZs+(bW~T_EY(QS^`J|c>@><`{`ys0@Pqv0i6yL@JCzktjN`%>*)e=v%gZU33L96%_yan zzN*U!E9OH}i7V#A3=z~7xrgKQfgj~t7iw1o!P1Dqz>ip0Ou&l0_%`Yxz+R{kxo$)K%8FnZ z=Gcnhnu!A|p4WV(ny*->goST`DX(m8^cdyRg~ z09AY*umh-uZ+ec)svhByD~q>Y#92}GcnWI)s3Nbxb-=8U1@g**Al5=CfGR|;l(HhO zs-3wq$(COfS5}7Fig2B!n|&%zVjciSqvAyxfFyW^^akMWvMSfNv-I?@>{g?aj?w35 z7Qz}x{v+TG*A+K*FT_>j(7s>|;U(9c@x-q#lx7MIIz@^5ZmoMrWe@N<{|$`3niI z+oeqTbsu4qgRKuflE;p^zFd}ornyr_;vzxuaq#FO;&(*C@X4yFgxU%_M`6{Je^{j_ zEhF83#NXk|d)Z?+;~>t?WF9nHaGbQ5vMj=+)7r9e0GuQl{QQJM6;RcAIj!05zBHxb zR&Lz6vNui7Os;hEssPFUL_vMo*j?0AlXdYcO3-eq%!qf~=rF_2e*N{2Eh=`+V5~&zR>m4494h}UI{oU17 z9nICj!5Kf2g067;3x*nII2I30yGkUtb?eV1?Lktz!n|Kn7pE0H5rYN&=l@n-JYj(A zJk40z*4=sspvLamQL_W48s@g6I$u&7=Gx2;i>`%-{!Xn~5n@{rG3xbjYEBGD4LsTk zO8MPD%JdwK$$2^j5oaB)yf3WjAfK$1Uq3w{Ec6FgM-AI*c@;i=ycq03Y{)67-${%x z_%vX6b6La|d(Qg9>IPzDEg#E*6#XpA@WM4G=NSyEhvF|9B@gwiKQl&;%RWt>F8tuy zIu~db577V6#-*xGx_WMQ668Vq#-T=yU#?wkIAoU!sK=@?tvDS2Cc^&zI2`bZ1#?-%>spgwr}Fde#(?h3G}RyMR-SS+fR=gG zh7|}cvJj)T(C2d%`_W}Tj6_xN!0KCdVO1>+OBoBU^Cj_RaR(0qE_5k?71u7tcA%N8e`|x)E~6c5NuT~%OvQMQtv9JxCe?Wr4)i;L z35(|{k`%uYU3X>1h_LOwwTQJf1>vJMoD@QJ2=dCD{~{tPcth^iul9NMv*WHPZ=xNH zK)o<`pVq#m5uWIpwiY|g*4_zuXgDkLryBRO{f%TYC*tCr-tDn9x75VW`>N*6MmuE! zvCmk5iEFQA`12B%JqbN01JaB)UIcI}>z4lb(^89gX28CxaEA@Q-ov{LhQ|(^h$KC5 zthC?L&n9KehUY$=5cUeZV2?uxv`R@JybTSuGdum{P8seX6b_x6v)kQfj~uT59@zFQunun+62s(fp2M- z0`#5XYZXcQAyzvT5){8CnRT1>NyPdH5LBoQ;{-}or%!(Y#Sls2Eeh(C=Z)5v3Ht%VKFD|?0 z%C?Z;Mv~kd2QPj80vx}v+obtXEGQo99*@kmPJ+LqmN?`FRTcaEwb*AJsH4eW=#wn@ z`7Ws?#J`@`)_gxLvZQ%ipLsaNyVmOQ!jod3rQsiSUFlniuWoiMiBg|yR{0H5N$IhU zs5PCFn9P^dKFD_6m`n!f4vjA^GSw~FR<8V-?+WKxe}(=H!*85-H?;W&yv~j7t;=G= zfOJPapHmP@W(&p-_tWnD+3<728`?%7myW)&KU2O~DQSUWoEz#!O>U0qoeuB;?1^ax z25UP#miQlm3q79Kpfp9FbF53HHr;kp0kq_W=A78zXPfy8$fL_1xwT1f`PO~NuM0ta zh!ehDesdgXv3^A$!58f_#QdpMxZ))!y}AsIZj2D zlQoqpb|5F;2zgn;KT+ck-cR~J1_lxr3noq4K05Y(-Xe3m78$X0yu5Dd>gk0TiXrUm zX^vj*YCDjpZ^XgXPqij*Xm}Nn7eT=Gr>fkKGPbx0!Yxvd5*e6-o`p)ab958e`6#>i z%KI|k>#GadBfb$oR()5GsKno2EPoZybLn#h?LY5G5N;5w)xWF<=o-I=J8bmj?vyzD zi`Utf^dQUPsDKRzJY`oeOXuuXJ}LB%Q90|q3dE+z44DQ@>yza1Fp~D(G_s#|!1E*Bk9oZ6gzE7qWq=5aegN_Bwhh3Xe1GVy7m(Nue)s z+8#N7M%Hfgx9Ay>6^U_23Zjjx!jFx13KH}y=0i0NnF(OCCrxL4t^Tp2;TOb1Jwg4n z_z1||1?14#;9q7eOGVzxaH|ZtXBJzYZSZvo0f7K2X&$n=4m-)KB0O2mypN#b zOZqr#Z;fv$6FP}K28@yvi*GpI2vIWVk=IYBF)HEavUmi(=E>OHRVRl zX?qjqTuKwSkd{i~m`91i&8|vYWd4J(YU0kf(|xn`t{e^VdNY9!88KqX%Ktb-Ltlzr zBa3?~uHw``HWm7GdCbM4LFc}nE0w*LL2UZL=^wj{W@`(P`H?qV!whgAE%Bc|fCTw( z(ar_p?-!yed*-g&564G>s>|~gU$^Zd22Qe*$+OX|Uc(hm#MW79w9Vg@hI$ie7F$Vwl4c1X6(+O(#9B&rx3j}!0{Ls@P0yEzvk!;t z`>|o-#Vw@ya^rwp7TKIMJ5)3<@Yd276#B8TcXt9laf88tEw#3Qkdf2$D3&15HT*{U z^J)xSJ(jTr;ORJzSY7#YeleBwu%|EfPJlkYN$X{keYOoTxgz|1?F&(kXVB>YwC0n- z|IH^NbOiG8OSCEBR?IyY0R)+7D7M>@-Rr@JAc?O0=k>0^d&D@?qR=g}wHu~V2DgwT z_@}HfMdwkD+br4*khYrn+z0gD|0wA$5R^ZQKTIbn3V_SL z3u4o&hRkoL&Z5@fM}bS2JIa>h_e`)hmFt$AZz)uSYb1~;L%i#;KOZ?M?A@u+xb}Iq zg!j|zrGrjjxqZU9(pT>XsMl^ezM&mC3&6`hK{_UJUt~bktoU0tTLAHjmuXf$j?%Ax zXB<#}Y3c{CUTT76929uj4$Lz^|4A!Kjsj(>P@Ltqm4r-rP1s*o*qmB5|$EC?{HGwWZFZkNUzy@x!dLo5zwpmEz0b zKp-rG6#-k&Dr**mQ{tH)KSI-CVc&jk%Ri&Ail>I+MVMdT>zp3NQ9uniu$xiru7olAQEtel?VjAh=rckOowyy z`3bx!v9P*YxD`ZFbd>#kD8_wh2A+Mct*dq@4Hy!kcA$m`oi12>m~5gX#uDOj5x<56 zr|N=aSHtIu5n5%uU+a$7?I<4)zK((%1w=21Zaih#@BWfS@%!;3r^AJ*|J?LPiQEkU zrO)jl>Y+dDt|Klm4;dW7LoJeVBf}#1v}(;29l?%2>zx#v-5HBIH>Qh;8iS%YO1u3Y zES~(Pi`QX2xpCG2U%N$iXk8@3qH{#NpZDv5c~35;ecA<(+~iXN!K$3^tvf5}+2>_K z=)guL!!JO|-Wmz^-j)-CJt9xC!@^g8yvX0H3=qm#T@9H&`&Q z`!H(W%qGh>J_Ydku!`)5Sr%o>{p=}7f(C)N+K;s;p)vy2qbDNT4PNkuRo?WjIZSd>iDrPLcKIVP_Oy7dsF$i z#wnBDKV$$|K`;pP@xBa6%LSwU&>cAtHz0VFEwP=y^Wuhx!`xGkiH|#-sHSrJuf2)c zUxE82Dd(Q+d9cI>&4vq4*e^t5KtaP<9{i@RXe05}A*zO~DJ{~6Z+R)(nXK*%d&idy z2$JA+kZ`Xg`0uVTMlo3nn>9M}HrdcvXSrtvp6PY$8i^wfJ?LZ;X>zhC`%vRPVx zwvTon&Zyh`H>((`N$Q+aIzL#PM}82Pb&04_gLpi?{SInEBi~Ggr zhHR;5!!i6XO{@KUw#^!R30C=s9cvo6dO3G-cQRbA%gnoEngkafKHMv=o@FM>_waRt zWu7j})}|(KsJg>;{(bA%9Sy6nS^1c%3n%Ri@p!ppxRf`*QrzyZa&!`3sQ>XS|`kGT6pvmQZapQuVh<+omO}G}e$B9_YPs zJsDIw$fMeD?xa1An$se|c06#IN`O`f(*X{HePG%Tn2y$$mHb3Tp>siAAK{hC0`2yu z;8^$9`yuP>cd;z3?0Rn{jcw=a>J4vnpY%3?K)>cn4CfaYUr&JNiEK<8!k=k;E+sHY zemZH$hGoF2b|P!(v1D)N?-*$D7kHGhaP@=;5;41!S!4*=W}Ai~a|A4we!?34 zUe3PaF4iK=JI|nbUv)s}@JO_I_--yQ@$|cZF>qh25E9p{@#u7+_~!8e#ISL|6Mig$ zKN-AM7W&qu535Sv#j3wE=P}kK!t3)jKHj3u#+}C(Q-hBuo~CM*S?$X`6a2Ge8{dx( zl$u3NT?{g4-d}igMdR!+!H9CbI_5K2E#f{K^K9VrPR|#P9*9S*XoP#ku6}mwQ_<&i z;37TZDJ#~3RCnkkebv(?p7IY{rfyo@&@w02p6Uo97o|fgsiYmSqTvzFG=F^rBty7w zKjluL{^Sj%LDI|dw+Db=ED?Zaz87l^$iTd+B#gx*_KquwVzZk?bE@AhhSX*GYJQ*~ zUiEDN=0!J6|8)jAijXiCygF|rE1@Sbpk$_=x|;Y z3nh2bQsX+Je+Qn`*5-?Ec;OY}8`<0Vx=O?Pxg8~mjg{=Y4q`-6Po(!tynDL=^LAf& zz=tOF7R?{FAM7`lvHz2`${rR|(MJMQ;Oe7bRy6)pbgCf` zIQ4R6Xu3Rp)&Wy3OK4Dze#90Wi3TVZnO9*I{-o3r8ts2QyJJyb@A(I_{UKCDZ1v+9 zGz7O%>>J097HYro?PLGiG+YZC8MgSRGI2~-C=z7ep5;pxk!!F4%@y;8KhL!xICSrN z^duMxz*j7wMg&~mnP;^40zO6<;90WguOnVkZK}p%w~`3#94ilg0)C(T+)FAuAS44o z*62ylo`kWjJ|p}3+3}Bo6y94E{miKLP9XQx0bxLC=4D00735q@D{ey!Q?u#@5>7r)+X%;tR9tb?b1735`j)X#D!qF8L=%3ezn zUExpSjl^q{Ue#`u?HR1<9SwLAG5U02n*+cA{NDS1sC{?jnDy^_VI9X)W18D<(Uv@O zf4mrTGaEvW9!v+G6IZ<`{Q7d+Xdy19_9#MWY)e^ILKt(jtbpql(RFP@bhY3Jis4l$Eb=!%=Dp%l;L&aCbQwN6(Ha;SJj*S&GiE8 z7*Pra(#)bq$jk&7@|jOIOu$3k_5yE<$?|RDs$~nUbEYg}`RX40tXXaKQcmts@@6)t z|9~x3x_mq6^HK6zJE%Iq$9A)uf_T?oVg>!t-5|A?sU~3+TN5@uzh0EHkPbuqYjtdH zs#k`17jPO@f;5!N5rRDV0G8Cw@&x@>zC;g3~Nyq1TmDF80fEhL>JF__Zp3eTbL(-n4t?zuh z9hzLbE{+eL_Gfrl)jW+?Bg&QYB)Emn_D@l_91Z% zh{QbQZvQD&1^Dogvcc@!bi~871|v$hbM$1QvVv|lTzhxpM)};O*~M!=Apj|OeVf}1 zy_lM~j)s#MCeU!sTO2F>BZI&Oe~5{wngufc#KN2}IYOu9r>0qJ|ujw#mt9Rg4tdN-f5>0_-E zcAt1XE8onsT!l1mYgyOUWXA#}Xnz)j{e9K&x#EYrZVZz@K^_VCl8^?_j##zG;lE6hH{jcIL&@R{#WFmj4=~y^&z&P z_%|eS;GcEaOMSTHnW*eg--GI6(|zoyY%kyaNpe-Gpz&+I$Y+hrSL9PXwgvlsn%B>B zqHSe8?Vvr3)Zh!fuqU}xCatM%_J~Zmjl6n#LqF}LEXWD0C}Igq)bY)eZ7)$f*t(Ov!0HEsvC1;%8*jH8fVNUMJ0W|X^tvb|8TigPyj=ci%ZeU9 z{XBD`sF;@bGi@*x0)rf82}l)7Lu1$Vclxzc4I6J3MO)@sf>v`AgQwWSn%uWMpm7HFj zH+R^5=~y$YkNvbf_$j|78K~cBpS{K`O`MZ)MftOtti3KM5}oy7{9Op&E&MSB}e_6ATW;1diEHO1*gQl-ADGU*I0>rcGe*$Fvu}*NHuH`VAz8P z=SxmD-w(i7#QbM(CHk#qpVm}9OX zN=o2E#?>Hx0`{WoY_1cF%)F-O{{YN!n-h2U)6W!aGH{Cr!4E_jAGxM!w5}ANc zcp0E)Wx=w%s`V0@98_COeH!3iSj3j@W!1tGPL-qIy7pDQ;pHGQy|5OpjQGQIE(Es4 z@YwN|N4re}n$wLd-n^jB|B;(Ab7(vAR&T zU-CuaHL)RXUnBX!BK!T{dq5FXb|mb6kLuj4?Xg0#`fcK-k+5VqljwBAD@!Rw5<15u zF8k*kn`aUYlQE8U)I&1s5veI_dvmXg+un#-+ntVH&DsX8^F#~~e);Z?*mmEE^j!%3 z3bCcV4*uD_A>xG(&Hnei=Hkd0pu$Kb=W2*gcT`~XkI6Kg$Xj`@5wdI8lww5kdvUGW zjdOk2&r7pZ2Y{-@f;$kDiE}QX#!e^Zr^a;o!I813JAANsdp|K5*3%KJSxMnOOQy{3 z?5)2R8^9l{Q0(t$G?e3CQYEh}lMO;HIm%qbyI3h@C0Yo3md|C0oi{;E;=m%il(WAh zFO77XY+wR6@Kmc_;`|wA+htS$4~%n(1;5KvYnzWxmXPyb#E?6JWt*5GKM3;-%#O(x z&8XTc^5(ZreN}~m>UX##I4cmKv-Q@>B1ek82`+-x%3v(W5vYDy*!TCv%-mV8*U6B` ztoAGX!7Z|jlS6{HMnc~$=rC2_UrcDq|3lK32SW9J|9^UyRFWb@p+a_33Rx%nzLO9W zk`R(63})VuvV_Pk#=bLTD~yS3*=DR$wkhi{mN3ki^>^Rj-(T(>_dfSo&Uu~loac3( zhsGCC_IpCQr!uU9IrT5?^tI7^Dxk1Jk3SYTYRo`PcTS)`^jSpg7eL~-NH$+@J$r~_ zzYRD;i@WLXnNjNf?M^^$0Z{XTpm5L_Df@b2t`wH_`u9Vpbdxl4$)=fQzutHt8$Y!?90HFanQ--yvp3 z`>?<~cE5eCD*0II0ZqE7<%e{e{zMyqa=^JJ55%(AS7t`8zOzFuYuEnhNOVNZs9o&| zQ(74rYmVVQ6SVN{a0!?EugFDmU{}jMl&TQV31-I4DTO|`=3U}c=SJE#7i?E`EK`<> zU7ACNwv=^ZFZ zO1~+8=*Po2=zw^W|HEx(kq<;DJMvD%YZ|s;occ5=eDBtzbMQmP^7*x;`g!-@OC2P= zPvPI}9X}pD_r(nvK~3=|(OYAGWI-lUJJ?oT zgV=kZ)=A~pLEXXy=dX2*o`ofLX-&Fj^q{sEeH-w&1rQP2^{jjsJU3xV9 zc%Q!pIrF?)#pgBLB8oR$S-8Tx(_tYE)?x9+^{NFMu2k(}V+ z3EH4}bJG6ae3pE^ybj@35+L@I4{%&Lwv6YMPPDt=kbb+v;{X1vQ?F*SYSoRdyO<^v z;^7b>=0q1lE$fh8O$<5BqJ?wM>;SooK$t(fF!%r>Yb}j*0&`?*cYQ(n1fw(82 z2gW}Sb=Y#|_^DcV&nP%QFm)IGh6%%w=>IU}uDrqgE5i5fVLL^Fl9 zejmu_H`;#yg<(pUcX>(~7imKkNMXi-R$>b=-uluPDj@vJC>4u+z^{!w?6vfE#2H25}gIyqYrX9CE#rzg3FG!w{ z0U{ONevRnuW2Fp7avLXEWWlr!l!w8g-h?cx=PQ-4zkAOuGpjc}ywi&|{WiJMkQY1X1!1{RYIqOky z5vFQ?xkH;d9wf5@d*aq#3i$~4iFm2$WXh7D{5pl)K5M;EOs{r4Pd`!p9KDU&$SF=~ z-ikN}jG3p5$V-#asQ^_nhWFVrw+|l07RQH3Z-4XVJxF06BbmA$pQ#k;^FN){sdt-$ zd1dDBs{;}4$aiI;G-p6DUJ~6RjQYG^;n?Ri@*nJ2^%=ocL8n$gyRl;4p7k&Oq7vA( zlNSB#+23GpwkHntj$NgLqRX}xBF-@JI^Yw`F=B`T28OGihs&EnIM7rZ0c=hjD=9T6 zJT|VgdflORE4}kk$F_&-@&5wE$wu{zH%7}ALLnbn287penNek4vH2Z`Pmo1BDZuD% z%#NW3?wWG}c9>>NCfq(p;zZ|9SOZzckypqtP+ePK>fI?G1k$o)4iv=hTzK0BnM>Xm zJBbJaj{>6)ox4xFN`#&p3kp|g;*NG(km~X-Sb>Bs46@y_KWLxPUVK-;7kDw^;!tEg z^budw0@euG)s)!cIPMJ1zm7jLj@bW=+JSE{!(D%V`3=rt7U6g7e`e^Q)`X!+N2yHe z6CA`ykLI=}yxCtH?Y2c?c8-&DK%JQ0V)f*G*VQ$>H2inHA75A3I^`#JV>?9lZ-?ZS zkv`Bb1f)}m>LudZfxjCgdDo6B-9V@Hgnj7!3W!|f^wlOkp?88&a9I{-0U;sisSufV zj`)b2+hxd!?i8;J3cYODGDm2=^zK?(Zn0zhQoR`MR$S-tr4Jg(M40VTz20Im$t3Z6 z0(Db(k#VUksDdY4w#SL5EGX-&pCH*Yc3)?s)BQ^RS=v_Y+C6gh&2#NQubNGNxdze{ zCDRM=Uu;~TiIHh_ReiO}n+*l1;}c&J-=rucV;@{@RUwMw8Dn3xJ`yRY$8&&9Omvy; zF`@)20|EVC0Dgp32hn4bxK9VEbVHU}jx9aEhqHR)GxsW*8({g|Oq}Jbj|RLR$Wj^k z9xlOeu7~FwjmJF)9Nx9fMLT&j@w;_a%Wl|#<}L}^$^(;JW3`3f9TT(t@g=d}WeIm{ z*XN&!yK~9d3)d_oGo6;|!JBpG*ChI!mTq2}Rwgdpox1?!MJAnrxk7xdEO=wcT>p0^ z{22oHB&UJW3+r8?J8zlyBf}p(9$G5i@zFH@dK*@HH-KIs_JP@h+%ye!(bjIb;lWkN-Y_PbN+0k5R(oZ2PkR=r&`ODk(N`1sFe9gK`Q^dnFn%hw2+ z-{D$IFaRwmP}yfEE{SlrD^aUaRqYD9YjvkhWE=KdASj}L)fIB?tT(?_Ulf89^$1eH)CBbBrXi@~oJ zCxnnPi*at4l_IqSCDV}t(M&}VD)Bo6%mf(k;-VW&;Lh5i8xyv z_?D&qGY^2SuFiP4@Y1v-5r38CkHfpq(Kwl>7!W;z;Hywv>vsqugcy41*B<;U7lJuo z=z=q<3z`*XHpICRM#d;ET4As164|Bq|2!m^;HgOL3qY5YR3=@Hr>N{5^4CXzH$BC33UFBh!2e!1rH&&=eSLYvbo75pTY3oh0Bb`v}P{a zpU|`1EUO~K$@zwQbQC*)7I^ibm>^DN zA8Su)`;G%&Ah$WeWTBKIf&x*nSlrPrL4e z0=KKyPsJ`oFnQ+H8F|`VoEzg?4`j`6Gl8cFp-6=!xzSlRKP|f;C`g%i!|`V?amn|r z9R3(S7y@Dlg0CWX^?z~!=GI=AR{luiBoG6X8Fh898C?3C(+lQ}T%LWvs2xi_%~Ic% zVI8Yq?Sk}c^%8_sRESV*TZZXKl-pWJ@6wNbii>8lE1@+XVt$k z)xEd*4)5cv^iiStuL19*ZKq`S+3|1byK_gw!x%10%^I801?&rlpZ>Y#fAJtq zOJynyo|VYHt9czy*RP4sUD~zzi}=;YcKCU+3lV;q?f*!OlL;(j?IMI);5d}Q|Ki;> z9z4TihSbhPF0VOj*5a&qSo8AvYwjctcC~!IKs`2!C6LP#*gbukwuJN3es((d0-5&S z5(V(T{5a6s!FG(?Zyukt{58P_01a0|7z-W4o@JFkU?9KW=VIYq7=GV7Ps2p8m9i1X zTqKE5O-F`bcPAGt@<|0%s_Xt4V1I!M>SdsaRwo9iD4B670l`TIpFqshCrP`vg`Kpi zgnx?H7uByI5oc+k5f2oJP;?b_YIUvvul#w@u}T!6B9&6L!dOoe*s~HWxBz=Flp)N( zHte#6TkQYt0IVF;8M+pc{*#K}ak)-Bn19@hxxSfPfDuJ6B9FUh0_D|a`E>)G59vp& z5Ojb^XFp;y!2$;w&_B2y5(@jOzFcCu%235(-Imywm-b!% zioJ1L!bs2@q89oQva~?p4@QwT6~*#pD6{Nv*t4|TfAQXC2dTT@ljzPJO)+#Tg``48 z6`|h3Yk#A{c}C7N-@pz3BHs8PbQl5pdO|C8e4l@hB~Q#Otnn7s!`%30|TE{l(wDAy){=I8;3a z(<`yzN3je>zITiV`ow3hdo zeZl8=V81ht4O0zZvy&m-_3r@7-_D{qDxSHRu9e0vM4@yZ6x>Ip6bv z8oqqEmGl~zFDb;@;TJW!^SNP>V1GrL;3NCYehUKU^qQB#nA}ll6-512vL#vU&(i+Q z4XCTSAWnU^@^rQ3ym7=UU=r8(8Cf|idKemOhm?_do0gJF*LL zszwVcCB?GH=J{Ufmr3>0-t5|w5Vg;wm+n-{gC6~$SMRuzw1d~)TNy>1l+dOn)o$;E zy+GFf9b>*x6cU@a`f__WJ1%)!D)a?%YS*UI@iU>cAIJw-dTxG6bv_(NqMReQj=!Z7 z7mkB|csP>#IU>C;-H#C<2A+cBXeFDr0_W%~0O_A;E3%jSU9 zM8vD>7=h#X3$?2m`!>V_x*nw%EJr0~wYHqhd8r1i!{6EoCNHj?K(j1LH%wJh|;~Bk5ggf8%u;dW_PuwD_AX3`>L}Hb7@tv(^h-%s-P5UNTKXKDh`_ zd8Bv>#+>hH&i?YwT5LAYEbOF?ydfh6e-D#!Lw%QWj^%h$8&a@yOhbx_?4{Pkv9AAI z(Ls6kWfF7$AnxNxZtXN}6@Yc3D8JysPI=~lTkC$nk1b5Bj>fPuBB3R&deD9l9F z-`w9J{y5T($TbCWRGu)I7H(wEkBxB^BOmu*q{48(bMUKd2eVAt72S!3r5FSiC(6Z|Vi!uM` zuycDM+{}3$c1$lqZnGW;maGQ!u`WG$57B72P2W%_r+`jg{+D%;OyOWpjfq*#N6K?@ zva_iaBgD<2<2ope)yoqz`Tu#~2q`+hTQ``vTxD5q!vU5Il_2P)A1?z*$Aq1&B%7!H*?#FcC8+wS2UXw4k! z5RPXLfOcU+H!Neegvf1dfG&;i1{ir5u0w&99AdgAOL4iiAmAJg;5ttXrvyz#ns>Bw zuw8#yH`H0N4NoxV-(x)d2eX>FX`}BkG`jN(%`rl(HNwhjaV*T5iB^w;5W0~6E`00< zC*RdXsbd|Dc8&&?2uZOFp2KiUj6l%pm%4-(nylJ%BDj_}^1CVHKwEMRV<1Uul^at< z>4RH%nU?89BS#>Nj(hk?Tz0G#rH{h&r|rifxa5-7>P^XQfgktR@5gDgYI}(&>_o73 z8!BrF4zUq6t^3*l@KG+e-`JqvF9QE}UA-2OgwjWRS6%WZ}tt~@oR!nHkNN+KA z{l!BhX6;KpWN`OSI+0SNk6J5xp*nLfgS|6OlxGO#&oi}oI1(Pu~pEiyA1YC zPJ!V)M#uem_E6;e$o&q=4?Oz^YyIb#gv#TizMB5vxu-p_fBdvg(iKT>YY5)xxLT)l zgu3Ywr_;HTPRtnzbj&!?eykXsn|`WQZa}Wuh5Ggk&xe%zUXlFkGDC!3*uPiTAMDYB z+iOhAK}o5lvwR&1vhyeZNIPBehK?H{(s!m2C7!sawR*L-Rqfu#6eE>Qeht1<&8~A< zl==j#vI1U{(4Kd5*vO@py20p`FLTy6@iYx1%FQVK~dF3Oy9CshJahw3@Kkq ze3SVCyQ)*{`A(6K(Om3;3;gve$R)9%&w182pZXBT8e<83bc?)izPMf4Os*NcRc$fi z>63o3IB?A?@_m_+_%h0KWONznwMAc`b0|vTd(^!Zgib2;C@<1r?~H@ zM;y2EVO>MdTaMl+NtJraf|&=UlR(JJo6lQQ3&9@eq43Z?Be8q4*=lRUKDRYA+9`!z ze4~C9_~sdv<%m0Sppk=vhY2SKA}>M9)x|Og=@&j#TZf2M1l6hC+jrR17#-R$qWQ@G zP=yYRC{2*E z&p_uOm96?aoHF-DgpdsWuu4m6Yf*&ImfdTwoOS(ylr87gSGqGd%&;ozJ$$>tiZfZ% za(TJw^oBXNPQ{5H(&3CBNyFgnS-)9jtG?p7GAuvq-S!23DG@K>UIoz=+DzN$b6)w* zZ4Uyhq?)V!Wi0*qu^gQoqo+r)N7wOz!J}Q!%{BhPV7nv=Z|#Jk4d-%;eE-3Br@W1W zbpy>sn(fNx#9cRM7u475qZhnrdz#imc8d6M0}cIRPm|F-XQhmxSIrmpl4;zoaCXyN(Is8gXWbN5xsT+$zcLsQ!`>dL;INq zv#R%_Km~sCFMgG^uC~QgOh(>mG594*a&FpnexU7PndzTW7c_s-$MdTye~38h8Fp~; z2VqckUEJv&>G-Ex$#*!b>uPdC)36Pe$fxg1&NhjxXnW+<*HjN`sX@Wx(60)sX&cbA zS%H!(YtJLkonO-(TgP3Y`j})2RZP$}j%-~g!?kDJ6sHov8Y1CX$`Z>{=lBLCLYS$Ol7wvn7}$Y4KHP&jZv!pk|Y3(vdLf%}SUZ zCF$q=?e-ME1S_bQTY7Oo+jQZfl7)Z!Gn#0x_?Cn`gP%Dq+IihOsORu5W~016+?t@F zvEyx1?l*$fx(k-zX<44M3OH9(gVem6^%?%cDOiukvQV4jvISECmrk0}{&!zc2+88w zd(rMDnPA1PHT~)qq3&21DgIY<)a}zpopzOSx9p5{jBel$Ay+%X@%&?-#Kg;0zwk0a zJoAzdGmntb5U<)e$+i5{E4w5sC7v=?tuQkjS9ZAGfD)`=q`@iaRLKw+_1$Y{LIr}U zpS%(N-0;e`W_Y&|lPD$Ofv)FgzI~mO+sii9V8B)+;LOL*vLWDO#h(|yUN{wQwsW?0 zr)jy%u^^uxW{pBtiJjblcM6uD({HUydiclYr%Z=Nb@B?>LSTlDD|@vyamq7NpGp3( z;aHs_xX$VI;-ojz`WZ>}&~Zp`DDU=H@Z%R^!-IZaF#V(G+eaUoegN8=m2l z;u+$Goz8SmwT*V!v!5N2O6glkG)u#K_TcM&$?)ye^x{u5EDz>ib3(Y%B=W0?Ta(n_ z<^j*AVxei`C93*0QnesaQh`MZTB&UXuuJv3sT= zpkEIcp!%88I@+@;zuU=ew2C;l$EZxbX@@=Iim)qKc=7a$kxR37V%Q~9Gt-het@4Nx z-PO+nj7Z&I`Q|no7%6v2y`ALy1C#xhw1#g{2MvP8S?YL`lC`Z=z`N}z7xS-A1XI( zk%bbbTA&kj{Yq7dLJKYVdoG0s31PWZ!!ZHPYuaI*zwPcsn}?aGjk)dY>k7?CtW15f zMT2x*>aA@1mi;$e+xNTn7bo1{ABt4Awgq!sQ=9xPT>dsw6)k3(`0PV<>33s>k9_19 zfOffbIX3-xtM*$?lWN(GPi*Nv4B1!N{Q-*Eih(?a@XVZXbsIYJa49q!yOG`4#<8Vz&f#sYog>^dpmSYrY^>>4OaYo#~?fA^QhtKCgb zEfZl0FHM+2un$s``6J#B*H3BbgJK$lm_j8L7Dm?$wqAou?R{)UJr=wQQ-7T=eY4;x zvjzIw+k!(rHYG*z?qB{ORC=-PszjrX5){kbR?*X~^06}YNqrN>-gK-ik3Pv4Gra$S zmkPkkH$i1HEgR!sr?U-s7R|yzjL&NR28bg0l9Iz~pSS!SgHW>4PG=K25rciYWl!qu zNs~o~P17!EO$rB2vWq)Al2`-v38*vBvXAnt5|rX-(=giFKl^xE#CseYY!7^ZymmE3j% zr3jP0$MSIT4G(wr^S;{*YL1LJRHWa*^D}+kDw^K$)_6YlR?9wpYWLl36Pc$svU?*X z_xNp0`pdR+V;*dcuvC zD2tOtkMv{>+G={8dI}c~?M-jpo_me5yKFo7D0~yNRk-`>&cJ(HTZH8$nOpF!BRf%Z zrY+Une`%s9Xi9RmoWJ3xz-B_}q5Qa`u#f#2Ke3w26Ea>oeD`@8Ld>v}hY!Ysts7bz z9>;&?@tz5v(R2Uq`jxxk-6Orp8nh^U{y9(E_cFAL+a9gBJx8dfKG1(A%D~2y2+9~| z)f^eL_!$V)IGA| zBK%JriO)2$+?H%)w?b~@J<9RKV ztKW2k{KlX7+{hMo$hg?No~jlXOBM0li$q8tCYe=#B7813@6^4;}wG=af!$(hX6gi^Cj)CU~M2m(ez3=lZPGnYY`B(%; z%gNa|H>4|WNKUCYSHy;Em3l>2yu9dU3#uGW12u#E&5q8vHnnE>&R>EsHHrHMAbx=# zo%W6Prd3Y2{;BG-A-q+9z5ZkzZXC{&)2k`hltv@}q9wxJ~Odc5eeAW0

vtScGTHaFG%+sE1-E$2lQ)*s%VpiPil2L2c<7%Z<7VR z1Xvy5<&qm&aZuNEsU-n*EBo`{xI9d? zS>?bx9Hw&PHZ3@U^!2Jh=`Xv-dy`?go7D(g^V(()Ic+T&0i}aJtpokBlR+}@USL0& zvE2CUEwpL$Evs03`~$MLub!t;x|598ha#BBR+w&^eRHW&wX|JrLXbqYt&B{mq@Ct? zP0(P&md-ddNdeODClG$gapcCU&L>*nmP0^{M*hmYoj#RmE^-ZJP%8caAWWOv4}%sJ z9+yd)nDm>5+h&#c-d{}IHEBOI^ljrTw4DjRm1}Am?!TuS?tdr!iF;(b1JyudzEEOa zy;`Z{Z$W;+Ws((QYCOx_K=Pn`<+e>?py@A#Y#prfmq|oO2S_;~_%l5^mptFSdfoRZ zX|`LhV7qM2;OLQW*i6e)KR^1r8UK&thyIcWpHHlT?jEs{)FZjRJaDPx(|X$4{&Yex zpfIF)y}bu_Lnhu?r?NcFnzyu*AUo4isz8*vd~Re`LK1!4F2$y$=-0{yoEtLRhzysGHjuprSO_`v8khf~ z+)En-EpX(_Ea$S4LFIuV&Kd1Da#6Z8J3m4+S5Ji(V=C&pH@uURY-2Uc@!YgO1K8=TxM})yKy! zl^B_Wi*)%`O;hhP{KY{{i+kU~v zgUIn3qU)_Qk_X!13+*Y2dCEVA+jCFlm)kOQBkWBR8W(+RkvbR{M{ z*!1Av+e#yC-=t)^@bP0QO`Nroc9eA8!LG2}#~WwU7ALCY(j?5_T#^UR9j>p0&P18- zCJsVvo}m<^FJOEW4czdM?i1O0h4S0X)FRBqAF%Q*9<|aMg_kK{t3esb()hiL(ph=0sp9zi+2#CD@4_QWxSvwX?th(&4QPIgJB9*ao}jO zEJYabh8nmfoBgw!_-#`9ghdQ^zE}LHS*fZwR>BT?4GN!e;u+kFvSp4KSrA>Fu4+Y_ z@7AWY{+J1q@nY&Y_1sUJ!OKwNMJLP5M{7z;K)PIm%9W@67svJuo=ynEgnV^PoC*$X z$L_zMtb9tp?SDQv)(S!wh@h=149AZf7`5>JJ~N%Fc+phrnMF9xJi?bta=j=vM%2T_ zCRN11q%q|8$0wu}|I`;o3pZq3)e=}GPBy}pGL5IInqSDoBc~s|whe-S%F{$SN?~lh z(Q?+yliKT_14hd)T5!-1ocwK6qihYMM1a5B35o)9_hN#eRpHiy@Yo^OgKA>Uw-(?RJjtxES)GY`ckrpiExeDjj*{M7j^QI^-Bgr_kA*rM-%b|Z1QePn!HVm+qj~BUw`99cR9wd~VtGI1WUZ*-?f#`d^27*^VF6mCEze4kD?#5$mg!xo*_`R=_S*WPr?^&LR2JkqC3 z9XZKV4oWNV8rFDPYgZ55Wr=&X`|9hOyzeQqw@6zFfV!%NW$a%!O)%HkdvMH3*jGrp zdr!!rQd}{-NS;Zb5pwdC?iz|#Q7SN~di&2UPH&#k3O|t)iG$_$w))c0H+l9yQkLuA zeiati>uLJ~vb?ZSX@-wW`&eP!>SfY4U42R9bVGi{q=lwIh26F3F~uOKHlMEx?_;H% zuSnw6++2P5c^zW!BOZ`mUI<7vNt-mdD+%Sa6p5?j9JkH*a`S|&*u6+s54lh1yOI`W zLg@#jKIfZNmPXfIJMHz3E`HotQg}TSW#U>rJ78TNm1@9yT}OXv{Z46orF`@7OTX1| z?t-xt5=b@v7OxS*p%yc>rDh+0k5;Yu$u17{Iq6}QL|^XvDDkL)5=ItS?zqgyfHyWV z10^q9ZB2F(&3aPS|IFxI)y+$}y0|#GJ^;NRf_SUJ`&3W*+J|1FG2zp1=y6fvnYNd| zK7EtboTshzuxcXZrjL{*?@G&nMA{1Wwfc*b$`J+$*5-)nDF<$vrB0$c&+=r7*$1~Yx{}=`4(qlO^Pf}r|h?uSeG}-_FRfv>a_KkY;by` zUt`x36fJvgGEFq@&Qh$k>+u+y7ZY;!eTv2U{;wt9*fLI3TraHOc6S^6qteKlWAM{#Ee_;(*B2#Zb94wAZ3~ zpu+{c&@~T-X;X)=W6bX<)rJ42Pg%E*;(b3l+j<^mLivN{1762s?nRvv59(?2x_!sr zbs+#6#`DZZ$~~=!{lnELAol%-sj6gsFT>iXm&<3B48LBC6W>UGrF$t4;)YCP=AJi8 z%)UG1nu(gKPI|~KX_zK(I^>zS%!Rn296r%XX!fa{iw#NE<226~66d!;g3l$| zre4t>`Dc2-at4i`I#S6@DT7`9u+ zWcOm>sG1tIX}#9a#NeLGKRji+`c?S;pwkV)%lz|X<=;g{8=BXOZr?U(m~GSiurhny z#5LXOLVRU8>(2nQI%?zXl(U>k!dQ7p&vuc1I=%8+ikWTL+OWrk;qOr0f+%6dhBoJn zIuBJP*Kv(L7Q5Aag_s)mZCaZinmDHheA+22p z+jKcjD{1hjMOtX1L8x?3%FV^+8!wk#t29ajCC*pXh)dbFst@V6)ir+B(v5t1BJ$eU zMvGix@Ud7(dCm!}TebRo`8F@D~lOxpE8+~&^$7_r(P~;MKun;R98F&{tfX+Rri|jy?RXj#gFUbLZzoEfVQUV7YmP+QG82(_~xxB zLbd#gd3$Tb@mBm3zw@PUsY5CO&1AfdH@>vnJ(HU(vHh?9N@*Q-HEqZ84>G?X>7lR7 z%Zk|XRTRPT(9}LL}F@5pSj0VhAO}C@2x!S zgf_yUovQuss@7kh(cZ7{laoOg%hfN>+JQ_@-tVQkcoTHa}_7**OVGwfh+!f&`*T>XSMJ3>bdk<; zT%CJur)M2u19JEOI=AW?7^PU0ow={C_%iYsG)K~=QXvF-`7_Nr@1=%Jv11M0&h0D= z-Q!4K{S+Q#8o)E^85XJ@EU9?+$ph)%d^N$)DbHwYMLgncac9$X8D@FoOO9V8sOi+o z3{52}9&v-D?|GwqD>^{(Us1SZJ!kn0boHGZsAK3zw%qXTC_p#*O4RzJd<0_=9bGaj z-KY&R{oKxl&a4qE>nH7I2y!K~rU^G)Re?@W`;28fyUc!%8t-gtcQG>yWck);HGPer zDaO%Hkpp?SOz9gQWWq`2tX^*Q?#$FuOR$D~`0XZD1iJaa)a}5D+OZlRU!1TY>39YC zd3-$vk^jv~ASnwIm0czn+VblHbJPgTrNwOXwXC_D;VlHF9cNuk72)D)9jDbVhJHBO zb6CVj-*qOgQ|{76uvcnUa%0Sbk(I>G70(eozY+GUv$M9lz^5S5%UaA1YJSv~)FOtt zi;U?f2^B1c#*QpkFZ{uN%pO!Alfy3%9AX27y0qZZdz{w^x>hW@R#i#k!>eHIRWRi$ zSb@B(nu`=KubSRD5fI_ncz^z)I#=~q7v~Nt^X+=%h2>UkqgwoS8zvG z1nmm@2R=##1|%H9ZyZt;1{qAn{Se31KrStI=MEz6WGg$@W#$B9|AdAJllJ^XTv?y(0s z7diiWjCDu;HJjRHg^&|jE=8CakvwpSgN#uwC1R)7D6ofTO*03Y8si2WR-)a=hor>B~t{dWsoO6L?diHz`M=Eo|= z#v3>@cE`U5-<>p#4cGQNJQ|!ubfhs+YoXeZ*tK9TsV3$ELsL9VO^6PrVSMGu3<_|J zw!AJp=>}Ng)6cD)7$zzh@hO*H9FxxkA28!w8`!>^go|_qK!gva0EMMIVQ8zBHACZK zo{=}%m22$b9tdRD!jPfB_&ST>CdIpiYP-E#yTpY)=vs>iQ3iBbY8W8^Bjt)}hCsa# zWYz=1?TBc!=lx=@zdLT8y|75U^#gDv!n>}r~2J2{g`nX{I*kz3P0}3nUXz9c%4qK{Cn2_F6z7)pk!_)>rY zP0WNX09)D#WwcybT65p~)*TngAd9n&8o-~=(!~KyoDgEOW7$&uz+*>^|Ac{sjwVc5 z4~Q$+u1yqUfT5-q)KtIm%g)~oe$WlJ`-4R70vZSx8QveUd%x4$Frf>=Jn07EUm)hQ z=+!X`43<_{WM4uAduF09P791anjgY0{V*e;4I+?#R@Tn-Y^g0`&hG-^1p*-guOfED z5ss387%qn&(IqLAW*{Mo+zQ~U${{wun_Uf}Lx6hGkMAIjzG2QV1V($?N;g73Hd{E* zn>MZPi$EgmM%Y4!4k8m8bVm^_cn2k6wt#K68F;v?*3&IJJGnXnagD_~5ATHBOp+`grq3704Lt!3AM6@RlDwDwD47N`%nFp(y3Rw|>Z-ih8R30Cb!;Qs(9dbB`& zn8n;ZNI{7IXI#QrN1XfPVI73oxHWSwG-G$Ve1S2Ryq5cYAo<$zyO;$g3Pd4rXR!kw zu^Q`(`%$f!%`H^Ybriip8=Xl10z9z8J6%58jkv%NfUyYY*;`nu`UNdOY`_obmzS|p z%m4MS<>szh?pj~86OtKokPSfID^Hf%yCZMGRk8oGNa6oub}lh>y%#_ah`oVY(>%;D z_DeZ>gaGj3ktEj3^(T^%!8GAQQ)@=TXEj1QUAWYM&mr^H-pTzX2vD)QJM;@X^a;Kn zNSzL(s6P0=7qf*hEuG!0AfC%;Dp_1Rgcqrt0vJgGR|ETtDji&Y%kuvqmXwRl!qf%{ z_7}HmQ&Z@z%Anq(!FKi#2DwC7|BC`z$QJy2@C4qGdoi8 zVwd&=o?}o{0FyR^vddd577?PDU1Q%`b|In>zuq4$sjTvB9e280xU^K8+~!F^WP{7x zS@pPt9jEtnt*_uuqs;$szg-=R?Ej5VA3$;rhym?nOs-MX;;@cA-oA^Tg@VZ2aagyW zwVj^+?k7vP)2KD(5!+JQ0<1Y;7r@=4D5|+x1faGPne^|j!{Epdo&dC?KQWsrM|AeD zEN=z!B0U%)2K|mAoJY#U{bzHYxHInT(|H#dDsOfh&n$VLSnBUa(y7_`RP!zd`S||F zxKRpWVLwI{uDxex|rs-QK-s$B5A#i&#JHR_emdk2=x;sZ}0@UrrqhcPc zm;ek5YTaMh4D2AVzyG5GJK8_F)X2GmhxDEUx+3(bS#p0Te;8AKo9zr}a?a+?vlDFS zUzfWLYyGb^F~|f&NFN5UGTGU?Oqr$dlYOlKknFfG_+JA%|AaK+_7M;U<`@fejO{{| zrPCbx4LAM3I&jK$41mT<<%CFN9P=o-;k#}iV)-t$hO)RD`Lz|ZE4@odptAF*F2oTY z9==u`D&jl~P;?As9dnt9(fbc!TE($D8Xwp>QVKPLBFz??N4wYd*P=G9G_jh1DG^(s zn7F|^5+SO8m_L*|z<@Up?yru!v4~aIdJlC=Aunc zS9elAAt|ty)mie3fX~Js(Y2V7M`Imq@F!>#ASbA|fn51wb-#}QA%zcpMD*VRi}Wxa z7iO|S6FU|?2(86Hz>~u6@08Dlta?W7DUr?s8ZG*xL+K5j?mkBVkb5?pp8Wp`yYhdi z-uGRpq(Y^|5|g(|kz`BOX{AWogwUicNs?qAbCQs3g%Xu{OYv5O#+L0=wqzNSv1P_S zYA|Mo+2@?^)x^Ldy4ZiIzcE_#SL{$rH~S)?wKy&y;tQGEcu zol3WFVm;CP zi2y|XAR&cO#H^V|{B^k7m{*~0kTN$hY)ESk#sy-^x?V!RSp@;DLchHf|E=L{3z3K= z@zh*E)g((;%i$cc;A5W&pp&;R|FY6WDVnIL6iZMcAK4mw4+&!=BoR8QLep8L=}A=a z{2}HxZX=pM4uN=1qd^fFtbu1NA9oVXJERO^-raP|t3G8|M%3A0xlT2h$2_(mO3GEl zwH>Gj*b8kzAZbZ;4l$-fi1N&FmsCSIk+Ylyc!$Krsp&(7?7V+(Kr~16k5ISiLo#G4 zRxuOznToMI7&A{Xriy1f$ZcaZh3`acDt7C^moS#cUw=K)A;`Rg({P89;#)!}E6Jtz zdBHeXRaD^-dC!EQ0isEtC`{R{0bg#_1+7H} zQX(qHjlz2U@ByyN^Z=?&@y_Sq>om!IfS!_DCF2{Gp8ohPxf4#N;(QOneUpOuBW&MQ z>^9Jyy?57BXgV#hH+QUNEr+>*J$``Qa8$QmMS*77$lE{W>)!kOZAEf2Y>drr5*FCB z?0qf5rmTJ@u5pmbFB*`z>7up#;^fHac=Tx_?c4rej;ge8F1>_g5<&cEvG1!nB#xoW z1G#~_J4TmC@+<3A8-&~|w{H2PUsW&-uV7R>rit+0L4h+O@PwqfI}}}P5Lz}bB0G#< z?|pAI7#if(3g?c)Ui6H*?Lf3xHVqcpJT$I1_5#(-H;WJZ{>_V?PZV*Yw!y=%1@z)h zn&!;ReDMe#uGV63nEf!b-SSZk6&YnrSDFa|b_?9y9p(x;+F;r5u+$UOal+-$Ui3W* zkz`&&Qm+I(H4yHLa4c{PtIDdpb6)&m94h6Y(mGN8ADXA7_;r7sevRU&qqqz)f2ESa zQ9#lVPa8_H=odY~9Xr z$;6pjB7h%S@_ffP9u~viemiFy?2Zo!+AXeW@CVx=e<00lB6(aeaFi+OcwL)e`U<5n zrUiZrGtgs#t_SLF#>D`Y#*7{2d_k4Lk8`o75f?Z@5t8rjft2g*2NclP$*Q0d86u{L zANklpAlG`-9yJQZVvShnTC5XzVv1D&q|_=d{f845lg5QL=R|Fuox-ZzzP>(Fj>z@& z$Tm*o^uhKE0GtJCg0NMB&#MGJ?$%6N7$Z))pIA_RL}#=RYh@~~drfE5u*etq-T~t4 z?xgXMqoO_+jJe|^esF3`@aLMCB#V@mIHRY-3B{eL7m)@BPE{6FK_T8KS9VHiU7d&? zU5it0=gC{MCDDK-;82KPy#N^i^cY5o*O1@O5ON+UUWxS^^xQmVIlx_^9Sv*W$&13W zv%7#ovlWP*x9|zj-g;VP7YW@=mB)P(`uI}pm&)Kvq~|UnbRPkl0H-Q~Jth4yKm}z> z=+{t1A@0Th-X{tqQd9ZPnM4p(%n3xbRgHd?Y7a_^1{&0;XmPKAFnrV=l1O;oe0#|H zc1}LJrO+krDP;Bp47RYixk{8Q{&O1e<0gMUwztdzv-vVun6!`JIEV1GM_X-xB3pt2>|rfNxJ(K zjf>ghVJR?dMY_fw5>Ltg8{)dN_E;t}!pZ=vOXdt8Yc~J`6{*W;iFRHoSp_(qpf{=3 zHB}t?N?g)KD$ebLL}nt+2Ls*pQ#>@;waZGk-n+yS$rh9=gk8(=hPTmzlqxk8QShMG zb!LMQmG`+XEyV0DWJ(mJ0Tw*_wJI`t@k9t^8(@o^X67dT>_r0PK@7VE_-PJG=;WX$ z_z+eM>4VPBK_@xoWw-1Q+)-qy1AJIHZ8fLMNXrM*LR26^H;G|m1gu{GKBF7VLnjHH zM+ujw1?ZtEVu3R;vPszfjaY*^PS%Up^N6?r6et*Uu3a8(LNmseK%61ciqv?^^VoWj zfy!8*5j0#F17>_@zE;H0^(;ZJ%dZS2ym-0T$7mclN`|k9kxo$zrez+zzRzR4(GnZU zz|){0`Fb%Zv3Jrfo>%U6+zQtRhwTrEbGgzm91QuYmx6Dzf zkwYR#4}iWO_z4>!!{Jcc$p9i6b~i$@*~PJG)?0%Ygf#;Ko`)FqZYC2Iu^`B(3W0>s ziuhil8m^EXQ z41lY^A%m5Ron#1+*4Kawl<=Kd$6v!?&KLj=Eg6?YKB|NR+h%ty@}LXe2|Q;bo%R zUo63=kr{j^(Nq9-xQ%w~#Wv#3dfN@azhOy~IDya<-6fLPyVzU|iazjD z{YiI@=22<{J2=Q>X(5jjf6oKmpH`63BePcW4! zZ=Mvre+N|Aat1KzIvJW5pRNVGu5GaBkw~u{j?-VF)c(f8*{?hB&*)O%;Od?+MROkL zO*={}_%Y^}>hSe6>;2|%QntiRDa4j#O-NyFzX_1xTsziS0S=0f1_ww*iPHnH$v7%I zMVxB~iNG}$p}a7WJXP4Mg8Gx{r;{Pt7Z{8@WW@fvCmT-QVZU!-j)>u0nZL%huW&~j z0TIP!MIsgnVa&5L*ww%c5o3*zN^a0Nq1f1R0W~JJa~K43`0{j8O2~-WMhUn*4FrvK zAdIBT8Q;4E`QV;jg!wOIya4P)SX(@#54#;#1J*9Agg|Lx;yN=RlX)S(iN+HM-h$`7 z&H|Zq#|nffyj)C22V+fL5AdMeEcwvKky(ZIYy_mG=~%UCAG2GrZIS;3I7|5n?ig>A10HN z`i;~1>vviLFfn<^7azvw?O56t=!(GP$!)*7yY4D47a@N!O$DVTp*k}+P};OOlK;6- zn|2kM>lFLBTQlfIFy^WxOozj1{$D9_!rO~6rO4~dMQ|8|P+CSfLtA1w`WcTQ888Dt zaWH8tNyEijNRVY--u03O*}?hqNGOhq0h)$s&lX3J8AU}ONM}k*ZqA*1SqbT3IDK(5 zxXy2Z)gXN!D#AOQ4Rd-;;WFY)<^IzZ~vREiT z@`am`q5-LzhfFa*INE3D%8RPkooK_I;-QQ~PD@jaXN=SIA9@qrgyKnm0@W3&kj6#XF=4M=Re&Z4OUvw(~S z@^1KqB}m?x4B$R>y~MSY><>-hlg|J^8RP65XLN2Gz%YDrw-Mn3OxaP!fAY6pA++^VcS1@C${FMA;7~Ml%PaP`nf^HHj}K?P?C;YR=|Q+g zp}Px39*lL(V@ZsTGwRkU1lU2SDb*zzA@EAjPXx4tVroKXBht{4cx)Z?mu2>lFNx+$ zviZ)_O-$3V%Dt?btp9B*W`8JxwG$x!DFM%EsxqhDUkW(i+b8gtP<6a62am;sJN4f} zslpj4iVg*3Y(-&Xe@XcY4*mUa%-nUgvMv|MEjQAH!jyhW2SZmp+>HjYy>GKyKv1Mh zJ`$fxzUp>0eDjhp7anr?2c!C z<5zUmK*63@EE4&$>Vzf7hQmcuyr@<264GKn7RUy;a$ImVm@7}c4myYk&BO*zrGyQ@ z*{=nQ7E8G%ki6e7p4%ig`|6()0u5{vsqIGEHUd;YF!ax}2e>J53nkq(Fx$tLv2_|+ zC3KnogUTQ-aXdz4$wtqde3%#cBm*NokJmHdBbZfW~3nA+J3s+<%x75BePZEX;d@I2zOf3H4z!cW^V38&UuQkzHtGd< z8F9kVCb>9E)h&JkkBhLSVa-~K(f?);v`btV98&w3uMV9u0n4w z?G10>@+JiAT@)7B1sf%axQZ(EFR@1sUvi65T%(mD3ut8~3zg!uY;MOawFfhZ*e?3h z=G5okB&329N?{G@6%yq=SQ5S@+@F?+{kh& z?qg$M2~dSy361{hV%~NjtA|jfcAmk91_&J0rtBXLLm1^&WMa>RZZv+Kc1+LoEJmjV znNpl8j>&|9)hTgfiiqCdiycZ)%Nbx~rRaQ5G5elU{vDX}e6`c~zIW)$KQv(L!*%?M zd@bwhQ5sJPCg}l}Q_R`IX>Q<$n23Ex8|N@g)R?*ft&M}cmXMb-RMzf)iQ0_kPI*fr zCl4l)6O@Qs!)e}bE1}-*8PO5RnXq-^xvQ2?6COZP@=#{Hy{53TBv*t+iSid~@>#sI zX5q-pH1E2q4lM$@Q$?kfoT}T+xCfdtN7cvViFo@Du^+G-VNb|MqnQ?zhy|<>=6;)V z9-txj>E=tXYI(U1feC})Svw9bUbRqY0>Ay#k}1lV#fGyMxtLnS*j>z^VS(Ac`Zle20QCR}*|W4(=Q0WI@RAD<;w}*zvRo1Y`u>48OM)i_ z$58o4VCKqzE%~v30g?l9?+>jIg?GS3XofKY)Dwy0NzhH< zYz#{Lf`X(acOc~F?ZgZN=rX8oBhmgkXWZ|ONDBD(xKWix4tYm5v~PhAdqe?ZD4r)?>NRzndYM(>BXQ$=a!2U?~6zd!TPM3kO3 zCqU2JmigwGWbV6%+ir#F_vdbOP%|<&5I(4<4Jdo$S-gGbv-iTAqPw4-AFQW5S57S) z+EJa?@&4BRx4(6gPntjdsR4>SFD1jyrMp zj${QzsZafDb3IMjQ+}*A++7f=*jMr2qP^|CXXV{*4CQ=$wihM6X?a>_!wB$eyO_1d zP+MD%;%pgzB=A^M;h~R8*EmUi$;}^14piMLYOx=xdauI&5g4Y><3Dcmb~x{y95H_E z#hDsATL+uB=??F-_R5y6>OMETT5-jxj#Rzz51XD3b{)2M_@>pbZTDwQX67-ii=U)D z8>%l}PUj+|w9M*{KNS>yag)19UWuzjH}kq%aQ>}c`9R5(!iuJTD)dh)OL6|s9~ciwcmmp0>L=;to`QlGVM-R<&@Tm6&Q z{k{HvegE^J!f&1nmf2)Nf=a)KbI<$Jz#h^Rg1Q)fvbRy?-i*S9R>NH%wlgZU8cw^9d+)D2 zp}G9V{kJxPQ+_N){i*C16Y(r=or|d?@0|xf&)N8jbYR-I=B&sgi^h;-toNquyULWQ-rZJmw?0!{N(g z!#Z2C{8#rMxM&G30P`Oj?6iMdtGvf}-Oj$1?nmyntym7ruh)K9(In8@m~0zeLW?0j zip<0*<*)~K%(O16S)CbGKl$|R-%SX)v-iPt;cVg#(}kYYuhb}Y-!>cX)j!&~`=g-8 zI|8%LhVQsR(Y(R6>zc{P@$)EUIR5 z?%y`tQTga&LpD+Rz6^{OX3b7BX`bt58{dZ&n$hVRM?I}tQ_TNR4JY=zY4U(fD!o228EF5R;FxA*5r?!PB7%N>_t zR&F`ly*NJ8zSukOcUU5Jh1Yb-%snWCVy2S5Sd$wa1ID6$^=E_X2K{Q48$-*geG1@Lj39&RF#YN%rQx75zm=+8`WAi} zQ4EhV3;n@kId!o@yMKF%Syz4pp^0A9#HlBzv+=n&yrt^Qsi8roVZ74tSEb>_bmO;n zdfCcY)#G(D1ON0)2LBcP+#gx-<8Re1v#A(l%a|U9fA@RYbEPW8ux9Hy`yaaJsN2j} zxh})!{8HOFfXcmL`hc|&Ukd{xwZpf|{DoGOn*1DW`PXgjAWeOoxL}gS{zrD+a=BZv za<%P-x}a7BI*+&&;MFH*Z6@8*L-sr)=hj{*z{^d#*?8LR%92-;&M5q&^0Q+6z+h|_ zSM87)U#?#kk9nmt-im9mq3EkZn7$A{d zk$;@-xQA>Tw!Z3)Rc^gp4u0Px`O6=Y(7%AWB84Ei_dd1m4Wwi$DgIs@#kn70VE_90 z3DP6$fgnc$i0#Lk@X|dT}5Y8kCjeZ zb~+S9D7xMX#;I_`e8c#pb zb3QRY^6MsayHbaxQb$4=**-#hG0h#@^j!LoT4XhJWcrS4-Z83Ebrq5EET`w_C||kS;y<(KYTmz@77Xum z_rfZyGX4~Z3iEF7{%e_c`_jDB9j|$fI|t__iNRYxV%tAvyeV$EDby{n>MhK#8?Bf`UOIkN1G9I_@j8d065FQXmg&po`|aXN0-XM%Z6@+ZyQkI$;Tzn=Ii z{pH!0sKmCMMnwHb+Xc&4?Cy8?cyUTs{k~c2pH~pt`m#^m?6+p&b?0*Xw*GQE^7?t1 zW0cE-jEozXVtItn-WKz+y65GpXC&Ru68SQ_?lal7Ylg*Lv+-y0y-l%p!`FVz+7HJ& z58wHFd(2!;kf!o!u63|n%}IyhXk+Kqm3*Izd(~p&nCn)Nm@+OaoKD^^RWiPFCN!Jv z^D1AlROuyRd9=AbGwFJRqiuxr7GHPt^+?uL+*pX2<>!RE#8lkD9N5=JQgB|rbOwBi4tG~wq@!icIX7Bz$fhKX$W`?PUCo4|`*Ix(+P-02Vc z7tMopj)iTWoctR1<+x%@c>x-73Etl~aO~O{N=o(64V*%)g6pd7=QQa1{tIclcR7yh za&s`yeW2-H7yil-(m&NH`+mRU?|e$S(wVlY3!R zZ`<;^T-{O^mCAa&%g)`_;4eQ;QpRJ>V-wC{JmvmH(`+Oy!qO&t_ZK;@3CYb~MLgc^ ztM$$stDhPP3y%*=y{mq@*s?TGW^Kb5Zsug?qt;y4~3^ zS<|`v({%ws$WOJTH0xHL{VW%%P^A{CQDqrwQKcTLQ>FKxB--wXMX|q}oVu?3^?iTC zB5Z$zpFwm&^{Qk;H&*F{F0Zl(wXD(z-Ct!8daz3S&}I{C{we}b>JB10OpJYyARRmN(JbjC_l3ao#+)^$66wRp=RW!IH>IoCD#pvvG2QNl!ja7_IN@*> zWufP$%LVPn;Rf4$b;g22vI+-g8av(^U9npDCsg6_1L;*8*RQ=|zU_$lqa7F5sy!S# zaoqOE%8fY>)`qjkn$rGbVg>z;d0kPJX|)0gt-Y2PJpRjW{P?fSuG6FOW4C@}c4wPu ztZ?Y6SP0ZAamD>foOC(0@&23L6>=Kpp~!d3P^In?WiL0J=;y{M{WUf%gO9R4 z%p+49f*B(xb(<7JrXVPG{p8`sn)0GF6n=k`R#r1vYS@z zD)TR~NjIp$Kwlk;cq#%I@Qf4tAU_F=2MoN0rA zdP+y!_W89_T>j6TZq`NUU)F1OVyIhz1=6=1N&&TWVd_Et~=j*=L|MSm3uho_* zf5#|)4l1ucq1R)=kx^SLtjzYAS!_8N8-6upi=~z2mVGwn7MA9g`z)-sc!ge%3l9$6 zaylqDVvEHVuj5;MLoaSQ91?y**|XGpxl+tO|0I|F@AA)(%Ku&6D*o@9uq z82HRE8ti6*(@9)P3TZnhY$y6_%=BVTeiws%BbhnlFjyW+&1MdJBsR-ody|vf;PC9%yglh0n=Mh)n@)!*ZcJ<#7J zDvt*^1J}zrUV-QTaynzAGu)poWNH-+w%2z)Q-=SOp;FInd;D`4`k|rZ`cC{KuqC>j z1A5WkkKK^IFZ_@n1;X}La=iDL@4mMfnO{It%Nmg@qFSoR4I*L6Y+!yZc&zX(ekN{? z?NrN<#RZnfj-KKq+7D)f9%!)pZ@3g}=$UCeyQfkH`iz1FlV3RTpLG^##$Al|F6%M! zA}tVX88N@yqB7{4g>)Gy7lt?Ga)BPO3J_q!VCBv4TT2p5W=#0UF^>ht9zAnLhRnAy z2;X7y(sX!Sg#qG?KNNsJ{$3A_Zi8#Vf2UvKrv(f3m zT0F9U{3tv9@=8UkU~q9Z`epI*!I+Yyb!REJX6;WqtG;3$ z5N`he|344J8~{N7QJs_m<-Ja7bT2~7t$^GMVvn%GaXS5%(@vbj``aQ2FW6{Zn z>P~|GeYF1sSv^8#2{@#@%3D2ofAgl?2LH`IrnUco!vbp*^rO$kr$MbB543=nu5sLa(t1-d+Fu89aBmf4 z!kBkIHb|1(8}{_oK^MU9HJ|YLJnZW0IO}4>r;4YFkNb+*o?vM-ms%L~dGZ<0BL^P^ ztyvT62erXyM{s@7;th;BD?m&=0zQ~&xgU>DpEA+~9@dLxsGp^n=QA19Lgv6K#V!8E z&8yVr{WRn&2Eb1r6P@%wcIgwe|1ys7;&YOf^PTo7^%`sr%J^uqcn#CIiQ`y-s6(~t ztl_H4U%AlMb2bfNbpS8U8T#N7m*@a#LXK1b5a?&7v^8LiHX`FE?$$?>;w`{xu3 z1sc75xP9{5Zy^33GjtKOzh#mZqfwDB;RQf>+LmkwKVJs9ILdgcT!E`9kSnspc|)*v z3UAS76~T<0NX==X$RvXs=+}bLv~da@@8W8yr*G6HN$fX8NN%fGF;Y1y=0SP>X#T~%5%t$j7 zhAP0IxV;nzq@y*lLea@ak?6|{!1k$v+(&!ZhsYOy)Acbm)ByS$$>HM!t!@Moh5bqP zNM{#*dgTT+id`Qc2; z(jFP&ow%#9g)9GFf15m3vqtr8+<4J-4+b_2EXFAg4j?v5{8gya+p9k%mI6ASP#8bI zLZ`=`BzmvNLVUYk%Qt!rOy<8SEE0c10s&cV1v6~FLOo{01eC15ZJsc|1GhR_uFzW=#?BFOAeleo97e$G+faq$<`W=rAnEgb5Qp z!Nk`Nkkro+}tRxgFG=Ko|umB36L5nxMHm zZNHe1rO57dQ&e}rPBhB&SAb_SL8A)VRx#BTX3c*94)buH_rTxn;Nb#Xhp&0rFtbRZ^Ihc*M98;rLPBnBXAD z_VVDn4{+B@1`XxGB;Pgst18&B#<}1#rsWkOaQBh&OFH5@l1}9xNo4n3%Tsls_;s6i zh+?3*GgmGL0M1YIPr`~~Gkv2u2S`$HDC8Z`_9^D}j7UR%ZdcxHT zD<*=rw&3YK17D=n}hS*a?JIH zIqJYj!l=3QBg96z7#NuOzorP^PBdGe=tb7ojW2_{6ouT~G(A3a^>2|+qOz;45nC`=v=7+S@@}S0qN$0hjrQgxqd8y0q#g;b( zC$X4KOk`$Q(@7_zi5S;i#SbT_p~GlB2r?c9Q5{AXzilQ7G`3$@@N~%e=B6retb4M}$5ay8i1-Gx^q zmW0XC^vbTf^LDV4N0Q&oRAwY5J1BggfuCx-z@(EN`{j7$&FBBXJ+(%P=uTAYOjx5K zp3+aq%LHr{UC-J!!z98?#6tR2y2HjnW8v0;K|!V1scO%LxwK@g#wtE5_V3XF*c88n z(Az{7PRC^;@G-I7odY`kK_mvMQGeXNL)z|GUKj^SOK#!h+G$wIy$qG1215M(-w@F1 zf&Hfqn+{t+vz>n5;+duxKaLgII2R1JPS@wBbPet4v@hU~4hYO|+u;uYZo_66kM{Tz z2|2&d-kxIkDM<)4r|tDj_$!p*rhkz|;xChH6SNpbyS+@y!@B=mYz3reqjOe*nb-H8 z9ZAEWRU^VBzOF!eDe^&h{EAk;PfRM|0V{sLv-@MIP!lEXbTinbLbK^Wq}lfJsC55O zaRG0y6>2|f;gJ!4qp*}Hnzy?;n75XmdD7MVRO@hnXZhXx|XpJxkMV*Kd zL7l4%C_!u!wu8Rni>vVn8BmJ&A+S)uCe)0S!ac+XmBNSVv2{l@fvD#ZBWa(6*W3j$ zg#gRPKsrdh;{OY3LKyC59q)@BQt3adr>H+rYFm2x5YvSMcRzg_cXY`ekEAVLlxBz z!EfU1nj*q#@Q2;dJbUbjHXMRIB7HkEeP(_uS)wg?=H+E6>An0-YQ0x*LS(z^DHN*l zCRPQ@sn+nqPM+5QSCe|=DaZpssC48CtZj#W2d$guP7n4Z+x=N3!Vn>n^013=()qFfzE1{g4G7RmXSDs&!7zEN=_ox2We zIjtm>Td&c~I}QTks>Ml01#L)rxFxw1yI**VyBurRAxeckp{ssWy(GTHTc$g{ejGO4 ziPaosQ0s?B8MWtr#GZ!@unTSS7YtVs=g_8Uf8X{YX1JDeXep3U23SSrN8)bMis^3c zv9Irjj4NJE)~9{gpb9a1RO{?SF0<1RSjcCmoXOGzcRnVw3A5o%!%R=1gc0t`J@$nh z;j1$I_`y345t#BggeA_XrYVa51xN|*H_GGu*iS#2Sjk+RM8U~B%9~~-R{e;mq}~YT z*hIdbk^f&<#zBHQOapT!U33$1!HHylJK?;)Q#8u#0IfstK*NpZRL0G0OFlx=81Eig z%Sg141u&BS`7V|2Mwv5!+<46D#kw5x_Tj~8o>fj4X60yI4%beO1$xx?QC5_zds z66u7hayN@IuR%P}>Hd0HQp`5SP`jY|KE6jSEnHhbcs>Lx19!11RlxNuk+uB%oQHfn zOLU5H8@7^K_bCLlRHRODEM>>UbGpz?HPA|&-MOB_`>7e)KJlqS>*>3#j$^0JZi5)gH#DLSOzxl=Ozs3a-X?AY( zy2x2qN5Us1dD@ZS%2<2QiO|tt3Ee4RU%l9P`|tg{iz0@|UEu!={%x^|WlmN-r_rcW2e7F#u_x{EDQ#^tNR9vP2uWFrB3KY> zC8_H}$P8 zC&?VbB4Hku6k(10dj)7$$P6p33hhGP1&w6+`_WT%6ZMw-Z|Ua6bf8_hxUm$Rmc{I3 zE)2*~hLUp1myP82QX^F9U0m@~m>t8buOti=<%T_U1o%$^iv&puvwy*{M$;40@~pR& z|Cnj|l=^H6tI4i&2O~By(;90BN7uIn1YGY$qM2>#ILL$9+G|nH?twz)fcj zDH;`2^cE3fC7$aEk@=)!!%=T1fW5L{$(vm@GSsRCrq(ZJ1^(E$oO%G(D}MBmnu5Jp zc%Mn?Zib?=_~OOb<>INkU^7o6r;D1ML6>gA5p>w?Mw`q<*~(DI4J{n=yoX&bPGHPF z6h&x>&oPoH6S7lcI;oyegj|UoNw~XUCN3of7y5ssSsIRvtyE-1S6pct<4l$6bc~B$jR^JJWHMQ15`2_V)7V%M(zWb&>$BvyyRK zR~hAiu&F9xvnu-MVhP`FoziLP;TYGppl&Yx(IH%XFUt?%#|i!ZI#+pUQ-55$W~|s5 zfaKFclTO7<%VX^%jyYXorMHT5B4Ibkd>9rACBGsC%Z%CyR)#o&*90{Lbt)C{m>%|# z@P#+WD<(0be=Nti9KkMYbxRlBj;HXl>C8*woxD0LnWflI7o#G(s}8KeoKHtAhwWVA zk&o!MA3gTLyF`xcf{89&oK&Ptvq%gDTDPr;pU~EtnDG+j;yq2E5&xYqaKdmx{@}W;B;^Nrfs*lRfV>#gO-lW zqX*LqLc}Y?NhAh)*iK9$1!HNc$S3?nNSiX(`3L!ku0>~uh(i)m)$#ul@N`HA`;xel zXKf|363^-?R8WKJODRn{Rs~_v0o*dj)2-+|m&78TgE8T`hxVK_hj~8Ac%X|h7lyhL zhN@*;?12G4ofp2NehZ(Qbp6m&ZfQ|dAvNooa}{Mv0ByDWVg*EB5VjJ%m}n?7cLDCq z&|;js9v0uif6dIeI`cFq7nV4-P!%rGNP!~?f1)?f^mK)5Q%{$U1-cf>FZ+tdUO@Cv zSDxd8TmPh9IwMAsluWDDd>y{1pFIp0eV&4#^HRVk{Ho<3bkMgKo%d0cP5RiC_^&j+ zVksC+^A~psZxL33dpdk?dDt3C>-A&{cQI?l?;mL~&9r~hGdio7vQ?!UTDV>){u?ju8XD^I1#3Si@FN8)^9B2F zYZzr=w;>ivmD0(D)3dJr|Fadj^MBASWn5(~g`V^~5d!CFW3M)7NiY?-Rx8=uj|@!F zKcd9%!>xkR7ATB3AaF$&pC)i~*~v2@YDt$`_G7<5mH}hp<#Z?eJ9Z3h7GQ{K}Kk4J>8L#0p)-e<<3cIxPOCRI!(qe*wJyYWG}Z zlCvsZDzXr8ydVA0J=Jv<${ zV~Dtir;OiAjzOUpKuO!TV*w6qV#d>*CZ-6TZZz?-&|B{wRHH@I({nAuDjpKm7bv7&z}r|&*;7TFe6&c z*r$*GY^4C&b=>8dLF-yC9YNfteqjl(hV+xH;6|*L<=9O)`S}eB|0BQ(S^ix(<({Ij z-+V2&wG6RR5qJdH96;&0QW#ul@)02OgcDkK5npYf5T6vbRDIswsL)6_ri#WLbds1Ad!^aIR)=+{jj$fk!c8cw{7E5@>B!<}bfA;$@p*96e z`dj^d&Sq<|e~^|tc>azXRvIDt#!gTg^giN6kr?6v#2*2cN%-MTR~y#L#?+DOSR*Av z-lRwx8+1N}wypeO)|J*)W=|3@Z;Nfa!fue5X>Sl;`HX>_NZNk%(}ABp;?`oxM`1%I z`K=<3moVYp&rVheQPa4c@F5NNRs824Y=1%`%qO~6fAZ?AqSIcFvc3ZAEN=K1c8&z> zlr5@L8GNXE%Z~veC=3T5?0;tYi!Of=^(c{C!be>~QJ|krGo|3!wLsS6kX#v}^ zkrE^4tnWQc^!O3hggyyaE^1cJo)Zw2CiMT>b#S55oV!^{V-1mcpon7w6TZ3#yUv)E zvaGulKVFZe?5cRDF2+nYsm@);eM)zp&HuWCT}fA#HMV)&n?}IHASv7eI_{(Blrj$=PJ+)< z+b7>#MrQ@gnw(f91|||D@=v9w?nmKBt-oGHB$5T23tdzNOz^u z(%N7K%aD2e#Pw~i>3}-TtOJpO3`K=XxXfF=6C<0bv=!GBdMNf?oZ`~qhw50>@m~px z_Nv2)YPCRqsRfm2DZ=Wv`H{j*Q4iIrG}ee+$5P;>b33RMp2kFuC2AY0NFBe15Y~EZ zQ$sgzC5g61{!AHy`HP$2wjE1c7TyM)bi{w6?l*+twtJ;7zWoYIVzWxqDtGLbZ`yA zv_nm4O7X#qz&_LWQb)<*yAA3b@-6B&@dmoJWdOF?$5vwsLb1y32-C-PCA*6^Gx!OJ z6^dk1m_?%ker}ck?EQNpoth37(oeKwzwsP+Tq~5H_?tRH1v-}CG@hS<4R$i*ntTGj zifhzC%MRZ(>;t;8(%8eZrsFRq1U4$jz7A1Bx|e0^GSu~S!gBl*dd>tSL@eQntWd7v zWMy2FY@VZbtX=)##%T1|OA(535_~}M5bRBDgZHr)zrU@Z)}>%%QRxcK`@jz)|0;aa zZEpb&=lP^Tuib!m?Ug)D_lyP8307qeivMJOry-OcqbCh#%-;R9jD^i_#!@^!N_Pumo5_r8-xG`-HxHLRl0zAYP`c*n$Go zv76dF(qU_8CLNFrrCob`6n)`UPPBxIFC-MGV^_9Cq-&%#eC09ed#wT%Mh3_~A{3@S z3M2Lj_MX((V}Qf1W3;sLev`_h<*VjL@S9_ePBAqPtQp6ngQ! zAJF=iv?hpGE@_ocQEio4VawWzm$WWhJVL53V#96|B9vV{b^!O5x*p@DFSQMsU%Mn1 zy8eSsp|~S`>qncc{kze68x2@ol5u$lX;W>Nz!XeS(3DNae7G-Rt-U3(s~TzwH2pv> z;@eVJB=7K2S6a9E#igzq;!jImH_!hMKe!t4MXA+%4^sK8tt8`3PX7K4I#=#(gioo- zHk?p`-YUkEyIZjC^D#?vW3CQ%DBB}$%eYJPsFMa>Qff9oqVQePyyR4rnoryZKPxq( z_lixG<88B9#4iodd^OnJxPS8ai3ec6@@dZKVQTEQrSya*) zdcku$0XdJ`F3yA;3ITr zeL|K5v=M#y@qww0EsyigCslE-Cq+Zb#6@P|!l&t;C=DAR4o&M!_MWFQKOE;5mo(1t zAMFu)gzl`bPCi&%=zGOiWH(hXD@0p4cT9Jqr?`oOiEX)n;MGH)Zs8TL*d(BADj@RJ zDN*3|d>b8&cUjUi3^QDk=^SLF@HlZ{aZ!xPq_sc9aq|-hVHhZs%pZ@KaqLc*NiG@I zF~p5is-sq_4PBSL@+~r=M#yu*ZFfKxvvw(+QZ*cJ|ME(3-b-rOhT{xPVsWx2?e@-D zVZiP0zYcK~uVUmk_Rmw1w~g)bEAxTb?X;|vi0$Bpq7P#S?oUC7-Og%v)m`>y4kOM# zf;U7y&i~o;^$fwmVkZ;wtZgnJ;CIe$wo?h^S%X?qBHIx6z2`@h#!wbVf*P=?1y>P& zkL0E7VCvZxsmerzLR+^o?xn=*wFyoH2TJf63&X*3=rDEuJ2KF?iBd6Tz0UmYAI*f# z8DUj1&PpNIjXke4gz^$qE4YVdlZPk|;~~Araz-LPO zd5nIG=g|>HN9W_vqk{N7+i3ZpurZ_W3Dz60xOFpzp0<+Qfq~e|6Van{&&k3N9lIz# z@P&1VBV;%+hGe0Yif5J{Z*O5kr z&B#vzr!Zpt3tLqw4K5s?^ZT&(vU{CuHO~iP)dIllMl9wU*w~Srosmi>$)pF`qG8R;IPS%nCoF9| zyyJ0HNHh`dd!vY!KbU$kHf}Jm$Yt=*%mJyrS+Fxb#r0TiyM=QdYoo2aj5}JZIW**qBrL!+7FP1?IKA z@Bw=W@=DEoR}vB7J-oFadr6XC6@xbqlC&I)uVdLCWmcc|2@Ko#E|genan| z!+mVwxk&EU`Gb837r#i{r6FS$?OGw<@s;h>mKsVdL1es}ZGNL1 zDSLBC^ZJw>BC7p`ZLz)QcBv?wr(=zQ&hPhI+4;%^&`Pl)Z~5 zae^R0x`V41Um{#Cem|e7h#2rFBMTkIW1UU~`E6&#wpQ1+MKY3#Al|mchr65pmfg!< zt4V|_&(Pi~cC!Y5yYeFaZmhcwAsUKaL~S}D{n$`4T6A44kDy!wcJ{ePKC6=W3%j$i zJ(H4{3&h>HAU`vfxe|?gq&^km;uni}x7*$qOS$A{-_K5n2=u%z*A#?3k2tkxkVoup zp=dvH^NcDKZ$8ze8w-24y9v&@cfoH@Kf7==;NXc`>JwwY{gd^jv)YKD#hg|)zN{u@ zZmnr{Zv1%GyV?^T7q;W6pY9Ade_-td&zGRLwkQqV!u`e%t$j+q-ls$=<_1DeiMIHg{h=uU)UB z>VVCCHyN;LpJQj02$!{>q1f*KlkN1J>uz8SZ$;*tOQS$Nr7yoG9&R(tA~fXg|Xe$ zQ9~~R197iTN!_}I%e%2B$MQ_s{}#nR55P53{RHtLg|riXT~Z&@wM#CB`JzMzT)wwD z1&pho&k8&9lUB##?rZ`k7jv2&O!ZYj`EK(gW2PJBW4ctf^C!ZO!HZk{?;!|~Y%lo8 z?OLiGncT_Dw49AeWbp?G6$!-sc`Oltgxko-!j?q@dv@Z5BN{e z9Q9K%7=Ji^o#INDR2a~gZG!PhGe}jaKA9ZSw6SEdKb~a*3ss!QaVb@&fat0z)TYEh z$SiXBy@~^J<$$mhW3n(a0n@BtyUH=Y=UfP!82fW(=>TM-pr9kKGZ=D?R)W>9kc;=#oakda4}1Qozd5#E&}=Aw z&>Xby-L%*@EgWFm9(#P%yASgNgWcC}o^n7s_K;oww{_NuU~N-wgDam1;A-C}9@Xk| z>}*68o_WS57p~bVGZN`TZ<;eOz?#Y`m@{O4+RX-R?j=*=ISl+dgxg z`%rLPfWc3-9s(|P7m0#0;rK{@??lwbTZ1Zb-v8|IfBgG#t*vBY{oJh-DQo^i;0Cgjsx*YhKkVs^4m6K-7c~DCQ0re(r6(hk-6qQxY;4MDE*y{XS8Bj> zsx-gt=DiQEWrFd%i)R3w!xq*wA-Y9B?m}M=g7H(=W*@6NkAT!zl@y($U+wAX7p|w5 z;|g0Ide4V30RXcof6J!m)4&V+Pq%A*fj7qEr{uv?MG=NqHqWWPZ-_MBC>Vcw@$GVO z?V6-;>vhLDDe!USnkz11(DNa@Gek-C`()mFU+f8O85642&uSK}Urvr~WyzXsg~O!8 z6P6fft;P7CKN*>ncaPp0%MJg;OucUA?MxEVSAQfcVc!_9I5(OK!dFS`ppGiUR-OIO zj#QlF1z-2y2t(8lqrv)g<8`l299wXU?NCm6a$F!V6OTab;s$V;vCb6$uE8LYS^c12 z_(b6|ZZcKmQCY(#GMA04AkOK??!p0E>G?i(@y%M=Txz6&AmU6O=(6usk0J@S3k<9B zedj&nv;_=QG8_C9w_BKmt(NUxPS(HQUeUN$82rW2w{X~;u-v9Ta=OaScw@sP5T62_ zqmf+9xhfo5d@63vI|6K5F|u1+vuZ#_Gpw+CX;$vLo1FlO{MhsxsAbxE2%7)?33ZY4ND zq18JjWlE`TT(F*#pNX5}ywou90<{vW&00_T$-i>})9?{b(QHZ?1il;re9VRIzJiWW>2Qa|2m-B_$f(dFs)#NoPIfHhhn* zn0ncFA;|cb;J->Z#5fOQlvrPqkE6xQomijc z%{Oum5u#5&%VSxui;4LCxh7d}HXozqcdYHWo@!u&n7hLfcyw~k!ChF}|F@W`SK!&Q z_Fy48NH1f2)vgRXjf|?fbCcWr|28R!;Ovg*xJy#Uek{(dY=V${F|ZFfj+U*xnQ9!N z*k6t*D#-^(9QRqH^n@uw^hmBm8OjyUVAUOyNX;(@g7RF;CDvY1-4{{FF7rL;a~w+c z(v-)pQjA59J1JQXQjaOTQ058DOdz`wq6d)PCW%f^ zm|Rp{M04F`3nO&6JuA|LjG2@TednHSC?liI+|0ux6#5$`f3Vkkf8P4$uM17RLjGJd zfK!nleKLFG?fM}=2|>r{QET8L_m0BP>F-)a{u_BVOHlAbLj{cKW0x18O(>Cm?TeJpT z0M&OmPWkRB)%UEnF!^hdYa#Kn?|0`#?%aROrYFjj(tz8M0`F@6d%{f_zNv3(n-#|j zvpyp#zvM}G&Jn!aR$`-JwMaF^WKz*ZZ#xH8ECSBBX4$jXg;u;)fcbkqFE|AbO@dY5 zi0R8_%db|YfMmiv86w*1c<7V6$H3<>O$gB(YQZRzf>a|$g;cGX z>TS82^U8pe>`^?Jgc!hYl(g8M6kYnktUic^q*a|lL$|Yp7(}c`39yZ2o549eX2_zf zkw}AZXW~u&yepxG!JCnTjx+glT{DI_j7dP|RpW3c(F-ybHoB-5a`OCld+K>k(tOR& zE50(>f0g1bT7jD;H++{{&Qxm>=dvFsJg1^9_-b0pH|1fl{swE}2a97aqUiTHN*&pr zYWKck?s>@Q4N4bbc^U4*01=|Z*<4~|+-#nnxZ$1a4W*%fr$Fh33uFo*kk!WtFZ zi`EMc_V$9WN@#b)Zk~xYJ>f2(p~Rq{-D+Z9XL=bA9HnbIZKK-#IWRVqaHv*lI-!{z zuy9V4m$VD&@cS&)ncsiz)!ghMLd535qGv2^!mLwO&5L?+@>k^<-j+EgSR1stQ!c|s z^szS+7Qo&&Whro`&7i@<&N`FS5(hb{Ik&4UdLWV8c?f2B3lB@qh@&21JgQ4*u3fqU%;ng4*sQGsG~ z=2j+L#GS$h_p$5Taz1~4!$^hWdtc3s?!chOYnn~^O^nx-%ez^N%z=K`W|^=M2kmNo zCPAlw*PhG@96Ub!8Q5IW+@%Sw-#1wAG1-sy92B|>hPl1xWTM&dwnxyN3u*O>7w&io zwcOt$?s#1`7=RVAeuC(chZy+q@29+L9p_z5h(Us~_+GI2C63T91_@~>&_SQOp&WSt9U)0Y!9iC&3tZzqj-L4IrOSM*0JWGA$ zxN4WVs9zp3NW2a2ZkjWyJ_YE5*Ll9g*+(Cgn_U7=6`N$jDThrWAF_nHfH)#^9F|XW z=$A6*he?bd@_=4u=`qldu>@$005SSyR9=@^|9yN*eR>8Q$Hmdryfx$fTCsbkcu`X0 z#weW%kjl|{z5F|<^*5l<;Daq%eLoM2|G7HQSKI2{fSufq97GqtZ&`LSwz?GDCT0(5 z4H8xTt;G@daFtOVoe0sC?Tfhq<<}HRxX|81z=~$!P`dl^?yjK3bMCWkWbwE5;Iz(4 z8;3EbP|3WL;jzWkXV;E%FqAm5@b2w#Eb*UeSADYiHrKa}TA+NBz#(ICF|w*e#NJ%L z7}Mu*kkI_#&9DohsiN5+XqYrIILr+CiZ)c}$y(L}zv&)tKBs`8o5BsvR!f~((?Idv zW7#W+jlXf?!b60`lCxI*5zue1pXz|yI_uV7+hurs-upYMFL@@Ee|l(f4{LVKx$Cvk z`J|}Gt(?udF^jCGdf3qV2kdbIg!>@Ek!Caf>ygvOvbEI0%L{Z38K!(KGihadU$)u; zP1A*tgx3I85bZwaVmT$$YOY>(Bp>(TMiD?^u}rup$y+= zPgpPu;_OLJiTX>!w8#8A0bOHf1&GP@O>?*iXmxMBYs;|F@$=M+(byrg_W5PdW)DUH zd%9+yb>@P9c3m_t|Axu{@Q`J#P%^1wYY-M1KF{CW`ge=HFL0>@f)_*t{)^A5HI!Jp zwm58jd?V`nLcyGt)Z}+Suk}UURFJ)utlL>8iuMng?3Xq+L_92GXHpjU4gzaGM5r7&w;j4}78#osW&Z=ZfF{?NWb>!2z z$Bg`pMk7j(YwRQ^6|~wnXr1A_QRd(AgGqlwOvTvTy=d&LDR78G!{!QDXc+Vn%UIEa zLDBv)%zobK;!62`Hl7T!@aY5PH(M{J0??kGBL=Qc(XhW7{9nJz<-d%VI^Fz$=+lz? z+tn1qOWaJnIIJ2M&kNV^I;qjIPEC@~Dp;%hb>o--N{-*59IquAIbsL7laY%nz%Be^ zlhwMm9hQcZY)IV940d&zeK>ogRj_VJdNdTuDv$hP(@;vZV8wFkwzeBQdvAhpE{N3` z)>7sRIgz(9=D&pPZpj`H)^Ncd2HU)@=)?GUDm@Rzv@&%*C|1y=byy27iTF&vxAKLhs6!^ld z$|S)}Moht&o}}>L5o?oL3Ud3yRvOh6>Y&WJeDUt5Dz$V}@O3=c3eFxe9wN{w<7Qws zNYqjlPJ*QLcz=Pn3r$T3q<7Q&r!W8ZL0-D74IuRBJck^RA8yAw zO&hW_N))XVgfI=W1F-uNxQjWsN08x#J=NC(tdSS}KCx4J@6xYf{i62m*U@b+!-@tgzqFUXh+!FQ zH8lOod~3GV5JsJK8nb0VLR0thpBxX!P$63TCOJ1dvK~B!yZzjHldLQh8`>Bp9^3z`pUh0P!@T2>FvFbYF!~zZI@(YqbJoUVL?aVF8QhLf^j+g09v{`NQ zNX>S#Pt8PUIspSY46I3!N8x`zK5a<)>cMS1gfLGj32wBjnY z&)nI=`gXJP1=mzK!94OR!$)}@ApBEv5d~H9Gjz`(@cm4E&avYZ49n5hgTB6r~Sa4&P!=%i~a_pt!?M2}w9yLa% zIZU+jYR?4CFm7Y4`-QSp_<|pEUjIUYD)C;RLi^Ow$^MuW9d6L+uvwMltnni3 zGCA!`;5{z|Q&XUNWyiI}h#LJ;aG#i+eH4$H$lNBFe3$}PjP>JEt<{qj^J_gW zKvalr(h9bgL3Mf@~adR;}RoqvyVuulF+O5lj&5U-J7CLm&U| zrYS%4$WnzTW=)SWy~h$O0z2ko``Ay-0s-_g|E(<1TfU!lCM4X2!RW3#AA#xw)D&zB zxIvZE8Xz& z0P#-#niXawcYuIp{nUem7j}>KuWKm5KW0h4N3}HNeg|t28|7CvMmB%idwRE+%xs+>0+gjbQDywMC% ztUWpMB(zkY|D*iPHpv(3b&xV}Pru0M)V1#Iv(pV93UWm?KbzYg$8r8+Y9{P|ab5eD z+g9=rYgZ|LUBo7V(fZ1^kqT*>a;s6S+z%1L{vVpYJRZvS`~T@_Q530=6iN2260%NY z$u3KF6A}`#C4-qF*+Q1cI`*Bh4P~2>UCdZV#FTXyjAby!%-rAm`TSnLf0&v3e$8C> zxz0J)`#R@+&Luv1jwWoWgW+EPkq2aKK(v4}A6_UKt6?TihpADLJg=(XhscjW9=5hb zEyWlacyLm#LY*!25P6D^8LM>%J(*z%<7}Uc^F9+IPUO)v zsiTSjpn>f^yfVR@)sO$!CJP2gLfUhQQRhn&(!Z_+)BLwbRI@X(MMLuOR9S;s=?B?v z1$ZhVmU(!7g^MW9f!88P5D|jh0zke7%76bvoEtt5@Bf&zfcSS%P6I`5Hq75VHS^wC zoS|j28yxlYYFfC5Iwrao3_c${+WmUY4#Gu83&kFQ)Jrt6`5NT(miw~CE`+{a? zjc$L~OzkR_UOz*@|M7nu?SI}7{|GXM8q~}V>9nxQ(ivulZCsqlInjEPh&HhZa=1_m z=1xTup`IJE+ne8^V2^{~>s4-BR`iziyZ=lI5tkOQ)eh$=^Gtif$(T7LiIhYXXnZ|< z4yGpe@*l_2?=tML;Id7L%fEKuk6Jg8ehBZat)4tGoB6B~xHD(IoSGfq z3*TdhsTIP+0$08#fMYF$@dWaGr=4ieHBkM~;#gawfm*q6WERB!;YDyL`ehxaQhF2t{|@M1f833|h)|2G+-^JGo_yqqF}GY#2Kmg3m6ND4%&EGb{Is7B zH~l4G@js-NffPaG7X}O0H#P;0+xI)%nIp5cdv_En@KG?GR4d{e^&at(?vxeuGkkg@iUrXr zKJ;Ljd(&115&Ga3)4W=AMB>=8r>Bt(%XQm>pq_&V^#n4F<)Ou-eW3TkN@5LSem)h^%qLS8y{^N!%eIhe27ij+FI zSCKWv^YwO4o}md%-N#$CJCRSe*Tm3&C7p|yL!~bQ+Bn7Q@QLxtuspI8mZ7jx_6p;;j~Nl1g~h@x6(j7`RbrfRA$xEZ33HJ+gA- zBdiV+@h?dT3hiL?t+~>&~6Jm}{S9p3TPV_98I9P`} ztEQc$nTS;mr?`%UycCpD1sMh;uP1geGr4SeWA*S zRHo87=kc#)-ZI^$ItAhJE3!n-AI!5b2hVX$NLFy2DbDB2nEyDYVUkA`Z8oZOpJB^d zZEQL$Izspztb@HsqMJ^C2JBI8%YksJ^lEyK?n;#Qk^qJDcP!%A1#>@vS?qX_3`34% z1^+D|vHUH1gRVP0p;n?DIC@U~xfNavC+9bR%iP96k*JOklaq{n z;slE8@`@T*%K3Tufx*;84Jb#+;g;%u>x5%Bbfx(*@l)tkI$h!J2w4$%Sh(8;&XDa& z@OBvZI{?V^V+#=j6Fjn+940^ZIcVFr;_W=Aj%#294HpznObQZvr@w^teVG-YWKDmm z9{h6c5=CG>-`A6)RhG#2{jPW7_rA1Vw|DU~b3~y7-;kRl0*0+H$Wnjr%C$Cm0=r?T z!ds7ybKh2K&zI(gOn$lc4~cJnJSaup`?!-sxZ{TV@6^rzQq5V^Bn{L-|CK1-4>MjM z8cX%K`q=q*0z1w3))3OT)-Jr`wjnB!@#N{?!oCzU(QNaBV}Gy4LKDy6Y)l8+2JI-R z48gI{{^nE+R_E4)k#XpF!kTJut1TwZk-mtNbug&l(EVO>jxj2qx#n#|SMo})ku%Yo z7o?Adm3m$X<54i3z13sWzwkBXZ0nb)a9?$~uEHaO~6derLxM2w)ccSMIBP9Pkk z$@`W$nn#X;j5^CPRYZv#nyACW^KdYJ@(&G(BO4r*vM|n0nGp{n9C#@-yVDgR=XIKX z%Q`HSZJs@;y~A9)qDvO8xew){`{bF!Wb0I_z@SQB-s;?DN(`n$v@oQ#SCpA)1(W`I zdj$C*ae5!5Zni#zwRhZGD8!TeFCvGjhVPMS#JxG=qooQh;QC9xH5nsH>@HWDcT9&k z69!){(!xmd6YA}wm|AP9@G$cH87gNjsocNjh#v9>p|YNtC%_7BA^+Bzhr@ZMHpger zw8{_(g3QvgtZ!|~;zUI-1ByfSwzUWnqrT_rAf(pc)gO0qp{V3#%Q^LD=HFQ;*HgZ1b&KK^sc1@ z#QAE%X;>IXlQ<2{8>JG_!G5$PPr-MxbI=5)WbFzeysxZq9D+>z$ie$-S14Z5ZjzMV zPEgBAWw+bjc5r~3B5#;ZzGneG$UjY&+}}VmrpbLXsOtQ>=}C^o|B^bcnGNgMv>D^S zJU_l0*qUcK@hwjv5ni^+ahi5+r1=gbLW4s_)s4mo=l57u$5?*q)qoA9q(Z>95&yJ` zER1Es(K-Yca<679e&$|d1e#+b_Z<^PiFoq-N3WaHlwK;?SzSyC`GBds#_p=F%1hs~ zAL}2VjesBgL9Jxg6mOoVn6R|xswhXcgp4$USS~0)IFO}K)Xw`rZXOchC#RaKnkyd- z#gLT$O>~O@E`zMMnSYuLwg^|-o33%hsRtGCkUvvNdsmK}5fs@Iz~G1{LG{>-IF&?o zg>fIeImZFZFtvPrYEqTxi4!v~`y%<`DUPED`T!yHqBoB)G!Nm|2@Jm4V?z%c(Vd%` zhn!`U{XyYFyHSl^Tll><^E?Q7A{2zq5DqX$J7c3No${K(con~byU9PB*x50~%E(!uyF?Z+ZbEa#HER)0DF_k+ zhjzmM6|Cl=7?@Aq3)!KI@c~x%r7xeV2J1^S@3oM85+8lvuYDFRK3_xM1C5XBDK0AvUT% zo_L;Md?m^Y*nU$7@s>g5;gJE*!Yahvj%8 zDa?$;aITZ`FyH2Zf15OMB$c9AgymH8f7?beCicnTNrn-T3s|eYyn=mA{j6JaaKqwL zBjf~N_ks?6FMW;PI*+0@M=&md0s5Cpf56k zo#=2#7LRBqNVLYcw*(qup5jRA*qdX(CCc8Db4Hi|9B_IUD+32DylJ_ve|VSSMHdxa zKpkDS=HffQ<@X)RK&B$DQE2e=9t0=dij`LBMTh7> zgoq{&AepDuL>5r^Lg_ZSe5iFAJnNmM6B?985vf6}-Gix0J@^I~(LMiQbZ`PO9cO4t zV50}d2Dq=#GNO2%Y==k6xz4A6b3T#<>p+WXyo-fYx z>|OQr0)!}0{!|6&J$x+BXNX#{38#RWd4kFWHQ?cJv)71cC{2#3A8V&a#!z@)_C5vH zLL#8UpHi7YU@seW!tamPso6(ir3dF3(vpc zp(EY0C-y~Z(<6Z46D9cCy4q{uaM2zOl#|^z$Fnpi$^j3>=ex72N+If`d$_t^{ESqZ z;)V7OtlmT6L@&)&?7xAK=ekppV7+*Z`ASDpZB9f7@5Y*Kt60EHm8ai4i4eHN98Pox#Mclx>0{HvM(~$EpDSeB<`rCFvQdp zx;H<}j0g@_I>BZ!#~IRs!(&gBja%~|B1De2PL@;07(*Haj*=Fh6vpVek`@^~j7&se z!6v(^@o8pDv#)GmZ>RVFUfl|8cX~Q_|F(`GJ&*@fd*+ImG{IhFj~ zx(AtwY}=}*vW8C%{h$(gIR)HeyIZc@OI|MU&+&wS(W7Tu9&bDNX~&b>$ z=r6DC@tkC^qz1xq2fkP~7$dCHGUJP`xxftL?M^^=nFc)xuYrjbQQn4Lp`%s8l>m+g?@L90{I$b7Z zk0W?IpNm-sW7UWEDVTP7S~R zFItfW>JQ-(gOw=j5=uJ(ro{<)n0Q)^28MTO zX+%JeQLTaU%<%C#pU4Vx7?g^Dd#CQsquw$@DE{Lf$zHYt;~LNu(hrpgpn{0F-*|po zfS(>1-*%ST&bFf*m18dkhI{6IEWEE>d^m%{n0>yI#+Mcd*ihE&v zMGGbygnV@ei~+1@9TY&KmpcAnlxfE9sEBKzr_v>GZ0OYLUswD zaot^V{SO94r4X`PGKffa=7XsfA9KZ+*E6R8g%@9VY40gM4{?MauM;!~skc3^QF6Rg zp+>`^G(OJQz44p;?d;y2et{P-9T5=rb}*uc;Q6*&u^TE)$xRG(njYGwHVYNN(X6!9 zpE=psX)cPnBvG*}4{53)0Hhgz@HafkXyT$nt3fbOZjX6yg(HQz8$*i1;fbuYQVHS= zh$1WU@L^f@s-@tyK)F%~I@}RCwnU+YLVA6wz)OSKb7U7!g2dNlDl1K$i=J4W2l+`c zc$uw(0PJ33ZuYK1_V6p6{QeaDN`O)gHpb5$#ihi2dNQn)mBz_Mk(MArPQ% zx-~TN^|QygUP3@Lv&FdY5MTWV@Hoj(=AyI=|2*`u&=5dK-s~w~IqR?PW3@#n31@-= zS>~e9T3Nonva`ZL>@Z1^NoWGG4Q{0cQ_Ist8ZoJz{<`%x?{>?W6NM8BdEW zZG3uj>q4QEL2St_$#|rjlch4l|FP8U@ShhpEk@s4%jexICB42O>dw`LRLv9f4CEPx z{+F(}GE$Li-gjnK*>L3${Zg6xr8AZK?L2uTIjhI_x&!tl%nGg?cfB#zF}g4|$1&jv z(GR&!I#xw~8g|c9*e!1qITiBHWGvlua`P`r3s$Z4xdmlyKwhlCnbo0=2}y!OV9R;QlYr%%R6_RHnq{XJi| z4c*DytvP799M@3BV=zM}*peAmKU?dGZIugVy`=}=gUw?eqRbQ(6;Vj#lE4=#2k4M4 z*oKvfa)QIc&qRSwfoBh!%8h*|Try&HP|_|{j8luEDOS)%Bb77_AgX=$O>@B)Ce@si z>wEaA)`IK%bCS_he9nUe_jQq`Cp<2RvzPfqGRsD8PEN&3*Et{O3gHRiv0Haw5(rs2 zvpGk@v;Kc+iym`u^&_~7+)B2R*E6@lnJl#LR7*Mh){*77&nZV%Z&t@s-e(=p#ES6y zPu+RPU|kEWQurjE5XX#x^}Nd8Van`(QtG%kJ~i#`ZYtcXmXLgO{v ztboE6%hH+q6CU=fj}>sX0>1KVi&i7pk4uGLK9M|01Inqv z&sUwl<{Ce@%9^w-9P!fI3Vf-sb?)V3)33@ZQ|Sz)gb702pWk`QWR_n_FJ=pd2f>J< zXyq`3v&7FH3O0{GuMAnsj??pkHF~ED=sQ=>+r}zX+Ly>4d7glv=oJK2v7D*bhT_vDo(6#K91`V&IO``Ty#gs@m?S@X%nA&bcI$I!>hHir8*U$)sDwn25tsE$M2JU$ErN zqb!5xq+b3NkD(1;_78XN9x$431}R?&;D6m@!Q)W~S;?P|%-pw~_3)mMU*icDmgMhk z62tuFCf)FoQq&wfohV$I(V|1EDo$clq@V(-?33Aj<0zai?ZgFNiqlU|rz#SE%>C-f zLi!Ycmb_1w(MrN=`_1MgmpGF^SI1ADM^+&jB~1x|C-;YnHX8Wtd|ve(lo- zPswT9vz9+fP0C|^ioT1^S-K0HO?U#$cIa>ArX-Hz5D9b1yB0=aKCj1tqdwk$Y7Buv zjUe^jvATm{Q{-K98;#py-r_Z5Pw-<6BSza1JEuh<@ZfJ1hRgW9*IXJ9S<3N zbGF!rW9N^*DW0Rf93e|GS=pVPLJPv|5sR#eUB-VmY{H}j6!hE$9%fAkChA-!2@M!e zpfda80??s%oA;xp9)Dnj+5O--O572_E)sIKwUxXU7f!EkxBkr4$mj@~*y`RF6LoGB z-G|yG&}x!bLrhBWZ>p+vU#~$EsqcbZmxwDhHcHc6dtZdc$W3Qm!iwTv_0WDaeEID# z2ITM}#vwb}?LvrDzQH;*nq(6y_Yn2iv2lf%*%rglW;lmXT*eh?G-pg-@^&1}=j z6mk8qJ0CxtZHJf&n#-!JM(MEX8>03M z_3;u0m)cL3rL$*>Z`f(M#!eX|cLQ9Vg72p43OLPTy%1Z!PlFC?`ec zm5S}XyV+PC73?9|2$%6O=P2}GQ;0Unm8D)9x^C`hW;{*`^A>xMRn(ShUU6{Joo!!l zU@SNbhM%SUk=u6o*tp6b5*;xuV>sPZRE?t*6d47Dvzibcjd6yIz2fSvm0hpt(aS?; z0;2;oeogK++`rhy*C0v}^&||0xDVp_IyBSM{qzOkjF{`%cAQ2ziEKv}&@K&6 zl<5V+)kZE~Tv&3rNsu9{Lx72}mn7Y$QSTX6i)S`bl3HRCFoJftt|phwAwZxJr>!m-ARb!6Y`h}rOgUft=L}jpCRH=Nz^LV?i5*UO$mHq>?NKHU z`hrbO%x;4JX-^XlIefq3%z{GGN%8ry#}CVO%V{Tnv59AYwgXnnztpe^sSZd5yu}rL zXgM*^#{-Kl&dU&+dH%RDriGZO>5=Q^l(i>wru%G3vW|;W5_L`Qk=<4)m10pSRxUkN z)3iGkVW_QT_Z+%btT}M*V%ebZRL(F&uomf!QBROh6Z*V9UYd0^LN^s@Ik1Yiuw|J| zj`?@DMTrTc753aleyDxT6^DL+R-h+B79~|5neg(aVTr5lcC*J zQ*fX;pcC*YbsQ>aPNrNcw&0n)=>8k&!4Vk-6A~NhE7)jiV+l63s};5#pUQNSr^e37 z_l!oL^jOod!k5d$@0FhQF-(7J%FS#OCd;B_(_-yM+mlU`b)IvWg~MHs+$EMW^dr#& z8c5jzL$yc5f}s2!t8|NJc1MffEuJNvtQXvhGfAq#mo%Sc^%TE*nX5e>XHBQTALHca z@MWb2BO#3nRUR(lpUf6Zsj-)1Xh*Apdj@~8J(N`bX>;-NXKCl=lS)P2`Dh-cc*T26 zHn9xK<|iOFbiIFeeXh1;NRUREW}XjSdOmI0VbAo#Z8VnxQCg!?nhMboPSYgUU3#oMs;|yA z+0%60+^gk+%#CWrv*vuP;^(tG`SeE8_HmytwFjCLZ-_^3NEF`*b0`S&c)>TO{mQy! z>W;L7md(SM&@}B4x3o)W4->Spw7x61ZJex^!D0B%GMo0fPqLAQtR+9igeT+{%|40V zKo;8w!Ovv=G7HzPtM2pcH0$BL-=^)c1mOHlh@w6byE zh0m3{B~O3pxyUD**LumLQ?|L$3@(K}#mGy0x%zq=yRH>1vj@h-cY72C-Pl%9>TGdh zpM|bZ=RSY@;Z4BR>bI$>^||Lo$65(D5}>q^Ky>15qyHVKLg&cu>I7 z;#s%ZHz+$TEk5EFuQ&3%+L5FF-i9E$iI^W9v7s?2n^Zsd!K^P;8z!ktyl^OmEZ^9C zUvQxq#C~4}1!l~uBR1-)YaN0P$EMylJ%oqD3p0`d{6cydB5H+XWt@%YA z_+aC<%Byx;@Xk?wV5XpUlkunK@`EO;h;o$qP%L%zdF{vY(zSAyI0a?#?y}eOHFJ9} z3i`s`#ETilEq9mC^h;AL$QsYU_Gx_<&x+ei&CIGFkmr+R_QWcupGf|~rPcR6w2|+~ zjM2SQNsZ-K)gOGdZFm}4oiPz%WP`<*nP2H9AfH`BVaWL1jz1=;Nn3&tsFP%`ioB(A&t{ITNb=HM-()qx`H#jgw+`4GiWDT)B5^bb{IyGWGrI z=Vm1uC$H_K$~KnEZZN9^5v#1qpUm8K%*%rqRt7G2gcbMNmgFAA3%E|V3Y)(&c$POV zI);|+>98TysqesLg>&q_qTaF{bXREaBtKTkYBqaTC2iNtAKD9T_o{noTplFWymWTwuyU!quipm_W1^A?q1W_FwUtX?0)w;5$r?O)eswu#H4m+a(L%sFU@K?ZW@ zRZIa}oa2ihR!^Vim(JH*=4$z=#i6ZJqa)szx>3#o{ZUzJWhZZyi+0~+g3B!*!OvD<(bJwmF*7M&qpFXMo z>FI+)p^MpX4#)9+)ZmH6N_kaw&Bu@HXCK)|RTRt|Gi*F0)}d_0d#6 zeXQ(EyQGA26i*W}D}6B?vYa|v6^*>hmuarggJuz*Ke8Jx@=!NN=ZBxo)3{&NqMF%Q+_jywK`78U@!7qfxTL(1%f7j?F+_;#w1K*edOT=EqHs znmJX!IO`QV@}})(HEPhc;vhFTOFuH*V$`uuYT03e!FAnwK*cp_G zNtOHPyxspEp}{-7csM4Khv|_w5MK&r$Uh-7?hizlxn^i(P7_1`l^0%LF?7XXF|J8 zHRF=4npvR^RP#(OeD@khlVOQ|@$Ti~PJ`r9Go0b>J0_c8YL``GIZO4u2jn{G)S`@G z#z`csS%2+st$l1yW9}8MJKa3`J8k+Ju{YXbou!uiTrWnog??wEif!teIEx>zI+^Q9 zE_@S}n5{OApBzQjl2i)=Ll?`ms{u5A5-&NJ)qYp_X;yAe~l&(P7*0!mmK7BxbHnu%y0UwoDxwbVZi8<;=#a|r^}}bo(`UQ`po{f7QV7- z=;kO)FWIo*m`&m7#>y(`q@sZ66P>SbtmCxmH3!xd+7kR-m!f*7mima_+-F(GJq)5h zwI-QfPMkUGaj5;twl&opeJG#ym0vj++m7+s=B33f)UBa~pSw@SoJhW!Xo=bo)wp_G@I!CO z+nv(W1Gyh{r>Qm`yfKA?15@uc4()UQE~uJX#fured*o(bvAp_F>fdY488~_UOMsa8 zozu+=|DJgGK>E10{hW4HebY_Zfn2eJt$2B;*mwC`#b&88{q9K>`PYfJ-(3lDn|lAy zj8>c88t;g6u=k3o@uljzyqlgmi}0<`z=tXu7iAl2L-%dPAs2=1G^L=irlRCK5gs4- z30f`#n~(V24Q@7U4)9zZdA_%0SuNWg794YLKQAgS#)6aG$?DxrkMC!jPojlq0q)qc z!ds`D5o~{I>O^N3I`>X92Lo7t+$Iub?-%Sf?bRCwBrID&O*&|dgw7roy z^EMTdIr;GVZ|zGjzFxAC>3n!8K03|BBmx|oVatJt$dGc9p4cGtU-Z)1=hRg0{LjXy z3CnXr4LY1eczu(7xP85<{is#fqtVZ{dN*ndZbaPm+Dv%8mnWTGqtnhTIJF@mx!mx` z)MVPvxxAqHiPmLH&H+E+mZog$WXD0NMCINr^)n-~oT4$)-9?f-8(uA_c}S^K-C^GbwM-G!Ql136*u2W zM7ygSARj!+lgdZ`<2xFEN%rC@wcFOC{;7n7kdwf`w4Wa94u1WH#p@gSrtjSiq8Iw_ zpLLyADCPByy?@-%PDa}qUsC+D+C^_X?PA3f{pfRE+@p2*LuXc^+)eKf*VGwoPNdBg z#p)SoxhiIMWeN^x`9<$5Ea?8;ZnGK0Jmc&sC+tI;8yT+Y^?Gp=%nIEmFSp9Ll)TD+e@9Y3?wyKhskD_wOYE%+T5YIF*Bh$o zy0?<9&kLd7B{#_pX#M+_Kf^D$`9{UYD~sxzw^ysUh0*=GdbqLIoX>gk*BX}`^n7!m z16m^a_wqf%n0&2wO$_5kuAI41@J!iKvPrGMTiasOvC_{gCIS1b%a*risa59u^!ef6 z?gwUqEy8Q!*}8}++t&1n8x|$*!lokQpy@BQ#99j(#k;O5Pm1C`6;59`<$2?L#EC%h z%0d_I_47g#`(;Mw%P+{r30GGobfC(sEzik6tSBhrXV1T}1}Fr2ZQ2Y<`i$PXD5kmL z6)so+aZA4O2m+3Ea&X7%<4vxC)^SdAMTXNLuZ8JsFnUsdw38w(Ue_x2Xlcpf$)Ip~ zoB1UAH22ayK~s%$Jk}1<53H6}AB;K&qo->woyl3S6bOu)5{bz35WZscAuwC=DxXZb zrA0|E)H%U<@U>sH`lHcDXorWRCGy5n2FmrhMdng^nEPgnHS%EqEcv}%a+9vvRm(@t zUB^9z`%f=5pMKtInPdF%{$FcOhXLM5W7~`-l<;}FIS^mJ5%ryq1`-PJ7j^O|^?IQ0LSI=ag(VD;_5F*3{KY zDXAr1sL8NQ*-IwhQCa_VwYQJwr-?Ur8)j^U)~?*)JR>b2V?nyYX`|eMD+}STHuBMH zZ1GM(+{io@=KNfH9NhuKE>u|nA0194m1SRUc|DOP*LS^|>})a6kyYJdwT$GDmGOT!= zrglN+g@2UeJAJ4?`(fH8Bh(=w(_~-3`n*k_XQmWV&v?5kK9!;Bt=_gWY>wY#LRcP6)*BsA zt)hr>6kOdj<#8Fs!p7%@wOG#H@_N&{Rc)L}Sa*BVRTAHKLE?>;ufI&@@Ao1KjT>%C zpqFdlx;<+T0!KGeKRDJoX69aWZ>BRNv#$S-L%+cjpI2y4tfKVwIcG$S zgV2MJxhW_1Q-+~N9Yj9HbJCrq&*V(M-+u?K29cESTN5#`*WeAYR{_fTy%VgiU2QJn2@b~{N){0eC|k1?`#ZT@e-pU zW0~BWn_E74g4T6mJz~ERtcR8pHZ5lO5#E%WXALdsXA=aAQ91Xlhzk2Jp%W z5)nMo3Gt|@nraeh5BZ1?SP*4Hkf|uq9iKwPY(xE4XsFdl*q}}(RoFFE$PrfK&Fp=w? z><8!Sc6zD;Y)4n=L|j3TA_b^oS6-JM8s=ERq2xCgLjS}Aw}BJQc@4KH%LmUER&tP75#UG;5&wi)k88m0 zViXY}D=VHE0KXg4?(-p5(**Nu1rwvjY}+MsW#dJDKnU{!Y-&Jb5Pi;tp50CT6|?OE zKB|ct-0pm{^mgL126*-Lw&yEmYsAX8gIf?(AN>V*L!ugdj{a3{cLn|viE(2#;&x3! zdQq|{md!r;ONHQP`K3r6OpX#}wr8*ZdDTU!?5W*S9!y*`VYJKV?smifZYPTkBOGvK zK1u^_3>!x%sKP+p!j!p4T5K~a@LpdpTeSLkSZo8%M-;rn4uR-wfuF}C6#a9-Un8t8 zzWM}TQ6??Ox)UOq*gOPV``(^X*UG(hf%gpVrl8O^(s3*k^+k49a_0cMh>FZ*{HO)| z)(Iz$q$xEfdrkZ2YyP7CC{JkmgcbKTf+;XZqLUMJg+_(fAWB4|)UR_$QI<<*h=^Ad zL_A!ILcv@*YApayNCQ;?FyAsU254W>BXgPfZ^ zyHmmu9lSkr76a{3+c8o~v?2B%%&VM(JDdm9ZCKQR=kVZ6@BnP;cTHK0Y=n;qJ&2VF zP9D}0wy6nN-RRZCGV7`^itm6cRB6hvtmtjXi*Hma54;wqg0Vk`>G5tnpS=&b!7Qot7z{vWb!x%oK1Gga#K~cAu0?yEX zA=boU=wkP}Di9X0+JGBGoxJ=9{|7j?jfr%Gx4+rcO-4rLMMZApK#^lX6Ib^hS_*j` z{5{B>0@U~Ih=)GXPl;FbciTYI9{$Mw6Ek=RYdtl`oVhkzX-^SfcpexU%C?QJZQt9i z!M0JWl!ay0cIdBIatXFm>)`P%O@u7yv!#wH-rtDX$RiXtY#(^P+k0-YPkFI9^5P6! zmKi4}>o`DfX|7F98)*tp|UZivrfAHpFUV4I{PoW*|zRh8>**HDnpHp#)s(J0x4ryXQBn^L}qxRPDhweGp(%fzbmUwr}8} z{wXY=7C<q*`$w`;&^OWQQFp#*$Ka z{~~z)Q^i2nxg+@nz_14c3f{33kaPhYrhM{OFuXY6|33(G=A?ivzIz+?iw^--rN?|A zg!Lb1Q=w69vV9RJwjm!tadjj7m#*y+>_GJs4VyjS*^|9K>7Pzj?HNow>`Qd(PlPW< zMGh@TDRw;Tg)}c9k5PL;Jym!|=2*n5FQ!M%(Q6I}o6ey%KdFtig)1x0i!0 za9A9|Ow2og3|i6BLCsd6Ae`_=mo00^Cv5+T#4rZwQW}{HH}IdcOWIpe1Er!+NkC5l zp6if{p!--1S@0L6Ad(NFcotRN^V11xHW*vd@|WDX@IBXwS24Rx=Jpg6eeJ%tC0f#_ zQei2;G9)Asi@2ruc#jaZz5DOo-CGD-;*whswaG1P-)jB^ID20%EbZq3f5AdD{UCJk zZtEtALyKA6*NjUcuVP8MpRk1X?K)wYgH{4lAQ*JPNH;*S&mn{QTRRl=6+Z9CFPEc! zWC(zcYIhi+_%duX{cu}p{%O751nJUl9`&2`P~qDs{j*?+eHPz z+y4C;SI>l}?lng`hSt3C;jBUMFVH|?s<$RXkPRIfv3v26*VNQgK3Z9U2d9Dafd$B% z1de+M6YSv(_$JN|{xzFEcS22yLPudunGuJso|Ib9UK99{8CxW1A1bF`maUzt8V)Ue z5150_f*v9YXGk8_sU14yA28n^FjoV!kq1wi>XE)ropcki*GXh)&TLKx#G^i3=k4fac&?Ftg165bOueV9}KVyy&V8a4f3aZ z<}1P}pRjfI&;v~lwwxvZI38ew^A`JI%5{RK30xOSaOe%g_|&4<_HBs2R=N*-R~S5G znmg;xn&i#{?04y_OJc7t(}88$3+d%!Z0+>X-HW6S^EQE{B`hif(xC;2;|QGzxdK17 zP0QE(3$=bimvY6o4L4%)m%&j zRSiD74V?Um#XPxcZSC4R-A2uQ1AYGzENI%T1ZCHDP}p{)Xzqe%uR;zxF+e59gbw>3 zdH;q2AC5@eu-(CF$`N!O$$ligPCOjR^7WOVacF}Ofhxd35TTO&=x+In9eegK*>`p= zLEp&(Y;Jj(&;k9cQj~e%+f^H4JT@i=>Il#7qpN(T zI%;QwYqyI$wQ7ZRJ2+y6ptwcWpdPq`@;wNBsX{%K0<}tlg7dRYZ(t{({#If3pX4@y z*8B@N=69e2q~HjeWX5iB0P{TpTs>cq>2^sgW0vaf`$ta&RLE|I@eH11j9qdR_!Vm0 zONxbw2K^5}X@lo>l&=Ejv}Rl!SW5Uxara37=NM=XbX6dsM}`E*EC4Pr<^<4fi_h7H zjqj4bb_KakMg{rugRqI*O#ytU_;5mJ%m#sFglxIv#t!1S6+&|#(&REQ%L{-D#5M5T ziRnckez7jn(#6gz7r^UmN=+Sz?AzR{W1tQQR-zDZL5LJ3S9T2m7jw-nvi9p0)NUVn zbsNh*~wHp=$sC+a*Qid6LjP zr4o`*sn8^)B0P}_F;qyZsl;TPQ#_I^(?XJ%BqW5yRF<)2XUH0H>_#JF%rN`8zqilz z`|EdI^T(OF<~qxL-tX6T&$%ZxTY;zgqwjIwyU=bPtZ#BIh;~5Z#7* zlLTa2nNkkzFkiv%lH~Pq)m?B{>D>*k;w-uiR3HxryR{=2HL&Z6L8TP}lScy8XjNE44E-k5zE8J~VloN-64CM= zpT9vpxUByP;kf=mu4m_bp#eSE?)zycr3^q70gZd8f>2%PR!ZB1dM5P<`vxZB=gUCr zl$g~_MwokByjge1CCS{>umRzS)_7Rh1-x;E2G+5L9ktdgrk)$lAF_FN6#my_Y>6cDGJN1{~5Px-D@DPZoT19 zh7uq99{HM14wslcg?hFY6_c4(EkcssgrqSiwSg;cvJNcZlS+l1k-&vX)8?E}-Sz94 z-~___Ty5!t4*Yf5tGlMh(T)tXEEKE$t~kq^yJ z+`Xgcf61+Y+ioKj)kdP4)Eu&(_+d%En`{Pz;_Y2X*S7;7@~qjhr&J+gbStmfPdTsaPIr-vhlrzysE zGB!TLBw4=i^tkx6HYb@11!e|kph>m__XW?Eab))D7CXF4rl`u9h;dbANhd1{zF2>5 zZ3m}@X*)2W5O9GxiL4`|>oGYtEzu%C^2_YQX_7QMY;_6nwz>|No`XYkK zKPyzI)kW8q+?bd6mA2ETS=pxKfn#JSQZkb94t$eg>67^~Wa2w%!-QncB97~o*f~UR z`$|qw#d02Tgo2-y9<}W1`CQsz!PgPgnM3g<=nk;GyYToq;f+fKyni>_>;Q17wNoB&cIppHQ1GH{^;hK2Lp;7eh$_Q*Brx$=!oS>-1T4B;)Y{Ir7lunNK9hXTbC8xDE6=KOt84&9eFE$I>NgX=ZiQ*CfI2gU95x9(w^+$oYIz>n& zGqVH~arsXY7$t$G4pd{{AOrLb(zf(uu%jHAaGg9Ay0*daQu4^9Ln@_$8|D6!+h%=; zv+eNlD7+tFvfSXL^c1?uy+b1O=(ls5W12qhfHB;l!R8MvXQRA z90OfV`0#af^+(jO<{Gx*Fn}rC#>@Emo6Z}~P$)o630m%q*!URE=jOLXg5#bk0 z6KbIV>dvqBw5zFgkNTe~d*Pdm-~1SWMk$z_c^)<(x`7+^2tIE>Cbk!omFt8<`!VFw zJ}5z*(BM-+qUU(^)+&gRU8$&@17Wp$GSsG&2_~XCLRw#w3niFXmPIpZMGlCdAE3u0 zYQez=6fnH9m|qF?q#)^GXg0c?o?K#Eszm?$jo3&Eo?$w?VVdED46AsA6FB;(6uaq% z-I$k(+@@xK1AC9 z%>RMVOJ`V29QKCM0gV*Q-MwNUI#}BcwmouSE-FwN`#MO2i+Z>ppzm&y7T0p%HA~H; zpdxC9zMvt6B+@LV)nyp!fWq!V@Z0g<+UYH38v-U!<)H%d`EA(1$pYb+ka8Zc1&|*` zNC1`;?ptxb$;LSWwxFa~ae`K$j5pGUNr%Kp4oiv@lw6e2m=^?wa!B}*6X1kv3JD`W zUlhCwbijU$Cj1yE$yH(V14c-)HSA#l-%aA}RsiXW!x_L2w0;GD#)t}%Sy|4cHI%Qj ze8cSA=}^RBL8bDQW}FzuN4T~`3HFRkbeF8TB7sU1ugHqbzlh^q+ML%~fcTD2*d*Z7 zJ+QpiL~03Zf|$>CoN~e~>TU0m5Ru2rVrH?m&+kle9;|A#0&3 zq#RM;i8o3Ywj=l8oh!tOX7`XvXcPo;x0UN9`AhCVF(OR;$wHF_(u*xNeR|e9yxBmb zDRzZG@RH*OZ6vkIPFS^{JTf*i*jnbCBojfjsP=HdZ|I2s>}7n_Nw zFEA*JM;OKQlJ%IwDqwscfd^#S3bF>M@4nvQ4kL?%Mj>2FWY~3RN0WkmUw?~qZaRuR zv5_iLTQAoaNahU}Y^~9;3NlxOB1~{S0+}vHvWD@n%2~w~wR(trmCc6zERYKyei{!? z=3RCR6a$YI9eZJWs;6b+OVU@HW(5bQo&k}+kaJ>oSXp9-gRxQ~N>u;2Gy%QoPKHqr zCBKQS@&oMS5mQLP@7TpAR^%3&%@>%>Y*GKyz&gg?`Bg`WWa@^}6Fy|8SKPz}w_nn~ z63ZH*xJJy2_IX^)zf0|tu+X4{0wHUxR_-E_R4F9dOhGtH}m;cD+vE*cGb%N8q~#t@aGM&~qIOh?wLlOh!oCC-j8w-6278 zPZk@1(2|3=JV18O^4({=sq*^g_l`4TvhbaT34zij>GuvyPhBb>&7EqJS>x_8o z&z4utyWl_b`M2@4H2WF692nAJZuy5_c8N;#^D`h`fUJVisuI0D zfv~x}EG>@#Ine2r^qQ1#IS8bcPROvap(cT+PggFuBE1H&^1$KotGA`gHH|UKVSJZToUh;h|5{?;ijNX3`|2hULJ0M?; z?+Q%e1fR(TU+d8G7jVt>2Vi8wCRYzx3(h}S5GBby=o&G;3eprdSA2@K2SM+vLTA*5 z?!BoDEcGBw@w$N(P?2^Yg#ZR{k$~JN9NE}{gD9tv0u1wzb5k@3xC(+C&=(CDte;># zx4R*mF};OZ4A0l0&cuksg=M^aD@5h^0Krc zoHLYDR8O)=9LF%0;|X(6GGMw1l)@M6hZhGuF=MlIL6mtu0}~Z=9T-#3!!)i7{HX>L z93Ih6GFdiVSBp^t~N3a#L5 z4ddS$3f!mJAT?>O-MhzatI)ns8sf+LiUi@x3lRJiew~>A9j24w@Jd7%Bgg}&TJZOU zK4-=mCm@u-EO&^{ucIs1K^PNfxJg)>5rvu*xJ#BkaA1s$a03{a%pg#~;B%yqt#VQK z&DN6nf5`Cc@fMN|pNCI%idzOYH-iRN6VNk-uof(4NuIMd^mZ@!o!%L|Jg9_y z`4g`~MwVi*2wDqJF<8r%Cf{g719Xkba-Dsr&nJ=qbMw`J+hmnSV^28IRgCafbEvT# zzS;-_x}~Rl@zDWk>Vs;AsGlha{P8Ck)CJ;QRfdh00m1_S#T3bK@he%326sP#TMVwx zuuK*~atQ$FueOm9Y1vf4D6w-6IYDEHgBi3sy2B%oSPg?Xa$q>8aIpl0phqb{Uce6r z%ixU|PzWuY6*j!l^#52VF?bCHu`ap!FdDQ$oNiiA$zxQ`PZQAQ*Wnle&K&gxV&3ct z@9={h{&4red>}(%gDW|o+^vfZP-$iU;le=KVewi{VXF#4dWI8MfWZIdH9=I2ekr&! zZZ0nDj~b3Gd{4tB84`y=9SgF&tCVcy2eV85ssD0M1z~0jpqT|IMHqWGhEMJWL)Bx~ zyV&0-9w{_(a*VKBM5hYCkz~wi&taEz@D)a4^Idc!O#BzHiH3v2Bm7lboB}+3TZ^$R z!8L2rfZgOmme%Y-w+epu>bn*6j(ZRbF9S_ z=dE?h%UM^bk8CK}gSwCbJ zESrVrN$%$hAI-7%W+Sjg@R3*!+ohK67C7(I_ugG*3NHgQb%9bUzZ8U*z{7ml!-AR3D(HCSyv;xN?06JlGqN zGc3~Xg=_f_oDnF6!NNzjNf_mL9E21}vncnc#JdQ1aC3iRiG@IZ*fS?^IG`?)s)P`O zC2LtR&UI9SIvl*9eJ|;FkKq2$xx%o{tnijDYlXW3Z`zk8ZD#V0WXK#cX`OuV|9>>I z)PK;cY)MwB`;oRSj3lQWlZPUXoQMud9=U4pAaK|9gr=!_Vy~HF!VCTFj@lZswl#$v zPp+OECa?M#Ih{7uIDr~)Ug?8OhG`ltMsgdMkQ8t60g_#IMX z`6tCPqp4xWsUq{r%P-jK(%Mt|blfMCw5~q8U|zep@19fdnXbT*Df`$=CN5)5LU8(Q zW^i=z?!RBapY>cyr#T$N4z7z8RD|uDieTFpbeKdxvYyM<+NaulHsW)~Ba2ffqW8RW z)R^*OD>_~~7V)`H{=My6*XZM>{jVCz_oN(7{I_h=_D$W{ZtfRpI2`3%zb;>UfUZH>=*J<~>xlb^c-d*Zv3Jj=7n}@61-)XF2z})wXJtdE}1IsZqMVHqR@U z1oDD*?#uLiK9#w9ltLy}bH%F(t0&>AsjU>V6~h zzg<2lKfAT}$TKZ;Q5E0pnV-?-v{m8nY_FRnUa={0I=D$?dS~Y$o#QI-wq~wDEhhsz z+x<-Ak`^9o?3s+G<`I5q z?$_C8e5`%7*PW7#${#=OMczano~`)sEHly}!NTkQHutL~u@TlOx96=Js6SxU_;)4j z#HSt0j2PDo8jT|Lw#WnZ+wTsa`mTE-?0M~6%4gF9`Nr$XpQZ~J{ge^lR^A}aXsQWX z*4TcO-$q$+PxHt>QO`R|Qo?hDk{H2~`q>n`bbL|Ychm955A;vo^xw6v^olEC_l*0h zkDE4zJb7Vo)Tt)9V%jtzTSq%dd8a|&oSSpe?Vv|%Uml8`meX3Zx}U7v`z^faY096@ z*6%f@7r>iF^5RboQA3rk7U%q}{yjzCZi$Gp5A^MZ&zKIHO@1tYQZF%k-=VNJ@5U1z z$>z=LgIE2?J989IZeE#2SwcAX)q}}=(V9*{^K;ZT@?>3#GDAHG%gXkdIWgZHr*Ek9 zJNy3EmM;?_|70U@FYEHv)@vCo5B%I!xO&x8txQ9!X`@z(ex!%F|FQQMb^i5;Q_fcG z)qnQUv|rOqq4B>WspjO#Z&&fJ6v{KHMr{_iacg$V4aj_46tnDm!0Nl+d89GH9l`!L zF3QF$UaS3D=N8^RWTl#G+CTKh<=VNUxyz~K=n;KESH_yF2OrOprot=Zc#He@9sjFa{Rr87tQ>uvj31&ZH_w;gG!W3 zuF(^|nSQq9>wG%Y>CKY+9$qI!}-^zaq2x9{sGwjGR zi&~Y|u{|@7PJ3F~;+fQ(cC_oWG_)w=sUBW`^OE@YPv=(pMs{}8cZ2hvz>)^~&NyJ| z&$1N~V`-XkjI58Cz#*H>7ooGa%k+Ly* z-?_Z@jq|cz#!gbI@#ERqSbDng!7&9*8%yEkJehmCbB$*Zr7!v`NBg9zI(Bv>LtpJP z{6$~RX5KI#RXZs^Dff+V%Xs@IT!=@+`eugyt5Wal_p^Q5b)9w3y=BL>!57|Kyftk; z;nTcH!Mw&*!uyVog#Gc>A}!jXR$V>af3H!udjqSkkA&G*xg8&M4I&XrcJS&y+!wu` zjlFZ7mtQ&ZEMEk7$lJ)xXHEP0NskVkytRDh_^q3_mi6G4^<&rWB zvFc}3_M`2In#D}GVzgPJ{QEEA!N>3M!>9k-^vyX_tmu0=Wiqawu+lks=cK;c7o4P(%skv%q2VuP*W}lA$HU`t}m0Z45Ha?KIy;r%ien%FHb+(Rdy!%mTfORRjPSw z@vUuNmfTy*J7I?4+@+tYx5QHR3btR~es%hxhcz!C%{;gHeevEb@xfXppOWSGPMxFa zmpG=)rBA12Pp|zQ^UnHHVQ}qXuO!L6&Ao?Pryr7$CSG93x3Kqp;otCAd}($hHL)yD zFJcQTCfk`6ZGQ>vDBSp&WQXX8Z`W;7@=9a!OG?dg1?q_I)B$Cqntu-`NjnbRzWQ0r zf7(`XsuX=&kYIrRYTz7+Auc_?l(_i3O!u~QP=D#0Y_N+<+eF_?Q*8ano}U9LyaL-k z?^sXWxW?*SyO%i~ellbBn)JmjjvsWn7ix*N2O~}Cf9*GU@^de4ze%W7qn+X7Z|>#d zf}&4Ng_(4{ECOYOwfa@zdq6rzUsX%GW4yBDV3t^J32FCa!R{MBzk7VmlH7Cb#qSkG zEc<5Ov|IC%ebpDm5>Ge&3*K!vD;)jpABOL6i7Jop!E3w|L|8*~cwqLFTYTtF!`&{9 zU)^EaHu2I&>9r(LrSM>yh@Z(mB`xZpo{;VzL@oY+wBT<|6OAc0lI6KpDaF~x`0MVr z$6hkazY`eWrK~36ze%Wg^E0x{X7`-)&ofJ-aYqeu+H4Z$u3qohhAlx*^n-{}p_gD!*hZ*FoVG8dPY z$f_au2@&l}udN6(m45mWGT1&S87y$c&m7Ft6)bsA zAOy=!ttndo6f3$$8~gAeu6+9i8RP1W7uN@^l^}~3Em{P?y~RQ|s=(-BBd3ZhQ}`JABW)=bxs4RP_@VZ-Ai%-E)DT^N%6=PorNGJX~R-dpnisei}4ht9eD ze!B}Fk2BPnJr>xr$jN`ZH*sKQht)W5L`}Q>+`G><)((yy&IIv~b>sRgEoyroy~>)w z#@G6>22bjK-$)uqkcX?sj#OXEJ4un9b;0ZJ>-o+)kR82LJE2WeJHGcx^!|W~iz$JB z6m9laoNF-u(Y$3uxm*67-+!Ke-#uqx3@~|<+_98qDo6gP0-Hp3#yr*~6|7>w>i`r7v*GQk~+B@2Rh#Wo{ zC0vRocg%h!x*YOyp~#@^c!V5D%bw5dDQBFJ*>VXr*K({5jyx`nv^)$;!V3LjxD diff --git a/cpld/db/RAM2E.taw.rdb b/cpld/db/RAM2E.taw.rdb index 93460c89acd8bc966e343fd4d1cc64772663b323..29770b3614d88a28f65a2f2ca61a4bf38b2122fa 100644 GIT binary patch delta 4739 zcmXw#cRU;H*T%)Hz4s_$Q=zH2RaEU!wMVQXgcvo8lG>|ODT>-!TTokVVy_rM z?c(k8Jiqt;09($S{SC~~a`$k<<<*@({7j}z@p;qS)p1t~ z8}u>$Md??oQU8fK*8qv>cpa?)QZ{-1{MmDVsLxW{8`$~5-E!45=7#1r=*^q9yw2(J z0OpX^#AA5-lWdFBE*^`8U}}f;ywDS}!JWJ|06~cEAKJ6dk-6&8f!lUI}|G2g~ zX2Sk14>keSoCBPwxHYv0T zEk&6lga@7N6u{{0+@IaT`$2UAtM-1OvC|i4)*|Zq^(r?0NRK z9S`*^Q)60iz~}Bp_QQiIAB9}4yl@_rkZx72Crqa&2UqOKyDgvI-a2VR@~lh2z{$(> zk0n`rcm%5w6R3==sgO z8&-=w<>tof=TSZWX{2U=x}9$fz$--94kCY@5>Il8pb}pFVd^Gt7uZxFX#d3`6)D*B zUC4aOS8r3!EUN!XD4QNbW`B95If=*0Zn#|*B1)R}U|Y435K?nNnii7HX!a-QWwF@- z)v-4<0^2Uqj7z@qaOwGHQ;LEnZy4a0_txZ@d{Y7O*PGOWX3lv+cC0beaeyY`~e zH}7twgGXxa{a&fT>AInmS{SrQSD#f*>c;0NCM$TrI=ahK-bjh+Rn zR$=d|nL6w!6VJZm5y80z(iCkrI(|=l?vi)mn3DJOaQo6>9j*8BlBy^LFeY*C54&PX3bgM@|K@;*5PGF_`_Q@=?@ zD_uH>_350&O9_P(G*>OP+so6F>iMa&5W*aL3`Qtmx~1QWMhf?$elqOrp}FW)OV`Y< zHi*;OC~D=_HN4+Zh8OGmC07-i1=Dv0gIiqa9lXGO#848Fq{p-<%b(-CJSO>c!^c&f ztNM^7wPC(h$y*GWk$|ilrGfBjn(2^~r}s&S~oPuvce@CBkVcdLzB$OC%7@8(mej>V}_061e#2 zp&&#>Pj1~XH|@O$hH;a}ai>sZSVB2c<|QJIfJ5uV1tq+)c5K#&;~W`B2eAu^w9 z8QCMBBsBt>HeEL3j4l4O25~%uz#=~e=Lc~CB-DF9-m5C=!?$lGv*TRF7jCEJFvC|+ z4RXqrRM7s`zP1!4BR5q4bqYR7&7+ESOnevUn~vp1(~EL(GI-=vt(>wwme{) zR@h6YK(tC_vG}yiu~evVa!;8M2g<1u-3#Uau(^7NEWDr&z8|fD{1ICShh-ZRr1J)~ zQHCuC4-MMV8&4dTi0t18E|fYc5s+8hP;lIY2&lcJ>i7d$>M$m@9_7ctsp{J9y$q*^ z)8pP3EqqThKl#}))|c#jGSvvzj1vp(X6hXB2b`X#L{{Kw4~Ico^gxK zzzZsI;Z`{Hzo6w9bav&7eOT>W!Lq!&feifBoZdPWXu6J+Z;~!f^K&156)cVy zd`AD#<+LF<7ix8!DCisGt`K2c^8AcB#F>6Q?CzZCHd0lkIA*bI!1K|XX4@l-jymC+ zVf?F#TQja;pRst`g2srA9ujXqTh_rZw8|5@Dqu1nAC2FE0ODq*dOVm7rCFqhm@!Us z_en-0SA6UF_I8g}#*NmY4aKekI80IgiVa zUYO->$UUn+_+BBUhmGr9P_iMK~rz<$S^N5%Xff6;0}uXwwD#rBskUWG4Ly zA%fIw*yg@)r8A6kq{FFt0_i5NtZ)LcFSDzkKb5lRB~@bTxQT5HU1vs{$GaE=NDw17 zLynJsl3rCUgx9nI@j?D%uozt0w=O?sB13H(Z>23MHI*2mtyf|e`L=LYh zTrJa0y`TbHuEyLu%HsJ$cJ_KT^ckUQ#$n82;wQg7sVUeNu3uT@WgD8{itS|xszJT* z+K2%nyL>d8(-?f%Q#C8m8^ef+%m&PV*IhW8BrS1QY5hL!#0x?&LvCUb0OqNf04)dF z-}0}pV|B_k;-^6qIj+5nF&8WC7fVu}4OM9f=L2SNTEeuxE)+nkccV(QV2bRG={$sh zy4=k_!$^@UlNhxd4z_r7cuav217X+J{MS6?%x3oAnGC(72K&V^eG{QXT@TTnQs>6< zf?54_Xboito6OS^`B~pF7hDe>oDl(xud<%M7NG3YiH2$fPW0M%p zA$n8fX6S2v2gw7T5h_!pW819atX|21J$MEzS}#5%YzclpG(}CYR)WV=rF;q=WhI?R zb3&@HiJyXBml5Hw`6WBy%$g#jLO&bNy0&?Tdrbi1mG`U{r|g?+#a~cHB3=C`MEJ1s zOgxYhhLWbdIsI8OPN^loGLr2Cg!5jh3TWN{YDlfjgBnyru@C0*z;&k z9nu7%=O6qck8c=jML%#C(MyH>2ruY6hz%ff;RKm!73}==dnVJu&l*6@Tnv(#yI;AR zfGFFP_BR1Bj=lZehYykrSbMOV6-`#_b>H&K#q!hj7A+o zgs=w;-)(jpYxeR}PRbEIYti|FHr`48PwMzuQ zhCCBa61#M|FY@*W4Qc1Vg}l`Ua6_(@-AZQAU5x}SKPX^!iywNDk<)c0UOxi7!gNc9 z0(wH3`0tM6U=9S-k7+<8w6pf>HE}Gl4&{0%`J8*+}+3xj;bFyiP4M z>}YPVbcC*y`x+;b8ElzfU7pPMv{h1WHwg>9#wGevtvUr1uH9W^A*@SeN?10}_d zmR;45=SNiEjZ^px2Qa1lb{wB3kH9c2o%~r&N-OEvpP5myH~BUs6>8iSWJI6I3Y39i z^Lu^@eNcL!2@2FWWZ4Jh3x}5{yLo2iByQn{kH03FC2W}8!imVW?f4fr0um1em!5OY zFN5B&eQIPm6|iSraE!(ygM9u&QbX=u`{M~6gT6MGej}x@lBNsTvx5=l1=x|AvhTY0 zK|PSxT@t)V(!9h%@HDTy0nz}kl+gxAud~Dd!3G_G^k#46#Fu=`P)+3O?bFg1s?#s{ zqURv$y9uf4MBLhwJ0=#>^QFr{-Pfi$AXU5B&6p3sz)lBD9r0x%@`L)NZCKUc_5=pn zSIy7I{{cxFJebljRHp$BGLKiTthfD_ep(wMM0ZR^HRF!>pUI2;CC4~stZBj^n95i9 zRZ)*?@$|(^2~%dxcE_WL-AuZWF8kw0vgX#SBB|@Ll4ICSZZ%ZUsn4fF_PZTr%e!@231gVVK@@Js*v%I%uf= zYP;UpSyyIFH-{hZK+Ks1Rr^Wp^UeT&k?@itXD0s$e`IKwr3XBkOt2WW<><68Vh%$GGcD@R&mPUQmvmi-S+tt&X&gyJ^Mni<;<8Ajf{0w#F54Mfy41rrWlIdXK)rw|JsDzX$zHd>>Dppgkl>|+O=?wt7=h!>+Ykn z?4hX6_bVLZ0WoVcHNulEkDnfws4}E~TQjA?WoRJJ zv$A2pwV4NSdHKW*OTENhOo&n(Es-CaZWkYiv##@|`n9J0lna_icA+o`Snz&5fd$6p@} z`}xHnNYRO!YP8MIb6Rb>z~T(m^pw;sTQ8+8LtlzIDUp(0G~!cQnbu_8LJk({l_D9~ zjj>2C)2a|?Iu4EnCjAbY1il7aDp{?fiu@Ezp0b@;yINu1M)@0I-opLirm(TYeX1Sp z^~Sx~hdhFLAjt%72T15dX0{>-`Bp9ji%!fbI0Rk`LRf2 z$1G|X9V)C{E^z6$DB{65RFE-}k=+km^80IqLps_rJze(iOxvD<|KIGN#oTc4>sOY1 z7BrwoCdnpWGv4^sp2qyOMOFFgY#d-fe|J4GN1m^pvS1JS$c{V?jwRQb#1E4FHiQ4o zB8v-xK8mK_QNA{3Q8*9B|JiIDS>=6)%S1E;n_&JV!&v>Ky_o77R#RFuSseKl^=eEr zA~mtX$LCm}T&B8L;Fa|^t1}nm;$4`HNTJS<=2-+{aMfR{{Cfw(W^YGudbh4+eQ5j7 z71M3`?ki!%{$9!n@mOd!K2X=p&P>o4W4D$DH(KVkd#*9)Q)S7yaMk*b;+M`rA*;}u z2UJ(#4_r7N6^r(r{+W09WZt*!4-104g5wbQUV;$7?gy@K?b-{I$AsQ`c8pX2tUcgro5EBc<^}2EguX!sn2d`j z@=LmnbT*0DWJ_^ry3xF`_lZS4fv~W3GyY21Ni61=3v~@;cnA5#|7Vt--H%rtN>=YV zwh=%eK87}Mh+93@LT{OF4B+APQ2Su)##Qr4B~(6w`U^`IUwAF~Mwl+?S? z2bx`9lRA_|x}+>@B5UlkLJ>AIF}}9Nl;B$*`y4~7sc+GaH0g2xvDL}DSK@6d;$^#d z)vYD+nXh)|i}y22%m=-TbsQ2cc(9uAYf>4wO7MTFbUe%!Oha?<$8*>%gmd+$bho>+ z%h^ow?29*=xlC!)mp2;oW~~>iq2r6N(fgaK&CJ^s4=I|&1W3m@gn_ng7DejQ=!QP} zfXMUcHd+`!ru||joU)nOu`|1J$M(qO+iaE`(<*Q6=TF~l-#4p>KPyqwxUtGmCR5p) zf~4dC8eLsOR#dT85nRF8pHKdYz)v&HvgP0eH*M~;1?*k!P0bO5b|~tn({QrBS%4D> z3%2aS19Q@JX)K3<%P)JZVm#w$F zf-q-@oK`OvoRe8!Ww^(w@Cfpe-HWgTF%~d5)#6fXx|Mp@K(o8%U{|!+#rLLu@-2M; z*Z##D%+L{y4x}Dh!kE~m!EH>6$Vom_UpO=Naz8iA-H9BAI6xOkejT%`CH_3OP5C`C zb>>>>V#J~++fu4uIvx|Stq8-EHpNB9tsvpBs$1S zD({|FtAh)LCT3R9Gf(v}I5qPRX#nP>)ES(Ym}MMp#%mnlA^hStRz<+z-TtH z7Md<9wuG~R?*Eam?{Ik&zsFJN20skBQNso?vc0Ch4CFyaAEzJQ)TzjI>i+T5YlAA+ zsH{~e@@qYXPs;b=jI7d_do=(b*)SOvqPvQe?rA%}F1NL`6$It5I}?rrKL?QP=5Lnw zRH(vs)^pX>L(jH+z3%hNAo%c4`nhkuzfjHrn z%=I~@EG@0#@#ycxKdW}TYqERg*Y&5D$dVhKc3Ki7kOkpeyu{||BsLqR5 zKM(wMpF=fze`f@y25*b8HCdNVYC_^tg#K%I0H+Cxfr9AI_KhwN08_jkWSK_y`^2=svRitZV`_40Mx5ENAJyBi zSz)E|-LnFsjjL(Uiv%^E*eDaU@9C-QWZ{D=P4@vxT zKM!FmOsXh6(~9*-yl8=vhK>Eb86i$pksQs64!Vibj$BWEpi6O$uT73|LGggWm%HZK zQuLGQScFfv@7wICF8^kbK&>S6NV4+$!vL>!I!WQY{(2+pZ1nB(*Att3jDlBo$rcb< z+Vt5b!~i2ZcG_{;z{_xkU~_)oOD`7fUpAQb&Bm-K_ZBc2+2N`4=7o}Cm>UfUeRj!$ z8?27ZsKcaDz%k}25&8IqgstCl#>_EMEvEp-bSQrZWz$KZ11YQ2v8MIl52%&eFK^pt zVPtG4{9=`|1Db~!V@CcQm9-X0wfv)6B?WKcX4x!p3kwGEs$}eIa}-&7d`;=8QZ_tGqZnDI4SIXHEslf=KbLI z&Z&{jTZg`DOUXs#icQRDK*mNd*+72P@4&B z?FyOjvJr@*=!`3=dZmeTQ#e717bOK@LKqY@jv0Xkg90AgW$ZAp#!wbnYv0-nv7LnMFu-}} zhqkc4?#n-{u=;zDNy+@P+}jO=Z1;Cce&NilaJmx+O>D|Pe_Iu~(J*s+)ZM54-A<5I zDOQu8F!C{GQ58%dKpi`F_KT)ag7wLHQjEaYfnxbE#c;!8)#&$&L7Zo^WC1n*nljzd zm{N`Yn3O*4-x`_dxaCo>{nx6^5I4`=9j$FcQ+W<8UZWsR2TIDkM3`TO8zSM-OyCU% znPn{x1Ovo&IdJ3|uZbOvfmFPMyxlXF3yz+<7)EVf_QUg!*R_Df%~ zu|!j-iXBNu@~sP2WZP5@0c1AX?w;+UfsyZScm?tS;T$S41Aa$AXNw(>r)sn#T#$o! z<&R(t&&fBVgD|Fst4tvrCYILGz(enkfjyWt;$8*Oz;tWVi+l+-&p~o~oQrH*}kgOXJg)y+d zEg38}jUb(ZWI$4k`le(vZ~&kX`vLG6xOPmX9SIbAmSNt9WUg!6wff*3DN8>8G0iAP z45_I?$?UZ3A4(`!&oJKZT_{l{j1;wi;?3-Wh`e)s=oV1d)j~QBpj7E_jcT(LwD+h@ z;{d6fp(a+5la+wZy+>SaGFcn-)7z04-F@8RJx0lBV#|KX&QZY_aHKuuBA;~nJg-=e zyIiIIsrlLd&2kw!HmgXt@QF2)vIuRewFybSwnqhY`8ONA*}qVN=pAUZ-2fh=`8+nS z*7B`Hn}6bmyb{#b^WM(~m}j1ur-}KM&gx(nLXi3Pb<3ep`i2;Ko#HAX9xW2IGt)D3 zV8yI|@4IO3krjaV`O1#M-v*cP1Mp>(_;NrdoAG1!wVPAmuhR&9(G8=&`;N~`)@2K) z6%y{R8z@EbJkKSLP zW#2^nB5~1FD}I~!%^L9+aWRzk3jtH$ap&u2g-@4+E|A-GN*K<|0u<&>{p>?};%{!; zv$#C5c$k4~Nx5#GA%dsC8KR6bweUykW`;0&$wu?WE?X5FR<`S8P#S9e+U@t&JCgX( zZk|C=C)G3zvk24r(UZR-fk`|g4I%0ZC$`*B+Vd)W?z{4-C?1EJ8#_3F)Q~#?=gDF@ zF=j=v2S!X%PtlAm$A?ut4JtPoe1=IZcZL@wDf9{bOUH)gwb$etk{VZ+xv!lSv_##O zh->9lV6}_6naJn@F0Vq6FC5vGClwAo!(=kD`orS+FKJ7-uu2DfE3m;foo_XVJ?|`t zxO>IdlJP>d|N21+P*WT;9)mkjiNkd-$;PJ72fGn?#pkcIvVVxR8IJWXKqf#Rq|37> zsAq;;ly|5>#wglL?8IJ(p2=h7L1q8=GGtZ>rP0sweqon>g`*NK-MvKnG3IF@rDh=-0u%fr zt~@|EckSeXY&Gw5e4n;xY80VH{gX!dpF^xJ%b}}-(n3Ivr2ljgXf;UXv9vKOe}7(> z8_^rzw?wj!c=vK0vl`U!!$Q{*-iMXtFUSjgDxZ37`0W)QM$N5~k4>%8a<}8$q*JSj zRMLEPA2i(M*)Z)zygF!ku1;T4PgMT$rWP z?ZT%+zl|IRa)?0I6y8J`DnFe@UB2_N7n(f-=nS|+#kIEo#2o)Le>W{jUvgZ7c)2=N zt=4dEu6bp`%dJA>ogJ|X4|D&CepB{6vYK}pJ0*j-&v*O%M{zCU|Y5<<(LhkM$cC%-nVpKXnJcw!L=Ff+1`LaFmk8Nkr<>opo)6@(M#p4P>QgQw~2H5)%`6bihYj|)r2 z4s1#I-0z&Uj7wVvJkKza@vrdW$xj*@wlWj^ND?m<@ IBFOgYf2iyE`2YX_ diff --git a/cpld/db/RAM2E.tmw_info b/cpld/db/RAM2E.tmw_info index 6320ab9..25a3dc4 100644 --- a/cpld/db/RAM2E.tmw_info +++ b/cpld/db/RAM2E.tmw_info @@ -1,6 +1,6 @@ -start_full_compilation:s:00:00:07 +start_full_compilation:s:00:00:08 start_analysis_synthesis:s:00:00:02-start_full_compilation start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:02-start_full_compilation +start_fitter:s:00:00:03-start_full_compilation start_assembler:s:00:00:02-start_full_compilation start_timing_analyzer:s:00:00:01-start_full_compilation diff --git a/cpld/db/UFM.v b/cpld/db/UFM.v new file mode 100644 index 0000000..cbbdb89 --- /dev/null +++ b/cpld/db/UFM.v @@ -0,0 +1,269 @@ +// megafunction wizard: %ALTUFM_NONE% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: ALTUFM_NONE + +// ============================================================ +// File Name: UFM.v +// Megafunction Name(s): +// ALTUFM_NONE +// +// Simulation Library Files(s): +// maxii +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +// ************************************************************ + + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +//altufm_none CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="MAX V" ERASE_TIME=500000000 LPM_FILE="RAM2E.mif" OSC_FREQUENCY=180000 PORT_ARCLKENA="PORT_UNUSED" PORT_DRCLKENA="PORT_UNUSED" PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 arclk ardin arshft busy drclk drdin drdout drshft erase osc oscena program rtpbusy +//VERSION_BEGIN 13.0 cbx_a_gray2bin 2013:06:12:18:03:43:SJ cbx_a_graycounter 2013:06:12:18:03:43:SJ cbx_altufm_none 2013:06:12:18:03:43:SJ cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_lpm_compare 2013:06:12:18:03:43:SJ cbx_lpm_counter 2013:06:12:18:03:43:SJ cbx_lpm_decode 2013:06:12:18:03:43:SJ cbx_lpm_mux 2013:06:12:18:03:43:SJ cbx_maxii 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ cbx_util_mgl 2013:06:12:18:03:43:SJ VERSION_END +// synthesis VERILOG_INPUT_VERSION VERILOG_2001 +// altera message_off 10463 + + +//synthesis_resources = maxv_ufm 1 +//synopsys translate_off +`timescale 1 ps / 1 ps +//synopsys translate_on +module UFM_altufm_none_a7r + ( + arclk, + ardin, + arshft, + busy, + drclk, + drdin, + drdout, + drshft, + erase, + osc, + oscena, + program, + rtpbusy) ; + input arclk; + input ardin; + input arshft; + output busy; + input drclk; + input drdin; + output drdout; + input drshft; + input erase; + output osc; + input oscena; + input program; + output rtpbusy; + + wire wire_maxii_ufm_block1_bgpbusy; + wire wire_maxii_ufm_block1_busy; + wire wire_maxii_ufm_block1_drdout; + wire wire_maxii_ufm_block1_osc; + wire ufm_arclk; + wire ufm_ardin; + wire ufm_arshft; + wire ufm_bgpbusy; + wire ufm_busy; + wire ufm_drclk; + wire ufm_drdin; + wire ufm_drdout; + wire ufm_drshft; + wire ufm_erase; + wire ufm_osc; + wire ufm_oscena; + wire ufm_program; + + maxv_ufm maxii_ufm_block1 + ( + .arclk(ufm_arclk), + .ardin(ufm_ardin), + .arshft(ufm_arshft), + .bgpbusy(wire_maxii_ufm_block1_bgpbusy), + .busy(wire_maxii_ufm_block1_busy), + .drclk(ufm_drclk), + .drdin(ufm_drdin), + .drdout(wire_maxii_ufm_block1_drdout), + .drshft(ufm_drshft), + .erase(ufm_erase), + .osc(wire_maxii_ufm_block1_osc), + .oscena(ufm_oscena), + .program(ufm_program) + // synopsys translate_off + , + .ctrl_bgpbusy(1'b0), + .devclrn(1'b1), + .devpor(1'b1), + .sbdin(1'b0), + .sbdout() + // synopsys translate_on + ); + defparam + maxii_ufm_block1.address_width = 9, + maxii_ufm_block1.erase_time = 500000000, + maxii_ufm_block1.init_file = "RAM2E.mif", + maxii_ufm_block1.mem1 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem10 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem11 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem12 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem13 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem14 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem15 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem16 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem2 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem3 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem4 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem5 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem6 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem7 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem8 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.mem9 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, + maxii_ufm_block1.osc_sim_setting = 180000, + maxii_ufm_block1.program_time = 1600000, + maxii_ufm_block1.lpm_type = "maxv_ufm"; + assign + busy = ufm_busy, + drdout = ufm_drdout, + osc = ufm_osc, + rtpbusy = ufm_bgpbusy, + ufm_arclk = arclk, + ufm_ardin = ardin, + ufm_arshft = arshft, + ufm_bgpbusy = wire_maxii_ufm_block1_bgpbusy, + ufm_busy = wire_maxii_ufm_block1_busy, + ufm_drclk = drclk, + ufm_drdin = drdin, + ufm_drdout = wire_maxii_ufm_block1_drdout, + ufm_drshft = drshft, + ufm_erase = erase, + ufm_osc = wire_maxii_ufm_block1_osc, + ufm_oscena = oscena, + ufm_program = program; +endmodule //UFM_altufm_none_a7r +//VALID FILE + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module UFM ( + arclk, + ardin, + arshft, + drclk, + drdin, + drshft, + erase, + oscena, + program, + busy, + drdout, + osc, + rtpbusy); + + input arclk; + input ardin; + input arshft; + input drclk; + input drdin; + input drshft; + input erase; + input oscena; + input program; + output busy; + output drdout; + output osc; + output rtpbusy; + + wire sub_wire0; + wire sub_wire1; + wire sub_wire2; + wire sub_wire3; + wire osc = sub_wire0; + wire rtpbusy = sub_wire1; + wire drdout = sub_wire2; + wire busy = sub_wire3; + + UFM_altufm_none_a7r UFM_altufm_none_a7r_component ( + .arshft (arshft), + .drclk (drclk), + .erase (erase), + .program (program), + .arclk (arclk), + .drdin (drdin), + .oscena (oscena), + .ardin (ardin), + .drshft (drshft), + .osc (sub_wire0), + .rtpbusy (sub_wire1), + .drdout (sub_wire2), + .busy (sub_wire3)); + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX II" +// Retrieval info: CONSTANT: ERASE_TIME NUMERIC "500000000" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX II" +// Retrieval info: CONSTANT: LPM_FILE STRING "RAM2E.mif" +// Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altufm_none" +// Retrieval info: CONSTANT: OSC_FREQUENCY NUMERIC "180000" +// Retrieval info: CONSTANT: PORT_ARCLKENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_DRCLKENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PROGRAM_TIME NUMERIC "1600000" +// Retrieval info: CONSTANT: WIDTH_UFM_ADDRESS NUMERIC "9" +// Retrieval info: USED_PORT: arclk 0 0 0 0 INPUT NODEFVAL "arclk" +// Retrieval info: CONNECT: @arclk 0 0 0 0 arclk 0 0 0 0 +// Retrieval info: USED_PORT: ardin 0 0 0 0 INPUT NODEFVAL "ardin" +// Retrieval info: CONNECT: @ardin 0 0 0 0 ardin 0 0 0 0 +// Retrieval info: USED_PORT: arshft 0 0 0 0 INPUT NODEFVAL "arshft" +// Retrieval info: CONNECT: @arshft 0 0 0 0 arshft 0 0 0 0 +// Retrieval info: USED_PORT: busy 0 0 0 0 OUTPUT NODEFVAL "busy" +// Retrieval info: CONNECT: busy 0 0 0 0 @busy 0 0 0 0 +// Retrieval info: USED_PORT: drclk 0 0 0 0 INPUT NODEFVAL "drclk" +// Retrieval info: CONNECT: @drclk 0 0 0 0 drclk 0 0 0 0 +// Retrieval info: USED_PORT: drdin 0 0 0 0 INPUT NODEFVAL "drdin" +// Retrieval info: CONNECT: @drdin 0 0 0 0 drdin 0 0 0 0 +// Retrieval info: USED_PORT: drdout 0 0 0 0 OUTPUT NODEFVAL "drdout" +// Retrieval info: CONNECT: drdout 0 0 0 0 @drdout 0 0 0 0 +// Retrieval info: USED_PORT: drshft 0 0 0 0 INPUT NODEFVAL "drshft" +// Retrieval info: CONNECT: @drshft 0 0 0 0 drshft 0 0 0 0 +// Retrieval info: USED_PORT: erase 0 0 0 0 INPUT NODEFVAL "erase" +// Retrieval info: CONNECT: @erase 0 0 0 0 erase 0 0 0 0 +// Retrieval info: USED_PORT: osc 0 0 0 0 OUTPUT NODEFVAL "osc" +// Retrieval info: CONNECT: osc 0 0 0 0 @osc 0 0 0 0 +// Retrieval info: USED_PORT: oscena 0 0 0 0 INPUT NODEFVAL "oscena" +// Retrieval info: CONNECT: @oscena 0 0 0 0 oscena 0 0 0 0 +// Retrieval info: USED_PORT: program 0 0 0 0 INPUT NODEFVAL "program" +// Retrieval info: CONNECT: @program 0 0 0 0 program 0 0 0 0 +// Retrieval info: USED_PORT: rtpbusy 0 0 0 0 OUTPUT NODEFVAL "rtpbusy" +// Retrieval info: CONNECT: rtpbusy 0 0 0 0 @rtpbusy 0 0 0 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.v TRUE FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.qip TRUE FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.bsf TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL UFM_inst.v FALSE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL UFM_bb.v TRUE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.inc FALSE TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL UFM.cmp FALSE TRUE +// Retrieval info: LIB_FILE: maxii + diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat index f24b1e039c6ef5dfff938d76a4c3848db6e83835..10766c4586f4f2b86bc76f014980d72d05a6293b 100755 GIT binary patch literal 11176 zcmb8lLyi~#006*cZQHhO+qP}nwr!h9mD;v#B|S8?`-Po3Pe4G}1%|x_1i=l48v-{J zZaBE%;YNTP5pE>7k>N&x8x?LexY6OpfEyETEV!}Z#(^6bZald0;U<8a5N;y4iQy)J zn-p#`xXIzBfSVF-D!8fPrh%IlZaTQ>;bwrF5pE{9nc-%En-y+0xY^<6fSVI;F1Wej z=7F0RZa%pA;TC{f5N;v3h2a)~TNG|FxW(a?fLju7DY&KKmVsLqZaKK+;Z}fK5pE^8 zmEl%_TNQ3KxYgm-fLjx8Ex5Je)`43WZauj5;WmKV5N;#5jo~(d+Z1jyxXt0VfZGyo zE4Z!Uwt?FgZacW`;dX%A5pE~Ao#A$Y+ZAp%xZUCQfZG#pFSxzo_JP|MZa=vF;SPX1 z5bhwjgW(Q=I~49PxWnO&fIAZID7d5Hj)6NC?l`#P;ZA@%5$+_oli^N*I~DFUxYOaz zfIAcJEV#4b&Vf4@?mW2j;Vyu?5bh$li{UPTyAZ z;ckGt5$-0qo8fMOyA|#>xZC0GfV&g!F1Wkl?t!}(?moEt;U0i{5bhzkhv6Q9dlc?5 zxX0n1fO`_|DY&QMo`HK7?m4*U;a-4y5$+|pm*HN4dll|AxYyy{fO`|}Ex5Pg-hq1; z?mf8o;XZ)-5bh(mkKsOn`xNdoxXqaD(6m!wrEO3O5|w|Ge^d;{X5v literal 11176 zcmb8#Q;rw_00hw8?$)+#+qP}nwr$(Com8o9+g8$#rZ#S{Z!+`ujxYfM0Re&k7LxpT zA+@2v27wI*8yajFuwlW50~;P}1h5goMgkidY!t9j!A1ic9c&D+F~PgTN-Q` zuw}uP16v+!1+W#tRsvfYY!$Fo!BzuX9c&G-HNn;bTN`X0uyw)K16v<#1F#LjHUiri zY!k3e!8QZi9Bd1)Ey1<|+Zt>eux-J%1KS>K2e2K%b^_ZOY!|Ry!FB`N9c&M=3X+!43mE9P9|NBf*XWI~wd5uw%iF13Mn<1h5mq zP69g_>=dw5!A=7^9qbISGr`URI~(jAuyeuA13Mq=0=Lj`!7c;49PA3P zE5WV;yBh2ouxr7t1G^sV2Cy5!ZUVa*>=v+F!EOV)9qbOUJHhS(yBq8tuzSJo1G^vW z0k8+b9s+w9>=Cd>!5#y99PA0OC&8Wqdm8K+uxG)Z1A89q1+W*vUIKd=>=m$A!CnJ< z9qbLTH^JTldmHQ>uy?`U1A8Cr1F#RlJ_7p~>=Uq0!9D}~9PA6QFTuV7`x@*Uuy4V> z1N$EA2e2Q(eggX$>=&?K!F~h#9qbRVKf(S2`y1>Zu>Vh_{~DqMf(->W2y8Ie&|t%W F{R?<-c;Ns5 diff --git a/cpld/db/prev_cmp_RAM2E.qmsg b/cpld/db/prev_cmp_RAM2E.qmsg index 7a5b856..9e1f72d 100755 --- a/cpld/db/prev_cmp_RAM2E.qmsg +++ b/cpld/db/prev_cmp_RAM2E.qmsg @@ -1,94 +1,31 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1591130186751 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1591130186751 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jun 02 16:36:26 2020 " "Processing started: Tue Jun 02 16:36:26 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1591130186751 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1591130186751 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1591130186751 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1591130186989 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(37) " "Verilog HDL warning at RAM2E.v(37): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 37 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1591130187024 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1591130187026 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1591130187026 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1591130187073 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1591130187073 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_a7r " "Found entity 1: UFM_altufm_none_a7r" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1591130187074 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1591130187074 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1591130187074 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1591130187100 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(99) " "Verilog HDL assignment warning at RAM2E.v(99): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 99 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591130187102 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(102) " "Verilog HDL assignment warning at RAM2E.v(102): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 102 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591130187103 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(504) " "Verilog HDL assignment warning at RAM2E.v(504): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 504 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591130187103 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(521) " "Verilog HDL assignment warning at RAM2E.v(521): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 521 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1591130187103 "|RAM2E"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 78 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1591130187105 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_a7r UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component " "Elaborating entity \"UFM_altufm_none_a7r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component\"" { } { { "UFM.v" "UFM_altufm_none_a7r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1591130187107 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "263 " "Implemented 263 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1591130187693 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1591130187693 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1591130187693 ""} { "Info" "ICUT_CUT_TM_LCELLS" "193 " "Implemented 193 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1591130187693 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1591130187693 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1591130187693 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1591130187733 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4574 " "Peak virtual memory: 4574 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1591130187791 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jun 02 16:36:27 2020 " "Processing ended: Tue Jun 02 16:36:27 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1591130187791 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1591130187791 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1591130187791 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1591130187791 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1591130188731 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1591130188731 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jun 02 16:36:28 2020 " "Processing started: Tue Jun 02 16:36:28 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1591130188731 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1591130188731 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_fit --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1591130188732 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1591130188787 ""} -{ "Info" "0" "" "Project = RAM2E" { } { } 0 0 "Project = RAM2E" 0 0 "Fitter" 0 0 1591130188787 ""} -{ "Info" "0" "" "Revision = RAM2E" { } { } 0 0 "Revision = RAM2E" 0 0 "Fitter" 0 0 1591130188787 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1591130188833 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1591130188835 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1591130188865 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1591130188865 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1591130188895 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1591130188902 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591130188974 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591130188974 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591130188974 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591130188974 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1591130188974 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1591130188974 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1591130189041 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1591130189050 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1591130189050 "|RAM2E|ARCLK"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1591130189052 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1591130189052 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1591130189052 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1591130189052 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1591130189052 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1591130189054 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1591130189054 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1591130189056 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1591130189061 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1591130189061 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1591130189062 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1591130189081 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1591130189081 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1591130189106 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1591130189107 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1591130189107 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1591130189108 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591130189130 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1591130189205 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591130189362 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1591130189368 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1591130189607 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591130189607 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1591130189635 ""} -{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "4e+01 ns 2.7% " "4e+01 ns of routing delay (approximately 2.7% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1591130189752 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1591130189771 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1591130189771 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591130189837 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.13 " "Total time spent on timing analysis during the Fitter is 0.13 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1591130189843 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1591130189845 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1591130189866 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1591130189912 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4772 " "Peak virtual memory: 4772 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1591130189970 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jun 02 16:36:29 2020 " "Processing ended: Tue Jun 02 16:36:29 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1591130189970 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1591130189970 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1591130189970 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1591130189970 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1591130190809 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1591130190809 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jun 02 16:36:30 2020 " "Processing started: Tue Jun 02 16:36:30 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1591130190809 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1591130190809 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1591130190809 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1591130191004 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1591130191009 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4523 " "Peak virtual memory: 4523 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1591130191163 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jun 02 16:36:31 2020 " "Processing ended: Tue Jun 02 16:36:31 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1591130191163 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1591130191163 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1591130191163 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1591130191163 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1591130191797 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1591130192156 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1591130192157 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jun 02 16:36:31 2020 " "Processing started: Tue Jun 02 16:36:31 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1591130192157 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1591130192157 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1591130192157 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1591130192219 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1591130192319 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1591130192349 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1591130192349 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1591130192381 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1591130192555 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1591130192622 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1591130192632 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1591130192632 "|RAM2E|ARCLK"} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1591130192635 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 28.330 " "Worst-case setup slack is 28.330" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591130192660 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591130192660 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 28.330 0.000 C14M " " 28.330 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591130192660 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1591130192660 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.425 " "Worst-case hold slack is 1.425" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591130192666 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591130192666 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.425 0.000 C14M " " 1.425 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591130192666 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1591130192666 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1591130192674 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1591130192680 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 34.654 " "Worst-case minimum pulse width slack is 34.654" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591130192686 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591130192686 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.654 0.000 C14M " " 34.654 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591130192686 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1591130192686 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1591130192729 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1591130192753 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1591130192754 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1591130192909 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jun 02 16:36:32 2020 " "Processing ended: Tue Jun 02 16:36:32 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1591130192909 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1591130192909 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1591130192909 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1591130192909 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 13 s " "Quartus II Full Compilation was successful. 0 errors, 13 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1591130193511 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1599607628183 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1599607628185 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 08 19:27:08 2020 " "Processing started: Tue Sep 08 19:27:08 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1599607628185 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1599607628185 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1599607628185 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1599607628412 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(37) " "Verilog HDL warning at RAM2E.v(37): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 37 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1599607628450 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1599607628450 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1599607628450 ""} +{ "Warning" "WSGN_OUTDATED_CLEARBOX" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v " "Clear box output file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v is not compatible with the current compile. Used regenerated output file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v for elaboration" { } { } 0 12136 "Clear box output file %1!s! is not compatible with the current compile. Used regenerated output file %2!s! for elaboration" 0 0 "Quartus II" 0 -1 1599607628500 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1599607628500 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1599607628500 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file db/ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_a7r " "Found entity 1: UFM_altufm_none_a7r" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1599607628500 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "db/UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1599607628500 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1599607628500 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1599607628530 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(99) " "Verilog HDL assignment warning at RAM2E.v(99): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 99 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607628530 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(102) " "Verilog HDL assignment warning at RAM2E.v(102): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 102 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607628530 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(504) " "Verilog HDL assignment warning at RAM2E.v(504): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 504 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607628530 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(521) " "Verilog HDL assignment warning at RAM2E.v(521): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 521 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1599607628530 "|RAM2E"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 78 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1599607628530 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_a7r UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component " "Elaborating entity \"UFM_altufm_none_a7r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component\"" { } { { "db/UFM.v" "UFM_altufm_none_a7r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1599607628540 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "263 " "Implemented 263 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1599607629190 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1599607629190 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1599607629190 ""} { "Info" "ICUT_CUT_TM_LCELLS" "193 " "Implemented 193 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1599607629190 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1599607629190 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1599607629190 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1599607629240 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 6 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4577 " "Peak virtual memory: 4577 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1599607629280 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 08 19:27:09 2020 " "Processing ended: Tue Sep 08 19:27:09 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1599607629280 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1599607629280 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1599607629280 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1599607629280 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1599607630188 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1599607630189 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 08 19:27:09 2020 " "Processing started: Tue Sep 08 19:27:09 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1599607630189 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1599607630189 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_fit --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1599607630189 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1599607630242 ""} +{ "Info" "0" "" "Project = RAM2E" { } { } 0 0 "Project = RAM2E" 0 0 "Fitter" 0 0 1599607630242 ""} +{ "Info" "0" "" "Revision = RAM2E" { } { } 0 0 "Revision = RAM2E" 0 0 "Fitter" 0 0 1599607630242 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1599607630292 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1599607630292 ""} +{ "Error" "ECUT_CUT_INVALID_SUPPLY_VOLTAGE_VALUE" "3.3V VCCINT " "Supply voltage value 3.3V set to the 'VCCINT' power rail is illegal for the selected device." { } { } 0 21191 "Supply voltage value %1!s! set to the '%2!s!' power rail is illegal for the selected device." 0 0 "Fitter" 0 -1 1599607630326 ""} +{ "Error" "EQEXE_ERROR_COUNT" "Fitter 1 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was unsuccessful. 1 error, 1 warning" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "4685 " "Peak virtual memory: 4685 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1599607630446 ""} { "Error" "EQEXE_END_BANNER_TIME" "Tue Sep 08 19:27:10 2020 " "Processing ended: Tue Sep 08 19:27:10 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1599607630446 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1599607630446 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1599607630446 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1599607630446 ""} +{ "Error" "EFLOW_ERROR_COUNT" "Full Compilation 3 s 7 s " "Quartus II Full Compilation was unsuccessful. 3 errors, 7 warnings" { } { } 0 293001 "Quartus II %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1599607631016 ""} diff --git a/cpld/incremental_db/compiled_partitions/RAM2E.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/RAM2E.root_partition.map.kpt index b9d7938f08a569937c8f07b48eeacc8f77348f3e..683b0814d468e8e38377b5ebc3770acb06b3811c 100755 GIT binary patch literal 2883 zcmV-J3%vBK4*>uG0001Zob8?4ZyMJY#^3u_jCgNg4R^_jWWZM4#FYa*&VyB1I80Jx z8@qs`S~@y^`xypoCN`aKe~an9NO?4u#b)jOt=s$RU2jOz&oIEAmDv(O(ba`SM}0ee~n{f#~EUYBhfDO_$O6`l(3jZ)$HO z>OD+vCQ)3EqgtyfaW$?mz~X<7PY0~c2CzB!c=`)t zuZh2Pjapy}80?QOV#;6}dvTcjbu=F@62@!?o}u1&c74&L*4oA1HpIn9L8FU0XAp^}e>?g8?qNypPmJV~X|luFL;^}B zNv;wJXdO97k0J@phM$fogN6x+{O(9WPaDr2&^nS)>e7?hbUC=2QAxONSjmyAMRGc3 z^;AcCN&^#@-s-TBTkR4Tkn2cFtIR=uaipalTOZb8E=tCeN6I2r(&`Y65-Q5qk&@0= z8`R>8cLNy>$a=1UB=n?sZkrlNLjyjFG?0oimvRZd0d>SD_y*F^tbaJ73?dbs^SQWz zbTk+aPG*!vq@!cDIBvjtZXMpanA9{<5m_QPaVE;rF(>$@!BBy;2s6>|XT68T<1qP3 znME?nPAHe+nn*_JKGAJ^6G@4!7Mn;%>8U5T2yUJOOxZO`MdZj2r=tFc_h%0`oYY%L zNB#Fy$JH{>5VuKfq@hiI+1<6FwCQESAdqmEHJ;u3KO35lK4bqpun+(GIf9i?ZJ z(|QMK=fAa8JrTLvNIU~xJY6K7bH0}ABJr%d zEN*+caH{a}^aEuOX=gU%TJ;|*0a~fk*Ws# z&_ZfRR0BAq%>9`WF4<$>KeYLX<$OF_tjU-h_440}!pfJs`E4?JZDz+e#3EPVGg329 zIgPtW4PMYm)#{tNM7{cA1Rhcn2bOKBfFHuyA>iBG=C$Izt8|U0vU|WWfg@BaL=be zaRlNRoAOk#U6qmSZ() zR4msht!ZKyWI7aRlt8Fs^#c^eTH(}%rJ2M8>|l?8VHzVN41_y0K$AegV?`|UI|UgJ z6(}WUoQEbJK-%M6+e1R?BfDU$uxoIsO_LoV`;q;;LSYxCGZm925CG8tWCAge&48Ep z1H?h-P70zRG@K@o1EEPWfglKveF?-sXhusQ1ENH#1d<>+{z)JZLIXh&lUrnv7xH6FavW+V1~EiA&dtj2oovuE1~gs) zMQcE42MzT7%bq{}`hMrlc%Gi$yka?CCed^@N&bFYspX!`p3c7Gwq?Da-~Ihqd6%yB zK4+!+WajyktLu;6y^=iJM6V@R zMeR{Bll!q$Ko0aNk2FK9r}u0EO3uVVYS^p!YKVEH?b8|Tgs9@CPnoz8VprVssi)iX zl8vKG;*kSuA%;QmX+Yfyajekw$b&g@As`R#8M{j^s6$L7xqHC4cVQf4Alx(dlw8e( zn2TFJ^(5^OXZ&4{0%49^49J5Wp9;cmh#uS%5-7PK3^5tUK3yfL2boEHn$5%^deEap zlKZi^&Vku;m&@_hO|r4`Z8~2*jBhH@?BVwE^WDuN{gmg=K6rLp?laOCJ>1T63zhB1 zub5Q zg&4U6yPj%kmsy^t+F^LAQB-`{@l;oPtnGTLSu``Ubf$_X2L5=eQB(@p@l->*S#~`o zMN=0`p3-iXT~EbDEsh;e6;`-~o}#Lu*R{|;RzlyGEU&7dT{X}@S3oa~y?FDB+Q;(l zyVps*s66*aCruALr7bD!da95EvsC$LH|s}4*?0H5+ES{--B;`6$L{Z2p}N<8Gmx&w zeSg@}ZkFXU<$mTM>i+OYMA^5RaNqN`)zyUEp4YvnB#a8R@H&vCT0V?3r7opP%;!I1 zue0fZdz}cCfG>QHIBLvwzpVs)2jIi$NUJ)2?3&;4{vjk;J?pZnQ#;hlf{ z@sxTnTw>)JMwJk|uRPTNhh_D-_ml+E_qngp<3Rd8_ns>J)w(~PD!em_B~OJx4!Va? z)z{E1A1U`0df|^O{qa=C{h3nNDVB=__sxu&9JV~jb3RFs!k?G=B(cO!$@LzrS z<0z@QcU9rP$MDBhYQDNWPZi#-(jQNC+>boft*7NG!FfFu>k)6eXUhG^v+%}D{&-4F zPn77XFscOgeF92UUl^0T!W*esis)g)DfNby=P3yzPD$at|YiSF;heL%RDyq41{V9=Xc>B|kMn zX<2^mJ=G55Ocmaa($d&7kU-_!QGr}@P@nwrJXQE_l0EX2d-17%uG0001Zob8=oPa8=V#oy;swERB7xBXutk+2OKHep2US@M8Z$b?SD z0t{oDXpmO>*|*&`rURZjb#8UKtE4=F?d$4Ob*gUN`gME%`hIzFbs5bjx5?Yu=-?zi zd~-Xwzqq`tMXQ&-CG*AfZuYh&Z=@Fe^)Q()9~RI5{kZ-|bbK7Oo4@v_%jj(VQY4Kx z^*0jrAEq}~QQU~5dV5dey|^xoXq?QyO+P2m>E!cuB+>X^(Wm4xI=q@L(@)mk{Srm{ z*U96>{oQo7TwE-YWwd;}Pn$PSz9jQx_Bp9Ve@>Hm`pW&=+Ftg7{70hcRodR#_CsH% zi)Aw3ye)m>>RR88KAlV!*XPau?C)iNuVd_OgX|0%qo4=pB2>f%i1_cLlOY?k31SXE zp8UqdYvHS|Qw?l`fP?XQOa<&<6-UWmhx5rIVZwId80t@E*XJ#&tv#$JHz=hJA|8#; zdt5|hp5bWl;XM@*I%jRi4%bdQ_mFZT`=q0j8}5P(bU@3A9F%ssa268eq0L|?FCAJa zoiVwH7XIN386*E3jK+UXzbvVk$U#T!6c-~0jn5lgKxCf5?bRQ54@zRX86j^9C`gBMIv|ND5Yex=x>UeH|Hjs@{NsnjKm?{5sTz9{My+Zm5XJK7Dp8+(za})0y>@baX_;wOWeEk{@1*1|Qy^ zKHP9tZzCTK-cviSwuOhdPU;{JZPqtV&W`0$#LdPXi=~Jx%wij5?IfdG)EG|ZUvCe8 zr=r?yjkwISgI6N5-{H>afZQ8&kb^QU@4n6_w^UfGtr52zb*+{oZXxa>Be8Y5u4Sd0 z8%SN`qjYR?Uhg6go&I(#b1p;dTAUOKH{iQAm2NU5EYl6Bomr zjL+qI$UN&^7S}yJSXKCV@_`D7yfYhdTlF5Y&c@>0Ow@zsSx4p4j~?<*YDu!wEf0#w z;c2l9^{30r$&8wjdbqlfHI|b=>K5e%#SUBQFs(Zvk4~hH?DVw5=8`3;TdYWIQ<1M$ zb3J=Dj$Ae5ixyHxrW(Q`W$w?6aLNAn{l7L}v7Aq4i!~XOqh9`7Q$+c4H@{7;UWeIn zh1lc@d`9XPE~jx9slx*;Hi;9+R49oOfoO$_DG|t5tjf{n@!43h-WsIC*Al#t=ngjwK zD`J`NDad%JKq;}~JT&tGq&?2Gdq_xqWCym2xE4okn$;1qAKC2{inwq&Q!!}*0T2y9 zCJ+PJ6nJ?ZAPz$Bq#z1H!)XFJ5Sk)TXblMMpn<-B+xF|P?{_|o=jrv$JC>7W5>01U$=`2l_1ufu%WJ-M>&*N4 z-QSP3cWGPib5Zu5!fd~JZ~fJ~Tass+=(gmN5mjUM_jZZ(qZNEk$~;i;xq23mf;rl- zdI@tAR6r88{1GsB4jGVu&n%b+3dSK0!Pu?a3s$fjpn`3m#^7_6G#~|^F+mR$jNQ7k zqIu9K1;uSytH{M}Q%}KT&@Hp7M!}{}3bulDanBFLK5OMC_X9Zm|0b{kocrvFT_n< z&!f^z?vOSh1-l-t#kqsnfE4t}#PtxT!Q8_lJdm&*qJ%A<6zqg}Ow#qK!0Nb1uPB@G zsIU+_*2^mzgFY2ldm)yndmhb$Is7Rg1v@@fw;U(siYf~}fk({{R}x3#6sw?wI1lC? zzgNA4v15K;m4qJcjB`&x2}r`ON2_t}=9hpJbez76Rj?J}NlC+}eO)ueF_?Sgss|GG zf=p<9I<9j>YAaIEr)`Pj$yY@ap-*G56QYY-J|%>XIAcXF_DH}W&zc;4Q>=nOVH_m*;fS0S ztYC;Pc7#=mwQ(oJjJoAheXtSYMA-8vAB-IzSy0g!^ysiI_ZZ!P6m+~ZzgPtw@3^n1 zJm^zG=y-ERMQgEFD@lkhc0A-RcnpSk;@@a zj;U!y>xfU;pkrcH(Jbgwebo_*uV`27QFTxpiyZseYZuGOSdR_=nyzItXh!!O#re9VDSt(PdQI1l^lWl*LGHp>x8dBQ6Dp{z?m5PnG zVR)pJcH|c~ri>56@JA{2Y+B%Q9>#<&byMD6lKo7ZFMp&mKGe0GLDg0#(?4@W$KE$S z%Ce*dJ&er9_{hqVazs;Q#2=-MrxztH=<2!m=ZxZ-&Q+eI#Kv2={qc$MzS@#}qMlKH z`owjX)Jk7q?}y - + - + diff --git a/cpld/output_files/RAM2E.map.rpt b/cpld/output_files/RAM2E.map.rpt index d48fa04..016f2f2 100755 --- a/cpld/output_files/RAM2E.map.rpt +++ b/cpld/output_files/RAM2E.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2E -Tue Jun 02 17:01:02 2020 +Tue Sep 08 19:28:14 2020 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -45,11 +45,11 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Jun 02 17:01:02 2020 ; +; Analysis & Synthesis Status ; Successful - Tue Sep 08 19:28:14 2020 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; -; Family ; MAX II ; +; Family ; MAX V ; ; Total logic elements ; 193 ; ; Total pins ; 69 ; ; Total virtual pins ; 0 ; @@ -62,9 +62,9 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------+--------------------+ ; Option ; Setting ; Default Value ; +----------------------------------------------------------------------------+--------------------+--------------------+ -; Device ; EPM240T100C5 ; ; +; Device ; 5M240ZT100C5 ; ; ; Top-level entity name ; RAM2E ; RAM2E ; -; Family name ; MAX II ; Cyclone IV GX ; +; Family name ; MAX V ; Cyclone IV GX ; ; Safe State Machine ; On ; Off ; ; Parallel Synthesis ; Off ; On ; ; Power-Up Don't Care ; Off ; On ; @@ -147,7 +147,7 @@ Parallel compilation was disabled, but you have multiple processors available. E ; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; +----------------------------------+-----------------+----------------------------------+-----------------------------------------------------+---------+ ; RAM2E.v ; yes ; User Verilog HDL File ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v ; ; -; UFM.v ; yes ; User Wizard-Generated File ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v ; ; +; db/UFM.v ; yes ; Auto-Generated Megafunction ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v ; ; ; RAM2E.mif ; yes ; User Memory Initialization File ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.mif ; ; +----------------------------------+-----------------+----------------------------------+-----------------------------------------------------+---------+ @@ -200,13 +200,13 @@ Parallel compilation was disabled, but you have multiple processors available. E Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -+---------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis IP Cores Summary ; -+--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------+ -; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; -+--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------+ -; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM2E|UFM:UFM_inst ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v ; -+--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------+ ++------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis IP Cores Summary ; ++--------+--------------+---------+--------------+--------------+---------------------+----------------------------------------------------+ +; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; ++--------+--------------+---------+--------------+--------------+---------------------+----------------------------------------------------+ +; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM2E|UFM:UFM_inst ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v ; ++--------+--------------+---------+--------------+--------------+---------------------+----------------------------------------------------+ +------------------------------------------------------+ @@ -268,12 +268,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Jun 02 17:01:01 2020 + Info: Processing started: Tue Sep 08 19:28:13 2020 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file ram2e.v Info (12023): Found entity 1: RAM2E -Info (12021): Found 2 design units, including 2 entities, in source file ufm.v +Warning (12136): Clear box output file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v is not compatible with the current compile. Used regenerated output file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/UFM.v for elaboration +Info (12021): Found 2 design units, including 2 entities, in source file db/ufm.v Info (12023): Found entity 1: UFM_altufm_none_a7r Info (12023): Found entity 2: UFM Info (12127): Elaborating entity "RAM2E" for the top level hierarchy @@ -290,9 +291,9 @@ Info (21057): Implemented 263 device resources after synthesis - the final resou Info (21061): Implemented 193 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 4574 megabytes - Info: Processing ended: Tue Jun 02 17:01:02 2020 +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 6 warnings + Info: Peak virtual memory: 4577 megabytes + Info: Processing ended: Tue Sep 08 19:28:14 2020 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/RAM2E.map.summary b/cpld/output_files/RAM2E.map.summary index 8f38ef2..85ee5c6 100755 --- a/cpld/output_files/RAM2E.map.summary +++ b/cpld/output_files/RAM2E.map.summary @@ -1,8 +1,8 @@ -Analysis & Synthesis Status : Successful - Tue Jun 02 17:01:02 2020 +Analysis & Synthesis Status : Successful - Tue Sep 08 19:28:14 2020 Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : RAM2E Top-level Entity Name : RAM2E -Family : MAX II +Family : MAX V Total logic elements : 193 Total pins : 69 Total virtual pins : 0 diff --git a/cpld/output_files/RAM2E.pin b/cpld/output_files/RAM2E.pin index 488d736..ca74001 100755 --- a/cpld/output_files/RAM2E.pin +++ b/cpld/output_files/RAM2E.pin @@ -23,7 +23,7 @@ --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (2.5V/3.3V). + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.8V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 1: 3.3V @@ -58,11 +58,11 @@ --------------------------------------------------------------------------------- Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -CHIP "RAM2E" ASSIGNED TO AN: EPM240T100C5 +CHIP "RAM2E" ASSIGNED TO AN: 5M240ZT100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- -GND* : 1 : : : : 2 : +GND : 1 : gnd : : : : nRWE : 2 : output : 3.3-V LVCMOS : : 1 : Y nCAS : 3 : output : 3.3-V LVCMOS : : 1 : Y CKE : 4 : output : 3.3-V LVCMOS : : 1 : Y @@ -71,10 +71,10 @@ BA[0] : 6 : output : 3.3-V LVCMOS : RA[11] : 7 : output : 3.3-V LVCMOS : : 1 : Y nCS : 8 : output : 3.3-V LVCMOS : : 1 : Y VCCIO1 : 9 : power : : 3.3V : 1 : -GNDIO : 10 : gnd : : : : -GNDINT : 11 : gnd : : : : +GND : 10 : gnd : : : : +GND : 11 : gnd : : : : C14M : 12 : input : 3.3-V LVCMOS : : 1 : Y -VCCINT : 13 : power : : 2.5V/3.3V : : +VCCINT : 13 : power : : 1.8V : : BA[1] : 14 : output : 3.3-V LVCMOS : : 1 : Y RA[9] : 15 : output : 3.3-V LVCMOS : : 1 : Y RA[10] : 16 : output : 3.3-V LVCMOS : : 1 : Y @@ -93,7 +93,7 @@ nEN80 : 28 : input : 3.3-V LVCMOS : RA[5] : 29 : output : 3.3-V LVCMOS : : 1 : Y RA[2] : 30 : output : 3.3-V LVCMOS : : 1 : Y VCCIO1 : 31 : power : : 3.3V : 1 : -GNDIO : 32 : gnd : : : : +GND : 32 : gnd : : : : nWE80 : 33 : input : 3.3-V LVCMOS : : 1 : Y Ain[5] : 34 : input : 3.3-V LVCMOS : : 1 : Y Din[7] : 35 : input : 3.3-V LVCMOS : : 1 : Y @@ -107,7 +107,7 @@ Din[2] : 42 : input : 3.3-V LVCMOS : Ain[2] : 43 : input : 3.3-V LVCMOS : : 1 : Y Ain[4] : 44 : input : 3.3-V LVCMOS : : 1 : Y VCCIO1 : 45 : power : : 3.3V : 1 : -GNDIO : 46 : gnd : : : : +GND : 46 : gnd : : : : Ain[3] : 47 : input : 3.3-V LVCMOS : : 1 : Y Din[4] : 48 : input : 3.3-V LVCMOS : : 1 : Y Din[5] : 49 : input : 3.3-V LVCMOS : : 1 : Y @@ -121,12 +121,12 @@ Ain[0] : 56 : input : 3.3-V LVCMOS : Vout[7] : 57 : output : 3.3-V LVCMOS : : 2 : Y Vout[6] : 58 : output : 3.3-V LVCMOS : : 2 : Y VCCIO2 : 59 : power : : 3.3V : 2 : -GNDIO : 60 : gnd : : : : +GND : 60 : gnd : : : : GND* : 61 : : : : 2 : Vout[3] : 62 : output : 3.3-V LVCMOS : : 2 : Y -VCCINT : 63 : power : : 2.5V/3.3V : : +VCCINT : 63 : power : : 1.8V : : GND* : 64 : : : : 2 : -GNDINT : 65 : gnd : : : : +GND : 65 : gnd : : : : GND* : 66 : : : : 2 : Vout[1] : 67 : output : 3.3-V LVCMOS : : 2 : Y Vout[5] : 68 : output : 3.3-V LVCMOS : : 2 : Y @@ -140,7 +140,7 @@ Dout[3] : 75 : output : 3.3-V LVCMOS : Dout[1] : 76 : output : 3.3-V LVCMOS : : 2 : Y Dout[0] : 77 : output : 3.3-V LVCMOS : : 2 : Y GND* : 78 : : : : 2 : -GNDIO : 79 : gnd : : : : +GND : 79 : gnd : : : : VCCIO2 : 80 : power : : 3.3V : 2 : GND* : 81 : : : : 2 : GND* : 82 : : : : 2 : @@ -154,7 +154,7 @@ RD[3] : 89 : bidir : 3.3-V LVCMOS : RD[1] : 90 : bidir : 3.3-V LVCMOS : : 2 : Y RD[4] : 91 : bidir : 3.3-V LVCMOS : : 2 : Y RD[5] : 92 : bidir : 3.3-V LVCMOS : : 2 : Y -GNDIO : 93 : gnd : : : : +GND : 93 : gnd : : : : VCCIO2 : 94 : power : : 3.3V : 2 : RD[6] : 95 : bidir : 3.3-V LVCMOS : : 2 : Y RD[7] : 96 : bidir : 3.3-V LVCMOS : : 2 : Y diff --git a/cpld/output_files/RAM2E.pof b/cpld/output_files/RAM2E.pof index f4482ce95d404aee652b1d43d36034b0b531c90a..f2831144e7f92c27f3af47720bc8f2ba3c9814ca 100755 GIT binary patch literal 7879 zcmeHMe{fXSbzWfvqUC2(H@GpNrsGa0Q^yF|&;-OXc1)YvBzRn4(ppv>gD7^8X80vQ z-Mx_3xHFB@Ni(>X?L{Rs^q-DUXBe!&X7|c=Ob3s&>eO{K0>8a+Y@`~o@5z#PAG=TY zo_^=P-IWyk|Nc<}tNZRf=X~co=bm%#TeSV#TO*N3O=O|{*@B;s9e(P-p~DC3zxmDj z_4nWV;J!ok+YhwwJ@C~2{d*47@7#0X;J)^@`i91@uKj95{ey@1eQ$UDy2f?&YuDe` zu1XR3>L35J`dxdzTmSIxeTQHfnHO0CCl72}cmLYI z`16LfYaeB+9ec&Je$s`RC>1g-Z86uHCX2{Uc z*x(qE`I|FC8Zs;QgfI$v9lo9C43CbE!N!G-CNTxM(d2h$rg^^R18ev61Qo2jjDu&a zE9es-NC&?7wA_b%Mc=8|`xeixvs@MIyxsdOd#`FA&>VT*+UH4wqcK!+s(!3L9ojK| zIbQNB{%zc$J@cN?|1^K#gB9(6nT}**u9s^KIXFDu#LQi_m?SwQ!35uSDJAH!a(Or&w2&f-mbkdkv83Q zSdW*oelkeiN?ZFKGMg;WJ5#z3*;88TPU*SG1@Nss`(>UEK8A!rcx0ff$t%~dCFs1Eyw|w>L*w-*l5{Iyg>T|B24%lLg8i%e$pDXG+bf z2TJ>0)9L{~-(m9u|E?!SbB}pmt0&Pp7mD91He7HL-4;K$`70+1zu$jy)%O41P+0Ut zUG1@z%daeNm|7B z_{;Zmkq?L3B0rmdXYsM$F03t5Dj8UNz5Y9y(S`jd2P6HoH1dO=pVli@u3x@rB9;77 zXiozwL%aRK_Yy;`t??D9Dd$I-#*Q(^89`oQLp3LHRGq*Oqk~sU)#xq}Q zeCUO)E-Tk1a(x zM>v(6b9~l^Tw+}j#R&ZcRp3LPO65KWTfy@@ucxWdp$#$B0PEw{UciI$MQj&Q z9PO_I#rLKNuARx3N$z%szTNUF~S#d6%(zkDC+(^sKf^m4wl9G_3;x&LC;ub~(c z0-l@)+b^1~+dN@^JB=Uf#eND-j#d&Wz&K8}zIv#e{d!ume~566`v(7ll8@ zTiR#5Cr0$$?EfDG{5U`4_-JHj=zpqnl*au1$ah+iykVmE8EPjz#rj11OK-ku?ua~G z`!AV4G4CvjCiG_Tj!xwAC(m>LCFqWRw>Gk%_OGTMIrYx6XsRejTw3<;`u&rk{|A2l zrrG=Y^V?2*+`ZtQ)szTYUdm8(N>4o>_TT2n+h*^U6HB&Gck8)DQK!iAWfPEF`&2ME zbmc!-1vCU zrH!HgqEe>Q<`s3uBiB1h9naw$=Z_RQ9hB=mpuk1Dq$JlH_o-{_{Xryg4kl9c&TxTx zP+ziaZ>XRwKG%iRsBo8XfB5D8b-XO{IR*TRKkTo{r)0(6Q>b5ckw~SD;S$1mk8=%k zyFWs}&NI5B!1aN;p<(84^`M*LiFM1(SztNl2*B3?>WH*#2d|EnR7aqmRMu|TU<5wv zk%sSZNu2ho(j+k`_Dgy{xUo1dZ6>*!}fywf>2myeUu;ovs~Z3HqXeP z06zNJ%ITl;)N(jY!=%w*Y@d@t7UGq7aF3}Jxnq5Co%PaL#y8qCzCvI6o`D;jcZIkx zlmepluT>2B39wEDIB_%$88n9uBzW-t8JtI~ZR0`R`vKdfge>P*a{sBAPZH-$?+zWL zcfRtP(bFFG9sY@Mj+Ho0Y3FV)_a~pTp?`{M%;7drMo0ZsnGG+r?T-ffcDa6~8;w)< z`FtNmT?#TdDE(jbeexRY!~PTc4R`PVPz-nl={4notMN3_yDHjg@twn4BBOu%#ph0c zacJSG($zce)LV|O-~RT*k}b8i|C+xZi4>k+_}uB?soy;H{bl}PYMDN=y?>% z8mSvyGcU63>cpDS`R1Y8+c#D&cxm3pt5&WK`|nGU$mp6#-L^%vZ1lsgJ+f!|o|m`& zblwEy);=Yhe~{U*I_WqG)!Gr=kSKN~G7XJmiNq_y=0gmO{_A&Jx4!WAb*J0D{Po7f z*+1^eY`pN$y!&Op>pPSbu4va9&zSGWh&Mh$ zKb8A4T&IiZxhL_=dU5>Vf42XmOd3T~s3X30RLtu8D6xJp%NiuoxNocW)%%3``>1o0queiZ&I^zcAngnTwf&cco>Jz z9gDa5Am0m!PaMbCRFQ|H053^xn&hPGL*Kzg1iN!3tmA?CfG_8XDU$BZ+xp_ZvUBCP zXWbY%)YBVB&pWuqs`k)v@D~yG@%IPBLHeMu^|gaYS^I#b3FxCd$+veJK2h?!!uu!0 zzj5B9>~ODii1%HXrdjc`{Y6;Bh2rx>;Kt8urJ%=tt-ZLRuE{g%*=yiCIeJk{#^{!n z$3i(d?IhY-WmAuiE?Z5LI$@~dU&W!5%IB2PS;X}w;zxDWe1X%#`58)s%iyNz4GSW5 z^|iPEZux?b`zN-YSY64_5cEXtrvBvbMC$(Vo})Dp$R~H4SYz#bV9z*j-EC;WH&#Y! zOJ9hbs(GW(6mi4;BP$oRcV}qzHoG+D zvvM8<>Po3ucqRSzq?L#G_}&E}>SxAZdx%^0-wAxP)s@Zd|*;kxog+RAA> z>_5iw@jZm!3)PIhpPgmzbu`1qMITrFVP4^DaTMpnN8yR;dPQzWqV`m=d4>C z-#>@*Wroj8UTyy|UtB(X@8`T~Kim4KZ|Y55d8#e760foo6nIx$D2G8h-O7M znGfW4{cLHPE~Dm_O!oT=>g$&8H<9J1Ao~d4kN*o}o~AATMU(yGOE>*#r1tj78kSe` z)&qO+r@L;yCFUKyT^F0U>*!X@fp7Cuggx`W_meBM;OK8GuQey{V*3hzO@jm6f6nxC zLt77RY8;`FuA7aGA0#T@@937x{dYCfUyDz zO5ti?KHzWthH)wu^ZAJS%Xi>?gsR_Ph>})c5?w}0DtW1lh*PpWCxfuhu~%G275%S5E{+f6?dI?denp?{ZJda?tXJV@?GF>)3n_pz47St$A9m3RDX1vcpde7-^&>DG`#p% zds#m4!+8DeXmNxyZu2HCW9%u7Egl`)ZJJ&m=#H;2QC&nNSO>4(tR1WJe>Ah?;2*|I z?>W!xJu)`>|L19<;*KN@)S^fROBjn3JhCa;D4XX_g{vcz>A zkY5t)mv0&L3qEBYR1!FaFyT4$O&EXW{`2OZ+gc(%M{7X=>)X1*$axR#WED4*do&42 z1t0axZ3KP4tj~UZx`fZQa^IBr{R}#xUiChJe!0KO{>t()j!)@|oa3$XA;tJH#w+87 zJgfK>KXdR?GydoBoW*O_df2yf`Fs}sOk7SCpYu7h9^$Ln{L9}PX7E0(KPTR5JY_!q zr}by!ORLXyat%Dfx)>=omH+<(>!PGkAK~`}g)bL#@$vhCmxuWG1Dm_~_XAh)J)k7e zN7eX3-oW{cIDb9v&*#6MxBtue%E;pR)!zu!^KXQ(iJ$-ZJOiI+;Qwg`>g?}|zw*Za E0^=Sa9RL6T literal 7879 zcmeHMdvH|OdB0eQM~oemNyd*hM$N==GLzVV0nS`O3c`R*Sp6vNnxCzm8UVN4&1%QCaXbW?-fyZuXeA_ z`TG0LxmQ|Yr~Rk@)q>r#=X?CV$N9c<&fQ&m|JN+bnqke>?`?Sh)141(-?6i^@f+W0 zT>9lN-Me{5V?b{#Px^?6B#s@ZT@7&z6t#MJ)oeS?=)OhdC&5u3WxVUL?xH8Y;0Wn&?8$K7d3w8n~m!?KHRwK(ak%cXK`If`wkCC@HF4IZRJ8P5%AnCDjpq~1mD9;k{y7rBn3UW37)sSr}Z8gwac1Mfu7^D z83h4?qzvrobmj-pCuMNu@8cUhJI14M3WxQ9&vr|LAEG}5z9a>KOp0RvAkS27Ut+YC z%W(uXe4fYlLXGd(L{WUkp5`+?~aPWCbkM)({d5Y=y5b+UDO3US$9wHv_q&>-J zIh6!2>y%k8%BS)p{ZK&`bjSq)xM3Cy;6(UkQnqk4KhXJIuLAhlq1Th`$TDrk=uw^m zKLbJd8On5|FG7SPND9n-@)@Tb4u^V<&&Ve5w*X&3pU5E^(0*<8wfz^W;YqDubb3^F zFX|^5sFW*^-@a!dUm_oM6lSH({`i9J=P4a#(n4jyf1$e9RsQgR3<9Bcvc0~Nt{)X@ z{dGhms-+EnInZxw>sK4ddmeIuPpl7r1pKBXUfM4TIluT{MZ}CJ6t82ZO0F74+#&q- zUt{}P-k~k4y*A%Zx`V!IKkV)dtDa~3BAFP|_1_(eiJu~_~h=j4NI-2 zt2Z>rzH{tXP&c!1!@;Y`8SidMSx?P-a`)d`OJ`bFKi#l9(tokuoBj21SvS$6X8-5B z`mp(?S)){0-b7lz7}otl&3=45`LQ=hJ@JKk%g2u_m^I%5{}!z;>4K9>v|sAK&^@qe z(`}uZvF`3%Q)0+*-qG=Jn?8BPW^-m1TEZ1sV^?rW3hOIuI5>NM{S#MvX4T*5%1Urv^U3H-`o2{-xZkS(6YAOY+qpg9KVPdaN6vifl)tI) z@4x8wcO_anyT`g6uc_(%?(V3+sIckTUtYPg(VMgCbDw!`nRDbsS1x^Wb??cvj$e+T zbHW7oUwke^c`^QRoF3U&{}HAKQto|FA(65p=6kKEKf=;teaS}Kka7hjNf>-+^Zuy$ z7#$h5;b_BoVfc;%dFp`uL4!|J)%d(GuparYqA|*dfV^~7K|i7sw*g6^<#=g9haW`Z z$AYS64*qL=zd+x*TpwkY6VMA$PgU&~`8WqVC}RgyK0iWE7}^_b4#n6{Mx&Vr35@5J z2mhn`k@g-c+b;VF`}+K|mFRvhVhfY5GC8Q)PKTOE@5BR`;}n-$`zb*cH|$0ZrSfwJZC)4OV&S6QrpG6 z1#*7QW88wYM>6L#uV=;aV7Y7bGqkg{+;jYF={UKEDO~Vd`Tj_1A~!CROQZf9R$j0h z^#uPBrxVcnb3aFX;xhb3JuO+es1VK`f99rv*$1l4Zyc&v*5}raj^1!!WTU)?S zTI0_Qj$MD?uUh`)$x59y>#hBxpE}T>_2oUkdAac7eLL2?IXZA^=8C%d(HYjPnb%)k zeo*QC$@zn~UM?K8cC4980|zcOKTofw@91)ljMEt*@wMthn|{q_S>JiXIb}4^G`nA)b!w?W2Qfg=h0uG&Fen|`Ctio zxfDE`I{$386Ybw=7kSv=g|gxt6Onxn`Sk_vH>6xj_EQk3qQE|D@+%=_{NVXsa-gpU zGuU1uec8wQ4(zj>4}4z7VEIqD@7aH@YaT0Rl-!S)`&2gkY#Q@U?XPMpGENpy67NP& z@%_@@8Yq!Z@xHl6D3|$4-iDU}d?2)tOB%%{c&PSEB6@71&{y+Xo;KJ1$Q ze4(oCWJ}oJ@o2IOu5qB7Llw?|WXjrIpeH zdHBZXC)X#=&4hBDK=xr@LwX#jrZ{dlK7U-9PvBmQ^De9Wu#^c^e%&jjq`fYRpZ!Eo z89J)uQPjZz=0(J5{0H8?@_iQy@>S(Lt@>IrzCTeuJ#-;CWc*9g0Ii^4LF=!4q3+o8 z>oq499m^$ULbmRT`Y*(}5K5UG>qv#pl@jv5B7Ga~_dki^tNY=r|90==OJ=@tF!iH3 z->;X}{0FRA<6rt@gYLf))%~!1$I8d+t+$`vU_I4_t*zk!%lhf^`Ihd#-m3rE!cAXk zUUHrKK`M3qXKx>0HF4wTt7EPIaHGzTq#^L{-Ccj(#K&)Y{9`k3pIG&WOXtiVYyE^3 z#ee2Pq5agQ{xdxT+jgzEI}3mgv99=M2SpTwsjomOktW%vT=jZNE)T^r4~3se#C*PIVg>M!0QvLi zgFqz`Bt`!SpD);VJtcmF^A3HAmf-t6KTpKB0v zrlSSTJ)|4wYuta+^s#T+9oY)j=QVRri25(mx6{4kK6n@|$Ze+o&eqx=bYGDQPYzyz zGNG&MKWXg7`tHe)2C8ZH$DS4+OkyQnv+ug1B1Na>8+z=&EhTOqs``$qoaj*4TBy~o z@jv*%KmCys-~5DAkgbEpKF6KHOXIloJ%>gz>W-GNOvkv!Ke9&>LS_E~b=qEBKW^Q; zbpHO)xz<;X{s;6*w#hT}Lv@;(R_&W{^HOWh=sGLvzdd?g4F2hs&ie66>#hboA6kvN ze=D{^T#^5$?PK-T8MnSVfBxt#b7pD(CH0><)xN3Ff9CH7HhnGC*)@9T(^bBI#?Nb|J4U*!8I?t^G*Jf*Tg zt$)JwX9l#0p68MCWk%&xDR1y)YYpAx-}Fxy-4BhQdFaB7;F047Anx1zJx^^M27j{u zuy&d+LXoP`-$_9U_t%hb7vS5w!Hd@2Z3V#0U%1!IP+?gLgT)1=@-@god*=5d4 zCzd^zPNV+;1>v`gciC)t$;Ob{E{QCg;Ej;g^f& z2P4nl&&7Pk*K~dU#eC)C1dQw5&QQi?J8aMRgMG~~d}__YV0nIFr=V>LdXuHjcj zEMKm&6aGZ!BQR?GXL=mpHT2(uGaVl{%jt4c@iN{1kUacP z@|?Gt^P8}qAHa8O{nYtEz2O#P@~`Nf%JMOjf6(o!i(NIL@0+f~B{ud?kNADyxdHxt zU_}rAKJX@fAE?@VW1YT!T+bi$

tp(JsV+1+dzX3*`P~zwRlq`(>tue@ zaOl(Xg?N!1h7($Rdih9h$r82*O2L3U3{U-!HVH94Omt0-UGuYhQd^Z)SG`%1xS&L!860B zKk+!;()1Sgb4o8VVRj<(lY4z;8CC_O{#En9zd4gd4;~J^EX}X7L^r>-Vtd;&sd1{#(Vo)w?D?)8 zU28kRGHV17w#%bbcU;wV-gbPHCu`uzvG zcG%*_17^W@ffpo@(dPs2+j6~s8Tm3&k@K!9sFja58`-kDd{BMZB|CXoxZ;<~D5%~W~vH#DI{|yoNUuet!myrJr5%~XU-u=(90{{D_`u~Ld eZ-~JEr>pD#7V`gs_w`XdqX9g7v46FwJ+n03txyGLrGK#`{6EpYyh zolYGMYd;$yP`7e23j|!z7X$~doQLmE><=(S2N%GOzkOC!0jjDx0hP1k6q$1m*#deW zOP@MtRdtnBRq1Ozes7OI)Ju0@H1^}sNo)3rhPhoI=5a0j*m z(}&Us1g{P*4Aj9c>uv`S;^YJ3tr}6>_#z6ru@_>j-|{qAz#JK&iM+^$(?P4n-PC#O za3X0wJ`N7E!ANM6Zq2-)g29%-Tl?rVwYx%{ie^m)ewalDPo4@4>pJlWCM=>9lR@3` zIFkj8dU!fiA;3wDp0zqh?%Qc|-a|3RrEnF4jTfAM`XlotvF5hoH9H*z3qQgn{1q`c z`jPV+4F=l>W^=FM0Z4eX)FgwejqIJXI@yZ8ZQgAIZvuu z$)%i)koZ(BTSJO&t$HlwuRX}ME1S&A{iW~Fjo&a!T`ME!J@vzHptZ@4it}of%EV#; zxtuQ$Pu_l`C)FgG+iDNvMRM+vxotoogRWPZsm*(aEt<>5Afe0qfJ`<(8h)eYUi37A z4f@@rAkV4lkd|M`yWn2vH0Uox35*PK3Q@h!E;)N`hVt6mDVSVrF2H-?xr2TsKDy;w zZIOm2{H}m*oWjbqzJrgnx*pKdM>;Jhxz%SRxf^pb`TsLP^Z(l9^WwWsod_@_3{03b z3Nv(e1y10OOQOEyY(brK=9Tg5luK!f)bpE^i$04P-$(wTD>on@o-hGm!((Gin=qNj znwX%$;~S~JpW*OLb*FM03t`8Qpb^8?zp#gtJPG|4k}m&_hD>&w=HHjx8Po&3xn@Nm zVsBVxr}Low8H^=xOPgPpg3$tR8a^v`HY@)Z40g>M_OXy}S)pWX+%?J@S|4-5ukS?{Ud#O2Ny*Hiuh9-!;06to*gLZ?1Z6~c6{*6xkTqrMf=ELFrZBgoIj1ili|GiOWsa@ z^PK5j-d5*Ji?py&qnuN-xBXo-VZ(Tx6tRo_f60H?1~W=?ntQq$?aDNmyfE7xGaLNa z*XXgM4PMY4iSHsL0kcmKrvZMck8EuYXPcoh{KdA}JbBj4=(fpe_1c8 zW>dUWJ>OOVREmr1J=o)L`$%-<;ME<4=qH?Z_R5pCd-5SJMTC>x6j$sf<82qJAJ z&udEzhR4;b)1|mQ!lzT=b{3}SEi$)8F}o@=rG!TZ9EHOcuOG$&q^}n$vwH|O(s#rC z4v`r(-ZCizHYNHmj|JKNCmvOusvZ{v{aXa+_Umq^+dr*MAdD(*pbF0k3|wEvS%1-g zZ=!wC<(gNi)TK9Z*G{t$z?aUv*q+7M9#qS)wR5h06_C7pg zxh;$ceh|SpE;#$npKG|j|FUB=yKs8QKf6nR5B+T&%s&%QY3yU|`@A^I7x2jqkoe^? zBo*D>!>d3P{&t|UBBYHEyjQVY2YNl{_d6#A!cp`ubl8laL(gK?pdOR#TIDuo0EAwb2>lX6K0cK8+#qYP?W*E29u|?eJ>OX z@A2I_^O)6>Qb6kze_{3gWZp)r*Nv7#=gK4(X6xbjCaT$Sdo%e6yQ+C!?nA8G${uLn zlct%C?!R9J`EEAE9=0-dCY1;dqLZ<#;*m-JWZ=tkUYu?)ufDbw3NMKe*uJG zN>w|=6tZYx-g29Ff1`!KCmzI9(R#6lGm_mW*@#G5zx6Njv1S)O& zhHf@x8KB~$o?tli#VV-VGCnl4ERQa$6YKc+*}9yWWa|*?L}becCPw2i-PKH7Ll$%clZG z4$Ay;-C-G)dLFCzNutr-2a`84G4o8OqCU5h;S_biou~ta+7$n{A`WTprkJ7!;;jx58Vxv^nNjykPu~GIQhRtC zxrsnLrEdMc(wc%Nx-*ZI;JAN4DFl)Zmv4wicQE9)(M!cq(1y#5tAof z67~?P>oI>C{xH#C&_VXDDOR9Nm) zusR%Fu38hI??QSPTG=1$2A7$J-K5CENUuq|*Bu-kN}jet5Z^AEjZyD3{-ePRsNKb~ zIBUWWCI5)PPoK%h^1ULYiB=>OCMGCjC%EKs^Y$;nY~{NoLG4{=;EN{}QkXNV?t zc+u?NrpgoIsAn8(tj@CmFAft1;LX*=L#UC-MHyuOWA8iy9`MgZtEt;sOosjy(ff&Tn?0sYy-4JK_l# zztNMviH@z$!)w$L?FG;h=rq~CcVQjyOP(P<4;DW^yKg~Nan%yAW=c|VDj1?jD`Y|0 zkoeyenGyu~w#GIvX0t$-i7-*_IaYglZzwa zYc}T9mckDIrrDjRQ92exM;g*dpE@W^{?lg=+_g4>JL3i+i}cxqCM&b)2wzT;QR+3m zj{LyD%y_bODFUhCTgezkdF(Cv5)zdTpDz0=5!|iyZ-$VdBuf^Fm(Z+BgtBh*e{QSE z`-`lX=0~mJnrhkt0j{@Q2=gmKws{A}^xqKbqly`j#Gm5qC(u54h0d|30aQ9!^V${f z4z|pLSTYjDP~1wiO1Neutcfy{Y*FBY5LrF(+FUwp2kC`^lkS@coH z#MkNO^cU=j=^+T}`nCipMA9oiwW|rLi~kntHLYWS5%z1DH7w5EM8|N|@|CTP6yal5 zWZ1OOs19%Ps7=w98Y>Xet;bYY)`^PiuwvS|mDi7|n(H(#7Y+~wX3}Y&DH&Z!X3}Nd z{c=?M`xe&DK3qsbWKM%ci_-h7?Dz=(oq3TNG9jQh@p_-`{VhyMx!wSl=+mEcZ`fvU zhdDGl_(SCS`RVI1efTe}8pZ{7@My1rl&3u-&IR4v1AA;fR-PT;R>A8+Po@iULoel9 zD;F;Df>N^AZwIBRe&?AUfNNa;EJ0kqeX@86eg`eF7L3^jo><7fE@AjAUdW zn!dqR$F&2`!OsWqt8{e_ldwi}()I`;7kN6VuB~`~V>0D;Aot02vFrxJ#&k$fSon!@ z#eJ9E0~5iY#y-S5@()_%jk501@94v6l9XBlCfz%d?j#Hf@;(Z5sSB7WYu%rDb4m zS`3tLnGF5z*$?jNhMm9J`~3N(WFF7?(Joe8C|2usr0Nao_I4*FZqC`^fGgo&CGx98 zf0bA`JBQI;lFB`}q<=yT^6PpcDQDo$gy<)o?{0DusWK`-TQQJ$aE?9n)qR)G2v8YS zSn7rjRbQm$KeGKr>b^)qB`wR&m8Q8z;SB5@TWTur#M#e{Q7Bc;c@T(deP>7P?Je6fAOIbB zQ=PUJ3WB&&{Vll&ry^aV*?`OUC8HC1(+foq2@RbPr5=xo}g==TXm zVtg6%y=B4F{QwtvWvkKTKRjj~GS^z$!ta7Pwh6TzhWEd+o%be!lCGCU!VgF9 zL-SN&`P)zcgD}&w@*JSu3B;DTqHJ|6!_!RN?hbt9tLJ)0HYLJ~GrbG=%SR}7NB~U4 zj9&MIw+CiqIr1=egD8DB&Yz6T69;dP*<$334~0dS@w6ZQdFlLa<0Eb-wgh_TMWXtS zUTut9S`Qu;hq(&GCN#PTP>lK}!U(g#EfhMmy*V^R+h3))iQ+!S3*SoXaIi6VHYMJ4USLnhxPA z1?eQ#n&Ch7OZ0Fir~0HM>#i?z6FWja8$=glrm6EE%!DYlcM%YBXV+G2w$+ zjY;R!86elYL`bYlTa9bh)vJGPbZMuOlT$T7ZK*JTuSbgub!tF5rUgkaCC8mq*X#ii zo-SCOeL6M9#=HW#tFV75O=Sc1g-4_*j#c-z?x5g(tr<3!WqmJljafJK8NxSWh8;UK z5M0OtuehH9rf798&&D?u5!>La;sUFyOTz%=P7N;O-dz|v=POBw-x;+{S=$IXR|8wy zp4vm>8m!P$ebKB1zq)ZXp}K-j;!X@%xVuaRwncGtz2X(gC-;iLU<7kRF8GdlO2{`#xMPi6=hdK)+PWld9Tb2pEh8I}*BVz+TP z!gRyeX&dY^F)pKlgko`Hoa-8C2ukQhVY_lO1zV^yWa_NW%Ksl-$< z;c7c74sV#L#F#cLi+|Q(C6`EzN;hh@m@bw`wIyjs-{Xy|6faO{Rl9vR@RuIKAu6C- zXG$Kfr1V8)Ty!RJUk{PegYdg!WZn*Ye)bUjy>Ru>`>|lFf0BpLQyzS#YQ^^pN&QXR z2?W33Qh%_)B-M}iJ{E~E(cNyK@k-j|p!I45^Afa!+zq?|>LjdHq5U(-{1>#2%sM(e z+F#x1Ai=r{xic@=;4?rl(y;rSfph)&3cPSapbIH7%Exm|{n?zJ9?AyQg-2(Nzfak& z;}aiPHucl51~|=(Z*OIPIUpjJQw$2kxV=u5&0CV|w2#osAue|Tdn(l%Efh#Gx&?uE z!9Xyp)_=qO-Nwf>OAZ@T5F3(<4|7rHOS*m0)GLwCFZ7_<4F7iJYL$)7a4^SMj^6uD zs+#yCeC_>4_S!kT&hs2MU9oxfL3ON@kMeD8?1Ii<~OLDF1FvqsxO>%C!g)S4^pF!e=PwTOj8-Uxh=PO$p7_%1l zYRb7DluyArT2Cy3eImdHl}@@)UYi_nl9w+NkPeb)Lv(NB?n`HbkjrfaAqjd`lF0FS z{^scu@MMOSD~9S#!F4Jq-xtzXV>S5x4EQ}})cYXe)`w=d$$@mAy0OcYOHIn7Jzdm{ z@0!t*INrb^BP`PFe~J_OsZJ*b{dD$MVYwMP!bnqnKX{2Q!mr?nzF$0xO!-gz@VDX! z1qwy2MtI+Ao;~0sGL@)F9||OJ6GlLj(pbkAi)Rd;J!ioSL_?0 zx<}_B+8au#NhWB*k@y{&no%59|Bo}nOBDf|6nr!MKbbyywp8%cJ$+qt8j)Ke9b8OR z|FFtPHx;)OO%~*yN}aylIK$p0WHf4i;JcaHUR*T(+wKD(kgA*F{mFnd>@HNZ0@T|a z>~Y5Ty=1+`hO(YGr#5Ce6c_aty@qBk&5f=<6A+ki#DVD4=ov#)^nHF-<4?JoDDICfO3J8JY0X4#&BB#?a&2Wp=6R*mT~ocj8g1po z$lM{}fv6~?XX@?+>w(z3k{7}@d5*Pl^=FUO(oo3ndEv<0eMq5V!s9c=dRrR7ic*n* zlqpo})XK(!QjzhOeNG)Bo7nk&0vdf(BMQSs!%ef2oZQeY4ucXr7K8T~L_^h%IX+DB zJF)zPrW?!OTmZ@-C;~xPo+YA4zbbW*Aos`zXacy({(m)&RnZ3wf_oKi!6PJ%RwC+psTP`WR=rVLb#wW$& zRbQTk#xHf#Po8lPYEy#PcU#c}+Vi(QUlbhofF?OX0c;#le*W<4>d1|x6MZTpHwgOQ zWP_mErJFwgr;4F|V4U|Fu|q#d*%r9EN{l=p;XChNbZu*qXY?}+XBd>768G^`KkBk; z%xAPA5Ey3yf68!j2Bslhj{h}zT+NT3II{g+`hoV@`%DZ`Afks|ArIraj!D`EfIN6@lhtb#JRQva)AjW=EjM-{G}zA&-90G>4&RD+mR(d5g9Y zmgY2XF?jZ1D8{31zu)(){ELjdsst;x&udOUif0S@Eeq1v^=KmXPGVGNbd-l$`#8RB z#?C6&(khp?&BXQSA6*w#u3Sp&X`Acv`cf6iYyW6lJ{THTYF6T@WoBn%cZ*k-nd_z4 zo!EKhFO!1<^y!)^9-R|+xhb8sKlOml=PB%1q-CSfcvW5B{{pl3 z+YjwUQXO;6-VK-ay^JY$*q6((z3kNeB6KZ59YTCY3q-rdy9vCd^TAL0#q4Z8N+{Q_ z)cebtM63I4QvS(G7ocg%Tw_WSp6*+KDVpEBIrGaI&fHRUl}Sg%OnOZ>XXbbJc>kw4j3A@*1U2Qo*?Ma`LjrRrgKg)E#Tk75VkgZn zY?;QJc|`{J$%U@*TifhgV{^I*5giX?ozg%43&KQb_ai|s#)`6V%`Fw#}BnuS@Cy z+93F6Y8#|&sja*FrSn<7OhIfnZodr%zQfv_;O=W#&5<;w$zlhY-bhbc6OoaSfA|+y zW(Kq-e`3Gy1v$r7yTl@#(5!uwZ#Q0V8t9sHQ`608)a6ndoZ7g&PsMC?HFjxE98|S2 zm%!-aY%7$RY^Pb|9(KZ!EClRb0_OL zv#0mw>U(AbZmz4C-dOLjJ!^pu-h^bN)mk^%IPyLam>lJgop5uf43aqtgxe6oGA23>G$R(l zN|pBj+xT*8YMy>nqXEonw9#x)`w-CqsMz&u1Mzr00s_uV&~oX1M>g^0AKl1{uc@sj zQ#Uct6LqT2U#gO_+v@Z@rxJ;Z`1wLFsHXwbE9w^*UCiTiPhE%@Jtn7W4fF%%PL?^O zs93`fQ&@uaE$K{;UwG;iPg3Q>a^uD^6f;mYpgb$U)kn9Lqzu2gs(sH}2bZ2?VKss{@*-NVh+ z?waZu9wxqCx1Pa=4}S7?eXoAXX2*M!=Lt`&mC?)BXszZ#tKx=|AU%GzI>cuRK&fi3 z`D75)!UJw%rpW>SX_|7#_ay1qUL!4l zLce#H_dzAoVKfhfH>w@5(?q*}H#z^@sMRF5pA&aYch@)WPq)a~&k{(0OK% zR`sIc?)&@}K@6(;a!xDtxN|cFI%4isertTekE@dyo#oH%RsK})07)G909JN({Nu4s zZwB*wdqBXm0MILbOFr?=RMqEE=7EK+!Rs~!qw{cn6(}xk^l|BgIQ^sH{o--Q>Ai}5 zgF5ZgUh>U!jxIQC!;;|FJ(RHW zHO|tj)?BaP=l~FznZz(IQNCVEhmAV4Fp`Lh8m|VP3ZE)SHk5**rbosY(+wnHNwjQ; zF{P1}MifhWUlWf^(dG(*hWTsVAls0L7fJT=TZBU-!wT-G?L7kymY@C*cCFc08yY@D zS4^fR+sqoZf>hJbhuzFdOvyka7M4z7E0joXt**F0Nb0vBD%sIOw!BzngDS&W{;`h3aitAn@^38h**6p%B-9l^2zH}A9P$ofJ+7d8u3!sn-3l7WTkz38 z=S1PUX*CxQ24o6x=eG2~Zyprt94+97Y4$-}FD%%ym_zyo^bc`ou}sHnjuGx0D$oO8 zABG01%rUW9)WaA{H8G{U^%Ccv>t)5J}LAT zBy0l(l!UIqZO+GOUMu)mEZ8%+g>J)XJ_&h*4{;~6>}9j04&*eR1EDv^K?C9DmhqeE zg|j&<9U$!DgneKv>o_d0z=66UkM?uwxXo3<*({b zCQ`a3fb;t^wYD_xb7jCE=R5f{4De4!`te)U--*dLis(s|j2jwjNdOhkh_%(x49NOu zjB%yUJyx<}l+P7YX;QxLA=-Vq@7#IefJxUvUV?azuh~mVP)kWU)4;7_cBq=@9z94p zO-*!(qa~ppplZwmNez@5uIOZ{NZjwGC^B4&PL-*2rcKMlo17ev@Us3}A0a>Bj~6qS zB2w(_UWu!+6c9~yZ{zE0pYX^ti&R+%^6kUk(S0FQPu!andj2gqJA8tPY5cEkbTc=h z{qw*^ATgnRAGu}akUS5tpJsE1O8oW^$5nzVpH-5pC)oLWS+ex5;1AcMq*EUT>9Yg; zS8s<*fy71X93!syv7~1zNoZ{mj{0L5H3hJkht~3x;g*>UO18dOG@h=vk|YGGG{RD2 z;hdII6h9W5OF`9kI%jZDW%mOQ+p-z#89U)c)R0ERggu&@8OSb}(k_|Y+XqQERFuf` zL3rr;BXVLW3_SZ*DpF`k#uXt2Hko~uHtX@ag%wE#cz7!%@lXeg`yMiuXSP{@UHONN z4=wiMv9SCSldMZezZ52euZ82i<9r3U&&W$Xvgn<-Rc0@{1^X2MY@7ed6B-W(N1rLe zFnd|-2H~$YhVVCVmx`tzJwlV%NewRA_L9vtIucPR!b&8;j}!?HR{RCq+q;jWaAjBz zwj@Ch>w82ci?<1SF&pt*iCU#XW^@BEI9S(eDVjRWPbI`S1ZD&m&)fxylQs9kxDaLt zDXdB(LjMuDUkK&j1&*#FyONbJWxuwGtlsg}&+2>PyE)XlDa7XT08VqpaWVb0L~878 z``^w}qqTiIa6@D}Ufu%z4}#&hboKbX)bHp$i&eGtzlj9@&HB?^LIb3o$iXnPkN%6Q z+zu6#NgV}{6y>1k+|8?K7#3)thwnYk*|5Y9Pc9>Pq)BG7Yjq)Rt5JP zt*Ss(N?JW5#WYO%|AO`bU)?sJt+eT{e5Q)6vRJfyv{ZvUDlI1+*|55J@oIG>#)h~c zlh)$G059A<+J;dgVn~ELd0WsN8W-l4gp6&gsSN7Awv?DIjC7<8$@hkjWE36d?+w6Y z7G_kj`f7IRf6Km1nt&FZM99Iv_Ke^Z1NF^1HUUvA3_wop04=}+6zwBkwq&BQ4VlVC zMm*dLFl>1#%4HuZ6aTJfL@!GRQ9`m~F3%mh`#dE4om}_Ty9D?c>)2{`| zCNh>BDi{;cwWk*&si78w(3nA9N?RAF;(L=qIQb;2J?+iK$6Xb`>7N;$N=1yG940!S z=5PXw9)VjGx$&trk?QDi%Qx02$!p0N)zY{_YA^j| z*pFqq)!nJkf1DI=@hV*J=4d@xR)#Y2iK+C{eEw@Gq6?$d8_G2syK+ggMg$vM~ATrC+YV9N^~d7OxbDg5Ildt242*2o-EDy?B~4nTk=B4rBu9ht5{eL~)2l->t9A7Uc1O*PN&dfCup~O2cl?+12 z#CGiLV%_lwU28avgGW9eQ#dLJHl|-^(nb6r{M!)6wNd$YF`4 zn)EIst{sNkLR0Ll!*7W&Im2}SSoyxQHT})X%ic`<9A8EsQt?lW zi~N>K%!4Wj9h^&G9Ajm?)V14DwU%g+kIgL*L-ly^Sv$j8935ADO zeQYiD+lzBOmHF)JgXfUHx8u!9_NS{wgnOqgWrbUZ@Gl+Glw0K^a~K>}xg&P=>xGGV zV592MQ+NxL-Vcz4Gtf~0%1~ZQ!scS&3w?sz#UzMHe3NR*dM!MQ%K|(N%VlFi#aee5 z?fMXLT}8t;6ODwBOd^qfATki@H@dc0_|#kA@@^0G2bFv*3$!dU;^qJJK%krqqTx`u zp3x%>#}voC&b>3no1vQstgF&Mg5%#_P>euEe#{9bwQVQX8`l zPf=RMqbVC}wca1rcMWge#eF$Aw7`SZ11F@N%g*ZkgXAUd8jpmpv@^>OTe{`v%G0O1 z=D%V4LY=KdS+G5CJ5bJ@N?QTfH!^dgO2o?UZh8)4ibe+}@E!ug_ciL9r$39RF;AVO z$Pmdp*Be_RH2p>s>|jQ7TwLeK%{`O-a`>`PVG1S2N-$=`q z-Tb0IbMK|vs*AqDM0;}yO|p|z-hPaM>kxlXX$uA$u^GQc)L##+c!MWZxD1)@Kkuolvxj>aEBf zW(y%wJ;+qQ1F?mKV1pDXRWU&WUVYO)eLXY{iBw5XcwD1gQrDPXR@@ylXmA!TOaZHq zI1!7ffY^|rGp1TCvN&+28ss>gkbfNH1%NruB+9VxGW?%!2+@=eV;*4WYy1yPaWg2d zJ%rB*1|p)jONs~b2tmh(;-+XEiFDRU6v;&t$wM?AEEFQiP5N01Oq1{}^U;z-0g|ly zT@~n{y)M{Xyu8#@P`13qvDn07v1{v$&nvrb>;b&n6j5*%&ASTk(#}E?M1?@6_$!Wv zDmb1_Gt%Gt6E(d9@g)oY7Ln@^kLysI>yU@*Fgx(@Dc+$Y%y$E^V;ixfAMpi=-DvxSE| zh-*h2X>FCuf8wc{z#{-&;HyFO9TM@1*iw6LK}R+A7(~-&sJe~f+oihl-JW#TMN}UQ zmXS!mp}1^PfRb<6YQ{FovPGcEy%K#MA#{-0C0C@@6~048Bn#L^_K8d)+0We7nec|A z7t+$B{pr}^F?1ktyJQc)Z4zYpl+|k=1X(Xr>HwBZoVa32&hevc-=2fKx6a#qfsIo0 zLNOr$Jja0W>8%G-@PS1#dZ>GHS(^c}gG68*EJ^a9-lD)t+Rbxb3Ef_wb5Gquap!MO z=^o`4>5ma|5BX|i^7cC$kSoSrgQS}V2B9-IsXVVIRsH3|h)=-+YHon5D3PP+rHkmL zyQucuz2u){oEWU7%E1i4Ju}h@QT@!)#)cXYcTqQSthPU?s5C+p&@M3)xaR5`tiy{V zDo~VpJeufa+N5TM%3~A+*^uIrbo63%6n4I1x5>vQ-0k$&vX?mcH>k9V+tG)hOd{$}8f-}bkbXLf61j-xfl=(d z`Le7UG46CtV*N=YGY1nU{i!%N3khjr_PVb$zB&oDszW#4u2^cy2Zi#uwwRj)CYJeZ zP}WFSji@l&CLRTAv_8`?6Skgm$txL?+B^<+jo^gs8DVc zVsg)Z?a$?QQrgT6=P#1StT}9m3@z!nJ<2{)4lNYXU|TVSat~n2au>X}k|Pr()HPWctUPhYa6O^{jKNdk9e6?fD2- zyPM%>rx&5$I3g$-->+dr$s{*>0i@*iV{7(fG$dWxqZH-qJ7xhB7E|b4mr>c*LpAq9 zxex@*8TB-lbweF_1L_deJm2hvsI?V%JxgGY`H&HMT7EBO25T<8+Jk&px$IJ$h5Eb%0ydgK)QTd z(+>1(zTr{tlTq*QG@=UIP7kj|;wW`&MtR1dJB6X&sFUc1fKoYQu_83gZ2nrmyOT7| zgl8psaEEm*kcr)GbTXjG&yMDJgC-ddRk0$~j}&!CvS{<$gqq>}zJ`xK__vhb$1}46 z@DtyEzr|tkYv=Y_1X;R)9N@*O?h?K#&rb(B9&hRBsGqkW;R?b;yJh)RQt`8Lea4BW zhWBEkXi#_P8LO!7l3=+;Afk)X^`;(Y0E=I)kkO3w!K|__p(%Q4uNq+I?>FHitJaY< zhb&v)$3>^|gU0JM*pH2}G2|Bn8%r#=k4BpbLgoaxq0BP=eD|V5(GuYpL*qI`;5uZt zAG2UYnWi^@q$m=}a_BWh{qyVJr2Ux2W@6p9fo%LqA3y|loMyT~&t=*@qL6NbR~*c- zHu994y-Uw2>9J0qX>-W&p#v}K3NI=b*dFZ6RXgd9o2b33sJ*jj&q??@7s-pi4^s3U zYQ#^}2wv3eKu6Cs2qE{#W?KiGN^gzw!+UA1yV(c?j{c=U0LV<&@*J|uD z7ya_t4Q1hrXy#Srbwh75;~`Wk>>`3jXQGp}GcnzLu`X2DZSsrre%Tn`S$ETd9Z>y8 zs!HC6`^}O<+?5lV06FSo$c6_ZqnWG^y@s7{={pF$ z9%P_hvCZ$03^P8hyG&e%_GNiKIgviOk#9MXZ@H0zJgCUrr~%GopbIg+e`4>$QO{NA zHz+9a%YLi0hYkuuO03|=qUjf>;b zLdx+C+Kqh}^mdF%jR$QV#ccMg=Euj<(w?*E(&L}hTrHfV`O^?2N#0JTskNkSB(p6$ z*CY31l}k42R0b>Gjj#2_oHu0@d|KE?w`fdD4ir)MNs?Ok#`b>p$Un&0E^Y6Xz`sho z!o1piM-?vlbD4Z2?aUG8XapVt5vCQ-XK|7E!^d`^5)z^GoaHr!Zgj*vKfiBJXit6b zHKu*)#|g=?cf}D8ql8+|Rd^1Plmvzqlff?5Ew<3V5^;WbY^yW$HROGo3QJNNVU&=+ zk@&F*tR<*QIZ_WK`WcBz6^rB{!c}F>vAq|8fVlu~85`CQrymF#$P8zsCAB3XA)Zun z-;Ih+xr_)MoA?Q?gGVqzv!~VTSeMv`4|KvU;P6yY?4d=wTPG!F@tU?`w<>;w!*h;1 z89HFbIp<4cIHgNufSyE^np#CHB~tPeP0bn+SQkx&lSQVkSCzvlS~7r_Wy8vuk|e3Y zMeN*eXS7f((@KGsfLj-nL$yGu;cLQFm+bzVzNsn@MkgH935xAAdK!LXhKjx4hsb z99hS+?p9y&US3aLdXR;oquAyNC4O$UcY7v7&gZTySO~oY0PB~}Yt#{sq(Mu7)oYYB z+Z>&*LvPi(-kn+DwCEtcw@u27>U8j#lIvN2q0|IOJHXAKS?r04sGqvd`a|!}xo#Q|gJU#?eof%J*;Dc^u*a;c0>j$I4g)3GZgB0>h*lM*Xe?MCNqE7k06s* z0i65>WNwA350kN?a=Fe`2+Ph1>N%{kLO<@M9(=DHhFO)Hr?1UU{dT43&q0rx7%`++<7usrn@?(duzq#r~Vxa1jCQIEp` zf++VJ4YLCQNcXIUzcvj!w075!w44P@`UChwW-^A4?w6UyPRw=^O62&i=;FsiJP<;D zAKhrgnyimF^C41SFHW2A2~zJr!*iF~j=-MJ3iQ3srgJR&1^egQn(^`z3W zpKG>7V5eziFs!>!V!ijzYWJjOWnQyb{EUtf1aptHL#$VO&YhS~{u(c}F4y2Mw+U?Ltj@iflG_(q z_{T1gX`WAi*HAGosf`Bkm~LVBp5gSq>fE~2y#wxeCXgHi9xL(~NXVS-L^P zdN>EV9!D1nb%2A@Id581(>O0N{)q>@@1`*g8#2m`Y$O@AG?4_L>2^%N;SwF?{_ulPI9XBGb)V>0F z+%5Ie-#}c0UZe3nZAI=-j5DgjY}Btj@jYVUJj%6X^)9Q_`;!M(#S84#n_k#G#X%S9bpa5Le}_wtaQFj@w4lKhUSG$_uk#Qf>`Y+EX>*9?*!EvEeCCy1C5TVO z&=`X1LoKY?<*lD)aJe(vOjloiL9e#!z;sWhQoV!TwRHP}C)MrU9uq8`@ zcyRQ8xl&v*@;?XEc20P#uA59IP8s5uK@?}pIdw(Q^EXUZWxAaH{)-Sjm-6!clePjx1gOgdd9qZFq@;LB`IO)c0_vAtz%u&BsC zwp&i#`mjSB?8dl5SY^t?*l4XC{`oCUE_Sx@=mjNCy!s>H(d>bq5Y|neP6}OgesZ_o zYGejq@7%1=?%Y~gKUB_PdzT&gh?r?8k)@!C3-TbpXSCGdL%QHAm>F|OP&oYsDF$1< zG?st!{RfpU9{x8{S~F$@8NReM8Nl*;eT_RDp27rTYKUqJLNt|9@VW@OJ~2QoEYgQ;2v2BiHDtLUJB!c8Jyh6=g39bD zd2UWbpovTljpeU3k1mWz-xg{Cdb?C=GS;D1WQJ&DRqii6^1v`T>}+184!%7aX_?>4 zwRKAAIl$`DDw~ zj}_QX;_JLh97A-$_jXJH)rhQrKNmOk`U!s=Fk^@9|B@kiXi3GM3sJ!Yl!sAbbi^87 z^>@|&F?nL~lqGf^-SYx0l7<7+v#kGBq{)9yU^XK(s&ecLRJz__Q6NSHQAA|pVIt^; z8i!o&=x8`d%P{9R! zb8U1#s%>=}BfQIMr_Szk>Y3P6a4Vzc7(N`mxS|Z;Ix!Xo_%Wu9HnSYz^0%}fxLp3R z*5Ex6VwDKL*oMCUL9a&xIz;*fBZg9_YPHf+rY&>5{`ZMVV?4Qg=EL2S@iHBFWNtwN zZDz62f0hXwx1_ej#$TIlL`S}Mv4hNyw*Y+W$IBqTe9o~devZk%^g7404CiFioqigk zn@z|Po6}Wxc^x$Q{d$7kg_jDp`4^%_O{p+r&Nq%BS~`w~UmvF-{Nw8|_~+;U0Yo}U Av;Y7A literal 16382 zcmZX*18^qI_XZkloQ-YU&c?QF+jg?CZEkFAY&+T5wr#z6bMyWFRkv={t?BObbkFqZ zGmYu4etM8WKtO8XK)*wm?@Rbws+&2xSUK1eF|#r-F)$MeyII+q60xwd5HWEuGP5wU zFfp?dshBytTbY;=^U4lJ@rBiw8b<+=HB9b~Zfr;&%Jvyt^<;=cW3 zp@-+=-xv3BriHnMg@wk)%gs(NqR;QE*1w1=Q0qAHV*A8Mlh)xO zo|^cf$+QBhcYP!3!$QeUw>Gy<0a!V&w0IBhrjh(q*R%dGL_8%wtDrJ?sios$9X7fx zCNEbvpWDys?`rj6(Hjd)Hfh(=@+atQ|9P9g1~uB04T-F zO40wRTb8yLkjh8vz~%z?Bh-yGx!OaE7rfJw=RJSWuWI^m`E$b8;^M8dN!}KLqqJ>7 zP0A1kAW%#k0r{y8pIK-9qjE=m@H^v-);A|_H~vbO((j0`*y&J-uQ`^-EB#FUm}-2Z zET_FbA`?61_2Oq+26fAFE3gbdw_HE?C9vw=amlUuHkIZ>{QNeg7CQdiS*oDv(Xus! zk-K4vXZs%6AFoun=J)Z^pn!tOpi2?(W1VkFe;q3Nh;u^ChgAb-INQTkT)(kCv8=a{ z+)d}L@LmiZ+@EnVmXp=yXzqVM zf41ht%-Pre_&Xl-dIbM0vFjwgGb2l5+c*xe$;*v?bsJL#z^N|(FZ=&bpDF>DJMZn; z?hG?xAGu2gaR%<6T>T{dxUpvUbcY?c%$6R-M5a(f9gA|2HX3IA(sGt8%+XM<&Fg_7 z2(gEpWbEYC_Q=RsDr$c>cntR&@;I#7VX}y!a4=gSozZpfESVyTbUiRK z3p~saHrD2Im2nE9q}X3k>4RF!$tbqA#4`@T;N=B|TU1Z|il7RLQMdUWJWgeq)guP`!k|N))(0| zwjEw)A+wo8xn_>;sbjCS&|KZp>U#M^uJ?OBzsA4b{PTY4sAYNn2aE5_m@45NQ=ZE| z4Sw<*pN}kXs`)64z>ceJJM+aH@Rj}H0(%GG;S)^nG-8_g*Po~X9T)W}xgXENFJZWBp^{-6E0FzXN$#aw zm8?!A*E|kgf&S>2#?0v?d%Rd2P@p>pbQ2bNThAbM&ZRAXZRFV2gsmUn4;@Ngt(NHj z$X?1g4f5Yhq*WcvM+sCE-8w$}!4^>atY}jkB^B^*=AhYUeAS)zZ|({FEBh5R>-Nmp z0dW0Oc_ca><2zkpsY78fX>uR&Z^f7HQlZvq4VZnU5Gz_}@~-Wl|A8pKXRWgops`Z7 zYuV|4aYsK<`V{c~fVdks1{7m7SOaP-HK#Z&rUum48QiNVRKmvn`l<-RuesY(;eUAl zOnoI#i$yk}-UnXWdg0*DOmBYaengrA&|Sn~`s>zBUH`=i&;mo>ZrrlIyuM799aPb! z6uj9^T=I@B8UC#GXjW-bIwqmuQjq@UTnfJO9y|b#AC+1E) zx^eEm?VFOZVPQ(RQOFAeS@zq-UjCiSCI|y*q)n@SO&$mE^_WNS0z&{wcymg*%#^ub zbV3a$0rV0*o&d^Yw+!hA3JY0si^c35F)wpIG`<5gb6Br%;2ZzwozhyAU8F5W?_M5z ze>5Q}%pVMXH47#F0MswjY2jRyJ>^qX{`#;c#WGbu2WN(SD~yoTinwIf{j2cjOLPuL z$)v@vxo@L#8x%j`j^dB^QaBr)VWcl>Y=Od0j41+Ey@@5SKQpCMsr=F=zP7AWnrw}!+m8SsP%-Cg#8r^!WX!oGP$RhlH+mGA`lGd;`2c^ zYGuZMr63X#za%YVQizjL596{FB%|xpaJRG^udZjCTv*1{G;+6~Pu07%uRVss!iT82 z0mX3#h@;5$dmpn<)`$b#X#RNBR;JgujUOqUtz!vS7o1hN!Jm%I6ZpYBp_XFb@Lk}P zc(!Slz3c}zPcc2E8yC8DE7^#`>TKe?B_ZP5ZNS8}CQx^4uT~Sa+ICZM#7B)UEQ{I{ zC(1R6H?Ys)jDJVSbWm#&ZOL_tBD$`8+%JU4I!_i%(K#`ZF86(i+iXRLe91*fj%l$` znGMGCBi^LN#r|oq&>8n6IUzr=BV%2xJTE==38t2|Fu8@H28A#9`M7F0GqBh?rAR2i zAb6E>20R}R>aT$Kg_3>XI4)&jF(piq65OnW@z0AR*5)Lo#u!ypnf{QeO}e9Pmlm%( zq`~e?nk030@ok&uqZ{8lcXv5!rUUEIa3F1XuuUhHLHFVr8^>VDWG-#BU7_Aw@{wXC zUin|3^DIq6Z%c9LJ*h8IQfn?;+nQN*Is&LVy&0>Vf&=TGzy@2(#(UmI)8#<>et~|Z zhgA6Rj5Z30KW10nhBH<>2F)Nmho4meS@vz# zrfN5{VRIz7WFC(C1Rt}Ni6gfo@0CFD?pBXBW)_xBO_RhG5(w&6mWH_@)SKKQ3ZMd5 z>>&XEO9iFsZl7M2nmqk;z0#Y6BToT=_Bu>&Ksd0$ z%SEbvT8U|%k{mNGiu0!?7BP2AYU~vJA7w$}&cHbCb792#g@aTMiQA9F<|ac%j*K|% z+-Lk9O@tr=!iLqw&%;VGJYmY$x_5ANyW?^Gq{NFuxs-IuQ6>b%Nou*R%I43B+Ms-Tyz;|D|0`OL3d-{^uH}_@#Eq-$ z=&f_D(b1Bixm6OrZg;Tj4G~PqG^ zH6eV+yY(W%H7;S3?8A)fL-#QSV5i-Q_e$17ckdi9QS|jg13Hmtq+8(n4|W*Hx_qet z8=;Cy4SLW7U*C@%$?WYgghVA>3E#f9!oe`oK?LhTbohtfEeur2Da?__*T_Vs8+JxJ z_dU}bNF$JE&VYswV^!~-SIMkk0shTlT~cVVt&(mG7&_QtK>8pW8vT8d{ssyah`%)l zji2HaS|=<~cL!bvKrA=Oj)dS|$ABJV#GyCAzwE4aPN0cM%+AOGTJ8%cOd>TpNxcPp&hkUYBiv~wP}+MEZW)2x_(@WZhb4M z)eq{oPtgxKJtxPy{0t85-`=N(f99|?`r9fE7u=nzg>^|UVX@myXTi!)-x{LZ#@FE1 zmh)#64B=yzhVVvXG}5=1M*h~HJ!kg{9pFM=Su~C$ut8e=XA61Ro>d^OP8*}{v}zJw z0ra_1Q~H@zE9i>j;)$W(o$}=Or*=Zh<%&1iYTc3KUxUs>!(R2l>Gah76?3%pIt29f zI+SmZ@$GTY*Y)EC#8d~-jf=pU*{wWalqzAyykvE6qE48x)vS|YFZIc06@OpoSwXmu zo>28^HaCI!=p9k@S^fu}j;Z=Q{{y0jRDBWu0f&Sl5R+wNh>W24!4x6}WcT*A8mz%6TQ`=1Bg%?2OA880cXC%y4*|{+v*dJ z)e8(t|KgNSrEw`-;@59SHINW1r6w(dPva{E=qQ&_6>G0qe!+qXY-hzDm z`r!k&_Y!3+S~UOEf+4pS98$QOu16EcWu^j4U!JGley?4NavcF4Ib&Wx>{`Q@My$Ai!U+o}PwGENT4b*s$FHR5faf31**Pn@q z*I2EY`RQ?y#xI^x$B8%uYMs%Nv;u#B;EJCY?WCYarkaDI(0bW=K9 z;IlLFtUkk!^~q4dP7UaxrA7T(^^M6T>rRcD28$u0mSUX=F+rbp=+oOMkT@2ma_wH5 z3tMmpr#6ZB`VF2gVevTdA+_%6Am{DNTUZtZ66U<9yu3R7D-~m{R{ed{o0RCiIA`Wf zC5AAbF5?VvP~Y?Z6>=BPt3k}@I-$q(zS^gLidu%1m|tC4$Quy7MoO=|I2ntMxxqv zNWhV@OzI_l*3TL~?@gva&FA^3s2zVuT>_;*Bz=*{tIjufa#L7JRMa8sG*72a&uv#G zYgCM(q2=7Pn;F$QS&T7mOdk!5XC#x!3`;hzw;rdK$uuWzPO)N7Dv>RcYSf%W=>{Z4 zG1KMK=rAS?SB5hFs<;xvZumahh!#Is=D?Z> z1Er=1&D1txOm1agv-;a86ZD5$idq{; zjC=U&zrgfaa4(?Ne`!nD)YR~0L`ZQ|2#|&H`W_`|urJhZ7-Eh>o#XTsS*^5FA(~}$ z?SR}Q|4!FwLxo9h{Z&^>3LX77DmWMy%C^pzc-yvdM>v~LsabOoZsR6VnRDjvqsUhr z%iScQGu*>FQ?@`4jDFlLx&!OyJuAJtA9@3|!ni*#O8CS|%Y6Vo$34H8J@H8|LQ3q3 z4&dHlR?1+kM%B;nXO}KgfhTvXZ~mp4bDGX2P~-GiH+56kpHBP^k5)nl&kv$!&r`;p zn6eS`9MyM~VJL%~HSL|n)#;M7_12mUqq1sWplzjWVkfnC&USE+9$|g<#PGD~CEzw# z4PtI2nX?iVZ}1BajB|d#VLXION!5wOp)vzOxaXQond|zq@Rw2CvI7p~`#-x^kz7jh zUwKnSP1$Z4{E6c|Y}*4VmY>VaF~A4a5cr$|!Jm zxzVVS@i~%tG$%-}yUkr9vo?Y3{q$(P_a{u;6(pu}B!^o{SY$OyYF|dmPXx!OGlcPY zRcig7wiD+(s#TWiAnaA&s}F)fhl9cr=sg#;C~kpdJxHqpcX0fWE>e~61q*MK515$b zA4}FE15@~txm{-&qETuCW?O%33b+51spY~Au}rwT^iwc%mwT7yb9{3c!OxN z*+;RZF=#A$Pt=seVL%9PnpNx;}N^mP|DRiwN+ zxHh>-Se5$ZUsBx6OCVnw4oz81MP^q1qb8_w?j0EV5F058Ov8=4$t#VZMn(CqCiBAfMW?wT510CKJ{i^5aGJdC$Em9Izlz7gQnv6?*RM5C~GYB;~xJ~6}dZYay28*b$$|L08e zJ?^9|AE0CQ&UfF2-PD_C<(_>>A6eq?rCLgv0A$T1ka(Xldmom(aP~}>+)n>pYixoz zzasf=U%W%N@F3YMR_Z}qbM8br?~we6FKIx#;6WNY()RV^vi8foFuhy$-Mm8P&Q%0+ zfpF*!(ebcE?I@MINB#a2ge5QJ(OD#(`oiG%8?E8$^AztVK-us$;P^s7=h8i5VsGL%S#@tU*J7r`a3V!h1gPLIULXsZkW-3RY14LZ^i69$1hV_W0YvuP08+t zH_WdQP5w2I?yEShNB&_PE{E4XsK&VBe>;|abGx8%YT(a}tD@S^Wyaz$2kzT4 zh1qDhqr;mKz3A~k<{slOY-0tx6fga#0aRteyaF09mnQ`yYHwFlh8I9A_qsC@_>iuj zJX7wJ?kB3)n(nlUV;Ipo0ew8)V1yU!rsn0*%g=v8u|p71ft&*wQ+>pbfi5I4x6B6t za)H`o(}34IvI&g)Z8^KWC8f}Qot1zCvEl~ZYElViE=W%#C;#nB{=1b2oy=tWGC&axwd>CXS7DAhAjq@&MaZ1A(|B%|x9p z!;h{$E21_U7BxQJN2(jIQP(ZgsXM01n$=7qEyWdi=_M(av7E5J-!5Y|V5n`DHAV z^-@|DyZHfMk8rM>9=Yoh1<2OZhn$~1`%pao8 z8KbzRXV#TdP8bZnwZpd_o;R*#)>fT~S%EiA9vhr9PIxTIB@$*YwJT=Zdh3}{?>8>( z2%E0&)8V7~;vj_p60&e_y4MoaL$mW|DrF0uv({Hz8I4DYTY-w|q`Un{H|9Ow z)=RpUKC!3M#g6*^A|OBaBz8v6pE^k^MdR>-q^GdUA&Nz}>4uIjxXk5{!dPI!1WnZ| z)u7O8gNCB=nP?;E6mxY&jag|}6diQ{9InG&+$05{Y0bgd@tmHH9UD3Ez;0tPtns#f zDH3JeN>xXd7WJAn6+LqF_N0-rQq7->IOySjZ^GzXwp9o8gsGh*rrWQ26ep-#Rm;0h zr_#UwR6fGz?G;_Y5vgN^w|f+Qj4kM;Ze&ju{_4l@S&zpOq}MMw6T zZ%4G&i0`XekmGWX#A04k>&inK#W#)F~79oGsSustQW+*qK!@l zOrwrY3eG(p7e~h5KGy~w@z+?k+O}(|5Z24apJCe`URPZn{T|n*uS(RO!S6MqQ}+)BIBgdZ3KL)HnJg?j zI{|BNb!=a9*qsY+GgdVIy3h3PfVM}Qt1pW`LdBoSu_dm+GCZJOClyd`;-taB z=|1^Y5AQ9!!| zjf6*3oCfGAs3_qI$C3n?_XSrAqI!|&6&9-Dnq8GKm|2pz-=NjjW%rDOH0|KAnfKVp z726fxNX6-3g;L3v8>Tl9z(gW3!ZpJhQWh0W$Nz|gjFr2gS~^nIV1&cNRTO+{#U2ud zmXRwscS$7=(J$1(v_2y{1<=wIrQKuDxDvCl=>2`CvKX1q$nWXGLRG!n3JKLbuOn|c z5M1;}wMOK`=v+~-z-U=D!Cv@-42lxssb3gzPle!)ok`p9PAJP9ZJmmS25WUsDQ*h? zkrg?n>K+#Qr|Jj;?kHex7Xx)@lJS>NDu*~7Dm>1l7X@)q3rx_xM78>OeuJVlS~v>y z%aW?qIJ6!#@qsY#3)SAeV0nK-D@}|AE+lH;YGHBv`Qr2APN3u!@$i|1H3cQ8QK)k& zKNn&>0Jn)%=p#-@2Xg%ivIe5*2B(Qt@Pjb;nQeC4de%M2y+&vQVI3#t$t_!;nGCuU zew{v_ZzKN`)p85+3NGJoX4dJKtM5*JF625Q{CY+H=WKp1*g8JsmFes?o23H86+`ed z+Uzxlr2^!Y8l)YgrO(gxDnXx6A)lX?8aPcWfzQgp&mZ}E_OrE+cJV?!sFn|qS5x^l z?7r_(ua8%d_84t1SWQ#;-7EQaU{~LIS!RJ_`BT52<%3($n=atj*(?K$XDNg}Sc5qb z)(x7YC-@ zi!2flkL6u0^ucWz&tcgMV%L}Nht}iNY$!w$a`4=GjZ@MYBG87EtzgL#6LAeW2t*Yc9~EV z53U^ZUzQcr;K_1MS|PK&tT35T124b@y38nROY0j8C(L12X!(uANEHt)7UJOmQ}O-* zOu#rA!(fA|$(vq-;cgbkC4chmkJwN=A;?6lwM^?Vw6DFa*#MBQxhpq)d{wc}!=dNb3piCa@ikEj}m9Uaw(#G5=X3FiI0-mT|r;~|}%owf$7yNIcswgRlXp0D?Pdaa?% ztdcE0gD=pjcsWe7IogkIu00IW*BONN;U6&N&@6Zei2^Li)!}1t6pIY8B-V+fR4!HB zRMt0alMPf?nz?a|`s+lK65<2{5`Idz%VWtSsC9hmgGyL}*k9 z9jvBj#=@mdqg(}R9A!Ljy-d4i|B7>~NeQUCbHq(GvxrW$OPMaRnu`=IOHb0nD9o&k z2UhuT^V+k_?LAqq)P1@187C#EL>c*e?KK--0Z^9I7%$*lFElVp7+Zf8_KyWhj;J3K z;@Z+Ur&jl0U%|1{nTb^xp%U|T-r=k&{-z07PijuYC>}+jP8bPiGDHBBHiXg&grm+y z%!0X;(kdk}hIEHe*{#bQ+MxvFGqXBGW_7II1<#X zghYj_AJl7=K-d?jUma}IUqxw4p0#*3$?Q>FlKFQpPEAFTV~e87H3V%YE{pUPCDwXC zH;qNjP1A4kukaFSNLMsm{AaT($-!7fJL#yhM>ig~8Fv<4&AFH>sMD7d+mfAHn(J0a z-G`cuq|fDQRQ{+{QJ|s>VI*nrAbAqvkY3UUfx0i3D0&baw8r9<&C6Z3AZ$xO%x*xD1K1T8)3LFD>`A+T3>K$(MPAQ7KB~ z``aG0s&L3w$*mgDw_Coy+cyg8r+t-*Scz3`oF5fP1Nk2?WHu4}d0$~dd^X&mw5Ezr z`+ewxtBHW?v#nPj2XCf*x*`&8^rUr~9_G;shP}1^gE;Gr!RlgVwqDhTn^1B^4N3tK z9&A*KCd}N={Q8??y_YRp)Q1+1nolcevY^7pmbM6MAQ3r>qV{$CTZ!P3;w&XuZS?UU zflB4W{kGj$+h2x3#@zX`4|-=Q$a4e5%^+Jx#e|W3tpPznt|U~Jki@`5eFm2N{}EDw z?L+MzA9-8ai6+X%O0v?jQBsj|%+$;@6y)l|#Y5E@DXU@vwFVpEm>;CQ^#oyB%$lco zoj*ggmDOBvsUz2ts6`~DiIJtS$%v@~$;h5%gpIq&$Xa9uCRCC78?M<3G#=Uw&z6h@ zQQ&2KnxPY*2j}%`faC*zn^wv{W7pO(i-&Bg352ApBc&owjQ?js7iKa_m9g@A@c+n4 zjinjKjEjo+a+j8l_B>?3NR5512Q(v_M1OzM3_GMo3r)~2q5NyngIsLN@7PEX;s6!x z?a~qHJ3@su%glIxnMjKZ1GSY{5n7c*X+2wGn(W=ZU;ZIy`_&q`$TAvLE({BK_`SyF z=C(6wYxV!f4c@UzEcW`ikB&DDuj*Ii8iccD55M>H*H5F<1k2Wiot^WBMq!3$6}qWP zbnerOx_K3Jv9){@bojBkV`$H;7W67#D;s+);wwF{RF(EXlUr}5l%Qcm8Iu;MHLD{B zrzjo1+dti#5?5})E$x-m7yXT)f#$KUd=y*ucwIYETf5SKTHQV~(MZ=2^zgE}q^Y() zs$&r7ga!YVcBCdk+kL5hW~R}Z-fOMjtVjD;X)U4;yU_*K@vZH+tbW#0O~_6kbnAF2 z#9F_>T7P+^i>7l!M!hv%qqDo(;4Jj~`Cg+{m`zmV41-~Rtrd=z^4}%oveXyVX+kz;aB}~1JqjAv-lgJmY($DJy z0$1mq^BEpKww(7NLSg0ZK&KzV=}vA)0$YbZDaz3K+L+IttnHcgFd`_9)G#EgksFol$812T86Ly8gm16*b$cyKeYp)3G6aF_ zgoz+QI=K;bApIjK@ozskbDnqZ3m?=#OCvJ~v13cLi7 z)=f8O^;zh<5Jx8Lf(k}$0c40QJKSQ1H+e6K!Kz|hN@9YL@1!}4aTE5neOq+K9KfAP zY`2)^Ei2-i;5nCVPwNm{AGPXId%?rX$lx!+!*rYJv97Die1xW=)C3=f$Gm6CaW_?@ zT^+FJVwDRu(uM1&O~%%h3qPa_{%F8<*P?Y0T1uG0gA8DTe?WeSkG?}ngo}GONlKDK zZOw;mCKz#=4*kT2I5Z7Am7M&h;Gb~Cb-cqrI2!h9unE?gEbUZqHw!tzls8j%2k(#G zVfdG5m+E(2o{zBf71KZovlz?vJo;~k#t!^~U0+WfcOXs&#BtRvddqp?dXf#Mt1;8f zuoxW{MYZsg4XFb3+R$|4mfwBsp@D;eq`s}($ghZS3^K<#!pB>}uOX{S`+V|zjGzN< zDn9K)kBi~B75s8M?O<5`$Z?#b;8>p8AN}cIl2ve!;*8+MZHVnC(D8e<<~x%m>p_9@ zw9;_h6ZEcixcAb6Tn0M4$G*)vv$&whq=;%zPe9;Q7NYVvXDX-xI1}(P__Z_PB4XHQ zGvNb-y7u+co;YQf>1ggZ)2WWmF_%d~C!e};l(`SVNuXEPMh@k7SN(SF#ARRu;=eY_ zUtVI-*ya~D8{|Di@{YrD_QIOlh$p{$P0SWLxRAEypuZ_}sKko+~FpA`Ce9U5XoiviLz#ZDF64$u_*EtH;nTF^xqFQC3476{5 zwXVqm;yIU)2XrH$ADJ4eYOJjMnhUNnPom~-U6Q0!-wNBDu7Sw5b-OWvHsU{SBDEeO_KqU+|60Hd{oy45& z#GIYPUq2-4n++V{+98m(DTZBK&GE_~7~9RZzN;IGNVkr!*UtKKo)1;J%?p5Gcxg5I za=EP^(z#M|XR13#0IAg6a+G_L;l21)0fOFn&qieVx~n*O-UAFXW{WQahs=)Z*mcS2 zn0ABn>cG9H6STZLJ$~}zdnVq4katOw5zTh1Sr3&LrA0#Mt#*X<%GMccMwzz`KzoxH zln*y}btRl(_71}ZkL{oH{>m5)KJ;j(Z<_|2%VQ510fRA0-QrLp66$){7a8h2IH z1ZjRq&6ncC+}SheJ;HWk0e6w>Z|5jtT^_igDC??egZrcu;{drLhKiN}e{thb@$$1Q zCqLI#WL;@qg-CBxvQWd0TjD^DoYFB(MXm~EmMqDuvWY3LL2y8HqD1nZgu`z*?yA#0 zUQWrO!K%Sra7rcpcQAJ`^~L-+bC+!ksrQcTWPCDDqVre>3KBoe0ZSnJr%2UbZL?zL zUs2)lr1e;&PCuvD+|`#PaKzPp-}#Hbr`KYBqBKHy+tX3N@iD=N+1aPyiK-|aGc<5H z^7S|Boe<@|h#;4*dl9a4GVVR$Z#2wT9ed)|PU0Omk!!G!(#2{IW)B|Z7fE)zaa#NQ z;+7AmW5+9S`?0#s1cOduL{Q~Rzghx!&B>LA`_S^YC~`qX$$plPlHd7t|F(r_ut(cL zMrFvk5f@To!odePvb}s!f~YuwRdropHn|HX^a+aNeZr7}t5?A3-RE|zD-whxic`9L z&#R4yW}Z(Gn-L`TGjuCrmLqqBzn9k>wO3b!Rc;=wRq#%WA^cE%o5I%cGY}MI69Yd` zj!97j6`@FGlyFQ_*-9Fhw(-1+GdMo@9P1X+#QB9ECUC5zOV8O|KJH6GU`+9myv&x- zo_IoU8{xc!QP}(QAqvm18wA;?FSZh*w-Ss5b??}~WaW9ST4FlT?Oa7TxSx1;B3Ca( zyZY?L$Wi8d`+sSE>Its4)rb7`5JALPVGDy|3olLrI75pR3T{SkCNOgz4%?4$5p|V} z5|#6>ni#;c?L}rk4#|1#m-0F31RmfcnIl|eo&w7XFq9k^O<~d4K=%LKz>G#z1ALmAF$i zt&bOs2=l8^IwZM?(X9jpNy+|Mz{77egUw#cxuVoJ8H@<^{D2n0Ca}+Jh(hXj93nig z7fue2_Zqzs&OqbLG=p*}h1jWHJEf962k@aK$sSVXOk&3j=#H&0DWZOl5^i%oE8s^@ zNCu~1Rw>W-{jp9-8Pr#4_Q@e(bvy!nYFQHHoq`_z@)K1KD=`2S`L|XDPoeZzui&f&TN` z_WS~0kHYp7`{B8$1h|LZsh-lI2W>j zGl~DDD4-7Y4wZ6WpK`xUerP5q;t%*<`PN5{u>YRu=8Y)tF2`ZIJaMl(iT{Bp@2M#7 zkB~tNOe5jCHmh2ZWMk60W=01)Y^^{3ISq_j%Y7A^Ju@rI&zIAwGuNkSs94i1Rrgu>`X5cA0A&x&CF8vV8)gNuTQ8t5tg3*MbyqxxRHs zlofV_(|CCYv>NgK`Zt7If4vQD9WDPRq98*CdP|QS9hbxI4WL0%!ZyNK#jO(CybS@; z(BMlinBJplC@Io<$wCJs2kiPkF`e37ri})kcqjd|gP?)KKii=ba;WBYd)Da>%YM(w z*nBKkFD;fO1%x&>6|(1t7=cZY*mLx(rickTS=&I~ZBWAq&Bnk(*7TT}0Ukk8LV*jd z)ffweH$g@UAko^zi;YH}Sa72$Na|TYq-9Xfi$PFED_N;FExRckm4F`29y8Cvd-n-RaEFLJUp1B&&Xc&sHV2+6mb3R#xH#U%&nGre4jOo zzsk$ZrAVQTc%>4y4avX-Wp!cg9L`X4iVz!`w6K^z;2ob}nUay8 z#A{Jx7}KOKh4%Rj|m}Vflf9dH~L&pC8@3|&cCN&1`E$y5ii3i9&HDHmps7!;(z>}TLRIs zD{g02dN;w|VdOOj^a*Hhj-!-Sw-aTHv|v0I?p(>bUM(&&ueDn~#}?)ozCpF5Z2@1v zZiRtFD>_*?g}Hg%#Ntz5T=|lqgW=O_?5riU4l>j2S&>oZ?Dkxc4l9eXWRsH{sW|o7ct@{171Z5} z!Cbq&KVUllR(S(>FNr_p@vup;>LTB}rRIBsO$dNR`3fLa`;d%2u-w(*yxfE>y8_7~ z7XS9m(z^K)W^nuJBr{*vbGRrGdv5Xb7-s~`auzs_4|G()6t;Lrvsp;u1j1Y<%wzrn zY{BWiXrxTm6hLC_q?Qr=2yQ%QxaBV%yVyPyHmw^8ryl>%mBkb}QqFq;(5H4*!h`D$ zKNCHCU)TR>Drt_SNM}oU-7Gcv%b#ShJWRX!c)DbUj~DUD8TS(sT;{g^TgSJzrGgiK}F*D<|Cm7WE9T1 zO#jQhvMr!DO7gKd$-Bw(**>YAx;7caGSLaxx+Cw>WRH=hIkOpf49#Q(xTe|stTg(l z9qrDMiB{X#Xtmp%1$x>Tn|vh4w#DX&G0V#s)i6@(N<}Vp(43zqxCHv%ysCRZLRziZ=IDU+9 zErZtK?l8Q;xYuJd-LlDtzqOoPPz+_lY%l)gfm_`5N~j4W1h%X_awC{;nmL0zny=sg zq~`)1msulaBDD5@L-Lmk3e<#0facZ7pf;-Pa7>g`_<6@~LN~K_qKOr;qQ_1OPjJ8+ zgAZ{|n-0YK$v8`vvbFTD4XylyW%Z!2Ijan2;i{ zw^q&tO>G$#gQ=@={kz-i&Ux)=0)?_!I%@ur(f<2*%XX2Z`6(qNPuolfx=v^?Yv1Rs zAIpEOcAoL`_hUdiCUQi_0Vq;`$I8CNQ}JLf#?G<#cHL8mx98P#tJ7$PV|Z5cVrq}| z5j}U1-jDU;ZXNz$jl&szcCd7*660lvUi};0dpInrd9nmh=4xnhaF`C?ht~i{u`mf~ zLxc}*m6sG}=cj+YJ6z4MNb^hfaN=TE|F9}1um-d)bk5_!B@IyjPD7sQ{S_To$oeitnPkWvLM}Qu9&C3z5gue#8F$N+>K)CKg$`bR z>AS)FW75)tHSGW*GhLb_u)&+dsF#byi#}ZQJ1{G> zCsLZeV?jZ?0wW)4#xw0Vp^A+{PQTwg$tFc2xRvgnp$0JkDT6Yxu4miIevq76S(ODk z{A_OQxF0aRN6XhnwiJ_X41qqCG=dq?{iq8s;)lXL%bI9C8>`^%*8|a0)-TA%J~{zG zq7n*66T=eN)qR@TxYQ`fU4e^3dXs>(F1l?F)slpVD%_HYM_d__qs08uN$HJyVJ=Rg z<%Bam0@l*?eQ>V}Zj3~~O{}8um3nO@I5uMZ;o%eh2STnNj&7e++~fwQk*ugVmEZ?< zwhz{Izltc1eH&m7ipmEYo=w*uu^{0Mui>_+s4 zjp!ymv9I9RYVdM?b{4OVeu$728HL$V((J4-zYD1>3e&vxFI_0%K0V}o+&0P7B#c9? zhzx~@sx39XqTivinAtpv?Yw(bQqsxKd3B2E&It5 zs6%_(SChtja!bXW4OT|)C=Vq^YmYI$>F??(w3&hSlp%B;-J^G{5P^5AVOyG2vCIBS zrM1L1Ewdc*lRZnTk;H)kmqOrVr@?B29){fNt!psH_AfE4z9)iJ-I)@8v+et-lvv%c zH;Jz8*ofvxdXQ(?H{6Gv_2;nGQugo*?9}vXVhjHwk>{A*Nr5Byz&CX;_gr4VGHyJ6 zZASvAKRq1e`k`GNV`VhK3ftUv;9{=ST$LM;k3}lt?g0Ltl{$?X;2d5FP7*PD)@1Xg zVn^<2cNUOdWjd~Z;?L8C@G|-A+{lU++|YPn_=FcSVqIySNw6}-l$vOZa2Xyn;`-tL z7xE;Whu;E{8aQ9(v(o{YRS*lW@xWI<@=!#9%%-uJ1H4@=2EGl~iIIb}qUEQ;(x4@4 Y*CmQES0I;+!jUfj{rdjp|Lg1j0SEqBf&c&j diff --git a/cpld/db/RAM2E.sta.qmsg b/cpld/db/RAM2E.sta.qmsg index 319ae9d..56b32d9 100755 --- a/cpld/db/RAM2E.sta.qmsg +++ b/cpld/db/RAM2E.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1591131666606 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1591131666607 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Jun 02 17:01:06 2020 " "Processing started: Tue Jun 02 17:01:06 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1591131666607 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1591131666607 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1591131666607 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1591131666668 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1591131666769 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1591131666805 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1591131666805 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1591131666836 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1591131666999 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1591131667120 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1591131667127 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1591131667127 "|RAM2E|ARCLK"} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1591131667130 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 28.330 " "Worst-case setup slack is 28.330" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591131667154 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591131667154 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 28.330 0.000 C14M " " 28.330 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591131667154 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1591131667154 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.425 " "Worst-case hold slack is 1.425" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591131667160 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591131667160 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.425 0.000 C14M " " 1.425 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591131667160 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1591131667160 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1591131667167 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1591131667172 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 34.654 " "Worst-case minimum pulse width slack is 34.654" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591131667178 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591131667178 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.654 0.000 C14M " " 34.654 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1591131667178 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1591131667178 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1591131667220 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1591131667245 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1591131667246 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1591131667319 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Jun 02 17:01:07 2020 " "Processing ended: Tue Jun 02 17:01:07 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1591131667319 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1591131667319 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1591131667319 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1591131667319 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1599607700619 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1599607700619 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 08 19:28:20 2020 " "Processing started: Tue Sep 08 19:28:20 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1599607700619 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1599607700619 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1599607700620 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1599607700695 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1599607700791 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1599607700831 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1599607700831 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1599607700861 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1599607701084 ""} +{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1599607701152 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1599607701162 "|RAM2E|DRCLK"} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1599607701162 "|RAM2E|ARCLK"} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1599607701162 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 22.276 " "Worst-case setup slack is 22.276" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 22.276 0.000 C14M " " 22.276 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701200 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1599607701200 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 3.130 " "Worst-case hold slack is 3.130" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701210 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701210 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.130 0.000 C14M " " 3.130 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701210 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1599607701210 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1599607701220 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1599607701220 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 34.581 " "Worst-case minimum pulse width slack is 34.581" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701230 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701230 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.581 0.000 C14M " " 34.581 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1599607701230 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1599607701230 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1599607701290 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1599607701310 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1599607701310 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4528 " "Peak virtual memory: 4528 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1599607701390 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 08 19:28:21 2020 " "Processing ended: Tue Sep 08 19:28:21 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1599607701390 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1599607701390 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1599607701390 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1599607701390 ""} diff --git a/cpld/db/RAM2E.sta.rdb b/cpld/db/RAM2E.sta.rdb index cf8ff43b565febe6de82af2236158904a066baa5..84c62b5f8df69c1a31d12f594376da61de9db9e4 100755 GIT binary patch delta 10516 zcmXweWk6j$(=A#YN^vVtthl?o9N^&Y?nMqzbW@z-?(Po7y|}v-x8hdZ>BrOe-u+`I znXDwURwnZ!IaXa$i%|vs%0ff^_o31LE1;-g{#WYL{jdBAed6=rVz#7SG{OOk&0-pH zQ8VeXgX}I!oB1?@uR5<{-Fm9hphM^GVdq{_rvg@4Rw>E=X(o^M6Y^MeZ~gT{3?+ww zwaCV?s}qNN=6jYfx+U`?qZS}SwddYwxdSrV1UkGu=x-9-nhd369wtVgCfv_k_A}Z# zT?~C6c9Vrex)Ku;%kOnt9F2F0{6gYk%(FWsUL`zgWJyY8tmRsyTptEW-hp$<;Y ztta4t>4x#j%7X&_G}IWSt7fYdKu;MALAcA?f;h8fN<6*fe z_GY1MG4!qwJ|I!JJMd)og^mkVl{RhAL6!OC3b4SqNJOnfVf^#$kFCRv< z3EFTE&^~SJH&k@8t#JNX{+;y_KrAfZ79pR9`Val5I|VhyGU_NUt@c}48dm4h-8Dg8 z-lMx#_o!{e4dh%~4?-mUFsAiWFgm#oCI8v+4+Bwx*7$=gHDA*0ccve%z9I(Gs9J?; zd=Ia(a-FwMjn3aWGiu!cP8EtMhAt-rX%W=xP*hnE+SIcP z3Y|rrmCik%A788y^-qPYA)!9Qnr*h3rk{=ed4m5nH}W@te8#X7fJ-TlP+B*PF0VW* z@q%EJcFRPAA9X@#yF=DCJa3y09Pqf7N#j4B=!cPk%jOSip2Uc|-%-C{l}$|7AaDj3 zEk0N-Ja%-v!xH?vR|rr z{~*?u)COf_D3i=@057y_NPk$!1NM-1>#tbD)Hjzvv(f)K8sUN-yxoWGLeGZ#GNSux$|T}t#^ubFjH!GfMe|) z1h*nw>>{!Wo!yFFBd9^S)#l@Shz0!MUU(itgG8UX0q2qGPgS-kkvOYNf)zx}4z1Cx z9d|^#IfVIKfNL{`+0jnK(~b%W;hxUqnb4d9aiOK`oxY^E-(Wps{4I6mf!MObZK#Yx zyQDyB7NvSRzF~j1>v~M{_|(@Q()Q~|T7t(qk1r(2nd3$?O&OO$P#`BRGf%LJOY%%} zyS?u#fPSdV?8Q{azJJy*)Xl{qzT_c?&b_xEgAEcq+hrS8+r92t^j{k%Cu+Bm7>WXw zMdmL*f=D^N95V(30>Fdv-p`um45j{T%sGKE|ZNoo4OA zm>8UAKhM&P(FG5;@{}dJha~t=pTyVD!Ia_kf=8H^U=*pdd9YBzkKgO$b$U$;h7BoH zeSlG{nfhav1M^@>ct-C8N@i|tXl#TX@g^>jt>zAHGOE7#W=*Pc@%^}FN-5n4R(~TL zxSK*NE^^p^ynVbO(f@p1ztxd%0L-P|(pZ^VlZl>Ut@1@{Z(2;}nfOV#4{Z_BJ2|&^ zT%q@{lF|(3Upz&NJIgabqBhXEta*bO2dzx;#;VvJ-O=S|{Xb>S47`icPA}@f`mN($ z2$Lt-Gr)7&9Piuk0 zBq2uup?j58(b+9dr)cRf2IX_y8w}v-JL!wTxZe9fKAc9`vrxfrEx zV3v;(^h|=f0p$ggeLc5#YF4IRMcgu9U&h=%3+6NUWTUZK0diT!HAg)7MV43n! z_#k`MkPUiTGEWRH2p2n8=^jR~pE9c9Piool=d{SYT?Rf3$-kwJAwm3ezByKy-*JuI z!u<%$(t_hS<9>7d<{3B1ua1P`H;wO8G|NS_n&;h;Bdl?x(p22;iP>>Vz&BPF*IxrW@Ak(R2dV0_+!mUF>w1pTk%!#r z)94pyT6*=MjRas?KUeaqz9dG8JeRp@p!Y5vTN4R$L9rEq@9UJO_uLJP7bDN%_4ual zFAZZ|L%qg^=7IQ@%}FFB@mjV*X;rPyH&(dG4i{$Ropqj{2E{x|gJD=MR3Za4dPr^_ zqVEA8zoCp!-4LEwR`^OU?5&gc*59|g1!fArra|$ zq*I7cX^w{H`9n1dKkW=phU$e-Vs3vTSETa4B#-%==Z}e;hv3gI+0ur$Ci-=DOTUj= zET$d$gQ@_lM2E{Z8SUy?2;v9HaLujYo37k%XQW~<(;vHjgMyeMv#q%@{9g~2{)mmd z&x<(yy;2SXX!bzRrpM#i`Z0YT;kF3fc~TBaiT={1!az5D_Mf5jb@Kle;nSB@c(z{P z0tUkb>i*BJWNHdT^KNX(iZ|N=}xx%jl+riGtbVK1I5uF|UYM5z*{xiQbJ{9a$p_i6wapDxmi+|57c7V*G^|caH zY^O`n8+sBQ_7j#_e~?~QJuAUa1?S<@&2R+4>g)Ki|R5l{lP*sDeNoL4G~OSt`}#x{puLAbJ^$mSydSSm8W_i&z25p6Exn)roS#Fskx*m&9l@_8aqR{N=YX|dpsxDx`Ol& zR$?fwu1|ErRL$$w(qdC09g)5Af;=@a;Ft+qb@giV zAqQvXK$~WmE0Q$jb8~z+m*K#%{)A8%yzz<N=5IKsv#P|>VShcFBwvh z95qwjj|xc4rPo})(+7N&QolB!MAzrbrzS3o-Pd{oad5Wki1#CnTA$08-^BJawXno} zdT_<`Fi|w0r`x&@!!WOjb4S5y!KDT0h6{82y?9P>{VYdk15)h8YMx2VbXk3Vzjgvk?B?#M}4_o@hHTROG04r^{*BbM%7c=ezFKCN!0+e>ob zzcT87jT)J=UPe{gue+pMe3+Zc2*IVsYg>O!ATuEqnA$V6-VnKbmHUHHNBjNb{A!C9 z`bj^_a;uapG|-K}WxQ@UN3UeOgk>YhAQjBN_3-iWL$eF%%prdfq$2>U6OTB)EtO0|j~7v$2X%w40eX5ltY>P6Em* zizzKwr=i|*e}BLXfTb}kw1AbyepnLenX((IyQ&k7kD`p^#k~kcw@h0NP_yFH zE6Dra9=?_G{7UkilN59%-kQ+K9=`Z&SEPU-@GP*!W$CX!&?lVWADsD$ub;5m74Csq z(wRC#*Y#0v$?&U`%r=NjTeqOQfd7kmHl(OL>*mTfo2zaH2;Xb@Ls-kb#=269V?fbA z3n+U;T6)uCSLf3Fz~lUR+nf?sGl