diff --git a/.gitignore b/.gitignore index 3177f76..3b47f9c 100644 --- a/.gitignore +++ b/.gitignore @@ -39,3 +39,4 @@ CPLD/LCMXO*/impl1/* !CPLD/LCMXO*/impl1/*.srr !CPLD/LCMXO*/impl1/*.twr !CPLD/LCMXO*/impl1/*.tw1 +*.bak diff --git a/CPLD/MAXII/RAM2E.qsf b/CPLD/MAXII/RAM2E.qsf index 722f2da..7373ad3 100644 --- a/CPLD/MAXII/RAM2E.qsf +++ b/CPLD/MAXII/RAM2E.qsf @@ -42,7 +42,7 @@ set_global_assignment -name DEVICE EPM240T100C5 set_global_assignment -name TOP_LEVEL_ENTITY RAM2E set_global_assignment -name ORIGINAL_QUARTUS_VERSION 19.1.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "07:26:23 AUGUST 20, 2023" -set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 SP0.02std Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 @@ -240,9 +240,15 @@ set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" set_global_assignment -name VERILOG_FILE "../RAM2E-MAX.v" set_global_assignment -name QIP_FILE UFM.qip -set_global_assignment -name MIF_FILE ../RAM2E.mif +set_global_assignment -name MIF_FILE ../RAM2E-MAX.mif set_global_assignment -name SDC_FILE ../RAM2E.sdc set_global_assignment -name SDC_FILE "../RAM2E-MAX.sdc" set_location_assignment PIN_88 -to LED set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LED -set_instance_assignment -name SLOW_SLEW_RATE ON -to LED \ No newline at end of file +set_instance_assignment -name SLOW_SLEW_RATE ON -to LED +set_location_assignment PIN_81 -to RCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RCLK +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RCLK +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RCLK +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RCLK +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RCLK \ No newline at end of file diff --git a/CPLD/MAXII/RAM2E.qws b/CPLD/MAXII/RAM2E.qws index 8de3d3e..b9a4426 100644 Binary files a/CPLD/MAXII/RAM2E.qws and b/CPLD/MAXII/RAM2E.qws differ diff --git a/CPLD/MAXII/UFM.v b/CPLD/MAXII/UFM.v index b139ed2..dc6b2e8 100644 --- a/CPLD/MAXII/UFM.v +++ b/CPLD/MAXII/UFM.v @@ -34,7 +34,7 @@ //https://fpgasoftware.intel.com/eula. -//altufm_none CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="MAX II" ERASE_TIME=500000000 LPM_FILE="../RAM2E.mif" OSC_FREQUENCY=180000 PORT_ARCLKENA="PORT_UNUSED" PORT_DRCLKENA="PORT_UNUSED" PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 arclk ardin arshft busy drclk drdin drdout drshft erase osc oscena program rtpbusy +//altufm_none CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="MAX II" ERASE_TIME=500000000 LPM_FILE="../RAM2E-MAX.mif" OSC_FREQUENCY=180000 PORT_ARCLKENA="PORT_UNUSED" PORT_DRCLKENA="PORT_UNUSED" PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 arclk ardin arshft busy drclk drdin drdout drshft erase osc oscena program rtpbusy //VERSION_BEGIN 19.1 cbx_a_gray2bin 2019:09:22:11:00:27:SJ cbx_a_graycounter 2019:09:22:11:00:27:SJ cbx_altufm_none 2019:09:22:11:00:28:SJ cbx_cycloneii 2019:09:22:11:00:28:SJ cbx_lpm_add_sub 2019:09:22:11:00:28:SJ cbx_lpm_compare 2019:09:22:11:00:28:SJ cbx_lpm_counter 2019:09:22:11:00:28:SJ cbx_lpm_decode 2019:09:22:11:00:28:SJ cbx_lpm_mux 2019:09:22:11:00:28:SJ cbx_maxii 2019:09:22:11:00:28:SJ cbx_mgl 2019:09:22:11:02:15:SJ cbx_nadder 2019:09:22:11:00:28:SJ cbx_stratix 2019:09:22:11:00:28:SJ cbx_stratixii 2019:09:22:11:00:28:SJ cbx_util_mgl 2019:09:22:11:00:28:SJ VERSION_END // synthesis VERILOG_INPUT_VERSION VERILOG_2001 // altera message_off 10463 @@ -118,7 +118,7 @@ module UFM_altufm_none_lbr defparam maxii_ufm_block1.address_width = 9, maxii_ufm_block1.erase_time = 500000000, - maxii_ufm_block1.init_file = "../RAM2E.mif", + maxii_ufm_block1.init_file = "../RAM2E-MAX.mif", maxii_ufm_block1.mem1 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, maxii_ufm_block1.mem10 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, maxii_ufm_block1.mem11 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, @@ -225,7 +225,7 @@ endmodule // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX II" // Retrieval info: CONSTANT: ERASE_TIME NUMERIC "500000000" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX II" -// Retrieval info: CONSTANT: LPM_FILE STRING "../RAM2E.mif" +// Retrieval info: CONSTANT: LPM_FILE STRING "../RAM2E-MAX.mif" // Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED" // Retrieval info: CONSTANT: LPM_TYPE STRING "altufm_none" // Retrieval info: CONSTANT: OSC_FREQUENCY NUMERIC "180000" diff --git a/CPLD/MAXII/output_files/RAM2E.asm.rpt b/CPLD/MAXII/output_files/RAM2E.asm.rpt index c9fac51..6d5a354 100644 --- a/CPLD/MAXII/output_files/RAM2E.asm.rpt +++ b/CPLD/MAXII/output_files/RAM2E.asm.rpt @@ -1,6 +1,6 @@ Assembler report for RAM2E -Thu Sep 21 05:34:41 2023 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Tue Nov 21 06:54:42 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition --------------------- @@ -10,7 +10,7 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof + 5. Assembler Device Options: Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof 6. Assembler Messages @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Sep 21 05:34:41 2023 ; +; Assembler Status ; Successful - Tue Nov 21 06:54:42 2023 ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX II ; @@ -53,23 +53,23 @@ https://fpgasoftware.intel.com/eula. +--------+---------+---------------+ -+------------------------------------------------+ -; Assembler Generated Files ; -+------------------------------------------------+ -; File Name ; -+------------------------------------------------+ -; /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof ; -+------------------------------------------------+ ++--------------------------------------------------+ +; Assembler Generated Files ; ++--------------------------------------------------+ +; File Name ; ++--------------------------------------------------+ +; Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof ; ++--------------------------------------------------+ -+--------------------------------------------------------------------------+ -; Assembler Device Options: /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof ; -+----------------+---------------------------------------------------------+ -; Option ; Setting ; -+----------------+---------------------------------------------------------+ -; JTAG usercode ; 0x0016D33C ; -; Checksum ; 0x0016D634 ; -+----------------+---------------------------------------------------------+ ++----------------------------------------------------------------------------+ +; Assembler Device Options: Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof ; ++----------------+-----------------------------------------------------------+ +; Option ; Setting ; ++----------------+-----------------------------------------------------------+ +; JTAG usercode ; 0x0016C0A4 ; +; Checksum ; 0x0016C524 ; ++----------------+-----------------------------------------------------------+ +--------------------+ @@ -77,15 +77,15 @@ https://fpgasoftware.intel.com/eula. +--------------------+ Info: ******************************************************************* Info: Running Quartus Prime Assembler - Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Thu Sep 21 05:34:39 2023 + Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition + Info: Processing started: Tue Nov 21 06:54:41 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E-MAXII -c RAM2E Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 13092 megabytes - Info: Processing ended: Thu Sep 21 05:34:41 2023 - Info: Elapsed time: 00:00:02 + Info: Peak virtual memory: 13068 megabytes + Info: Processing ended: Tue Nov 21 06:54:42 2023 + Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXII/output_files/RAM2E.done b/CPLD/MAXII/output_files/RAM2E.done index 9e5945b..bf96c71 100644 --- a/CPLD/MAXII/output_files/RAM2E.done +++ b/CPLD/MAXII/output_files/RAM2E.done @@ -1 +1 @@ -Thu Sep 21 05:34:46 2023 +Tue Nov 21 06:54:46 2023 diff --git a/CPLD/MAXII/output_files/RAM2E.fit.rpt b/CPLD/MAXII/output_files/RAM2E.fit.rpt index 5adbd10..361cbba 100644 --- a/CPLD/MAXII/output_files/RAM2E.fit.rpt +++ b/CPLD/MAXII/output_files/RAM2E.fit.rpt @@ -1,6 +1,6 @@ Fitter report for RAM2E -Thu Sep 21 05:34:37 2023 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Tue Nov 21 06:54:39 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition --------------------- @@ -54,21 +54,21 @@ https://fpgasoftware.intel.com/eula. -+---------------------------------------------------------------------+ -; Fitter Summary ; -+-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Thu Sep 21 05:34:37 2023 ; -; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Revision Name ; RAM2E ; -; Top-level Entity Name ; RAM2E ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 197 / 240 ( 82 % ) ; -; Total pins ; 70 / 80 ( 88 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+-----------------------+---------------------------------------------+ ++-------------------------------------------------------------------------------------+ +; Fitter Summary ; ++-----------------------+-------------------------------------------------------------+ +; Fitter Status ; Successful - Tue Nov 21 06:54:39 2023 ; +; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; +; Revision Name ; RAM2E ; +; Top-level Entity Name ; RAM2E ; +; Family ; MAX II ; +; Device ; EPM240T100C5 ; +; Timing Models ; Final ; +; Total logic elements ; 209 / 240 ( 87 % ) ; +; Total pins ; 71 / 80 ( 89 % ) ; +; Total virtual pins ; 0 ; +; UFM blocks ; 1 / 1 ( 100 % ) ; ++-----------------------+-------------------------------------------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------+ @@ -129,20 +129,20 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.03 ; +; Average used ; 1.02 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 1.2% ; -; Processors 3-4 ; 1.1% ; +; Processor 2 ; 0.9% ; +; Processors 3-4 ; 0.7% ; +----------------------------+-------------+ +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. +The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. +---------------------------------------------------------------------+ @@ -150,31 +150,31 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. +---------------------------------------------+-----------------------+ ; Resource ; Usage ; +---------------------------------------------+-----------------------+ -; Total logic elements ; 197 / 240 ( 82 % ) ; -; -- Combinational with no register ; 85 ; +; Total logic elements ; 209 / 240 ( 87 % ) ; +; -- Combinational with no register ; 95 ; ; -- Register only ; 19 ; -; -- Combinational with a register ; 93 ; +; -- Combinational with a register ; 95 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 103 ; -; -- 3 input functions ; 29 ; -; -- 2 input functions ; 42 ; -; -- 1 input functions ; 3 ; +; -- 4 input functions ; 99 ; +; -- 3 input functions ; 33 ; +; -- 2 input functions ; 53 ; +; -- 1 input functions ; 4 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 183 ; +; -- normal mode ; 195 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 8 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 12 ; +; -- synchronous clear/load mode ; 10 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 112 / 240 ( 47 % ) ; +; Total registers ; 114 / 240 ( 48 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 15 ; ; Virtual pins ; 0 ; -; I/O pins ; 70 / 80 ( 88 % ) ; +; I/O pins ; 71 / 80 ( 89 % ) ; ; -- Clock pins ; 3 / 4 ( 75 % ) ; ; ; ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -185,12 +185,12 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. ; Global signals ; 1 ; ; -- Global clocks ; 1 / 4 ( 25 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 22.5% / 24.3% / 20.7% ; -; Peak interconnect usage (total/H/V) ; 22.5% / 24.3% / 20.7% ; -; Maximum fan-out ; 112 ; -; Highest non-global fan-out ; 31 ; -; Total fan-out ; 847 ; -; Average fan-out ; 3.16 ; +; Average interconnect usage (total/H/V) ; 22.4% / 22.7% / 22.1% ; +; Peak interconnect usage (total/H/V) ; 22.4% / 22.7% / 22.1% ; +; Maximum fan-out ; 114 ; +; Highest non-global fan-out ; 36 ; +; Total fan-out ; 872 ; +; Average fan-out ; 3.10 ; +---------------------------------------------+-----------------------+ @@ -207,16 +207,16 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. ; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 112 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 14 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 12 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 114 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 12 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nC07X ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; @@ -231,30 +231,31 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; BA[0] ; 6 ; 1 ; 1 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; BA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; CKE ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; CKE ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; DQMH ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[0] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Dout[1] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; Dout[2] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Dout[1] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Dout[2] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[3] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[4] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[5] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[6] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[7] ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; LED ; 88 ; 2 ; 5 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; LED ; 88 ; 2 ; 5 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; ; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RA[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[2] ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RA[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[4] ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RCLK ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; @@ -264,8 +265,8 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. ; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nCAS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; nCS ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nCS ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nRAS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nRWE ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nVOE ; 50 ; 1 ; 7 ; 0 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -294,7 +295,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+-------------------+---------------+--------------+ ; 1 ; 38 / 38 ( 100 % ) ; 3.3V ; -- ; -; 2 ; 32 / 42 ( 76 % ) ; 3.3V ; -- ; +; 2 ; 33 / 42 ( 79 % ) ; 3.3V ; -- ; +----------+-------------------+---------------+--------------+ @@ -383,7 +384,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin. ; 78 ; 64 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; 79 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; ; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 81 ; 65 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 81 ; 65 ; 2 ; RCLK ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; ; 82 ; 66 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; 83 ; 67 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; 84 ; 68 ; 2 ; Dout[6] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; @@ -428,7 +429,7 @@ Note: User assignments will override these defaults. The user specified values a +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 197 (197) ; 112 ; 1 ; 70 ; 0 ; 85 (85) ; 19 (19) ; 93 (93) ; 15 (15) ; 8 (8) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 209 (209) ; 114 ; 1 ; 71 ; 0 ; 95 (95) ; 19 (19) ; 95 (95) ; 15 (15) ; 8 (8) ; |RAM2E ; RAM2E ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ @@ -440,6 +441,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------------+ ; Name ; Pin Type ; Pad to Core 0 ; +---------+----------+---------------+ +; RCLK ; Output ; -- ; ; LED ; Output ; -- ; ; Dout[0] ; Output ; -- ; ; Dout[1] ; Output ; -- ; @@ -491,8 +493,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nEN80 ; Input ; (0) ; ; nWE ; Input ; (0) ; ; PHI1 ; Input ; (1) ; -; Din[0] ; Input ; (0) ; ; C14M ; Input ; (0) ; +; Din[0] ; Input ; (0) ; ; nWE80 ; Input ; (0) ; ; Ain[0] ; Input ; (0) ; ; Ain[1] ; Input ; (0) ; @@ -513,22 +515,23 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------------+ -+-------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+------------+-------------+---------+---------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+------------+-------------+---------+---------------+--------+----------------------+------------------+ -; C14M ; PIN_12 ; 112 ; Clock ; yes ; Global Clock ; GCLK0 ; -; CS[0]~2 ; LC_X3_Y2_N8 ; 3 ; Clock enable ; no ; -- ; -- ; -; Equal9~1 ; LC_X3_Y3_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -; Equal9~2 ; LC_X7_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; RA[0]~15 ; LC_X2_Y2_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -; RDOE ; LC_X3_Y3_N5 ; 8 ; Output enable ; no ; -- ; -- ; -; RWMask~1 ; LC_X4_Y1_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -; S[2] ; LC_X6_Y3_N6 ; 22 ; Sync. clear ; no ; -- ; -- ; -; UFMD[15]~0 ; LC_X6_Y1_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -; always2~8 ; LC_X3_Y3_N7 ; 16 ; Clock enable ; no ; -- ; -- ; -+------------+-------------+---------+---------------+--------+----------------------+------------------+ ++---------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++--------------+-------------+---------+---------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++--------------+-------------+---------+---------------+--------+----------------------+------------------+ +; C14M ; PIN_12 ; 114 ; Clock ; yes ; Global Clock ; GCLK0 ; +; CS[0]~2 ; LC_X6_Y2_N5 ; 3 ; Clock enable ; no ; -- ; -- ; +; Equal17~1 ; LC_X7_Y2_N8 ; 16 ; Clock enable ; no ; -- ; -- ; +; Equal17~2 ; LC_X7_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; RA[0]~15 ; LC_X2_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; RDOE ; LC_X3_Y4_N4 ; 8 ; Output enable ; no ; -- ; -- ; +; RWMask~1 ; LC_X4_Y2_N6 ; 8 ; Clock enable ; no ; -- ; -- ; +; S[2] ; LC_X5_Y1_N4 ; 22 ; Sync. clear ; no ; -- ; -- ; +; UFMD[7]~0 ; LC_X3_Y2_N0 ; 9 ; Clock enable ; no ; -- ; -- ; +; UFMProgram~3 ; LC_X3_Y3_N1 ; 2 ; Clock enable ; no ; -- ; -- ; +; always4~10 ; LC_X5_Y2_N5 ; 16 ; Clock enable ; no ; -- ; -- ; ++--------------+-------------+---------+---------------+--------+----------------------+------------------+ +---------------------------------------------------------------------+ @@ -536,7 +539,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+----------+---------+----------------------+------------------+ -; C14M ; PIN_12 ; 112 ; Global Clock ; GCLK0 ; +; C14M ; PIN_12 ; 114 ; Global Clock ; GCLK0 ; +------+----------+---------+----------------------+------------------+ @@ -545,120 +548,115 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 139 / 784 ( 18 % ) ; -; Direct links ; 38 / 888 ( 4 % ) ; +; C4s ; 138 / 784 ( 18 % ) ; +; Direct links ; 37 / 888 ( 4 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; LAB clocks ; 6 / 32 ( 19 % ) ; -; LUT chains ; 4 / 216 ( 2 % ) ; -; Local interconnects ; 282 / 888 ( 32 % ) ; -; R4s ; 134 / 704 ( 19 % ) ; +; LUT chains ; 5 / 216 ( 2 % ) ; +; Local interconnects ; 268 / 888 ( 30 % ) ; +; R4s ; 126 / 704 ( 18 % ) ; +-----------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 8.21) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 8.71) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ -; 1 ; 2 ; +; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 1 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 1 ; +; 3 ; 2 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 2 ; ; 7 ; 0 ; -; 8 ; 3 ; -; 9 ; 3 ; -; 10 ; 13 ; +; 8 ; 1 ; +; 9 ; 1 ; +; 10 ; 17 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.38) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.33) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Clock ; 23 ; -; 1 Clock enable ; 9 ; -; 2 Clock enables ; 1 ; +; 1 Clock ; 22 ; +; 1 Clock enable ; 7 ; +; 2 Clock enables ; 3 ; +------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 8.54) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced (Average = 8.96) ; Number of LABs (Total = 24) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 2 ; +; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 1 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 1 ; +; 3 ; 2 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 2 ; ; 7 ; 0 ; -; 8 ; 3 ; -; 9 ; 2 ; -; 10 ; 11 ; -; 11 ; 1 ; -; 12 ; 1 ; +; 8 ; 1 ; +; 9 ; 1 ; +; 10 ; 16 ; +; 11 ; 0 ; +; 12 ; 0 ; ; 13 ; 0 ; -; 14 ; 1 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 1 ; +---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.33) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 6.04) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 2 ; -; 2 ; 0 ; -; 3 ; 2 ; +; 1 ; 0 ; +; 2 ; 1 ; +; 3 ; 4 ; ; 4 ; 2 ; -; 5 ; 3 ; -; 6 ; 4 ; -; 7 ; 3 ; -; 8 ; 2 ; -; 9 ; 2 ; -; 10 ; 3 ; -; 11 ; 0 ; -; 12 ; 1 ; +; 5 ; 1 ; +; 6 ; 6 ; +; 7 ; 4 ; +; 8 ; 3 ; +; 9 ; 1 ; +; 10 ; 1 ; +; 11 ; 1 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 10.42) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 10.25) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 3 ; -; 4 ; 0 ; +; 3 ; 1 ; +; 4 ; 2 ; ; 5 ; 2 ; -; 6 ; 2 ; -; 7 ; 1 ; +; 6 ; 1 ; +; 7 ; 0 ; ; 8 ; 3 ; -; 9 ; 1 ; -; 10 ; 0 ; +; 9 ; 3 ; +; 10 ; 1 ; ; 11 ; 2 ; ; 12 ; 2 ; ; 13 ; 1 ; -; 14 ; 2 ; -; 15 ; 1 ; +; 14 ; 0 ; +; 15 ; 2 ; ; 16 ; 1 ; -; 17 ; 1 ; +; 17 ; 2 ; ; 18 ; 0 ; ; 19 ; 1 ; -; 20 ; 0 ; -; 21 ; 0 ; -; 22 ; 0 ; -; 23 ; 0 ; -; 24 ; 0 ; -; 25 ; 1 ; +----------------------------------------------+------------------------------+ @@ -701,10 +699,12 @@ Info (332111): Found 3 clocks Info (332111): 69.841 C14M Info (332111): 200.000 DRCLK Info (186079): Completed User Assigned Global Signals Promotion Operation -Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 8 +Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 8 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing Info (186468): Started processing fast register assignments +Warning (186473): Ignored the FAST_OUTPUT_REGISTER assignment made to the following nodes + Warning (186483): Ignored assignment to node "nCS" because the DATAIN port is unconnected File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 43 Info (186469): Finished processing fast register assignments Info (176235): Finished register packing Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 @@ -715,25 +715,25 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170089): 5e+01 ns of routing delay (approximately 3.1% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. -Info (170195): Router estimated average interconnect usage is 20% of the available device resources - Info (170196): Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170089): 4e+01 ns of routing delay (approximately 2.5% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. +Info (170195): Router estimated average interconnect usage is 21% of the available device resources + Info (170196): Router estimated peak interconnect usage is 21% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped -Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.83 seconds. +Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (11888): Total time spent on timing analysis during the Fitter is 0.45 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 -Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.fit.smsg -Info: Quartus Prime Fitter was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13770 megabytes - Info: Processing ended: Thu Sep 21 05:34:37 2023 - Info: Elapsed time: 00:00:04 +Info (144001): Generated suppressed messages file Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 13750 megabytes + Info: Processing ended: Tue Nov 21 06:54:39 2023 + Info: Elapsed time: 00:00:06 Info: Total CPU time (on all processors): 00:00:04 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.fit.smsg. +The suppressed messages can be found in Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.fit.smsg. diff --git a/CPLD/MAXII/output_files/RAM2E.fit.summary b/CPLD/MAXII/output_files/RAM2E.fit.summary index f06b8fb..5b8bfe7 100644 --- a/CPLD/MAXII/output_files/RAM2E.fit.summary +++ b/CPLD/MAXII/output_files/RAM2E.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Thu Sep 21 05:34:37 2023 -Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Fitter Status : Successful - Tue Nov 21 06:54:39 2023 +Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 197 / 240 ( 82 % ) -Total pins : 70 / 80 ( 88 % ) +Total logic elements : 209 / 240 ( 87 % ) +Total pins : 71 / 80 ( 89 % ) Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII/output_files/RAM2E.flow.rpt b/CPLD/MAXII/output_files/RAM2E.flow.rpt index ecb9121..e4c1ec2 100644 --- a/CPLD/MAXII/output_files/RAM2E.flow.rpt +++ b/CPLD/MAXII/output_files/RAM2E.flow.rpt @@ -1,6 +1,6 @@ Flow report for RAM2E -Thu Sep 21 05:34:45 2023 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Tue Nov 21 06:54:45 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition --------------------- @@ -38,21 +38,21 @@ https://fpgasoftware.intel.com/eula. -+---------------------------------------------------------------------+ -; Flow Summary ; -+-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Thu Sep 21 05:34:41 2023 ; -; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Revision Name ; RAM2E ; -; Top-level Entity Name ; RAM2E ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 197 / 240 ( 82 % ) ; -; Total pins ; 70 / 80 ( 88 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+-----------------------+---------------------------------------------+ ++-------------------------------------------------------------------------------------+ +; Flow Summary ; ++-----------------------+-------------------------------------------------------------+ +; Flow Status ; Successful - Tue Nov 21 06:54:42 2023 ; +; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; +; Revision Name ; RAM2E ; +; Top-level Entity Name ; RAM2E ; +; Family ; MAX II ; +; Device ; EPM240T100C5 ; +; Timing Models ; Final ; +; Total logic elements ; 209 / 240 ( 87 % ) ; +; Total pins ; 71 / 80 ( 89 % ) ; +; Total virtual pins ; 0 ; +; UFM blocks ; 1 / 1 ( 100 % ) ; ++-----------------------+-------------------------------------------------------------+ +-----------------------------------------+ @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 09/21/2023 05:33:57 ; +; Start date & time ; 11/21/2023 06:54:05 ; ; Main task ; Compilation ; ; Revision Name ; RAM2E ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------+------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +---------------------------------------+------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 121381084694.169528883703908 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121381084694.170056764503816 ; -- ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ; @@ -86,11 +86,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:35 ; 1.0 ; 13144 MB ; 00:00:49 ; -; Fitter ; 00:00:04 ; 1.0 ; 13770 MB ; 00:00:04 ; -; Assembler ; 00:00:02 ; 1.0 ; 13091 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:02 ; 1.0 ; 13089 MB ; 00:00:02 ; -; Total ; 00:00:43 ; -- ; -- ; 00:00:56 ; +; Analysis & Synthesis ; 00:00:28 ; 1.0 ; 13107 MB ; 00:00:45 ; +; Fitter ; 00:00:06 ; 1.0 ; 13750 MB ; 00:00:04 ; +; Assembler ; 00:00:01 ; 1.0 ; 13068 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:02 ; 1.0 ; 13066 MB ; 00:00:01 ; +; Total ; 00:00:37 ; -- ; -- ; 00:00:51 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/CPLD/MAXII/output_files/RAM2E.jdi b/CPLD/MAXII/output_files/RAM2E.jdi index eb86129..dad2d4f 100644 --- a/CPLD/MAXII/output_files/RAM2E.jdi +++ b/CPLD/MAXII/output_files/RAM2E.jdi @@ -1,6 +1,6 @@ - + diff --git a/CPLD/MAXII/output_files/RAM2E.map.rpt b/CPLD/MAXII/output_files/RAM2E.map.rpt index da29b55..3e74109 100644 --- a/CPLD/MAXII/output_files/RAM2E.map.rpt +++ b/CPLD/MAXII/output_files/RAM2E.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for RAM2E -Thu Sep 21 05:34:32 2023 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Tue Nov 21 06:54:32 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition --------------------- @@ -43,19 +43,19 @@ https://fpgasoftware.intel.com/eula. -+---------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Sep 21 05:34:32 2023 ; -; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Revision Name ; RAM2E ; -; Top-level Entity Name ; RAM2E ; -; Family ; MAX II ; -; Total logic elements ; 205 ; -; Total pins ; 70 ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+-----------------------------+---------------------------------------------+ ++-------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+-------------------------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Tue Nov 21 06:54:32 2023 ; +; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; +; Revision Name ; RAM2E ; +; Top-level Entity Name ; RAM2E ; +; Family ; MAX II ; +; Total logic elements ; 217 ; +; Total pins ; 71 ; +; Total virtual pins ; 0 ; +; UFM blocks ; 1 / 1 ( 100 % ) ; ++-----------------------------+-------------------------------------------------------------+ +------------------------------------------------------------------------------------------------------------+ @@ -146,15 +146,15 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+ -; ../RAM2E-MAX.v ; yes ; User Verilog HDL File ; //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v ; ; -; UFM.v ; yes ; User Wizard-Generated File ; //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v ; ; -; ../RAM2E.mif ; yes ; User Memory Initialization File ; //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.mif ; ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+ ++-------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------+---------+ +; ../RAM2E-MAX.v ; yes ; User Verilog HDL File ; Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v ; ; +; UFM.v ; yes ; User Wizard-Generated File ; Y:/Repos/RAM2E/CPLD/MAXII/UFM.v ; ; +; ../RAM2E-MAX.mif ; yes ; User Memory Initialization File ; Y:/Repos/RAM2E/CPLD/RAM2E-MAX.mif ; ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------+---------+ +-----------------------------------------------------+ @@ -162,34 +162,34 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 205 ; -; -- Combinational with no register ; 93 ; +; Total logic elements ; 217 ; +; -- Combinational with no register ; 103 ; ; -- Register only ; 27 ; -; -- Combinational with a register ; 85 ; +; -- Combinational with a register ; 87 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 103 ; -; -- 3 input functions ; 29 ; -; -- 2 input functions ; 42 ; -; -- 1 input functions ; 3 ; +; -- 4 input functions ; 99 ; +; -- 3 input functions ; 33 ; +; -- 2 input functions ; 53 ; +; -- 1 input functions ; 4 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 191 ; +; -- normal mode ; 203 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 1 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 112 ; +; Total registers ; 114 ; ; Total logic cells in carry chains ; 15 ; -; I/O pins ; 70 ; +; I/O pins ; 71 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; -; Maximum fan-out ; 112 ; -; Total fan-out ; 850 ; -; Average fan-out ; 3.08 ; +; Maximum fan-out ; 114 ; +; Total fan-out ; 873 ; +; Average fan-out ; 3.02 ; +---------------------------------------------+-------+ @@ -198,7 +198,7 @@ https://fpgasoftware.intel.com/eula. +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 205 (205) ; 112 ; 1 ; 70 ; 0 ; 93 (93) ; 27 (27) ; 85 (85) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 217 (217) ; 114 ; 1 ; 71 ; 0 ; 103 (103) ; 27 (27) ; 87 (87) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ @@ -219,12 +219,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 112 ; +; Total registers ; 114 ; ; Number of registers using Synchronous Clear ; 1 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 60 ; +; Number of registers using Clock Enable ; 63 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -234,13 +234,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------+---------+ ; Inverted Register ; Fan out ; +----------------------------------------+---------+ -; nCS~reg0 ; 1 ; ; nRAS~reg0 ; 1 ; ; nCAS~reg0 ; 1 ; ; nRWE~reg0 ; 1 ; ; DQML~reg0 ; 1 ; ; DQMH~reg0 ; 1 ; -; Total number of inverted registers = 6 ; ; +; Total number of inverted registers = 5 ; ; +----------------------------------------+---------+ @@ -250,7 +249,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |RAM2E|RA[0]~reg0 ; -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[2] ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[1] ; ; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[0] ; ; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RWMask[4] ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -272,35 +271,37 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------+ Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis - Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Thu Sep 21 05:33:57 2023 + Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition + Info: Processing started: Tue Nov 21 06:54:04 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXII -c RAM2E Info (20032): Parallel compilation is enabled and will use up to 4 processors -Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2e/cpld/ram2e-max.v - Info (12023): Found entity 1: RAM2E File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 1 +Info (12021): Found 1 design units, including 1 entities, in source file /repos/ram2e/cpld/ram2e-max.v + Info (12023): Found entity 1: RAM2E File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 1 Info (12021): Found 2 design units, including 2 entities, in source file ufm.v - Info (12023): Found entity 1: UFM_altufm_none_lbr File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 47 - Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 166 + Info (12023): Found entity 1: UFM_altufm_none_lbr File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 47 + Info (12023): Found entity 2: UFM File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 166 Info (12127): Elaborating entity "RAM2E" for the top level hierarchy -Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 93 -Info (12128): Elaborating entity "UFM_altufm_none_lbr" for hierarchy "UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component" File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 217 -Info (21057): Implemented 276 device resources after synthesis - the final resource count might be different +Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 98 +Info (12128): Elaborating entity "UFM_altufm_none_lbr" for hierarchy "UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component" File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 217 +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "nCS" is stuck at GND File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 43 +Info (21057): Implemented 289 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins - Info (21059): Implemented 40 output pins + Info (21059): Implemented 41 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 205 logic cells + Info (21061): Implemented 217 logic cells Info (21070): Implemented 1 User Flash Memory blocks -Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.map.smsg -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 13144 megabytes - Info: Processing ended: Thu Sep 21 05:34:32 2023 - Info: Elapsed time: 00:00:35 - Info: Total CPU time (on all processors): 00:00:49 +Info (144001): Generated suppressed messages file Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.map.smsg +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 13107 megabytes + Info: Processing ended: Tue Nov 21 06:54:32 2023 + Info: Elapsed time: 00:00:28 + Info: Total CPU time (on all processors): 00:00:45 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.map.smsg. +The suppressed messages can be found in Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.map.smsg. diff --git a/CPLD/MAXII/output_files/RAM2E.map.smsg b/CPLD/MAXII/output_files/RAM2E.map.smsg index 06be456..2f8dc57 100644 --- a/CPLD/MAXII/output_files/RAM2E.map.smsg +++ b/CPLD/MAXII/output_files/RAM2E.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at RAM2E-MAX.v(46): extended using "x" or "z" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 46 -Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 73 -Warning (10463): Verilog HDL Declaration warning at UFM.v(189): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 189 +Warning (10273): Verilog HDL warning at RAM2E-MAX.v(51): extended using "x" or "z" File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 51 +Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 73 +Warning (10463): Verilog HDL Declaration warning at UFM.v(189): "program" is SystemVerilog-2005 keyword File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 189 diff --git a/CPLD/MAXII/output_files/RAM2E.map.summary b/CPLD/MAXII/output_files/RAM2E.map.summary index 8b68ed4..75fae6d 100644 --- a/CPLD/MAXII/output_files/RAM2E.map.summary +++ b/CPLD/MAXII/output_files/RAM2E.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Thu Sep 21 05:34:32 2023 -Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Analysis & Synthesis Status : Successful - Tue Nov 21 06:54:32 2023 +Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX II -Total logic elements : 205 -Total pins : 70 +Total logic elements : 217 +Total pins : 71 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII/output_files/RAM2E.pin b/CPLD/MAXII/output_files/RAM2E.pin index f8fa7ef..ed77856 100644 --- a/CPLD/MAXII/output_files/RAM2E.pin +++ b/CPLD/MAXII/output_files/RAM2E.pin @@ -58,7 +58,7 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition CHIP "RAM2E" ASSIGNED TO AN: EPM240T100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment @@ -143,7 +143,7 @@ Dout[0] : 77 : output : 3.3-V LVCMOS : GND* : 78 : : : : 2 : GNDIO : 79 : gnd : : : : VCCIO2 : 80 : power : : 3.3V : 2 : -GND* : 81 : : : : 2 : +RCLK : 81 : output : 3.3-V LVCMOS : : 2 : Y GND* : 82 : : : : 2 : GND* : 83 : : : : 2 : Dout[6] : 84 : output : 3.3-V LVCMOS : : 2 : Y diff --git a/CPLD/MAXII/output_files/RAM2E.pof b/CPLD/MAXII/output_files/RAM2E.pof index d5b599e..c7ddf8e 100644 Binary files a/CPLD/MAXII/output_files/RAM2E.pof and b/CPLD/MAXII/output_files/RAM2E.pof differ diff --git a/CPLD/MAXII/output_files/RAM2E.sta.rpt b/CPLD/MAXII/output_files/RAM2E.sta.rpt index 1a2c492..fb84f78 100644 --- a/CPLD/MAXII/output_files/RAM2E.sta.rpt +++ b/CPLD/MAXII/output_files/RAM2E.sta.rpt @@ -1,6 +1,6 @@ Timing Analyzer report for RAM2E -Thu Sep 21 05:34:45 2023 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Tue Nov 21 06:54:45 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition --------------------- @@ -20,8 +20,8 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition 12. Setup: 'DRCLK' 13. Setup: 'ARCLK' 14. Setup: 'C14M' - 15. Hold: 'ARCLK' - 16. Hold: 'DRCLK' + 15. Hold: 'DRCLK' + 16. Hold: 'ARCLK' 17. Hold: 'C14M' 18. Setup Transfers 19. Hold Transfers @@ -57,18 +57,18 @@ https://fpgasoftware.intel.com/eula. -+-----------------------------------------------------------------------------+ -; Timing Analyzer Summary ; -+-----------------------+-----------------------------------------------------+ -; Quartus Prime Version ; Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Timing Analyzer ; Legacy Timing Analyzer ; -; Revision Name ; RAM2E ; -; Device Family ; MAX II ; -; Device Name ; EPM240T100C5 ; -; Timing Models ; Final ; -; Delay Model ; Slow Model ; -; Rise/Fall Delays ; Unavailable ; -+-----------------------+-----------------------------------------------------+ ++---------------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+---------------------------------------------------------------------+ +; Quartus Prime Version ; Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; RAM2E ; +; Device Family ; MAX II ; +; Device Name ; EPM240T100C5 ; +; Timing Models ; Final ; +; Delay Model ; Slow Model ; +; Rise/Fall Delays ; Unavailable ; ++-----------------------+---------------------------------------------------------------------+ +------------------------------------------+ @@ -84,7 +84,7 @@ https://fpgasoftware.intel.com/eula. ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.2% ; +; Processor 2 ; 0.0% ; +----------------------------+-------------+ @@ -93,8 +93,8 @@ https://fpgasoftware.intel.com/eula. +------------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +------------------+--------+--------------------------+ -; ../RAM2E.sdc ; OK ; Thu Sep 21 05:34:44 2023 ; -; ../RAM2E-MAX.sdc ; OK ; Thu Sep 21 05:34:44 2023 ; +; ../RAM2E.sdc ; OK ; Tue Nov 21 06:54:45 2023 ; +; ../RAM2E-MAX.sdc ; OK ; Tue Nov 21 06:54:45 2023 ; +------------------+--------+--------------------------+ @@ -116,7 +116,7 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------+------+ ; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; ; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; -; 61.13 MHz ; 61.13 MHz ; C14M ; ; +; 61.24 MHz ; 61.24 MHz ; C14M ; ; +-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -126,9 +126,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ -; DRCLK ; -23.265 ; -23.265 ; -; ARCLK ; -23.125 ; -23.125 ; -; C14M ; -8.026 ; -92.836 ; +; DRCLK ; -23.270 ; -23.270 ; +; ARCLK ; -23.257 ; -23.257 ; +; C14M ; -5.695 ; -5.695 ; +-------+---------+---------------+ @@ -137,9 +137,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ -; ARCLK ; -16.874 ; -16.874 ; -; DRCLK ; -16.746 ; -16.746 ; -; C14M ; 1.415 ; 0.000 ; +; DRCLK ; -16.759 ; -16.759 ; +; ARCLK ; -16.742 ; -16.742 ; +; C14M ; 1.400 ; 0.000 ; +-------+---------+---------------+ @@ -171,8 +171,8 @@ No paths to report. +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -23.265 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.001 ; -1.725 ; 1.541 ; -; -23.253 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.001 ; -1.725 ; 1.529 ; +; -23.270 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.001 ; -1.712 ; 1.559 ; +; -23.240 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.001 ; -1.712 ; 1.529 ; ; 100.000 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 200.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -182,7 +182,7 @@ No paths to report. +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -23.125 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ARCLK ; 0.001 ; -1.597 ; 1.529 ; +; -23.257 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ARCLK ; 0.001 ; -1.729 ; 1.529 ; ; 100.000 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 200.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -192,236 +192,236 @@ No paths to report. +--------+---------------------------------------------------------------------------------------------+--------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+---------------------------------------------------------------------------------------------+--------------+--------------+-------------+--------------+------------+------------+ -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[4] ; DRCLK ; C14M ; 0.001 ; 1.725 ; 9.419 ; -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[5] ; DRCLK ; C14M ; 0.001 ; 1.725 ; 9.419 ; -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[7] ; DRCLK ; C14M ; 0.001 ; 1.725 ; 9.419 ; -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[0] ; DRCLK ; C14M ; 0.001 ; 1.725 ; 9.419 ; -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[1] ; DRCLK ; C14M ; 0.001 ; 1.725 ; 9.419 ; -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[2] ; DRCLK ; C14M ; 0.001 ; 1.725 ; 9.419 ; -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[3] ; DRCLK ; C14M ; 0.001 ; 1.725 ; 9.419 ; -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[6] ; DRCLK ; C14M ; 0.001 ; 1.725 ; 9.419 ; -; -7.612 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; LEDEN ; DRCLK ; C14M ; 0.001 ; 1.725 ; 9.005 ; -; -7.370 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; UFMInitDone ; DRCLK ; C14M ; 0.001 ; 1.725 ; 8.763 ; -; -7.319 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; UFMReqErase ; DRCLK ; C14M ; 0.001 ; 1.725 ; 8.712 ; -; -6.327 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; UFMD[8] ; DRCLK ; C14M ; 0.001 ; 1.725 ; 7.720 ; -; 27.280 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.307 ; -; 27.280 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.307 ; -; 27.332 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.255 ; -; 27.332 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.255 ; -; 27.332 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.255 ; -; 27.583 ; S[2] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.004 ; -; 27.583 ; S[2] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.004 ; -; 27.583 ; S[2] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.004 ; -; 27.583 ; S[2] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.004 ; -; 27.583 ; S[2] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.004 ; -; 27.583 ; S[2] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.004 ; -; 27.585 ; S[2] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.002 ; -; 27.590 ; S[2] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.997 ; -; 27.761 ; S[1] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.826 ; -; 27.761 ; S[1] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.826 ; -; 27.761 ; S[1] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.826 ; -; 27.761 ; S[1] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.826 ; -; 27.761 ; S[1] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.826 ; -; 27.761 ; S[1] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.826 ; -; 27.763 ; S[1] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.824 ; -; 27.768 ; S[1] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.819 ; -; 27.779 ; S[0] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.808 ; -; 27.779 ; S[0] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.808 ; -; 27.779 ; S[0] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.808 ; -; 27.779 ; S[0] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.808 ; -; 27.779 ; S[0] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.808 ; -; 27.779 ; S[0] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.808 ; -; 27.781 ; S[0] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.806 ; -; 27.786 ; S[0] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.801 ; -; 27.878 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.709 ; -; 27.878 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.709 ; -; 27.930 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.657 ; -; 27.930 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.657 ; -; 27.930 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.657 ; -; 28.203 ; S[3] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.384 ; -; 28.203 ; S[3] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.384 ; -; 28.203 ; S[3] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.384 ; -; 28.203 ; S[3] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.384 ; -; 28.203 ; S[3] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.384 ; -; 28.203 ; S[3] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.384 ; -; 28.205 ; S[3] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.382 ; -; 28.210 ; S[3] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.377 ; -; 28.368 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.219 ; -; 28.368 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.219 ; -; 28.368 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.219 ; -; 28.431 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.156 ; -; 28.431 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.156 ; -; 28.483 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.104 ; -; 28.483 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.104 ; -; 28.483 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.104 ; -; 28.546 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.041 ; -; 28.546 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.041 ; -; 28.598 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.989 ; -; 28.598 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.989 ; -; 28.598 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.989 ; -; 28.966 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.621 ; -; 28.966 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.621 ; -; 28.966 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.621 ; -; 29.519 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.068 ; -; 29.519 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.068 ; -; 29.519 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.068 ; -; 29.634 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.953 ; -; 29.634 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.953 ; -; 29.634 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 4.953 ; -; 53.482 ; FS[15] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 16.026 ; -; 53.855 ; FS[14] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 15.653 ; -; 54.606 ; FS[15] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 14.902 ; -; 54.773 ; FS[15] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 14.735 ; -; 54.979 ; FS[14] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 14.529 ; -; 55.110 ; FS[15] ; nCAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 14.398 ; -; 55.146 ; FS[14] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 14.362 ; -; 55.483 ; FS[14] ; nCAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 14.025 ; -; 55.674 ; FS[11] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 13.834 ; -; 55.804 ; FS[10] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 13.704 ; -; 56.000 ; FS[8] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 13.508 ; -; 56.341 ; FS[9] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 13.167 ; -; 56.591 ; FS[12] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 12.917 ; -; 56.798 ; FS[11] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 12.710 ; -; 56.928 ; FS[10] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 12.580 ; -; 56.931 ; FS[13] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 12.577 ; -; 56.965 ; FS[11] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 12.543 ; -; 56.994 ; S[2] ; RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.514 ; -; 56.994 ; S[2] ; RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.514 ; -; 56.994 ; S[2] ; RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.514 ; -; 56.994 ; S[2] ; RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.514 ; -; 56.994 ; S[2] ; RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.514 ; -; 56.994 ; S[2] ; RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.514 ; -; 56.994 ; S[2] ; RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.514 ; +; -5.695 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; UFMD[7] ; DRCLK ; C14M ; 0.001 ; 1.712 ; 7.075 ; +; 26.860 ; S[2] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.727 ; +; 26.949 ; S[1] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.638 ; +; 27.207 ; S[3] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.380 ; +; 27.276 ; S[0] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.311 ; +; 27.440 ; S[2] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.147 ; +; 27.529 ; S[1] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.058 ; +; 27.530 ; S[2] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.057 ; +; 27.530 ; S[2] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.057 ; +; 27.530 ; S[2] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.057 ; +; 27.530 ; S[2] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.057 ; +; 27.530 ; S[2] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.057 ; +; 27.530 ; S[2] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.057 ; +; 27.549 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.038 ; +; 27.549 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.038 ; +; 27.601 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.986 ; +; 27.601 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.986 ; +; 27.601 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.986 ; +; 27.619 ; S[1] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.968 ; +; 27.619 ; S[1] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.968 ; +; 27.619 ; S[1] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.968 ; +; 27.619 ; S[1] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.968 ; +; 27.619 ; S[1] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.968 ; +; 27.619 ; S[1] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.968 ; +; 27.642 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.945 ; +; 27.642 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.945 ; +; 27.694 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.893 ; +; 27.694 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.893 ; +; 27.694 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.893 ; +; 27.787 ; S[3] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.800 ; +; 27.856 ; S[0] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.731 ; +; 27.877 ; S[3] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.710 ; +; 27.877 ; S[3] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.710 ; +; 27.877 ; S[3] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.710 ; +; 27.877 ; S[3] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.710 ; +; 27.877 ; S[3] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.710 ; +; 27.877 ; S[3] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.710 ; +; 27.896 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.691 ; +; 27.896 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.691 ; +; 27.946 ; S[0] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.641 ; +; 27.946 ; S[0] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.641 ; +; 27.946 ; S[0] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.641 ; +; 27.946 ; S[0] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.641 ; +; 27.946 ; S[0] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.641 ; +; 27.946 ; S[0] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.641 ; +; 27.948 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.639 ; +; 27.948 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.639 ; +; 27.948 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.639 ; +; 27.964 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.623 ; +; 27.964 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.623 ; +; 28.016 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.571 ; +; 28.016 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.571 ; +; 28.016 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 6.571 ; +; 28.637 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.950 ; +; 28.637 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.950 ; +; 28.637 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.950 ; +; 28.730 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.857 ; +; 28.730 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.857 ; +; 28.730 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.857 ; +; 28.984 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.603 ; +; 28.984 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.603 ; +; 28.984 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.603 ; +; 29.052 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.535 ; +; 29.052 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.535 ; +; 29.052 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 5.535 ; +; 32.948 ; RCLKx1 ; RCLKx0 ; C14M ; C14M ; 34.921 ; 0.000 ; 1.640 ; +; 53.511 ; FS[14] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 15.997 ; +; 53.852 ; FS[15] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 15.656 ; +; 54.656 ; FS[14] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 14.852 ; +; 54.843 ; FS[14] ; nCAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 14.665 ; +; 54.997 ; FS[15] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 14.511 ; +; 55.184 ; FS[15] ; nCAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 14.324 ; +; 55.303 ; S[2] ; UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 14.205 ; +; 55.303 ; S[2] ; UFMD[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 14.205 ; +; 55.303 ; S[2] ; UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 14.205 ; +; 55.303 ; S[2] ; UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 14.205 ; +; 55.303 ; S[2] ; UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 14.205 ; +; 55.303 ; S[2] ; UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 14.205 ; +; 55.303 ; S[2] ; UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 14.205 ; +; 55.303 ; S[2] ; UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 14.205 ; +; 55.303 ; S[2] ; UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 14.205 ; +; 56.090 ; FS[14] ; nRWE~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 13.418 ; +; 56.246 ; S[2] ; DRCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 13.262 ; +; 56.300 ; S[0] ; UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.208 ; +; 56.300 ; S[0] ; UFMD[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.208 ; +; 56.300 ; S[0] ; UFMD[8] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.208 ; +; 56.300 ; S[0] ; UFMD[13] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.208 ; +; 56.300 ; S[0] ; UFMD[14] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.208 ; +; 56.300 ; S[0] ; UFMD[12] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.208 ; +; 56.300 ; S[0] ; UFMD[10] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.208 ; +; 56.300 ; S[0] ; UFMD[11] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.208 ; +; 56.300 ; S[0] ; UFMD[15] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.208 ; +; 56.431 ; FS[15] ; nRWE~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 13.077 ; +; 56.445 ; S[2] ; RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.063 ; +; 56.445 ; S[2] ; RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.063 ; +; 56.445 ; S[2] ; RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 13.063 ; +; 56.605 ; FS[11] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 12.903 ; +; 56.743 ; FS[9] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 12.765 ; +; 56.768 ; S[3] ; UFMD[9] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.740 ; +; 56.768 ; S[3] ; UFMD[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 12.740 ; +--------+---------------------------------------------------------------------------------------------+--------------+--------------+-------------+--------------+------------+------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'ARCLK' ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.874 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ARCLK ; 0.000 ; -1.597 ; 1.529 ; -; 60.000 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Hold: 'DRCLK' ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.746 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.000 ; -1.725 ; 1.529 ; -; -16.734 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.000 ; -1.725 ; 1.541 ; +; -16.759 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.000 ; -1.712 ; 1.529 ; +; -16.729 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.000 ; -1.712 ; 1.559 ; ; 60.000 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -+---------------------------------------------------------------------------------------------------------------+ -; Hold: 'C14M' ; -+-------+-------------------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-------------------+-------------+--------------+-------------+--------------+------------+------------+ -; 1.415 ; UFMD[12] ; UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.636 ; -; 1.421 ; UFMD[11] ; UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.642 ; -; 1.639 ; DRDIn ; DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 1.860 ; -; 1.639 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.860 ; -; 1.660 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.881 ; -; 1.669 ; UFMD[10] ; UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.890 ; -; 1.701 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.922 ; -; 1.701 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.922 ; -; 1.730 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.951 ; -; 1.732 ; S[0] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.953 ; -; 1.822 ; UFMD[8] ; UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.043 ; -; 1.844 ; UFMD[9] ; UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.065 ; -; 1.953 ; UFMInitDone ; UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 2.174 ; -; 1.981 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.202 ; -; 1.991 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.212 ; -; 2.107 ; LEDEN ; LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.328 ; -; 2.107 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.328 ; -; 2.107 ; CmdBitbangMAX ; DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 2.328 ; -; 2.118 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 2.339 ; -; 2.126 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.347 ; -; 2.134 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.355 ; -; 2.138 ; CmdPrgmMAX ; CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.359 ; -; 2.143 ; CmdPrgmMAX ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.364 ; -; 2.144 ; UFMErase ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.365 ; -; 2.146 ; UFMProgram ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.367 ; -; 2.152 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.373 ; -; 2.152 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.373 ; -; 2.162 ; S[2] ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.383 ; -; 2.165 ; CmdEraseMAX ; CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.386 ; -; 2.199 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.420 ; -; 2.207 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.428 ; -; 2.218 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.439 ; -; 2.233 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.454 ; -; 2.247 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.468 ; -; 2.249 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.470 ; -; 2.260 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.481 ; -; 2.262 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.483 ; -; 2.268 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.489 ; -; 2.273 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.494 ; -; 2.290 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.511 ; -; 2.291 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.512 ; -; 2.295 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.516 ; -; 2.308 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.529 ; -; 2.309 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.530 ; -; 2.382 ; UFMReqErase ; UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.603 ; -; 2.390 ; S[1] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.611 ; -; 2.448 ; UFMD[14] ; UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.669 ; -; 2.455 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.676 ; -; 2.461 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.682 ; -; 2.622 ; RTPBusyReg ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.843 ; -; 2.624 ; UFMBusyReg ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.845 ; -; 2.626 ; RWBank[3] ; RA[11]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 2.847 ; -; 2.645 ; UFMD[13] ; UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.866 ; -; 2.655 ; PHI1reg ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.876 ; -; 2.720 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 2.941 ; -; 2.732 ; UFMD[15] ; RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.953 ; -; 2.766 ; RWSel ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.987 ; -; 2.823 ; RWMask[3] ; RWBank[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.044 ; -; 2.844 ; UFMD[9] ; RWMask[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.065 ; -; 2.851 ; RWBank[5] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.072 ; -; 2.911 ; S[3] ; nCS~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.132 ; -; 2.913 ; S[3] ; BA[0]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.134 ; -; 2.952 ; RTPBusyReg ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 3.173 ; -; 2.958 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.179 ; -; 2.966 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.187 ; -; 2.984 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.205 ; -; 2.984 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.205 ; -; 2.992 ; RWMask[2] ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.213 ; -; 3.041 ; RWBank[0] ; RA[8]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.262 ; -; 3.063 ; RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.284 ; -; 3.069 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.290 ; -; 3.077 ; FS[8] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.298 ; -; 3.095 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.316 ; -; 3.095 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.316 ; -; 3.096 ; RWSel ; DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 3.317 ; -; 3.098 ; RWSel ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.319 ; -; 3.118 ; S[0] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.339 ; -; 3.134 ; RWBank[1] ; RA[9]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.355 ; -; 3.163 ; UFMD[11] ; RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.384 ; -; 3.168 ; DRCLKPulse ; DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 3.389 ; -; 3.170 ; RWBank[7] ; RA[8]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.391 ; -; 3.173 ; FS[11] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.394 ; -; 3.187 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.408 ; -; 3.188 ; FS[8] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.409 ; -; 3.189 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.410 ; -; 3.189 ; RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.410 ; -; 3.200 ; FS[13] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.421 ; -; 3.203 ; RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.424 ; -; 3.206 ; FS[9] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.427 ; -; 3.208 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.429 ; -; 3.213 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.434 ; -; 3.218 ; CmdSetRWBankFFLED ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.439 ; -; 3.222 ; CmdSetRWBankFFLED ; RWBank[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.443 ; -; 3.230 ; FS[3] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.451 ; -; 3.230 ; RWSel ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.451 ; -; 3.236 ; S[0] ; nCS~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.457 ; -; 3.241 ; UFMD[12] ; RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.462 ; -; 3.274 ; UFMD[14] ; RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.495 ; -; 3.299 ; FS[8] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.520 ; -+-------+-------------------+-------------+--------------+-------------+--------------+------------+------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Hold: 'ARCLK' ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; -16.742 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ARCLK ; 0.000 ; -1.729 ; 1.529 ; +; 60.000 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; ++---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++-----------------------------------------------------------------------------------------------------------------+ +; Hold: 'C14M' ; ++-------+---------------+-------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+---------------+-------------------+--------------+-------------+--------------+------------+------------+ +; 1.400 ; UFMD[11] ; UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.621 ; +; 1.425 ; UFMD[7] ; UFMD[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.646 ; +; 1.678 ; CmdPrgmMAX ; CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 1.899 ; +; 1.680 ; CmdPrgmMAX ; CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 1.901 ; +; 1.693 ; UFMReqErase ; UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 1.914 ; +; 1.695 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.916 ; +; 1.702 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.923 ; +; 1.710 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.931 ; +; 1.738 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.959 ; +; 1.739 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.960 ; +; 1.741 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 1.962 ; +; 1.898 ; DRDIn ; DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 2.119 ; +; 1.899 ; UFMD[9] ; UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.120 ; +; 1.915 ; RWMask[2] ; RWBank[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.136 ; +; 1.916 ; RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.137 ; +; 1.916 ; RWMask[3] ; RWBank[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.137 ; +; 1.920 ; RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.141 ; +; 1.920 ; RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.141 ; +; 1.922 ; UFMD[14] ; UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.143 ; +; 1.924 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.145 ; +; 1.938 ; RCLKx1 ; RCLKx1 ; C14M ; C14M ; 0.000 ; 0.000 ; 2.159 ; +; 1.951 ; LEDEN ; LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 2.172 ; +; 1.969 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.190 ; +; 2.085 ; CmdBitbangMAX ; DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 2.306 ; +; 2.114 ; RWBank[5] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 2.335 ; +; 2.117 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.338 ; +; 2.126 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.347 ; +; 2.127 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.348 ; +; 2.134 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.355 ; +; 2.143 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.364 ; +; 2.144 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 2.365 ; +; 2.144 ; UFMInitDone ; UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 2.365 ; +; 2.145 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.366 ; +; 2.157 ; PHI1reg ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.378 ; +; 2.160 ; UFMProgStart ; UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 2.381 ; +; 2.161 ; PHI1reg ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.382 ; +; 2.163 ; PHI1reg ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.384 ; +; 2.163 ; UFMProgStart ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.384 ; +; 2.168 ; UFMD[8] ; UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.389 ; +; 2.169 ; UFMProgStart ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.390 ; +; 2.204 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.425 ; +; 2.213 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.434 ; +; 2.215 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.436 ; +; 2.231 ; RWMask[0] ; RWBank[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.452 ; +; 2.233 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.454 ; +; 2.234 ; RWMask[6] ; RWBank[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.455 ; +; 2.236 ; UFMErase ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 2.457 ; +; 2.248 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.469 ; +; 2.248 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.469 ; +; 2.248 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.469 ; +; 2.250 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.471 ; +; 2.259 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.480 ; +; 2.260 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.481 ; +; 2.261 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.482 ; +; 2.261 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.482 ; +; 2.262 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.483 ; +; 2.290 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.511 ; +; 2.295 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 2.516 ; +; 2.299 ; RWSel ; DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 2.520 ; +; 2.305 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.526 ; +; 2.325 ; RWMask[7] ; RWBank[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.546 ; +; 2.523 ; UFMD[10] ; UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.744 ; +; 2.529 ; RWBank[7] ; RA[8]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 2.750 ; +; 2.687 ; RWBank[0] ; RA[8]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 2.908 ; +; 2.706 ; S[3] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.927 ; +; 2.706 ; CmdEraseMAX ; CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.927 ; +; 2.707 ; CmdEraseMAX ; CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.928 ; +; 2.731 ; CS[2] ; CmdSetRWBankFFMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 2.952 ; +; 2.735 ; PHI1reg ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 2.956 ; +; 2.868 ; UFMD[8] ; RWMask[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.089 ; +; 2.913 ; UFMD[14] ; RWMask[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.134 ; +; 2.919 ; UFMD[13] ; UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.140 ; +; 2.924 ; RWSel ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.145 ; +; 2.949 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.170 ; +; 2.966 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.187 ; +; 2.975 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.196 ; +; 2.977 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.198 ; +; 3.057 ; CS[0] ; CmdRWMaskSet ; C14M ; C14M ; 0.000 ; 0.000 ; 3.278 ; +; 3.060 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.281 ; +; 3.063 ; CS[0] ; CmdSetRWBankFFMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.284 ; +; 3.066 ; CS[0] ; CmdSetRWBankFFLED ; C14M ; C14M ; 0.000 ; 0.000 ; 3.287 ; +; 3.077 ; FS[8] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.298 ; +; 3.086 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.307 ; +; 3.088 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.309 ; +; 3.095 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.316 ; +; 3.101 ; RWBank[1] ; RA[9]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.322 ; +; 3.173 ; FS[11] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.394 ; +; 3.188 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.409 ; +; 3.188 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.409 ; +; 3.188 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.409 ; +; 3.188 ; FS[8] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.409 ; +; 3.190 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.411 ; +; 3.196 ; RWBank[3] ; RA[11]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.417 ; +; 3.197 ; FS[9] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.418 ; +; 3.199 ; FS[3] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.420 ; +; 3.201 ; FS[13] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.422 ; +; 3.234 ; UFMD[15] ; RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.455 ; +; 3.237 ; FS[0] ; ARCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 3.458 ; +; 3.241 ; FS[0] ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 3.462 ; +; 3.242 ; RWSel ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.463 ; ++-------+---------------+-------------------+--------------+-------------+--------------+------------+------------+ +-------------------------------------------------------------------+ @@ -431,8 +431,8 @@ No paths to report. +------------+----------+----------+----------+----------+----------+ ; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; ; C14M ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; C14M ; C14M ; 1607 ; 0 ; 64 ; 0 ; -; DRCLK ; C14M ; 13 ; 0 ; 0 ; 0 ; +; C14M ; C14M ; 1715 ; 1 ; 64 ; 1 ; +; DRCLK ; C14M ; 1 ; 0 ; 0 ; 0 ; ; C14M ; DRCLK ; 2 ; 0 ; 0 ; 0 ; ; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ @@ -446,8 +446,8 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; ; C14M ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; C14M ; C14M ; 1607 ; 0 ; 64 ; 0 ; -; DRCLK ; C14M ; 13 ; 0 ; 0 ; 0 ; +; C14M ; C14M ; 1715 ; 1 ; 64 ; 1 ; +; DRCLK ; C14M ; 1 ; 0 ; 0 ; 0 ; ; C14M ; DRCLK ; 2 ; 0 ; 0 ; 0 ; ; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ @@ -476,7 +476,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Unconstrained Input Ports ; 29 ; 29 ; ; Unconstrained Input Port Paths ; 169 ; 169 ; ; Unconstrained Output Ports ; 48 ; 48 ; -; Unconstrained Output Port Paths ; 67 ; 67 ; +; Unconstrained Output Port Paths ; 69 ; 69 ; +---------------------------------+-------+------+ @@ -559,6 +559,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; RA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RCLK ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -576,7 +577,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Vout[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; Vout[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nCAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nDOE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nRAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nRWE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -652,6 +652,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; RA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RCLK ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -669,7 +670,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Vout[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; Vout[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nCAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nDOE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nRAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nRWE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -682,8 +682,8 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi +--------------------------+ Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer - Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Thu Sep 21 05:34:43 2023 + Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition + Info: Processing started: Tue Nov 21 06:54:43 2023 Info: Command: quartus_sta RAM2E-MAXII -c RAM2E Info: qsta_default_script.tcl version: #1 Info (20032): Parallel compilation is enabled and will use up to 4 processors @@ -698,18 +698,18 @@ Info: Can't run Report Timing Closure Recommendations. The current device family Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ARCLK are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: DRCLK are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -23.265 +Info (332146): Worst-case setup slack is -23.270 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -23.265 -23.265 DRCLK - Info (332119): -23.125 -23.125 ARCLK - Info (332119): -8.026 -92.836 C14M -Info (332146): Worst-case hold slack is -16.874 + Info (332119): -23.270 -23.270 DRCLK + Info (332119): -23.257 -23.257 ARCLK + Info (332119): -5.695 -5.695 C14M +Info (332146): Worst-case hold slack is -16.759 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -16.874 -16.874 ARCLK - Info (332119): -16.746 -16.746 DRCLK - Info (332119): 1.415 0.000 C14M + Info (332119): -16.759 -16.759 DRCLK + Info (332119): -16.742 -16.742 ARCLK + Info (332119): 1.400 0.000 C14M Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 34.654 @@ -724,9 +724,9 @@ Warning (332009): The launch and latch times for the relationship between source Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 13089 megabytes - Info: Processing ended: Thu Sep 21 05:34:45 2023 + Info: Peak virtual memory: 13066 megabytes + Info: Processing ended: Tue Nov 21 06:54:45 2023 Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 + Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXII/output_files/RAM2E.sta.summary b/CPLD/MAXII/output_files/RAM2E.sta.summary index 8103b71..067ad2a 100644 --- a/CPLD/MAXII/output_files/RAM2E.sta.summary +++ b/CPLD/MAXII/output_files/RAM2E.sta.summary @@ -3,27 +3,27 @@ Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'DRCLK' -Slack : -23.265 -TNS : -23.265 +Slack : -23.270 +TNS : -23.270 Type : Setup 'ARCLK' -Slack : -23.125 -TNS : -23.125 +Slack : -23.257 +TNS : -23.257 Type : Setup 'C14M' -Slack : -8.026 -TNS : -92.836 - -Type : Hold 'ARCLK' -Slack : -16.874 -TNS : -16.874 +Slack : -5.695 +TNS : -5.695 Type : Hold 'DRCLK' -Slack : -16.746 -TNS : -16.746 +Slack : -16.759 +TNS : -16.759 + +Type : Hold 'ARCLK' +Slack : -16.742 +TNS : -16.742 Type : Hold 'C14M' -Slack : 1.415 +Slack : 1.400 TNS : 0.000 Type : Minimum Pulse Width 'C14M' diff --git a/CPLD/MAXV/RAM2E.qsf b/CPLD/MAXV/RAM2E.qsf index d4f161d..e9611b9 100644 --- a/CPLD/MAXV/RAM2E.qsf +++ b/CPLD/MAXV/RAM2E.qsf @@ -42,7 +42,7 @@ set_global_assignment -name DEVICE 5M240ZT100C5 set_global_assignment -name TOP_LEVEL_ENTITY RAM2E set_global_assignment -name ORIGINAL_QUARTUS_VERSION 19.1.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "07:27:32 AUGUST 20, 2023" -set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 SP0.02std Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 @@ -239,9 +239,15 @@ set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" set_global_assignment -name VERILOG_FILE "../RAM2E-MAX.v" set_global_assignment -name QIP_FILE UFM.qip -set_global_assignment -name MIF_FILE ../RAM2E.mif +set_global_assignment -name MIF_FILE ../RAM2E-MAX.mif set_global_assignment -name SDC_FILE ../RAM2E.sdc set_global_assignment -name SDC_FILE "../RAM2E-MAX.sdc" set_location_assignment PIN_88 -to LED set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LED -set_instance_assignment -name SLOW_SLEW_RATE ON -to LED \ No newline at end of file +set_instance_assignment -name SLOW_SLEW_RATE ON -to LED +set_location_assignment PIN_81 -to RCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RCLK +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to RCLK +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RCLK +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RCLK +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RCLK \ No newline at end of file diff --git a/CPLD/MAXV/RAM2E.qws b/CPLD/MAXV/RAM2E.qws index 8de3d3e..b9a4426 100644 Binary files a/CPLD/MAXV/RAM2E.qws and b/CPLD/MAXV/RAM2E.qws differ diff --git a/CPLD/MAXV/UFM.v b/CPLD/MAXV/UFM.v index 6c8584b..e058b53 100644 --- a/CPLD/MAXV/UFM.v +++ b/CPLD/MAXV/UFM.v @@ -34,7 +34,7 @@ //https://fpgasoftware.intel.com/eula. -//altufm_none CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="MAX V" ERASE_TIME=500000000 LPM_FILE="../RAM2E.mif" OSC_FREQUENCY=180000 PORT_ARCLKENA="PORT_UNUSED" PORT_DRCLKENA="PORT_UNUSED" PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 arclk ardin arshft busy drclk drdin drdout drshft erase osc oscena program rtpbusy +//altufm_none CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="MAX V" ERASE_TIME=500000000 LPM_FILE="../RAM2E-MAX.mif" OSC_FREQUENCY=180000 PORT_ARCLKENA="PORT_UNUSED" PORT_DRCLKENA="PORT_UNUSED" PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 arclk ardin arshft busy drclk drdin drdout drshft erase osc oscena program rtpbusy //VERSION_BEGIN 19.1 cbx_a_gray2bin 2019:09:22:11:00:27:SJ cbx_a_graycounter 2019:09:22:11:00:27:SJ cbx_altufm_none 2019:09:22:11:00:28:SJ cbx_cycloneii 2019:09:22:11:00:28:SJ cbx_lpm_add_sub 2019:09:22:11:00:28:SJ cbx_lpm_compare 2019:09:22:11:00:28:SJ cbx_lpm_counter 2019:09:22:11:00:28:SJ cbx_lpm_decode 2019:09:22:11:00:28:SJ cbx_lpm_mux 2019:09:22:11:00:28:SJ cbx_maxii 2019:09:22:11:00:28:SJ cbx_mgl 2019:09:22:11:02:15:SJ cbx_nadder 2019:09:22:11:00:28:SJ cbx_stratix 2019:09:22:11:00:28:SJ cbx_stratixii 2019:09:22:11:00:28:SJ cbx_util_mgl 2019:09:22:11:00:28:SJ VERSION_END // synthesis VERILOG_INPUT_VERSION VERILOG_2001 // altera message_off 10463 @@ -118,7 +118,7 @@ module UFM_altufm_none_p8r defparam maxii_ufm_block1.address_width = 9, maxii_ufm_block1.erase_time = 500000000, - maxii_ufm_block1.init_file = "../RAM2E.mif", + maxii_ufm_block1.init_file = "../RAM2E-MAX.mif", maxii_ufm_block1.mem1 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, maxii_ufm_block1.mem10 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, maxii_ufm_block1.mem11 = 512'hFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF, @@ -225,7 +225,7 @@ endmodule // Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "MAX V" // Retrieval info: CONSTANT: ERASE_TIME NUMERIC "500000000" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "MAX V" -// Retrieval info: CONSTANT: LPM_FILE STRING "../RAM2E.mif" +// Retrieval info: CONSTANT: LPM_FILE STRING "../RAM2E-MAX.mif" // Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED" // Retrieval info: CONSTANT: LPM_TYPE STRING "altufm_none" // Retrieval info: CONSTANT: OSC_FREQUENCY NUMERIC "180000" diff --git a/CPLD/MAXV/output_files/RAM2E.asm.rpt b/CPLD/MAXV/output_files/RAM2E.asm.rpt index 2fca0e6..0c90c40 100644 --- a/CPLD/MAXV/output_files/RAM2E.asm.rpt +++ b/CPLD/MAXV/output_files/RAM2E.asm.rpt @@ -1,6 +1,6 @@ Assembler report for RAM2E -Thu Sep 21 05:34:42 2023 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Tue Nov 21 06:54:42 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition --------------------- @@ -10,7 +10,7 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pof + 5. Assembler Device Options: Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pof 6. Assembler Messages @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Sep 21 05:34:42 2023 ; +; Assembler Status ; Successful - Tue Nov 21 06:54:42 2023 ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; ; Family ; MAX V ; @@ -53,23 +53,23 @@ https://fpgasoftware.intel.com/eula. +--------+---------+---------------+ -+-----------------------------------------------+ -; Assembler Generated Files ; -+-----------------------------------------------+ -; File Name ; -+-----------------------------------------------+ -; /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pof ; -+-----------------------------------------------+ ++-------------------------------------------------+ +; Assembler Generated Files ; ++-------------------------------------------------+ +; File Name ; ++-------------------------------------------------+ +; Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pof ; ++-------------------------------------------------+ -+-------------------------------------------------------------------------+ -; Assembler Device Options: /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pof ; -+----------------+--------------------------------------------------------+ -; Option ; Setting ; -+----------------+--------------------------------------------------------+ -; JTAG usercode ; 0x0016B5DB ; -; Checksum ; 0x0016B84B ; -+----------------+--------------------------------------------------------+ ++---------------------------------------------------------------------------+ +; Assembler Device Options: Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pof ; ++----------------+----------------------------------------------------------+ +; Option ; Setting ; ++----------------+----------------------------------------------------------+ +; JTAG usercode ; 0x0016BE3C ; +; Checksum ; 0x0016C1A4 ; ++----------------+----------------------------------------------------------+ +--------------------+ @@ -77,14 +77,14 @@ https://fpgasoftware.intel.com/eula. +--------------------+ Info: ******************************************************************* Info: Running Quartus Prime Assembler - Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Thu Sep 21 05:34:41 2023 + Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition + Info: Processing started: Tue Nov 21 06:54:41 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E-MAXV -c RAM2E Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 13092 megabytes - Info: Processing ended: Thu Sep 21 05:34:42 2023 + Info: Peak virtual memory: 13070 megabytes + Info: Processing ended: Tue Nov 21 06:54:42 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/CPLD/MAXV/output_files/RAM2E.done b/CPLD/MAXV/output_files/RAM2E.done index af92b7d..bf96c71 100644 --- a/CPLD/MAXV/output_files/RAM2E.done +++ b/CPLD/MAXV/output_files/RAM2E.done @@ -1 +1 @@ -Thu Sep 21 05:34:47 2023 +Tue Nov 21 06:54:46 2023 diff --git a/CPLD/MAXV/output_files/RAM2E.fit.rpt b/CPLD/MAXV/output_files/RAM2E.fit.rpt index 2b2fd74..c18e311 100644 --- a/CPLD/MAXV/output_files/RAM2E.fit.rpt +++ b/CPLD/MAXV/output_files/RAM2E.fit.rpt @@ -1,6 +1,6 @@ Fitter report for RAM2E -Thu Sep 21 05:34:38 2023 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Tue Nov 21 06:54:39 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition --------------------- @@ -54,21 +54,21 @@ https://fpgasoftware.intel.com/eula. -+---------------------------------------------------------------------+ -; Fitter Summary ; -+-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Thu Sep 21 05:34:38 2023 ; -; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Revision Name ; RAM2E ; -; Top-level Entity Name ; RAM2E ; -; Family ; MAX V ; -; Device ; 5M240ZT100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 197 / 240 ( 82 % ) ; -; Total pins ; 70 / 79 ( 89 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+-----------------------+---------------------------------------------+ ++-------------------------------------------------------------------------------------+ +; Fitter Summary ; ++-----------------------+-------------------------------------------------------------+ +; Fitter Status ; Successful - Tue Nov 21 06:54:39 2023 ; +; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; +; Revision Name ; RAM2E ; +; Top-level Entity Name ; RAM2E ; +; Family ; MAX V ; +; Device ; 5M240ZT100C5 ; +; Timing Models ; Final ; +; Total logic elements ; 209 / 240 ( 87 % ) ; +; Total pins ; 71 / 79 ( 90 % ) ; +; Total virtual pins ; 0 ; +; UFM blocks ; 1 / 1 ( 100 % ) ; ++-----------------------+-------------------------------------------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------+ @@ -135,14 +135,14 @@ https://fpgasoftware.intel.com/eula. ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; ; Processor 2 ; 1.2% ; -; Processors 3-4 ; 1.1% ; +; Processors 3-4 ; 1.0% ; +----------------------------+-------------+ +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. +The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. +---------------------------------------------------------------------+ @@ -150,31 +150,31 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. +---------------------------------------------+-----------------------+ ; Resource ; Usage ; +---------------------------------------------+-----------------------+ -; Total logic elements ; 197 / 240 ( 82 % ) ; -; -- Combinational with no register ; 85 ; +; Total logic elements ; 209 / 240 ( 87 % ) ; +; -- Combinational with no register ; 95 ; ; -- Register only ; 19 ; -; -- Combinational with a register ; 93 ; +; -- Combinational with a register ; 95 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 103 ; -; -- 3 input functions ; 29 ; -; -- 2 input functions ; 42 ; -; -- 1 input functions ; 3 ; +; -- 4 input functions ; 99 ; +; -- 3 input functions ; 33 ; +; -- 2 input functions ; 53 ; +; -- 1 input functions ; 4 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 183 ; +; -- normal mode ; 195 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 8 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 16 ; +; -- synchronous clear/load mode ; 13 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 112 / 240 ( 47 % ) ; +; Total registers ; 114 / 240 ( 48 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 15 ; ; Virtual pins ; 0 ; -; I/O pins ; 70 / 79 ( 89 % ) ; +; I/O pins ; 71 / 79 ( 90 % ) ; ; -- Clock pins ; 3 / 4 ( 75 % ) ; ; ; ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -185,12 +185,12 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. ; Global signals ; 1 ; ; -- Global clocks ; 1 / 4 ( 25 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 24.3% / 25.4% / 23.2% ; -; Peak interconnect usage (total/H/V) ; 24.3% / 25.4% / 23.2% ; -; Maximum fan-out ; 112 ; -; Highest non-global fan-out ; 31 ; -; Total fan-out ; 847 ; -; Average fan-out ; 3.16 ; +; Average interconnect usage (total/H/V) ; 23.1% / 23.3% / 22.8% ; +; Peak interconnect usage (total/H/V) ; 23.1% / 23.3% / 22.8% ; +; Maximum fan-out ; 114 ; +; Highest non-global fan-out ; 36 ; +; Total fan-out ; 872 ; +; Average fan-out ; 3.10 ; +---------------------------------------------+-----------------------+ @@ -207,16 +207,16 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. ; Ain[5] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[6] ; 39 ; 1 ; 5 ; 0 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Ain[7] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 112 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 14 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 12 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; C14M ; 12 ; 1 ; 1 ; 3 ; 3 ; 114 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[0] ; 38 ; 1 ; 4 ; 0 ; 0 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[1] ; 40 ; 1 ; 5 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[2] ; 42 ; 1 ; 5 ; 0 ; 0 ; 12 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[3] ; 41 ; 1 ; 5 ; 0 ; 1 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[4] ; 48 ; 1 ; 6 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[5] ; 49 ; 1 ; 7 ; 0 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[6] ; 36 ; 1 ; 4 ; 0 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[7] ; 35 ; 1 ; 3 ; 0 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; PHI1 ; 37 ; 1 ; 4 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nC07X ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nEN80 ; 28 ; 1 ; 2 ; 0 ; 1 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nWE ; 51 ; 1 ; 7 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; @@ -231,30 +231,31 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; BA[0] ; 6 ; 1 ; 1 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; BA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; CKE ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; CKE ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; DQMH ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[0] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Dout[1] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; Dout[2] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Dout[1] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Dout[2] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[3] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[4] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Dout[5] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[6] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[7] ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; LED ; 88 ; 2 ; 5 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; RA[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[2] ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RA[3] ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[4] ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[5] ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; RCLK ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; @@ -264,7 +265,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. ; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nCAS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; nCS ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; nCS ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nRAS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nRWE ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; @@ -294,7 +295,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+-------------------+---------------+--------------+ ; 1 ; 38 / 38 ( 100 % ) ; 3.3V ; -- ; -; 2 ; 32 / 41 ( 78 % ) ; 3.3V ; -- ; +; 2 ; 33 / 41 ( 80 % ) ; 3.3V ; -- ; +----------+-------------------+---------------+--------------+ @@ -383,7 +384,7 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.pin. ; 78 ; 64 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; 79 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; ; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 81 ; 65 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 81 ; 65 ; 2 ; RCLK ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; ; 82 ; 66 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; 83 ; 67 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; 84 ; 68 ; 2 ; Dout[6] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; @@ -431,7 +432,7 @@ Note: User assignments will override these defaults. The user specified values a +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 197 (197) ; 112 ; 1 ; 70 ; 0 ; 85 (85) ; 19 (19) ; 93 (93) ; 15 (15) ; 8 (8) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 209 (209) ; 114 ; 1 ; 71 ; 0 ; 95 (95) ; 19 (19) ; 95 (95) ; 15 (15) ; 8 (8) ; |RAM2E ; RAM2E ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_p8r:UFM_altufm_none_p8r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component ; UFM_altufm_none_p8r ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ @@ -443,6 +444,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------------+ ; Name ; Pin Type ; Pad to Core 0 ; +---------+----------+---------------+ +; RCLK ; Output ; -- ; ; LED ; Output ; -- ; ; Dout[0] ; Output ; -- ; ; Dout[1] ; Output ; -- ; @@ -494,8 +496,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nEN80 ; Input ; (0) ; ; nWE ; Input ; (0) ; ; PHI1 ; Input ; (1) ; -; Din[0] ; Input ; (0) ; ; C14M ; Input ; (0) ; +; Din[0] ; Input ; (0) ; ; nWE80 ; Input ; (0) ; ; Ain[0] ; Input ; (0) ; ; Ain[1] ; Input ; (0) ; @@ -516,22 +518,23 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------+----------+---------------+ -+-------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+------------+-------------+---------+---------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+------------+-------------+---------+---------------+--------+----------------------+------------------+ -; C14M ; PIN_12 ; 112 ; Clock ; yes ; Global Clock ; GCLK0 ; -; CS[0]~2 ; LC_X6_Y1_N1 ; 3 ; Clock enable ; no ; -- ; -- ; -; Equal9~1 ; LC_X5_Y3_N0 ; 16 ; Clock enable ; no ; -- ; -- ; -; Equal9~2 ; LC_X7_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; RA[0]~15 ; LC_X2_Y2_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -; RDOE ; LC_X2_Y4_N6 ; 8 ; Output enable ; no ; -- ; -- ; -; RWMask~1 ; LC_X4_Y1_N7 ; 8 ; Clock enable ; no ; -- ; -- ; -; S[2] ; LC_X7_Y2_N1 ; 22 ; Sync. clear ; no ; -- ; -- ; -; UFMD[15]~0 ; LC_X5_Y1_N1 ; 8 ; Clock enable ; no ; -- ; -- ; -; always2~8 ; LC_X6_Y1_N2 ; 16 ; Clock enable ; no ; -- ; -- ; -+------------+-------------+---------+---------------+--------+----------------------+------------------+ ++---------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++--------------+-------------+---------+---------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++--------------+-------------+---------+---------------+--------+----------------------+------------------+ +; C14M ; PIN_12 ; 114 ; Clock ; yes ; Global Clock ; GCLK0 ; +; CS[0]~2 ; LC_X6_Y3_N4 ; 3 ; Clock enable ; no ; -- ; -- ; +; Equal17~1 ; LC_X4_Y3_N0 ; 16 ; Clock enable ; no ; -- ; -- ; +; Equal17~2 ; LC_X7_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; RA[0]~15 ; LC_X3_Y2_N3 ; 8 ; Clock enable ; no ; -- ; -- ; +; RDOE ; LC_X3_Y3_N5 ; 8 ; Output enable ; no ; -- ; -- ; +; RWMask~1 ; LC_X5_Y2_N1 ; 8 ; Clock enable ; no ; -- ; -- ; +; S[2] ; LC_X6_Y2_N8 ; 22 ; Sync. clear ; no ; -- ; -- ; +; UFMD[7]~0 ; LC_X4_Y1_N6 ; 9 ; Clock enable ; no ; -- ; -- ; +; UFMProgram~3 ; LC_X3_Y2_N8 ; 2 ; Clock enable ; no ; -- ; -- ; +; always4~10 ; LC_X6_Y4_N7 ; 16 ; Clock enable ; no ; -- ; -- ; ++--------------+-------------+---------+---------------+--------+----------------------+------------------+ +---------------------------------------------------------------------+ @@ -539,7 +542,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +------+----------+---------+----------------------+------------------+ -; C14M ; PIN_12 ; 112 ; Global Clock ; GCLK0 ; +; C14M ; PIN_12 ; 114 ; Global Clock ; GCLK0 ; +------+----------+---------+----------------------+------------------+ @@ -548,31 +551,31 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 146 / 784 ( 19 % ) ; -; Direct links ; 31 / 888 ( 3 % ) ; +; C4s ; 139 / 784 ( 18 % ) ; +; Direct links ; 36 / 888 ( 4 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; LAB clocks ; 6 / 32 ( 19 % ) ; ; LUT chains ; 4 / 216 ( 2 % ) ; -; Local interconnects ; 279 / 888 ( 31 % ) ; -; R4s ; 144 / 704 ( 20 % ) ; +; Local interconnects ; 283 / 888 ( 32 % ) ; +; R4s ; 134 / 704 ( 19 % ) ; +-----------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 8.21) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 8.71) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ -; 1 ; 2 ; -; 2 ; 0 ; +; 1 ; 0 ; +; 2 ; 1 ; ; 3 ; 1 ; ; 4 ; 0 ; -; 5 ; 1 ; +; 5 ; 0 ; ; 6 ; 1 ; -; 7 ; 1 ; +; 7 ; 2 ; ; 8 ; 2 ; ; 9 ; 2 ; -; 10 ; 14 ; +; 10 ; 15 ; +--------------------------------------------+------------------------------+ @@ -582,84 +585,83 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LAB-wide Signals (Average = 1.38) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ ; 1 Clock ; 22 ; -; 1 Clock enable ; 10 ; -; 2 Clock enables ; 1 ; +; 1 Clock enable ; 8 ; +; 2 Clock enables ; 3 ; +------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 8.54) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced (Average = 8.96) ; Number of LABs (Total = 24) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 2 ; -; 2 ; 0 ; +; 1 ; 0 ; +; 2 ; 1 ; ; 3 ; 1 ; ; 4 ; 0 ; -; 5 ; 1 ; +; 5 ; 0 ; ; 6 ; 1 ; -; 7 ; 0 ; +; 7 ; 2 ; ; 8 ; 2 ; ; 9 ; 2 ; ; 10 ; 13 ; ; 11 ; 0 ; ; 12 ; 1 ; -; 13 ; 1 ; +; 13 ; 0 ; +; 14 ; 1 ; +---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.25) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 6.29) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 2 ; +; 1 ; 1 ; ; 2 ; 0 ; -; 3 ; 1 ; -; 4 ; 2 ; -; 5 ; 5 ; -; 6 ; 2 ; -; 7 ; 3 ; -; 8 ; 5 ; -; 9 ; 2 ; -; 10 ; 1 ; -; 11 ; 1 ; +; 3 ; 3 ; +; 4 ; 1 ; +; 5 ; 1 ; +; 6 ; 8 ; +; 7 ; 4 ; +; 8 ; 4 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 2 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 10.46) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 10.88) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 0 ; +; 1 ; 1 ; ; 2 ; 0 ; -; 3 ; 4 ; -; 4 ; 0 ; +; 3 ; 1 ; +; 4 ; 1 ; ; 5 ; 2 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 2 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 0 ; ; 9 ; 2 ; ; 10 ; 3 ; ; 11 ; 3 ; -; 12 ; 0 ; -; 13 ; 1 ; +; 12 ; 1 ; +; 13 ; 2 ; ; 14 ; 1 ; ; 15 ; 1 ; -; 16 ; 1 ; -; 17 ; 0 ; -; 18 ; 0 ; +; 16 ; 2 ; +; 17 ; 1 ; +; 18 ; 1 ; ; 19 ; 0 ; ; 20 ; 0 ; -; 21 ; 2 ; -; 22 ; 0 ; -; 23 ; 0 ; -; 24 ; 0 ; -; 25 ; 1 ; +; 21 ; 0 ; +; 22 ; 1 ; +----------------------------------------------+------------------------------+ @@ -704,13 +706,15 @@ Info (332111): Found 3 clocks Info (332111): 69.841 C14M Info (332111): 200.000 DRCLK Info (186079): Completed User Assigned Global Signals Promotion Operation -Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 8 +Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 8 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing Info (186468): Started processing fast register assignments +Warning (186473): Ignored the FAST_OUTPUT_REGISTER assignment made to the following nodes + Warning (186483): Ignored assignment to node "nCS" because the DATAIN port is unconnected File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 43 Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 @@ -718,25 +722,24 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170089): 2e+01 ns of routing delay (approximately 1.0% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. -Info (170195): Router estimated average interconnect usage is 21% of the available device resources - Info (170196): Router estimated peak interconnect usage is 21% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 20% of the available device resources + Info (170196): Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.58 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.46 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 -Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.fit.smsg -Info: Quartus Prime Fitter was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13770 megabytes - Info: Processing ended: Thu Sep 21 05:34:39 2023 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:03 +Info (144001): Generated suppressed messages file Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 13746 megabytes + Info: Processing ended: Tue Nov 21 06:54:39 2023 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:04 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.fit.smsg. +The suppressed messages can be found in Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.fit.smsg. diff --git a/CPLD/MAXV/output_files/RAM2E.fit.summary b/CPLD/MAXV/output_files/RAM2E.fit.summary index 375255d..e4b8504 100644 --- a/CPLD/MAXV/output_files/RAM2E.fit.summary +++ b/CPLD/MAXV/output_files/RAM2E.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Thu Sep 21 05:34:38 2023 -Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Fitter Status : Successful - Tue Nov 21 06:54:39 2023 +Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V Device : 5M240ZT100C5 Timing Models : Final -Total logic elements : 197 / 240 ( 82 % ) -Total pins : 70 / 79 ( 89 % ) +Total logic elements : 209 / 240 ( 87 % ) +Total pins : 71 / 79 ( 90 % ) Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXV/output_files/RAM2E.flow.rpt b/CPLD/MAXV/output_files/RAM2E.flow.rpt index e4e5269..134f801 100644 --- a/CPLD/MAXV/output_files/RAM2E.flow.rpt +++ b/CPLD/MAXV/output_files/RAM2E.flow.rpt @@ -1,6 +1,6 @@ Flow report for RAM2E -Thu Sep 21 05:34:46 2023 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Tue Nov 21 06:54:45 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition --------------------- @@ -38,21 +38,21 @@ https://fpgasoftware.intel.com/eula. -+---------------------------------------------------------------------+ -; Flow Summary ; -+-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Thu Sep 21 05:34:42 2023 ; -; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Revision Name ; RAM2E ; -; Top-level Entity Name ; RAM2E ; -; Family ; MAX V ; -; Device ; 5M240ZT100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 197 / 240 ( 82 % ) ; -; Total pins ; 70 / 79 ( 89 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+-----------------------+---------------------------------------------+ ++-------------------------------------------------------------------------------------+ +; Flow Summary ; ++-----------------------+-------------------------------------------------------------+ +; Flow Status ; Successful - Tue Nov 21 06:54:42 2023 ; +; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; +; Revision Name ; RAM2E ; +; Top-level Entity Name ; RAM2E ; +; Family ; MAX V ; +; Device ; 5M240ZT100C5 ; +; Timing Models ; Final ; +; Total logic elements ; 209 / 240 ( 87 % ) ; +; Total pins ; 71 / 79 ( 90 % ) ; +; Total virtual pins ; 0 ; +; UFM blocks ; 1 / 1 ( 100 % ) ; ++-----------------------+-------------------------------------------------------------+ +-----------------------------------------+ @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 09/21/2023 05:34:00 ; +; Start date & time ; 11/21/2023 06:54:06 ; ; Main task ; Compilation ; ; Revision Name ; RAM2E ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------+------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------+------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 121381084694.169528883915840 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121381084694.170056764601716 ; -- ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; NUM_PARALLEL_PROCESSORS ; 4 ; -- ; -- ; -- ; @@ -85,11 +85,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:35 ; 1.0 ; 13144 MB ; 00:00:50 ; -; Fitter ; 00:00:04 ; 1.0 ; 13770 MB ; 00:00:03 ; -; Assembler ; 00:00:01 ; 1.0 ; 13091 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:03 ; 1.0 ; 13092 MB ; 00:00:02 ; -; Total ; 00:00:43 ; -- ; -- ; 00:00:56 ; +; Analysis & Synthesis ; 00:00:27 ; 1.0 ; 13111 MB ; 00:00:43 ; +; Fitter ; 00:00:06 ; 1.0 ; 13746 MB ; 00:00:04 ; +; Assembler ; 00:00:01 ; 1.0 ; 13066 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:02 ; 1.0 ; 13066 MB ; 00:00:02 ; +; Total ; 00:00:36 ; -- ; -- ; 00:00:50 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/CPLD/MAXV/output_files/RAM2E.jdi b/CPLD/MAXV/output_files/RAM2E.jdi index bec8212..60ebd55 100644 --- a/CPLD/MAXV/output_files/RAM2E.jdi +++ b/CPLD/MAXV/output_files/RAM2E.jdi @@ -1,6 +1,6 @@ - + diff --git a/CPLD/MAXV/output_files/RAM2E.map.rpt b/CPLD/MAXV/output_files/RAM2E.map.rpt index 6f3badf..a1d6821 100644 --- a/CPLD/MAXV/output_files/RAM2E.map.rpt +++ b/CPLD/MAXV/output_files/RAM2E.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for RAM2E -Thu Sep 21 05:34:33 2023 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Tue Nov 21 06:54:32 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition --------------------- @@ -43,19 +43,19 @@ https://fpgasoftware.intel.com/eula. -+---------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Sep 21 05:34:33 2023 ; -; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Revision Name ; RAM2E ; -; Top-level Entity Name ; RAM2E ; -; Family ; MAX V ; -; Total logic elements ; 205 ; -; Total pins ; 70 ; -; Total virtual pins ; 0 ; -; UFM blocks ; 1 / 1 ( 100 % ) ; -+-----------------------------+---------------------------------------------+ ++-------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+-------------------------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Tue Nov 21 06:54:32 2023 ; +; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; +; Revision Name ; RAM2E ; +; Top-level Entity Name ; RAM2E ; +; Family ; MAX V ; +; Total logic elements ; 217 ; +; Total pins ; 71 ; +; Total virtual pins ; 0 ; +; UFM blocks ; 1 / 1 ( 100 % ) ; ++-----------------------------+-------------------------------------------------------------+ +------------------------------------------------------------------------------------------------------------+ @@ -146,15 +146,15 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+ -; ../RAM2E-MAX.v ; yes ; User Verilog HDL File ; //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v ; ; -; UFM.v ; yes ; User Wizard-Generated File ; //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v ; ; -; ../RAM2E.mif ; yes ; User Memory Initialization File ; //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.mif ; ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+ ++-------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------+---------+ +; ../RAM2E-MAX.v ; yes ; User Verilog HDL File ; Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v ; ; +; UFM.v ; yes ; User Wizard-Generated File ; Y:/Repos/RAM2E/CPLD/MAXV/UFM.v ; ; +; ../RAM2E-MAX.mif ; yes ; User Memory Initialization File ; Y:/Repos/RAM2E/CPLD/RAM2E-MAX.mif ; ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------+---------+ +-----------------------------------------------------+ @@ -162,34 +162,34 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 205 ; -; -- Combinational with no register ; 93 ; +; Total logic elements ; 217 ; +; -- Combinational with no register ; 103 ; ; -- Register only ; 27 ; -; -- Combinational with a register ; 85 ; +; -- Combinational with a register ; 87 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 103 ; -; -- 3 input functions ; 29 ; -; -- 2 input functions ; 42 ; -; -- 1 input functions ; 3 ; +; -- 4 input functions ; 99 ; +; -- 3 input functions ; 33 ; +; -- 2 input functions ; 53 ; +; -- 1 input functions ; 4 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 191 ; +; -- normal mode ; 203 ; ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 1 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 112 ; +; Total registers ; 114 ; ; Total logic cells in carry chains ; 15 ; -; I/O pins ; 70 ; +; I/O pins ; 71 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; -; Maximum fan-out ; 112 ; -; Total fan-out ; 850 ; -; Average fan-out ; 3.08 ; +; Maximum fan-out ; 114 ; +; Total fan-out ; 873 ; +; Average fan-out ; 3.02 ; +---------------------------------------------+-------+ @@ -198,7 +198,7 @@ https://fpgasoftware.intel.com/eula. +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ -; |RAM2E ; 205 (205) ; 112 ; 1 ; 70 ; 0 ; 93 (93) ; 27 (27) ; 85 (85) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; +; |RAM2E ; 217 (217) ; 114 ; 1 ; 71 ; 0 ; 103 (103) ; 27 (27) ; 87 (87) ; 15 (15) ; 0 (0) ; |RAM2E ; RAM2E ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst ; UFM ; work ; ; |UFM_altufm_none_p8r:UFM_altufm_none_p8r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component ; UFM_altufm_none_p8r ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+ @@ -219,12 +219,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 112 ; +; Total registers ; 114 ; ; Number of registers using Synchronous Clear ; 1 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 60 ; +; Number of registers using Clock Enable ; 63 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -234,13 +234,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------+---------+ ; Inverted Register ; Fan out ; +----------------------------------------+---------+ -; nCS~reg0 ; 1 ; ; nRAS~reg0 ; 1 ; ; nCAS~reg0 ; 1 ; ; nRWE~reg0 ; 1 ; ; DQML~reg0 ; 1 ; ; DQMH~reg0 ; 1 ; -; Total number of inverted registers = 6 ; ; +; Total number of inverted registers = 5 ; ; +----------------------------------------+---------+ @@ -250,7 +249,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |RAM2E|RA[0]~reg0 ; -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[2] ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |RAM2E|S[1] ; ; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |RAM2E|CS[0] ; ; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |RAM2E|RWMask[4] ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -272,35 +271,37 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------+ Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis - Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Thu Sep 21 05:33:58 2023 + Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition + Info: Processing started: Tue Nov 21 06:54:05 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXV -c RAM2E Info (20032): Parallel compilation is enabled and will use up to 4 processors -Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2e/cpld/ram2e-max.v - Info (12023): Found entity 1: RAM2E File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 1 +Info (12021): Found 1 design units, including 1 entities, in source file /repos/ram2e/cpld/ram2e-max.v + Info (12023): Found entity 1: RAM2E File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 1 Info (12021): Found 2 design units, including 2 entities, in source file ufm.v - Info (12023): Found entity 1: UFM_altufm_none_p8r File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 47 - Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 166 + Info (12023): Found entity 1: UFM_altufm_none_p8r File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 47 + Info (12023): Found entity 2: UFM File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 166 Info (12127): Elaborating entity "RAM2E" for the top level hierarchy -Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 93 -Info (12128): Elaborating entity "UFM_altufm_none_p8r" for hierarchy "UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component" File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 217 -Info (21057): Implemented 276 device resources after synthesis - the final resource count might be different +Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 98 +Info (12128): Elaborating entity "UFM_altufm_none_p8r" for hierarchy "UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component" File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 217 +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "nCS" is stuck at GND File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 43 +Info (21057): Implemented 289 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins - Info (21059): Implemented 40 output pins + Info (21059): Implemented 41 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 205 logic cells + Info (21061): Implemented 217 logic cells Info (21070): Implemented 1 User Flash Memory blocks -Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.map.smsg -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 13144 megabytes - Info: Processing ended: Thu Sep 21 05:34:33 2023 - Info: Elapsed time: 00:00:35 - Info: Total CPU time (on all processors): 00:00:50 +Info (144001): Generated suppressed messages file Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.map.smsg +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 13111 megabytes + Info: Processing ended: Tue Nov 21 06:54:32 2023 + Info: Elapsed time: 00:00:27 + Info: Total CPU time (on all processors): 00:00:43 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.map.smsg. +The suppressed messages can be found in Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.map.smsg. diff --git a/CPLD/MAXV/output_files/RAM2E.map.smsg b/CPLD/MAXV/output_files/RAM2E.map.smsg index 0b3ca79..77aed0d 100644 --- a/CPLD/MAXV/output_files/RAM2E.map.smsg +++ b/CPLD/MAXV/output_files/RAM2E.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at RAM2E-MAX.v(46): extended using "x" or "z" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 46 -Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 73 -Warning (10463): Verilog HDL Declaration warning at UFM.v(189): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 189 +Warning (10273): Verilog HDL warning at RAM2E-MAX.v(51): extended using "x" or "z" File: Y:/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 51 +Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 73 +Warning (10463): Verilog HDL Declaration warning at UFM.v(189): "program" is SystemVerilog-2005 keyword File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 189 diff --git a/CPLD/MAXV/output_files/RAM2E.map.summary b/CPLD/MAXV/output_files/RAM2E.map.summary index e2665d6..a1f10aa 100644 --- a/CPLD/MAXV/output_files/RAM2E.map.summary +++ b/CPLD/MAXV/output_files/RAM2E.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Thu Sep 21 05:34:33 2023 -Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Analysis & Synthesis Status : Successful - Tue Nov 21 06:54:32 2023 +Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition Revision Name : RAM2E Top-level Entity Name : RAM2E Family : MAX V -Total logic elements : 205 -Total pins : 70 +Total logic elements : 217 +Total pins : 71 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXV/output_files/RAM2E.pin b/CPLD/MAXV/output_files/RAM2E.pin index e88a551..06d34d0 100644 --- a/CPLD/MAXV/output_files/RAM2E.pin +++ b/CPLD/MAXV/output_files/RAM2E.pin @@ -58,7 +58,7 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition CHIP "RAM2E" ASSIGNED TO AN: 5M240ZT100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment @@ -143,7 +143,7 @@ Dout[0] : 77 : output : 3.3-V LVCMOS : GND* : 78 : : : : 2 : GND : 79 : gnd : : : : VCCIO2 : 80 : power : : 3.3V : 2 : -GND* : 81 : : : : 2 : +RCLK : 81 : output : 3.3-V LVCMOS : : 2 : Y GND* : 82 : : : : 2 : GND* : 83 : : : : 2 : Dout[6] : 84 : output : 3.3-V LVCMOS : : 2 : Y diff --git a/CPLD/MAXV/output_files/RAM2E.pof b/CPLD/MAXV/output_files/RAM2E.pof index 18142e9..9bb31f9 100644 Binary files a/CPLD/MAXV/output_files/RAM2E.pof and b/CPLD/MAXV/output_files/RAM2E.pof differ diff --git a/CPLD/MAXV/output_files/RAM2E.sta.rpt b/CPLD/MAXV/output_files/RAM2E.sta.rpt index c0e33ea..e22189e 100644 --- a/CPLD/MAXV/output_files/RAM2E.sta.rpt +++ b/CPLD/MAXV/output_files/RAM2E.sta.rpt @@ -1,6 +1,6 @@ Timing Analyzer report for RAM2E -Thu Sep 21 05:34:46 2023 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition +Tue Nov 21 06:54:45 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition --------------------- @@ -57,18 +57,18 @@ https://fpgasoftware.intel.com/eula. -+-----------------------------------------------------------------------------+ -; Timing Analyzer Summary ; -+-----------------------+-----------------------------------------------------+ -; Quartus Prime Version ; Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Timing Analyzer ; Legacy Timing Analyzer ; -; Revision Name ; RAM2E ; -; Device Family ; MAX V ; -; Device Name ; 5M240ZT100C5 ; -; Timing Models ; Final ; -; Delay Model ; Slow Model ; -; Rise/Fall Delays ; Unavailable ; -+-----------------------+-----------------------------------------------------+ ++---------------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+---------------------------------------------------------------------+ +; Quartus Prime Version ; Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; RAM2E ; +; Device Family ; MAX V ; +; Device Name ; 5M240ZT100C5 ; +; Timing Models ; Final ; +; Delay Model ; Slow Model ; +; Rise/Fall Delays ; Unavailable ; ++-----------------------+---------------------------------------------------------------------+ +------------------------------------------+ @@ -84,7 +84,7 @@ https://fpgasoftware.intel.com/eula. ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.0% ; +; Processor 2 ; 0.1% ; +----------------------------+-------------+ @@ -93,8 +93,8 @@ https://fpgasoftware.intel.com/eula. +------------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +------------------+--------+--------------------------+ -; ../RAM2E.sdc ; OK ; Thu Sep 21 05:34:45 2023 ; -; ../RAM2E-MAX.sdc ; OK ; Thu Sep 21 05:34:45 2023 ; +; ../RAM2E.sdc ; OK ; Tue Nov 21 06:54:45 2023 ; +; ../RAM2E-MAX.sdc ; OK ; Tue Nov 21 06:54:45 2023 ; +------------------+--------+--------------------------+ @@ -116,7 +116,7 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------+------+ ; 10.0 MHz ; 10.0 MHz ; ARCLK ; ; ; 10.0 MHz ; 10.0 MHz ; DRCLK ; ; -; 25.52 MHz ; 25.52 MHz ; C14M ; ; +; 26.52 MHz ; 26.52 MHz ; C14M ; ; +-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -126,9 +126,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ -; DRCLK ; -24.019 ; -24.019 ; -; ARCLK ; -23.863 ; -23.863 ; -; C14M ; -15.767 ; -176.992 ; +; DRCLK ; -25.523 ; -25.523 ; +; ARCLK ; -25.433 ; -25.433 ; +; C14M ; -10.080 ; -10.080 ; +-------+---------+---------------+ @@ -137,9 +137,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ -; ARCLK ; -16.136 ; -16.136 ; -; DRCLK ; -15.980 ; -15.980 ; -; C14M ; 2.440 ; 0.000 ; +; ARCLK ; -14.566 ; -14.566 ; +; DRCLK ; -14.550 ; -14.550 ; +; C14M ; 3.107 ; 0.000 ; +-------+---------+---------------+ @@ -171,8 +171,8 @@ No paths to report. +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -24.019 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.001 ; -1.057 ; 2.963 ; -; -24.019 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.001 ; -1.057 ; 2.963 ; +; -25.523 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.001 ; -1.050 ; 4.474 ; +; -25.449 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.001 ; -1.050 ; 4.400 ; ; 100.000 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 200.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -182,7 +182,7 @@ No paths to report. +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -23.863 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ARCLK ; 0.001 ; -0.901 ; 2.963 ; +; -25.433 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ARCLK ; 0.001 ; -1.042 ; 4.392 ; ; 100.000 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 200.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -192,106 +192,106 @@ No paths to report. +---------+---------------------------------------------------------------------------------------------+--------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------+--------------+--------------+-------------+--------------+------------+------------+ -; -15.767 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; UFMReqErase ; DRCLK ; C14M ; 0.001 ; 1.057 ; 16.504 ; -; -15.215 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RWMask[4] ; DRCLK ; C14M ; 0.001 ; 1.057 ; 15.952 ; -; -15.215 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RWMask[5] ; DRCLK ; C14M ; 0.001 ; 1.057 ; 15.952 ; -; -15.215 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RWMask[7] ; DRCLK ; C14M ; 0.001 ; 1.057 ; 15.952 ; -; -15.215 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RWMask[0] ; DRCLK ; C14M ; 0.001 ; 1.057 ; 15.952 ; -; -15.215 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RWMask[1] ; DRCLK ; C14M ; 0.001 ; 1.057 ; 15.952 ; -; -15.215 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RWMask[2] ; DRCLK ; C14M ; 0.001 ; 1.057 ; 15.952 ; -; -15.215 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RWMask[3] ; DRCLK ; C14M ; 0.001 ; 1.057 ; 15.952 ; -; -15.215 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; RWMask[6] ; DRCLK ; C14M ; 0.001 ; 1.057 ; 15.952 ; -; -14.411 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; LEDEN ; DRCLK ; C14M ; 0.001 ; 1.057 ; 15.148 ; -; -13.177 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; UFMInitDone ; DRCLK ; C14M ; 0.001 ; 1.057 ; 13.914 ; -; -11.917 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; UFMD[8] ; DRCLK ; C14M ; 0.001 ; 1.057 ; 12.654 ; -; 16.803 ; S[1] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.796 ; -; 16.803 ; S[1] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.796 ; -; 16.803 ; S[1] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.796 ; -; 16.803 ; S[1] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.796 ; -; 16.803 ; S[1] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.796 ; -; 16.803 ; S[1] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.796 ; -; 16.806 ; S[1] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.793 ; -; 16.809 ; S[1] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.790 ; -; 18.727 ; S[2] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.872 ; -; 18.727 ; S[2] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.872 ; -; 18.727 ; S[2] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.872 ; -; 18.727 ; S[2] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.872 ; -; 18.727 ; S[2] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.872 ; -; 18.727 ; S[2] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.872 ; -; 18.730 ; S[2] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.869 ; -; 18.733 ; S[2] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.866 ; -; 19.149 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.450 ; -; 19.149 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.450 ; -; 19.196 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.403 ; -; 19.196 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.403 ; -; 19.196 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.403 ; -; 19.260 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.339 ; -; 19.260 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.339 ; -; 19.307 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.292 ; -; 19.307 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.292 ; -; 19.307 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.292 ; -; 20.893 ; S[0] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.706 ; -; 20.893 ; S[0] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.706 ; -; 20.893 ; S[0] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.706 ; -; 20.893 ; S[0] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.706 ; -; 20.893 ; S[0] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.706 ; -; 20.893 ; S[0] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.706 ; -; 20.896 ; S[0] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.703 ; -; 20.899 ; S[0] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 13.700 ; -; 22.101 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.498 ; -; 22.101 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.498 ; -; 22.101 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.498 ; -; 22.212 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.387 ; -; 22.212 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.387 ; -; 22.212 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.387 ; -; 23.092 ; S[3] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.507 ; -; 23.092 ; S[3] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.507 ; -; 23.092 ; S[3] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.507 ; -; 23.092 ; S[3] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.507 ; -; 23.092 ; S[3] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.507 ; -; 23.092 ; S[3] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.507 ; -; 23.095 ; S[3] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.504 ; -; 23.098 ; S[3] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.501 ; -; 23.710 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.889 ; -; 23.710 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.889 ; -; 23.757 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.842 ; -; 23.757 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.842 ; -; 23.757 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.842 ; -; 24.349 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.250 ; -; 24.349 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.250 ; -; 24.396 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.203 ; -; 24.396 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.203 ; -; 24.396 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 10.203 ; -; 26.662 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.937 ; -; 26.662 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.937 ; -; 26.662 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.937 ; -; 27.301 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.298 ; -; 27.301 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.298 ; -; 27.301 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 7.298 ; -; 30.659 ; FS[15] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 38.861 ; -; 31.593 ; FS[14] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 37.927 ; -; 32.392 ; FS[15] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 37.128 ; -; 32.513 ; FS[2] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 37.007 ; -; 33.326 ; FS[14] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 36.194 ; -; 33.452 ; FS[15] ; nCAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 36.068 ; -; 33.715 ; S[1] ; CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 35.805 ; -; 34.111 ; FS[1] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 35.409 ; -; 34.246 ; FS[2] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 35.274 ; -; 34.386 ; FS[14] ; nCAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 35.134 ; -; 35.112 ; FS[11] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 34.408 ; -; 35.288 ; FS[10] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 34.232 ; -; 35.392 ; S[1] ; CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 34.128 ; -; 35.639 ; S[2] ; CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 33.881 ; -; 35.844 ; FS[1] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 33.676 ; -; 36.147 ; FS[8] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 33.373 ; -; 36.765 ; FS[9] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 32.755 ; -; 36.845 ; FS[11] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 32.675 ; -; 37.021 ; FS[10] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 32.499 ; -; 37.152 ; FS[4] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 32.368 ; -; 37.316 ; S[2] ; CmdPrgmMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 32.204 ; -; 37.805 ; S[0] ; CmdEraseMAX ; C14M ; C14M ; 69.841 ; 0.000 ; 31.715 ; -; 37.880 ; FS[8] ; nCS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 31.640 ; -; 37.905 ; FS[11] ; nCAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 31.615 ; +; -10.080 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; UFMD[7] ; DRCLK ; C14M ; 0.001 ; 1.050 ; 10.810 ; +; 16.312 ; S[3] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 18.287 ; +; 16.390 ; S[3] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 18.209 ; +; 16.452 ; S[3] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 18.147 ; +; 16.452 ; S[3] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 18.147 ; +; 16.452 ; S[3] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 18.147 ; +; 16.452 ; S[3] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 18.147 ; +; 16.452 ; S[3] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 18.147 ; +; 16.452 ; S[3] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 18.147 ; +; 16.979 ; S[2] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.620 ; +; 17.057 ; S[2] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.542 ; +; 17.119 ; S[2] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.480 ; +; 17.119 ; S[2] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.480 ; +; 17.119 ; S[2] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.480 ; +; 17.119 ; S[2] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.480 ; +; 17.119 ; S[2] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.480 ; +; 17.119 ; S[2] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 17.480 ; +; 17.747 ; S[0] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.852 ; +; 17.825 ; S[0] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.774 ; +; 17.887 ; S[0] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.712 ; +; 17.887 ; S[0] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.712 ; +; 17.887 ; S[0] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.712 ; +; 17.887 ; S[0] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.712 ; +; 17.887 ; S[0] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.712 ; +; 17.887 ; S[0] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.712 ; +; 17.922 ; S[1] ; Dout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.677 ; +; 18.000 ; S[1] ; Dout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.599 ; +; 18.062 ; S[1] ; Dout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.537 ; +; 18.062 ; S[1] ; Dout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.537 ; +; 18.062 ; S[1] ; Dout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.537 ; +; 18.062 ; S[1] ; Dout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.537 ; +; 18.062 ; S[1] ; Dout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.537 ; +; 18.062 ; S[1] ; Dout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 16.537 ; +; 19.364 ; S[0] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.235 ; +; 19.364 ; S[0] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.235 ; +; 19.411 ; S[0] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.188 ; +; 19.411 ; S[0] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.188 ; +; 19.411 ; S[0] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 15.188 ; +; 20.431 ; S[2] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.168 ; +; 20.431 ; S[2] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.168 ; +; 20.478 ; S[2] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.121 ; +; 20.478 ; S[2] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.121 ; +; 20.478 ; S[2] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 14.121 ; +; 21.781 ; S[1] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.818 ; +; 21.781 ; S[1] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.818 ; +; 21.828 ; S[1] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.771 ; +; 21.828 ; S[1] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.771 ; +; 21.828 ; S[1] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.771 ; +; 22.329 ; S[0] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.270 ; +; 22.329 ; S[0] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.270 ; +; 22.329 ; S[0] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 12.270 ; +; 23.278 ; S[3] ; Vout[0]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.321 ; +; 23.278 ; S[3] ; Vout[4]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.321 ; +; 23.325 ; S[3] ; Vout[1]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.274 ; +; 23.325 ; S[3] ; Vout[2]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.274 ; +; 23.325 ; S[3] ; Vout[5]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.274 ; +; 23.396 ; S[2] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.203 ; +; 23.396 ; S[2] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.203 ; +; 23.396 ; S[2] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 11.203 ; +; 24.746 ; S[1] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.853 ; +; 24.746 ; S[1] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.853 ; +; 24.746 ; S[1] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 9.853 ; +; 26.243 ; S[3] ; Vout[3]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.356 ; +; 26.243 ; S[3] ; Vout[6]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.356 ; +; 26.243 ; S[3] ; Vout[7]~reg0 ; C14M ; C14M ; 34.920 ; 0.000 ; 8.356 ; +; 31.403 ; RCLKx1 ; RCLKx0 ; C14M ; C14M ; 34.921 ; 0.000 ; 3.197 ; +; 32.128 ; FS[14] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 37.392 ; +; 32.516 ; FS[14] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 37.004 ; +; 32.522 ; FS[14] ; nCAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 36.998 ; +; 33.737 ; FS[15] ; RA[10]~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 35.783 ; +; 34.125 ; FS[15] ; nRAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 35.395 ; +; 34.131 ; FS[15] ; nCAS~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 35.389 ; +; 34.931 ; FS[14] ; nRWE~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 34.589 ; +; 35.247 ; UFMD[10] ; RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.273 ; +; 35.247 ; UFMD[10] ; RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.273 ; +; 35.247 ; UFMD[10] ; RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.273 ; +; 35.247 ; UFMD[10] ; RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.273 ; +; 35.247 ; UFMD[10] ; RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.273 ; +; 35.247 ; UFMD[10] ; RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.273 ; +; 35.247 ; UFMD[10] ; RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.273 ; +; 35.247 ; UFMD[10] ; RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 34.273 ; +; 35.722 ; S[3] ; RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.798 ; +; 35.722 ; S[3] ; RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.798 ; +; 35.722 ; S[3] ; RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.798 ; +; 35.722 ; S[3] ; RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.798 ; +; 35.722 ; S[3] ; RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.798 ; +; 35.722 ; S[3] ; RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.798 ; +; 35.722 ; S[3] ; RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.798 ; +; 35.722 ; S[3] ; RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.798 ; +; 36.008 ; UFMD[10] ; ARCLK ; C14M ; C14M ; 69.841 ; 0.000 ; 33.512 ; +; 36.389 ; S[2] ; RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.131 ; +; 36.389 ; S[2] ; RWMask[5] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.131 ; +; 36.389 ; S[2] ; RWMask[7] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.131 ; +; 36.389 ; S[2] ; RWMask[0] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.131 ; +; 36.389 ; S[2] ; RWMask[1] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.131 ; +; 36.389 ; S[2] ; RWMask[2] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.131 ; +; 36.389 ; S[2] ; RWMask[3] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.131 ; +; 36.389 ; S[2] ; RWMask[6] ; C14M ; C14M ; 69.841 ; 0.000 ; 33.131 ; +; 36.540 ; FS[15] ; nRWE~reg0 ; C14M ; C14M ; 69.841 ; 0.000 ; 32.980 ; +; 36.850 ; S[1] ; RWMask[4] ; C14M ; C14M ; 69.841 ; 0.000 ; 32.670 ; +---------+---------------------------------------------------------------------------------------------+--------------+--------------+-------------+--------------+------------+------------+ @@ -300,7 +300,7 @@ No paths to report. +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -16.136 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ARCLK ; 0.000 ; -0.901 ; 2.963 ; +; -14.566 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M ; ARCLK ; 0.000 ; -1.042 ; 4.392 ; ; 60.000 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -310,8 +310,8 @@ No paths to report. +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; -15.980 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.000 ; -1.057 ; 2.963 ; -; -15.980 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.000 ; -1.057 ; 2.963 ; +; -14.550 ; DRDIn ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.000 ; -1.050 ; 4.400 ; +; -14.476 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; C14M ; DRCLK ; 0.000 ; -1.050 ; 4.474 ; ; 60.000 ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ; +---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -321,106 +321,106 @@ No paths to report. +-------+---------------+-------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+---------------+-------------------+--------------+-------------+--------------+------------+------------+ -; 2.440 ; UFMBusyReg ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 2.479 ; -; 3.130 ; UFMD[12] ; UFMD[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.169 ; -; 3.153 ; UFMD[9] ; UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.192 ; -; 3.166 ; UFMD[14] ; UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.205 ; -; 3.170 ; UFMD[13] ; UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.209 ; -; 3.385 ; UFMD[10] ; UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.424 ; -; 3.414 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.453 ; -; 3.442 ; CmdEraseMAX ; CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.481 ; -; 3.443 ; S[2] ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.482 ; -; 3.451 ; S[2] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.490 ; -; 3.451 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.490 ; -; 3.453 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.492 ; -; 3.454 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.493 ; -; 3.528 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.567 ; -; 3.538 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.577 ; -; 3.740 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.779 ; -; 3.740 ; DRDIn ; DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 3.779 ; -; 3.741 ; RTPBusyReg ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 3.780 ; -; 3.779 ; RWSel ; RWSel ; C14M ; C14M ; 0.000 ; 0.000 ; 3.818 ; -; 3.810 ; S[1] ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.849 ; -; 3.827 ; CmdPrgmMAX ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 3.866 ; -; 3.831 ; S[1] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 3.870 ; -; 3.833 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.872 ; -; 3.839 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.878 ; -; 3.843 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.882 ; -; 4.011 ; PHI1reg ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.050 ; -; 4.210 ; UFMD[8] ; UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.249 ; -; 4.278 ; CmdEraseMAX ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 4.317 ; -; 4.279 ; UFMD[11] ; UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.318 ; -; 5.056 ; RTPBusyReg ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 5.095 ; -; 5.228 ; LEDEN ; LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 5.267 ; -; 5.241 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.280 ; -; 5.243 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.282 ; +; 3.107 ; UFMD[7] ; UFMD[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.146 ; +; 3.119 ; UFMD[10] ; UFMD[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.158 ; +; 3.155 ; UFMD[11] ; UFMD[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.194 ; +; 3.364 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 3.403 ; +; 3.382 ; UFMD[8] ; UFMD[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.421 ; +; 3.402 ; CmdPrgmMAX ; CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 3.441 ; +; 3.412 ; CmdBitbangMAX ; DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 3.451 ; +; 3.414 ; UFMD[13] ; UFMD[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.453 ; +; 3.443 ; CS[0] ; CS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.482 ; +; 3.500 ; CmdTout[0] ; CmdTout[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.539 ; +; 3.500 ; CmdTout[0] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.539 ; +; 3.510 ; CmdTout[0] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.549 ; +; 3.740 ; FS[0] ; FS[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.779 ; +; 3.754 ; RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.793 ; +; 3.756 ; RWMask[1] ; RWBank[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.795 ; +; 3.779 ; RWBank[5] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.818 ; +; 3.791 ; RCLKx1 ; RCLKx1 ; C14M ; C14M ; 0.000 ; 0.000 ; 3.830 ; +; 3.801 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.840 ; +; 3.803 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 3.842 ; +; 3.835 ; UFMProgStart ; UFMProgStart ; C14M ; C14M ; 0.000 ; 0.000 ; 3.874 ; +; 3.842 ; UFMProgStart ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 3.881 ; +; 3.843 ; UFMProgStart ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 3.882 ; +; 4.088 ; PHI1reg ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 4.127 ; +; 4.524 ; S[3] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 4.563 ; +; 4.997 ; CmdEraseMAX ; CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.036 ; +; 5.217 ; CS[2] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.256 ; +; 5.229 ; FS[5] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.268 ; +; 5.242 ; FS[15] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.281 ; +; 5.248 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.287 ; ; 5.252 ; FS[8] ; FS[8] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.291 ; -; 5.268 ; Ready ; Ready ; C14M ; C14M ; 0.000 ; 0.000 ; 5.307 ; -; 5.272 ; FS[7] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.311 ; -; 5.278 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.317 ; -; 5.281 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.320 ; -; 5.286 ; CmdPrgmMAX ; CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.325 ; -; 5.360 ; CS[1] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.399 ; -; 5.361 ; CS[1] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.400 ; -; 5.440 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.479 ; -; 5.441 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.480 ; -; 5.441 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.480 ; -; 5.442 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.481 ; -; 5.452 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.491 ; +; 5.254 ; RWBank[7] ; RA[8]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 5.293 ; +; 5.267 ; FS[10] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.306 ; +; 5.270 ; FS[9] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.309 ; +; 5.288 ; UFMErase ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 5.327 ; +; 5.337 ; CmdTout[1] ; CmdTout[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.376 ; +; 5.351 ; CmdTout[1] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.390 ; +; 5.416 ; DRDIn ; DRDIn ; C14M ; C14M ; 0.000 ; 0.000 ; 5.455 ; +; 5.420 ; RWBank[0] ; RA[8]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 5.459 ; +; 5.453 ; UFMInitDone ; UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 5.492 ; +; 5.455 ; FS[11] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.494 ; ; 5.464 ; FS[14] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.503 ; -; 5.473 ; UFMErase ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.512 ; -; 5.474 ; UFMProgram ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 5.513 ; +; 5.464 ; FS[4] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.503 ; +; 5.466 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.505 ; +; 5.472 ; FS[1] ; FS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.511 ; +; 5.474 ; FS[3] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.513 ; ; 5.475 ; FS[13] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.514 ; ; 5.476 ; FS[12] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.515 ; -; 5.476 ; FS[6] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.515 ; -; 5.478 ; UFMInitDone ; UFMInitDone ; C14M ; C14M ; 0.000 ; 0.000 ; 5.517 ; -; 5.486 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.525 ; -; 5.541 ; S[3] ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.580 ; -; 5.613 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.652 ; -; 5.664 ; RWBank[2] ; RA[10]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 5.703 ; -; 5.753 ; CmdPrgmMAX ; UFMErase ; C14M ; C14M ; 0.000 ; 0.000 ; 5.792 ; -; 5.978 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.017 ; +; 5.477 ; FS[2] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.516 ; +; 5.477 ; CmdPrgmMAX ; CmdEraseMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 5.516 ; +; 5.477 ; CmdTout[2] ; CmdTout[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.516 ; +; 5.525 ; CS[0] ; CS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.564 ; +; 5.526 ; CS[0] ; CS[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.565 ; +; 5.949 ; PHI1reg ; S[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.988 ; +; 5.954 ; PHI1reg ; S[1] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.993 ; +; 5.958 ; PHI1reg ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 5.997 ; +; 5.964 ; FS[5] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.003 ; ; 5.987 ; FS[8] ; FS[9] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.026 ; -; 6.013 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.052 ; -; 6.016 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.055 ; -; 6.122 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.161 ; +; 6.002 ; FS[10] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.041 ; +; 6.005 ; FS[9] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.044 ; +; 6.035 ; S[1] ; S[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.074 ; +; 6.086 ; UFMD[14] ; UFMD[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.125 ; +; 6.108 ; FS[5] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.147 ; ; 6.131 ; FS[8] ; FS[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.170 ; -; 6.157 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.196 ; -; 6.160 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.199 ; -; 6.229 ; DRCLKPulse ; DRCLK ; C14M ; C14M ; 0.000 ; 0.000 ; 6.268 ; +; 6.146 ; FS[10] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.185 ; +; 6.149 ; FS[9] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.188 ; +; 6.251 ; CS[1] ; CmdSetRWBankFFMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 6.290 ; ; 6.275 ; FS[8] ; FS[11] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.314 ; -; 6.304 ; FS[9] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.343 ; +; 6.293 ; FS[9] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.332 ; +; 6.386 ; CmdLEDGet ; RWBank[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.425 ; +; 6.390 ; LEDEN ; LEDEN ; C14M ; C14M ; 0.000 ; 0.000 ; 6.429 ; +; 6.401 ; S[1] ; DOEEN ; C14M ; C14M ; 0.000 ; 0.000 ; 6.440 ; ; 6.419 ; FS[8] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.458 ; -; 6.442 ; FS[3] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.481 ; -; 6.443 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.482 ; -; 6.444 ; FS[11] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.483 ; -; 6.454 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.493 ; +; 6.457 ; FS[11] ; FS[12] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.496 ; ; 6.466 ; FS[14] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.505 ; +; 6.466 ; FS[4] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.505 ; +; 6.468 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.507 ; +; 6.474 ; FS[1] ; FS[2] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.513 ; +; 6.476 ; FS[3] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.515 ; ; 6.477 ; FS[13] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.516 ; -; 6.478 ; FS[6] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.517 ; -; 6.507 ; RWBank[5] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.546 ; -; 6.533 ; CmdBitbangMAX ; DRCLKPulse ; C14M ; C14M ; 0.000 ; 0.000 ; 6.572 ; -; 6.586 ; FS[3] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.625 ; -; 6.587 ; FS[4] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.626 ; +; 6.586 ; RWMask[7] ; RWBank[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.625 ; +; 6.587 ; S[2] ; BA[1]~reg0 ; C14M ; C14M ; 0.000 ; 0.000 ; 6.626 ; +; 6.588 ; UFMReqErase ; UFMReqErase ; C14M ; C14M ; 0.000 ; 0.000 ; 6.627 ; +; 6.590 ; UFMD[9] ; UFMD[10] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.629 ; +; 6.610 ; FS[4] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.649 ; +; 6.620 ; FS[3] ; FS[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.659 ; ; 6.621 ; FS[13] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.660 ; -; 6.651 ; UFMD[11] ; RWMask[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.690 ; -; 6.724 ; RWMask[4] ; RWBank[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.763 ; -; 6.730 ; FS[3] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.769 ; -; 6.731 ; FS[4] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.770 ; -; 6.774 ; RWMask[3] ; RWBank[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.813 ; -; 6.793 ; FS[10] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.832 ; -; 6.793 ; FS[10] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.832 ; -; 6.793 ; FS[10] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.832 ; -; 6.816 ; UFMD[15] ; RWMask[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.855 ; -; 6.836 ; CS[0] ; CmdRWMaskSet ; C14M ; C14M ; 0.000 ; 0.000 ; 6.875 ; -; 6.843 ; CS[0] ; CmdSetRWBankFFLED ; C14M ; C14M ; 0.000 ; 0.000 ; 6.882 ; -; 6.874 ; FS[3] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.913 ; -; 6.895 ; CmdEraseMAX ; UFMProgram ; C14M ; C14M ; 0.000 ; 0.000 ; 6.934 ; -; 6.940 ; FS[9] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.979 ; -; 6.940 ; FS[9] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.979 ; -; 6.940 ; FS[9] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.979 ; -; 6.998 ; FS[2] ; FS[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.037 ; -; 6.998 ; FS[2] ; FS[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 7.037 ; +; 6.627 ; RWMask[5] ; RWBank[5] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.666 ; +; 6.634 ; S[0] ; S[0] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.673 ; +; 6.663 ; RWMask[3] ; RWBank[3] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.702 ; +; 6.676 ; CmdEraseMAX ; CmdPrgmMAX ; C14M ; C14M ; 0.000 ; 0.000 ; 6.715 ; +; 6.724 ; UFMD[12] ; RWMask[4] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.763 ; +; 6.754 ; FS[4] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.793 ; +; 6.764 ; FS[3] ; FS[6] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.803 ; +; 6.782 ; FS[10] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.821 ; +; 6.782 ; FS[10] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.821 ; +; 6.782 ; FS[10] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.821 ; +; 6.908 ; FS[3] ; FS[7] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.947 ; +; 6.929 ; FS[9] ; FS[13] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.968 ; +; 6.929 ; FS[9] ; FS[14] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.968 ; +; 6.929 ; FS[9] ; FS[15] ; C14M ; C14M ; 0.000 ; 0.000 ; 6.968 ; +-------+---------------+-------------------+--------------+-------------+--------------+------------+------------+ @@ -431,8 +431,8 @@ No paths to report. +------------+----------+----------+----------+----------+----------+ ; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; ; C14M ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; C14M ; C14M ; 1607 ; 0 ; 64 ; 0 ; -; DRCLK ; C14M ; 13 ; 0 ; 0 ; 0 ; +; C14M ; C14M ; 1715 ; 1 ; 64 ; 1 ; +; DRCLK ; C14M ; 1 ; 0 ; 0 ; 0 ; ; C14M ; DRCLK ; 2 ; 0 ; 0 ; 0 ; ; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ @@ -446,8 +446,8 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ; ; C14M ; ARCLK ; 1 ; 0 ; 0 ; 0 ; -; C14M ; C14M ; 1607 ; 0 ; 64 ; 0 ; -; DRCLK ; C14M ; 13 ; 0 ; 0 ; 0 ; +; C14M ; C14M ; 1715 ; 1 ; 64 ; 1 ; +; DRCLK ; C14M ; 1 ; 0 ; 0 ; 0 ; ; C14M ; DRCLK ; 2 ; 0 ; 0 ; 0 ; ; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ @@ -476,7 +476,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Unconstrained Input Ports ; 29 ; 29 ; ; Unconstrained Input Port Paths ; 169 ; 169 ; ; Unconstrained Output Ports ; 48 ; 48 ; -; Unconstrained Output Port Paths ; 67 ; 67 ; +; Unconstrained Output Port Paths ; 69 ; 69 ; +---------------------------------+-------+------+ @@ -559,6 +559,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; RA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RCLK ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -576,7 +577,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Vout[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; Vout[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nCAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nDOE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nRAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nRWE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -652,6 +652,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; RA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RCLK ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -669,7 +670,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Vout[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; Vout[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nCAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nDOE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nRAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; nRWE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -682,8 +682,8 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi +--------------------------+ Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer - Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Thu Sep 21 05:34:43 2023 + Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition + Info: Processing started: Tue Nov 21 06:54:43 2023 Info: Command: quartus_sta RAM2E-MAXV -c RAM2E Info: qsta_default_script.tcl version: #1 Info (20032): Parallel compilation is enabled and will use up to 4 processors @@ -698,18 +698,18 @@ Info: Can't run Report Timing Closure Recommendations. The current device family Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ARCLK are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: DRCLK are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0. Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -24.019 +Info (332146): Worst-case setup slack is -25.523 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -24.019 -24.019 DRCLK - Info (332119): -23.863 -23.863 ARCLK - Info (332119): -15.767 -176.992 C14M -Info (332146): Worst-case hold slack is -16.136 + Info (332119): -25.523 -25.523 DRCLK + Info (332119): -25.433 -25.433 ARCLK + Info (332119): -10.080 -10.080 C14M +Info (332146): Worst-case hold slack is -14.566 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -16.136 -16.136 ARCLK - Info (332119): -15.980 -15.980 DRCLK - Info (332119): 2.440 0.000 C14M + Info (332119): -14.566 -14.566 ARCLK + Info (332119): -14.550 -14.550 DRCLK + Info (332119): 3.107 0.000 C14M Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 34.581 @@ -724,9 +724,9 @@ Warning (332009): The launch and latch times for the relationship between source Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 13092 megabytes - Info: Processing ended: Thu Sep 21 05:34:46 2023 - Info: Elapsed time: 00:00:03 + Info: Peak virtual memory: 13066 megabytes + Info: Processing ended: Tue Nov 21 06:54:45 2023 + Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:02 diff --git a/CPLD/MAXV/output_files/RAM2E.sta.summary b/CPLD/MAXV/output_files/RAM2E.sta.summary index 3d31fdf..6cec232 100644 --- a/CPLD/MAXV/output_files/RAM2E.sta.summary +++ b/CPLD/MAXV/output_files/RAM2E.sta.summary @@ -3,27 +3,27 @@ Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'DRCLK' -Slack : -24.019 -TNS : -24.019 +Slack : -25.523 +TNS : -25.523 Type : Setup 'ARCLK' -Slack : -23.863 -TNS : -23.863 +Slack : -25.433 +TNS : -25.433 Type : Setup 'C14M' -Slack : -15.767 -TNS : -176.992 +Slack : -10.080 +TNS : -10.080 Type : Hold 'ARCLK' -Slack : -16.136 -TNS : -16.136 +Slack : -14.566 +TNS : -14.566 Type : Hold 'DRCLK' -Slack : -15.980 -TNS : -15.980 +Slack : -14.550 +TNS : -14.550 Type : Hold 'C14M' -Slack : 2.440 +Slack : 3.107 TNS : 0.000 Type : Minimum Pulse Width 'C14M' diff --git a/CPLD/RAM2E-LCMXO2.v b/CPLD/RAM2E-LCMXO2.v index 14cc526..330bd36 100644 --- a/CPLD/RAM2E-LCMXO2.v +++ b/CPLD/RAM2E-LCMXO2.v @@ -7,18 +7,18 @@ module RAM2E(C14M, PHI1, LED, /* Clocks */ input C14M, PHI1; + /* SDRAM clock output */ + output RCLK; + ODDRXE rclk_oddr(.D0(1'b0), .D1(1'b1), + .SCLK(C14M), .RST(1'b0), .Q(RCLK)); + /* Control inputs */ input nWE, nWE80, nEN80, nC07X; - - /* Delay for EN80 signal */ - //output DelayOut = 1'b0; - //input DelayIn; - wire EN80 = !nEN80; /* Activity LED */ reg LEDEN = 0; output LED; - assign LED = !(!nEN80 && LEDEN); + assign LED = !(!nEN80 && LEDEN && Ready); /* Address Bus */ input [7:0] Ain; // Multiplexed DRAM address input @@ -27,7 +27,7 @@ module RAM2E(C14M, PHI1, LED, input [7:0] Din; // 6502 data bus inputs reg DOEEN = 0; // 6502 data bus output enable from state machine output nDOE; - assign nDOE = !(EN80 && nWE && DOEEN); // 6502 data bus output enable + assign nDOE = !(!nEN80 && nWE && DOEEN); // 6502 data bus output enable output reg [7:0] Dout; // 6502 data Bus output /* Video Data Bus */ @@ -37,11 +37,13 @@ module RAM2E(C14M, PHI1, LED, /* SDRAM */ output reg CKE = 0; - output reg nCS = 1, nRAS = 1, nCAS = 1, nRWE = 1; + output nCS; + assign nCS = 0; + output reg nRAS = 1, nCAS = 1, nRWE = 1; output reg [1:0] BA; output reg [11:0] RA; output reg DQML = 1, DQMH = 1; - wire RDOE = EN80 && !nWE80; + wire RDOE = !nEN80 && !nWE80; inout [7:0] RD; assign RD[7:0] = RDOE ? Din[7:0] : 8'bZ; @@ -592,7 +594,7 @@ module RAM2E(C14M, PHI1, LED, DOEEN <= 1'b0; end else if (S==4'h8) begin // Enable clock if '245 output enabled - CKE <= EN80; + CKE <= !nEN80; // Activate if '245 output enabled nCS <= nEN80; @@ -613,7 +615,7 @@ module RAM2E(C14M, PHI1, LED, DOEEN <= 1'b0; end else if (S==4'h9) begin // Enable clock if '245 output enabled - CKE <= EN80; + CKE <= !nEN80; // Read/Write if '245 output enabled nCS <= nEN80; @@ -641,7 +643,7 @@ module RAM2E(C14M, PHI1, LED, DOEEN <= 1'b0; end else if (S==4'hA) begin // Enable clock if '245 output enabled - CKE <= EN80; + CKE <= !nEN80; // NOP nCS <= 1'b1; diff --git a/CPLD/RAM2E-MAX.mif b/CPLD/RAM2E-MAX.mif new file mode 100644 index 0000000..56366e4 --- /dev/null +++ b/CPLD/RAM2E-MAX.mif @@ -0,0 +1,28 @@ +-- Copyright (C) 2019 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- Quartus Prime generated Memory Initialization File (.mif) + +WIDTH=16; +DEPTH=512; + +ADDRESS_RADIX=HEX; +DATA_RADIX=HEX; + +CONTENT BEGIN + [000..0FD] : 0000; + 0FE : 7F7F; + [0FF..1FF] : FFFF; +END; diff --git a/CPLD/RAM2E-MAX.v b/CPLD/RAM2E-MAX.v index 8d1c884..58c3cd0 100644 --- a/CPLD/RAM2E-MAX.v +++ b/CPLD/RAM2E-MAX.v @@ -1,4 +1,4 @@ -module RAM2E(C14M, PHI1, LED, +module RAM2E(C14M, RCLK, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, Vout, nVOE, CKE, nCS, nRAS, nCAS, nRWE, @@ -7,18 +7,21 @@ module RAM2E(C14M, PHI1, LED, /* Clocks */ input C14M, PHI1; + /* SDRAM clock output */ + output RCLK; + reg RCLKx0; + reg RCLKx1; + always @(negedge C14M) RCLKx1 <= !RCLKx1; + always @(posedge C14M) RCLKx0 <= RCLKx1; + assign RCLK = RCLKx0 ^ RCLKx1; + /* Control inputs */ input nWE, nWE80, nEN80, nC07X; - - /* Delay for EN80 signal */ - //output DelayOut = 1'b0; - //input DelayIn; - wire EN80 = !nEN80; /* Activity LED */ reg LEDEN = 0; output LED; - assign LED = !(!nEN80 && LEDEN); + assign LED = !(!nEN80 && LEDEN && Ready); /* Address Bus */ input [7:0] Ain; // Multiplexed DRAM address input @@ -27,7 +30,7 @@ module RAM2E(C14M, PHI1, LED, input [7:0] Din; // 6502 data bus inputs reg DOEEN = 0; // 6502 data bus output enable from state machine output nDOE; - assign nDOE = !(EN80 && nWE && DOEEN); // 6502 data bus output enable + assign nDOE = !(!nEN80 && nWE && DOEEN); // 6502 data bus output enable output reg [7:0] Dout; // 6502 data Bus output /* Video Data Bus */ @@ -37,11 +40,13 @@ module RAM2E(C14M, PHI1, LED, /* SDRAM */ output reg CKE = 0; - output reg nCS = 1, nRAS = 1, nCAS = 1, nRWE = 1; + output nCS; + assign nCS = 0; + output reg nRAS = 1, nCAS = 1, nRWE = 1; output reg [1:0] BA; output reg [11:0] RA; output reg DQML = 1, DQMH = 1; - wire RDOE = EN80 && !nWE80; + wire RDOE = !nEN80 && !nWE80; inout [7:0] RD; assign RD[7:0] = RDOE ? Din[7:0] : 8'bZ; @@ -63,7 +68,7 @@ module RAM2E(C14M, PHI1, LED, reg [2:0] CmdTout = 0; // Command sequence timeout /* UFM Interface */ - reg [15:8] UFMD = 0; // *Parallel* UFM data register + reg [15:7] UFMD = 0; // *Parallel* UFM data register reg ARCLK = 0; // UFM address register clock // UFM address register data input tied to 0 reg ARShift = 0; // 1 to Shift UFM address in, 0 to increment @@ -92,7 +97,7 @@ module RAM2E(C14M, PHI1, LED, .osc (UFMOsc), .rtpbusy (RTPBusy)); reg UFMRTPBusy = 0; - always @(posedge C14M) begin UFMRTPBusy <= UFMBusy || RTPBusy; + always @(posedge C14M) UFMRTPBusy <= UFMBusy || RTPBusy; /* UFM State and User Command Triggers */ reg UFMInitDone = 0; // 1 if UFM initialization finished @@ -142,37 +147,42 @@ module RAM2E(C14M, PHI1, LED, ARShift <= 1'b0; // Don't care ARShift DRDIn <= 1'b0; // Don't care DRDIn DRShift <= 1'b0; // Don't care DRShift - end else if (!UFMInitDone && FS[15:13]==3'b110 && (FS[4:1]==4'h7 || FS[4]==1'b1)) begin - // In states CXXX-DXXX (substeps 8-F) - // Save UFM D15-8, shift out D14-7 (repeat 256x 8x) + end else if (!UFMInitDone && FS[15:13]==3'b110 && (FS[4:1]==4'h6 || FS[4:1]==4'h7 || FS[4:1]==4'h8 || FS[4:1]==4'h9 || FS[4:1]==4'hA || FS[4:1]==4'hB || FS[4:1]==4'hC || FS[4:1]==4'hD || FS[4:1]==4'hE)) begin + // In states CXXX-DXXX (substeps 6-E) + // Shift out UFMD[15:7] (repeat 256x 9x) + ARCLK <= 1'b0; // Don't clock address register DRCLK <= FS[0]; // Clock data register ARShift <= 1'b0; // ARShift is 0 because we want to increment DRDIn <= 1'b0; // Don't care what to shift into data register DRShift <= 1'b1; // Shift data register // Shift into UFMD - if (FS[0]) UFMD[15:8] <= {UFMD[14:8], DRDOut}; - - // Compare and store mask - if (FS[4:1]==4'hF) begin - ARCLK <= FS[0]; // Clock address register to increment - // If byte is erased (0xFF, i.e. all 1's, is erased)... - if (UFMD[15:8]==8'hFF && DRDOut==1'b1) begin - // Current UFM address is where we want to store - UFMInitDone <= 1'b1; // Quit iterating - // Otherwise byte is valid setting (i.e. some bit is 0)... - end else begin - // Set RWMask, but if saved mask is 0x80, store ~0xFF - if (UFMD[15:8]==8'b10000000) begin - RWMask[7:0] <= {1'b1, ~7'h7F}; - end else RWMask[7:0] <= {UFMD[15], ~UFMD[14:8]}; - // Set LED setting - LEDEN <= DRDOut ^ UFMD[15]; - // If last byte in sector... - if (FS[12:5]==8'hFF) begin - UFMReqErase <= 1'b1; // Mark need to erase - end - end - end else ARCLK <= 1'b0; // Don't clock address register + if (FS[0]) UFMD[15:7] <= {UFMD[14:7], DRDOut}; + end else if (!UFMInitDone && FS[15:13]==3'b110 && FS[4:1]==4'hF) begin + // In states CXXX-DXXX (substep F) + // Check and save mask, compute and save LEDEN + DRCLK <= 1'b0; // Don't clock data register + ARShift <= 1'b0; // ARShift is 0 because we want to increment + DRDIn <= 1'b0; // Don't care what to shift into data register + DRShift <= 1'b1; // Shift data register + // Set settings + // If byte is erased (0xFF, i.e. all 1's, is erased)... + if (UFMD[15:8]==8'hFF && UFMD[7]==1'b1) begin + // Current UFM address is where we want to store + UFMInitDone <= 1'b1; // Quit iterating + ARCLK <= 1'b0; // Don't increment address register + // Otherwise byte is valid setting (i.e. some bit is 0)... + end else begin + // Set RWMask, but if saved mask is 0x80, set for FF + if (UFMD[15:8]==8'b10000000) RWMask[7:0] <= {1'b1, 7'h00}; + else RWMask[7:0] <= {UFMD[15], ~UFMD[14:8]}; + // Set LED setting + LEDEN <= UFMD[15] ^ UFMD[7]; + // If last byte in sector... + if (FS[12:5]==8'hFF) begin + UFMReqErase <= 1'b1; // Need to erase + ARCLK <= 1'b0; // Don't increment address register + end else ARCLK <= FS[0]; // Increment if not last byte + end end else begin ARCLK <= 1'b0; DRCLK <= 1'b0; @@ -213,15 +223,21 @@ module RAM2E(C14M, PHI1, LED, end // UFM programming sequence - if (S==4'h1) begin - if (!UFMProgStart && !UFMRTPBusy) begin + if (S==4'h1 && !UFMRTPBusy) begin + if (!UFMProgStart) begin if (CmdPrgmMAX) begin UFMErase <= UFMReqErase; + UFMProgram <= 0; UFMProgStart <= 1; - end else if (CmdEraseMAX) UFMErase <= 1; - end else if (UFMProgStart && !UFMRTPBusy) begin + end else if (CmdEraseMAX) begin + UFMErase <= 1; + UFMProgram <= 0; + UFMProgStart <= 1; + end + end else begin UFMErase <= 0; - if (!UFMErase) UFMProgram <= 1; + UFMProgram <= !UFMErase; + UFMProgStart <= 1; end end end @@ -233,35 +249,30 @@ module RAM2E(C14M, PHI1, LED, // SDRAM initialization if (FS[15:0]==16'hFFC0) begin // Precharge All - nCS <= 1'b0; nRAS <= 1'b0; nCAS <= 1'b1; nRWE <= 1'b0; RA[10] <= 1'b1; // "all" end else if (FS[15:4]==16'hFFD && FS[0]==1'b0) begin // Repeat 8x // Auto-refresh - nCS <= 1'b0; nRAS <= 1'b0; nCAS <= 1'b0; nRWE <= 1'b1; RA[10] <= 1'b0; end else if (FS[15:0]==16'hFFE8) begin // Set Mode Register - nCS <= 1'b0; nRAS <= 1'b0; nCAS <= 1'b0; nRWE <= 1'b0; RA[10] <= 1'b0; // Reserved in mode register end else if (FS[15:4]==12'hFFF && FS[0]==1'b0) begin // Repeat 8x // Auto-refresh - nCS <= 1'b0; nRAS <= 1'b0; nCAS <= 1'b0; nRWE <= 1'b1; RA[10] <= 1'b0; end else begin // Otherwise send no-op // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; @@ -295,7 +306,6 @@ module RAM2E(C14M, PHI1, LED, CKE <= 1'b1; // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; @@ -315,7 +325,6 @@ module RAM2E(C14M, PHI1, LED, CKE <= 1'b1; // Activate - nCS <= 1'b0; nRAS <= 1'b0; nCAS <= 1'b1; nRWE <= 1'b1; @@ -336,7 +345,6 @@ module RAM2E(C14M, PHI1, LED, CKE <= 1'b1; // Read - nCS <= 1'b0; nRAS <= 1'b1; nCAS <= 1'b0; nRWE <= 1'b1; @@ -361,7 +369,6 @@ module RAM2E(C14M, PHI1, LED, CKE <= 1'b1; // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; @@ -381,7 +388,6 @@ module RAM2E(C14M, PHI1, LED, CKE <= 1'b1; // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; @@ -402,13 +408,11 @@ module RAM2E(C14M, PHI1, LED, if (FS[5:4]==0) begin // Auto-refresh - nCS <= 1'b0; nRAS <= 1'b0; nCAS <= 1'b0; nRWE <= 1'b1; end else begin // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; @@ -429,7 +433,6 @@ module RAM2E(C14M, PHI1, LED, CKE <= 1'b1; // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; @@ -448,11 +451,10 @@ module RAM2E(C14M, PHI1, LED, DOEEN <= 1'b0; end else if (S==4'h8) begin // Enable clock if '245 output enabled - CKE <= EN80; + CKE <= !nEN80; // Activate if '245 output enabled - nCS <= nEN80; - nRAS <= 1'b0; + nRAS <= nEN80; nCAS <= 1'b1; nRWE <= 1'b1; @@ -468,14 +470,21 @@ module RAM2E(C14M, PHI1, LED, // Inhibit data bus output DOEEN <= 1'b0; end else if (S==4'h9) begin - // Enable clock if '245 output enabled - CKE <= EN80; + // Keep CKE same as last clock // Read/Write if '245 output enabled - nCS <= nEN80; - nRAS <= 1'b1; - nCAS <= 1'b0; - nRWE <= nWE80; + + if (CKE) begin + // Read/Write if CKE ('245 output enabled) + nRAS <= 1'b1; + nCAS <= 1'b0; + nRWE <= nWE80; + end else begin + // NOP + nRAS <= 1'b1; + nCAS <= 1'b1; + nRWE <= 1'b1; + end // SDRAM bank still determined by RamWorks, RA[11,9:8] don't care BA[1:0] <= RWBank[5:4]; @@ -496,11 +505,9 @@ module RAM2E(C14M, PHI1, LED, // Inhibit data bus output DOEEN <= 1'b0; end else if (S==4'hA) begin - // Enable clock if '245 output enabled - CKE <= EN80; + // Keep CKE same as last clock // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; @@ -520,7 +527,6 @@ module RAM2E(C14M, PHI1, LED, CKE <= 1'b0; // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; @@ -540,7 +546,6 @@ module RAM2E(C14M, PHI1, LED, CKE <= 1'b0; // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; @@ -620,7 +625,6 @@ module RAM2E(C14M, PHI1, LED, CKE <= 1'b0; // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; @@ -640,7 +644,6 @@ module RAM2E(C14M, PHI1, LED, CKE <= 1'b0; // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; @@ -662,7 +665,6 @@ module RAM2E(C14M, PHI1, LED, CKE <= 1'b0; // NOP - nCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nRWE <= 1'b1; diff --git a/CPLD/RAM2E.mif b/CPLD/RAM2E.mif deleted file mode 100644 index 80fa213..0000000 --- a/CPLD/RAM2E.mif +++ /dev/null @@ -1,25 +0,0 @@ --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - --- Quartus II generated Memory Initialization File (.mif) - -WIDTH=16; -DEPTH=512; - -ADDRESS_RADIX=HEX; -DATA_RADIX=HEX; - -CONTENT BEGIN - [000..1FF] : FFFF; -END; diff --git a/Hardware/LCMXO2/Docs.kicad_sch b/Hardware/LCMXO2/Docs.kicad_sch index 9da3fd5..c9a31ba 100644 --- a/Hardware/LCMXO2/Docs.kicad_sch +++ b/Hardware/LCMXO2/Docs.kicad_sch @@ -14,3262 +14,2567 @@ (lib_symbols ) - (junction (at 218.44 46.99) (diameter 0) (color 0 0 0 0) - (uuid 00f75866-101d-4e7a-b1b4-e23c0684a977) - ) - (junction (at 218.44 49.53) (diameter 0) (color 0 0 0 0) - (uuid 04bb13a6-b4ac-4844-830d-1dd1969b5ca9) - ) - (junction (at 210.82 46.99) (diameter 0) (color 0 0 0 0) - (uuid 0a08cf54-74dc-4c2a-9bd8-600794e08bff) - ) - (junction (at 102.87 46.99) (diameter 0) (color 0 0 0 0) - (uuid 0b199210-f3cd-4fb5-9673-8756d3d39cd0) - ) - (junction (at 207.01 46.99) (diameter 0) (color 0 0 0 0) - (uuid 0b308bb3-ba39-4571-a8fa-3ca4a42a44e6) - ) - (junction (at 149.86 46.99) (diameter 0) (color 0 0 0 0) - (uuid 0c44fa8d-a3ac-4bfa-856b-6e4f21fb579f) - ) - (junction (at 157.48 46.99) (diameter 0) (color 0 0 0 0) - (uuid 0d8c9546-8a01-4bca-be06-22f2b09192fc) - ) - (junction (at 209.55 46.99) (diameter 0) (color 0 0 0 0) - (uuid 10906178-872a-45c6-a620-d7953624b404) - ) - (junction (at 120.65 46.99) (diameter 0) (color 0 0 0 0) - (uuid 119fb2d5-51a7-404f-b667-1cc47790e2a7) - ) - (junction (at 116.84 46.99) (diameter 0) (color 0 0 0 0) - (uuid 1280da23-c3b5-4804-b1a2-4c30acf582a1) - ) - (junction (at 121.92 46.99) (diameter 0) (color 0 0 0 0) - (uuid 1414fa25-ee37-4fa7-b752-63ea587fc675) - ) - (junction (at 153.67 46.99) (diameter 0) (color 0 0 0 0) - (uuid 14d8d7f7-5f36-408e-aa4c-7ce21f21b6f3) - ) - (junction (at 212.09 46.99) (diameter 0) (color 0 0 0 0) - (uuid 161dc7ac-db66-48f4-8f0a-e12b1e919392) - ) - (junction (at 114.3 49.53) (diameter 0) (color 0 0 0 0) - (uuid 17ae2234-409d-4108-83f3-01174a96f147) - ) - (junction (at 187.96 54.61) (diameter 0) (color 0 0 0 0) - (uuid 18bcf547-431e-4a48-9c0b-2d7926509b36) - ) - (junction (at 167.64 46.99) (diameter 0) (color 0 0 0 0) - (uuid 1f5387ee-3e4e-48d3-aa6f-f44206a0dbfa) - ) - (junction (at 101.6 49.53) (diameter 0) (color 0 0 0 0) - (uuid 2028e7b6-a007-4cb1-a4df-2148be6842c5) - ) - (junction (at 220.98 46.99) (diameter 0) (color 0 0 0 0) - (uuid 24562248-d2fa-46e0-a166-63d638fd22de) - ) - (junction (at 104.14 49.53) (diameter 0) (color 0 0 0 0) - (uuid 25fd067b-2403-4abe-b4de-e83113f4fb2d) - ) - (junction (at 158.75 49.53) (diameter 0) (color 0 0 0 0) - (uuid 2748076e-5747-449e-9060-8404a8b080ae) - ) - (junction (at 115.57 46.99) (diameter 0) (color 0 0 0 0) - (uuid 2859f1d0-dd07-4c93-aa7f-d04f86b61a81) - ) - (junction (at 187.96 50.8) (diameter 0) (color 0 0 0 0) - (uuid 2d05862f-2068-41e9-bec7-888f1e10223d) - ) - (junction (at 212.09 82.55) (diameter 0) (color 0 0 0 0) - (uuid 2d5bd4e2-8a05-457d-b256-ee32795afa35) - ) - (junction (at 170.18 49.53) (diameter 0) (color 0 0 0 0) - (uuid 310de5fd-7a35-476b-9951-b3846986ad81) - ) - (junction (at 106.68 49.53) (diameter 0) (color 0 0 0 0) - (uuid 33438030-4528-4fef-8f5c-a249f63bc3b1) - ) - (junction (at 157.48 49.53) (diameter 0) (color 0 0 0 0) - (uuid 33c7461b-bddd-4ac0-a561-8494484ac7cc) - ) - (junction (at 168.91 49.53) (diameter 0) (color 0 0 0 0) - (uuid 36b469b8-ba30-40b7-8532-c5f066e4f4df) - ) - (junction (at 106.68 46.99) (diameter 0) (color 0 0 0 0) - (uuid 386e3b0f-b1ac-44bb-950d-d22776b8fb3e) - ) - (junction (at 219.71 46.99) (diameter 0) (color 0 0 0 0) - (uuid 3897f9e4-7270-4733-b3a5-1a26d82a04c1) - ) - (junction (at 207.01 49.53) (diameter 0) (color 0 0 0 0) - (uuid 38ae4607-7c71-421d-88ff-511c4639af18) - ) - (junction (at 105.41 82.55) (diameter 0) (color 0 0 0 0) - (uuid 39bd633a-2c92-4885-83ae-2b6e1f4d477e) - ) - (junction (at 105.41 49.53) (diameter 0) (color 0 0 0 0) - (uuid 39f1103d-35f1-4a5d-9fd3-4120c493ba4a) - ) - (junction (at 119.38 46.99) (diameter 0) (color 0 0 0 0) - (uuid 40cf6fe5-b3ad-4eed-87a4-544deb4b0f6f) - ) - (junction (at 171.45 46.99) (diameter 0) (color 0 0 0 0) - (uuid 40e65f19-7561-4ae7-90b0-6f06d59abaed) - ) - (junction (at 179.07 54.61) (diameter 0) (color 0 0 0 0) - (uuid 424aab79-5640-4d11-bdca-eab8720bd03d) - ) - (junction (at 205.74 49.53) (diameter 0) (color 0 0 0 0) - (uuid 463fc1ae-73ca-429b-bda5-712f4a2fb176) - ) - (junction (at 105.41 78.74) (diameter 0) (color 0 0 0 0) - (uuid 4b045f9e-c432-4282-9b79-017440684cfd) - ) - (junction (at 99.06 49.53) (diameter 0) (color 0 0 0 0) - (uuid 4d732607-b3c2-4c5b-a8ae-eb0637c3ecbe) - ) - (junction (at 156.21 49.53) (diameter 0) (color 0 0 0 0) - (uuid 506bdf7f-47ba-4c6a-a07e-4bb21475d9b0) - ) - (junction (at 115.57 49.53) (diameter 0) (color 0 0 0 0) - (uuid 53e5eaa9-e050-4063-9fe3-5f5dc2f2c289) - ) - (junction (at 179.07 50.8) (diameter 0) (color 0 0 0 0) - (uuid 55b55f40-6039-46be-bdc6-7738c2e41a0d) - ) - (junction (at 154.94 46.99) (diameter 0) (color 0 0 0 0) - (uuid 563fa72c-32e3-42c7-bdae-9b99f80a97a9) - ) - (junction (at 111.76 49.53) (diameter 0) (color 0 0 0 0) - (uuid 57a0aa51-078b-4270-bde6-700f2e147b57) - ) - (junction (at 173.99 46.99) (diameter 0) (color 0 0 0 0) - (uuid 587b2be7-501f-4c62-916f-e2a0b660f6e7) - ) - (junction (at 208.28 46.99) (diameter 0) (color 0 0 0 0) - (uuid 59f853b9-169d-47d6-9675-03ef8ee658ff) - ) - (junction (at 203.2 49.53) (diameter 0) (color 0 0 0 0) - (uuid 5a2d415a-104d-4761-9573-32781e86f189) - ) - (junction (at 157.48 86.36) (diameter 0) (color 0 0 0 0) - (uuid 5c89e03f-d5b9-409a-9325-849f588b9545) - ) - (junction (at 118.11 46.99) (diameter 0) (color 0 0 0 0) - (uuid 5c9c235f-b575-4008-897d-2a231bb25e35) - ) - (junction (at 153.67 49.53) (diameter 0) (color 0 0 0 0) - (uuid 62f314f3-7afe-4891-8c79-dbebc9dbe7cd) - ) - (junction (at 213.36 49.53) (diameter 0) (color 0 0 0 0) - (uuid 63de9448-591b-4bf7-a52a-34d222b286bb) - ) - (junction (at 209.55 49.53) (diameter 0) (color 0 0 0 0) - (uuid 66e172b4-a7ae-4126-985e-f71c32880673) - ) - (junction (at 204.47 49.53) (diameter 0) (color 0 0 0 0) - (uuid 673a8ab7-5c1d-4222-90b5-b26a67e2922e) - ) - (junction (at 160.02 49.53) (diameter 0) (color 0 0 0 0) - (uuid 69e5cac6-2b46-4407-9ae5-6ffd49ec2701) - ) - (junction (at 100.33 49.53) (diameter 0) (color 0 0 0 0) - (uuid 6b4f5e17-4f16-49d6-940c-54d6df267d1c) - ) - (junction (at 212.09 86.36) (diameter 0) (color 0 0 0 0) - (uuid 71f5936e-e98c-4d5f-bbe5-eee52c644b84) - ) - (junction (at 96.52 46.99) (diameter 0) (color 0 0 0 0) - (uuid 7ed8f64c-6d0a-4caa-a77d-ecf299380979) - ) - (junction (at 166.37 46.99) (diameter 0) (color 0 0 0 0) - (uuid 818657ca-52a7-4bc1-a601-bcd8ddcdd60f) - ) - (junction (at 113.03 49.53) (diameter 0) (color 0 0 0 0) - (uuid 81cb2d80-b607-4eff-b737-24d85adc0bd4) - ) - (junction (at 119.38 49.53) (diameter 0) (color 0 0 0 0) - (uuid 822d449e-8931-473d-8a24-4642d2757f8d) - ) - (junction (at 116.84 49.53) (diameter 0) (color 0 0 0 0) - (uuid 84081d20-8024-4372-b16e-e627c0f8d2ca) - ) - (junction (at 120.65 49.53) (diameter 0) (color 0 0 0 0) - (uuid 8ba6787e-2568-4c1c-a099-7eec01149a66) - ) - (junction (at 175.26 46.99) (diameter 0) (color 0 0 0 0) - (uuid 8c163b0b-4dbb-43aa-854c-c4189cb16d7f) - ) - (junction (at 220.98 49.53) (diameter 0) (color 0 0 0 0) - (uuid 8ed7c0f5-4b41-4ddb-8959-93b8340c85ff) - ) - (junction (at 170.18 46.99) (diameter 0) (color 0 0 0 0) - (uuid 8f4e3c1e-346c-4343-82f3-931295005c63) - ) - (junction (at 172.72 46.99) (diameter 0) (color 0 0 0 0) - (uuid 93505d23-622d-44ad-aac8-397ce36d8b28) - ) - (junction (at 156.21 46.99) (diameter 0) (color 0 0 0 0) - (uuid 951024e0-cce6-4c06-a6d6-136d4a023831) - ) - (junction (at 158.75 46.99) (diameter 0) (color 0 0 0 0) - (uuid 976cb729-7f05-4fff-8d49-d28b0db7fd0f) - ) - (junction (at 175.26 49.53) (diameter 0) (color 0 0 0 0) - (uuid 98d92ccc-7fa7-4487-a54c-ffdc0a85fcdc) - ) - (junction (at 219.71 49.53) (diameter 0) (color 0 0 0 0) - (uuid 9e9f1f28-d3e2-49db-ad47-c4e846625a46) - ) - (junction (at 102.87 49.53) (diameter 0) (color 0 0 0 0) - (uuid a4cd4aed-bf63-4025-b5e2-9a0925bc8ba7) - ) - (junction (at 213.36 46.99) (diameter 0) (color 0 0 0 0) - (uuid a62f1d4e-747f-4f81-868a-d5fffbae665d) - ) - (junction (at 212.09 78.74) (diameter 0) (color 0 0 0 0) - (uuid a8435e7e-51d3-46c8-85cc-9aff055883ca) - ) - (junction (at 205.74 46.99) (diameter 0) (color 0 0 0 0) - (uuid a96af7a7-a003-415a-b0c8-7c314d9d3b66) - ) - (junction (at 210.82 49.53) (diameter 0) (color 0 0 0 0) - (uuid aa0aefda-1a08-482f-a730-4fbdc804fe93) - ) - (junction (at 152.4 46.99) (diameter 0) (color 0 0 0 0) - (uuid ab51108b-cd53-4bb1-be7d-f781eb74e482) - ) - (junction (at 105.41 46.99) (diameter 0) (color 0 0 0 0) - (uuid ab5aa7f5-9b8e-401e-9124-89b48fc10a43) - ) - (junction (at 97.79 49.53) (diameter 0) (color 0 0 0 0) - (uuid ac84b739-4629-4f4b-b9c3-cb1ba2c12464) - ) - (junction (at 172.72 49.53) (diameter 0) (color 0 0 0 0) - (uuid b461b48a-3425-4f3a-ad9e-d087605b1449) - ) - (junction (at 173.99 49.53) (diameter 0) (color 0 0 0 0) - (uuid b626385f-cf18-4297-b092-1c1a4ab357fc) - ) - (junction (at 212.09 49.53) (diameter 0) (color 0 0 0 0) - (uuid ba1ebfa4-c906-4097-98fe-4f5c49990e71) - ) - (junction (at 99.06 46.99) (diameter 0) (color 0 0 0 0) - (uuid bde29ab3-b36d-4d8e-8e1e-ff104386e7f2) - ) - (junction (at 154.94 49.53) (diameter 0) (color 0 0 0 0) - (uuid c0803e49-3359-4fda-8026-918e8ddf1309) - ) - (junction (at 104.14 46.99) (diameter 0) (color 0 0 0 0) - (uuid c0e83bdd-836e-4eea-8fed-a8f59da44236) - ) - (junction (at 96.52 49.53) (diameter 0) (color 0 0 0 0) - (uuid c690b62d-564d-4f77-a7d4-c2d337488fd6) - ) - (junction (at 111.76 46.99) (diameter 0) (color 0 0 0 0) - (uuid c765cc27-396d-43bd-b8d6-76b951a6a243) - ) - (junction (at 167.64 49.53) (diameter 0) (color 0 0 0 0) - (uuid c90e850c-ae01-46fa-a62f-bb7056b8f205) - ) - (junction (at 166.37 49.53) (diameter 0) (color 0 0 0 0) - (uuid ca9d3c51-1f18-4876-a701-e34682674c39) - ) - (junction (at 100.33 46.99) (diameter 0) (color 0 0 0 0) - (uuid cd817a63-b4d4-4d46-b4ca-ab194cba3c7a) - ) - (junction (at 149.86 49.53) (diameter 0) (color 0 0 0 0) - (uuid cef95f20-b9d3-4600-92fa-158619fe58b0) - ) - (junction (at 114.3 46.99) (diameter 0) (color 0 0 0 0) - (uuid d116824e-34b5-4ed5-9259-4baa6b57afc5) - ) - (junction (at 101.6 46.99) (diameter 0) (color 0 0 0 0) - (uuid d240682c-e704-41cc-8c5b-882f364a0ab3) - ) - (junction (at 203.2 46.99) (diameter 0) (color 0 0 0 0) - (uuid d6951214-6f0d-4073-b881-2f8dcbcdc838) - ) - (junction (at 118.11 49.53) (diameter 0) (color 0 0 0 0) - (uuid dec29cd9-3305-4951-a534-ab4f4672e475) - ) - (junction (at 113.03 46.99) (diameter 0) (color 0 0 0 0) - (uuid e3f184d5-7a84-4df9-859a-bc08a173f4b7) - ) - (junction (at 152.4 49.53) (diameter 0) (color 0 0 0 0) - (uuid e6ca979b-bee5-405c-beb7-4a67b4658933) - ) - (junction (at 151.13 49.53) (diameter 0) (color 0 0 0 0) - (uuid e7b3a1ee-11b2-486d-8956-5d8aae0ceb64) - ) - (junction (at 105.41 86.36) (diameter 0) (color 0 0 0 0) - (uuid e87820b3-07f7-47d7-8c3b-47ec9d49fb5e) - ) - (junction (at 208.28 49.53) (diameter 0) (color 0 0 0 0) - (uuid e91be45d-13a6-4d20-8681-90cca2224203) - ) - (junction (at 171.45 49.53) (diameter 0) (color 0 0 0 0) - (uuid e9b4679d-c090-43fd-9402-be6148232785) - ) - (junction (at 160.02 46.99) (diameter 0) (color 0 0 0 0) - (uuid ef98c1fc-7f18-4d94-af70-dd0e07459e0f) - ) - (junction (at 165.1 46.99) (diameter 0) (color 0 0 0 0) - (uuid efe4571e-e6e6-46ea-b898-8a1289113a89) - ) - (junction (at 168.91 46.99) (diameter 0) (color 0 0 0 0) - (uuid f6ba070f-89d5-4ab8-9ea6-e9e31498a149) - ) - (junction (at 151.13 46.99) (diameter 0) (color 0 0 0 0) - (uuid f6c9b6e0-4cf0-4121-8a21-353ccf7102c1) - ) - (junction (at 204.47 46.99) (diameter 0) (color 0 0 0 0) - (uuid f7c68eb7-abe3-4f69-a625-2282e84afd79) - ) - (junction (at 97.79 46.99) (diameter 0) (color 0 0 0 0) - (uuid f8b33941-0d1d-4624-b144-1ce92211bc38) - ) - (junction (at 195.58 82.55) (diameter 0) (color 0 0 0 0) - (uuid f8cda605-8cc9-4db1-a055-abd60e01c042) - ) - (junction (at 157.48 78.74) (diameter 0) (color 0 0 0 0) - (uuid faef6814-93c1-41a4-918e-09f380127f45) - ) - (junction (at 165.1 49.53) (diameter 0) (color 0 0 0 0) - (uuid fe08da2c-3e8a-44fa-8e50-471d561de6f9) - ) - (junction (at 121.92 49.53) (diameter 0) (color 0 0 0 0) - (uuid feb30b25-c9c2-46ef-af2a-73cc155a3240) - ) - (wire (pts (xy 118.11 76.2) (xy 119.38 73.66)) - (stroke (width 0) (type default)) - (uuid 00bc2fa3-16d8-47c6-a0ab-47b05fcbbdac) - ) - (wire (pts (xy 111.76 72.39) (xy 118.11 72.39)) - (stroke (width 0) (type default)) - (uuid 01295689-c652-4b4e-825d-cfb008ccd70b) - ) - (wire (pts (xy 171.45 41.91) (xy 172.72 39.37)) - (stroke (width 0) (type default)) - (uuid 0228c93e-731a-4b41-9fdc-5c3b46621ab7) - ) - (wire (pts (xy 167.64 85.09) (xy 168.91 87.63)) - (stroke (width 0) (type default)) - (uuid 02814be9-4e9d-44f1-8854-14b713d6b45e) - ) - (wire (pts (xy 217.17 69.85) (xy 210.82 69.85)) - (stroke (width 0) (type default)) - (uuid 02a1a59b-dbb9-4aa3-a46f-790010e5e403) - ) - (wire (pts (xy 163.83 87.63) (xy 165.1 85.09)) - (stroke (width 0) (type default)) - (uuid 02d3682e-ae45-4eb7-a617-a152166763b6) - ) - (wire (pts (xy 133.35 69.85) (xy 127 69.85)) - (stroke (width 0) (type default)) - (uuid 03188285-d8f4-4de9-926f-fedd4c77b736) - ) - (wire (pts (xy 149.86 46.99) (xy 151.13 46.99)) - (stroke (width 0) (type default)) - (uuid 03728dae-03f3-494e-aeab-42c1d6a57c2c) - ) - (wire (pts (xy 218.44 49.53) (xy 219.71 46.99)) - (stroke (width 0) (type default)) - (uuid 03c7518a-075f-4284-a3c7-4b3ad6e79415) - ) - (wire (pts (xy 148.59 69.85) (xy 142.24 69.85)) - (stroke (width 0) (type default)) - (uuid 05827324-aeb4-4ffe-a5d7-1d76fc016fdd) - ) - (wire (pts (xy 105.41 82.55) (xy 195.58 82.55)) - (stroke (width 0) (type default)) - (uuid 0596c011-88ce-46f9-8ac4-291c707fa143) - ) - (wire (pts (xy 201.93 76.2) (xy 203.2 73.66)) - (stroke (width 0) (type default)) - (uuid 05ca7aef-1e30-4519-bef1-f3af733d8d8a) - ) - (wire (pts (xy 110.49 69.85) (xy 111.76 72.39)) - (stroke (width 0) (type default)) - (uuid 06204742-5bc7-4d23-a8f8-1f3cf38d6533) - ) - (wire (pts (xy 198.12 35.56) (xy 198.12 38.1)) - (stroke (width 0) (type default)) - (uuid 06dcd415-a562-4432-8979-986db0f29ffb) - ) - (wire (pts (xy 118.11 38.1) (xy 118.11 35.56)) - (stroke (width 0) (type default)) - (uuid 07366a44-ee40-474f-a2b1-8988c21266e1) - ) - (wire (pts (xy 110.49 64.77) (xy 111.76 62.23)) - (stroke (width 0) (type default)) - (uuid 0810dcd5-acd2-4e98-aacc-f86d1dd6ed2d) - ) - (wire (pts (xy 99.06 35.56) (xy 95.25 35.56)) - (stroke (width 0) (type default)) - (uuid 0853ab5f-1bfa-4b3c-8ee8-bb3ad374c83a) - ) - (wire (pts (xy 100.33 46.99) (xy 101.6 49.53)) - (stroke (width 0) (type default)) - (uuid 08941cd6-6564-48e5-bd8e-c4afb7b332e3) - ) - (wire (pts (xy 153.67 46.99) (xy 154.94 49.53)) - (stroke (width 0) (type default)) - (uuid 09221383-9108-4dd1-92a2-b37b45319f7d) - ) - (wire (pts (xy 102.87 62.23) (xy 96.52 62.23)) - (stroke (width 0) (type default)) - (uuid 098599b0-0da2-4c7a-8a42-c4e98e76d8ff) - ) - (wire (pts (xy 172.72 85.09) (xy 173.99 87.63)) - (stroke (width 0) (type default)) - (uuid 09940d65-87ee-48be-af58-5e7f5263a0d5) - ) - (wire (pts (xy 209.55 62.23) (xy 210.82 64.77)) - (stroke (width 0) (type default)) - (uuid 0a537f35-50a6-48ce-b454-1fea767bd4d4) - ) - (wire (pts (xy 157.48 86.36) (xy 105.41 86.36)) - (stroke (width 0) (type default)) - (uuid 0ad95cd9-5829-48cb-ba28-b74f7f09f429) - ) - (wire (pts (xy 151.13 49.53) (xy 152.4 46.99)) - (stroke (width 0) (type default)) - (uuid 0b4634a8-7954-41ce-b1c7-f7891cf9f6da) - ) - (wire (pts (xy 133.35 73.66) (xy 127 73.66)) - (stroke (width 0) (type default)) - (uuid 0bf59c10-84ef-4c7b-9e19-0a4f9621a5b9) - ) - (wire (pts (xy 133.35 72.39) (xy 134.62 69.85)) - (stroke (width 0) (type default)) - (uuid 0cb20fed-d122-46a8-ac9a-cfe6be5cecea) - ) - (wire (pts (xy 101.6 46.99) (xy 102.87 49.53)) - (stroke (width 0) (type default)) - (uuid 0cd918c1-1518-4cc2-bd84-794c358edb8d) - ) - (wire (pts (xy 217.17 62.23) (xy 210.82 62.23)) - (stroke (width 0) (type default)) - (uuid 0d752baa-87a4-40da-a292-948277e1a260) - ) - (wire (pts (xy 165.1 72.39) (xy 171.45 72.39)) - (stroke (width 0) (type default)) - (uuid 0d7e9d3e-7915-45b4-bda4-beaab0a2ca39) - ) - (wire (pts (xy 186.69 76.2) (xy 187.96 73.66)) - (stroke (width 0) (type default)) - (uuid 0ef4c81e-3f4e-4511-9925-2832f927b102) - ) - (wire (pts (xy 210.82 45.72) (xy 222.25 45.72)) - (stroke (width 0) (type default)) - (uuid 0f7a55cd-ad14-4a09-8c11-7554e9358dcb) - ) - (wire (pts (xy 96.52 41.91) (xy 102.87 41.91)) - (stroke (width 0) (type default)) - (uuid 0fa8dfba-c401-4d88-b953-1055207f6499) - ) - (wire (pts (xy 133.35 38.1) (xy 133.35 35.56)) - (stroke (width 0) (type default)) - (uuid 10e752fd-5e48-4eab-8b0b-de64624f5d02) - ) - (wire (pts (xy 125.73 39.37) (xy 119.38 39.37)) - (stroke (width 0) (type default)) - (uuid 10e89f59-970c-492d-a9a8-e3e36fd544e2) - ) - (wire (pts (xy 179.07 87.63) (xy 180.34 85.09)) - (stroke (width 0) (type default)) - (uuid 1117fb2f-79d6-4bc1-9ccd-9d2e8cb2e0bd) - ) - (wire (pts (xy 102.87 49.53) (xy 104.14 49.53)) - (stroke (width 0) (type default)) - (uuid 11b98ccd-cd71-4d86-8156-eecac4afd545) - ) - (wire (pts (xy 156.21 69.85) (xy 157.48 72.39)) - (stroke (width 0) (type default)) - (uuid 11feac90-f90f-4a32-9e8e-b6a887596102) - ) - (wire (pts (xy 179.07 64.77) (xy 180.34 62.23)) - (stroke (width 0) (type default)) - (uuid 1209dff5-bbd7-43ba-8d05-2e2ab2555016) - ) - (wire (pts (xy 154.94 46.99) (xy 156.21 49.53)) - (stroke (width 0) (type default)) - (uuid 120f5a51-de0b-4ad0-89e2-97b8c6611902) - ) - (wire (pts (xy 172.72 49.53) (xy 173.99 49.53)) - (stroke (width 0) (type default)) - (uuid 1235265e-1301-4f42-840f-0e1b4b49eb39) - ) - (wire (pts (xy 111.76 46.99) (xy 113.03 49.53)) - (stroke (width 0) (type default)) - (uuid 12749b54-11ae-4109-a8b5-1948539e90eb) - ) - (wire (pts (xy 100.33 46.99) (xy 101.6 46.99)) - (stroke (width 0) (type default)) - (uuid 139200a5-eccb-440b-8eb5-7669a965ab8b) - ) - (wire (pts (xy 180.34 72.39) (xy 186.69 72.39)) - (stroke (width 0) (type default)) - (uuid 139aff16-b830-4720-91bd-f3addeb17512) - ) - (wire (pts (xy 158.75 80.01) (xy 210.82 80.01)) - (stroke (width 0) (type default)) - (uuid 13efb2c6-93c7-4b84-8cc8-e1ab4e8ea844) - ) - (wire (pts (xy 149.86 41.91) (xy 156.21 41.91)) - (stroke (width 0) (type default)) - (uuid 1466721f-793a-4d8e-8bb0-528779a1d771) - ) - (wire (pts (xy 186.69 53.34) (xy 187.96 50.8)) - (stroke (width 0) (type default)) - (uuid 14d298b2-bd14-48ba-b9e6-804dca055056) - ) - (wire (pts (xy 212.09 78.74) (xy 210.82 80.01)) - (stroke (width 0) (type default)) - (uuid 15159bc1-d114-4f9b-b280-3fe5315ee239) - ) - (wire (pts (xy 220.98 35.56) (xy 220.98 38.1)) - (stroke (width 0) (type default)) - (uuid 1548961f-94e7-4b4f-ae3c-eede120f23c6) - ) - (wire (pts (xy 170.18 46.99) (xy 171.45 49.53)) - (stroke (width 0) (type default)) - (uuid 1585aa02-0170-4527-9100-9c0f2db96159) - ) - (wire (pts (xy 114.3 35.56) (xy 114.3 38.1)) - (stroke (width 0) (type default)) - (uuid 15a09d31-1680-4947-b335-6e664509ab2b) - ) - (wire (pts (xy 160.02 38.1) (xy 163.83 38.1)) - (stroke (width 0) (type default)) - (uuid 15d49bfd-a727-4fca-a220-8da18bf4e5c2) - ) - (wire (pts (xy 166.37 85.09) (xy 167.64 87.63)) - (stroke (width 0) (type default)) - (uuid 15e8f60e-5ef0-426c-b7b1-840496a46e8e) - ) - (wire (pts (xy 194.31 72.39) (xy 195.58 69.85)) - (stroke (width 0) (type default)) - (uuid 15f7a4e2-2f67-4d0c-9ecb-7750c5034f97) - ) - (wire (pts (xy 118.11 72.39) (xy 119.38 69.85)) - (stroke (width 0) (type default)) - (uuid 15fa4829-8d6f-4333-8f71-c519f12a0249) - ) - (wire (pts (xy 212.09 46.99) (xy 213.36 49.53)) - (stroke (width 0) (type default)) - (uuid 16b73f11-40b2-4d71-857b-a7ac286378ad) - ) - (wire (pts (xy 96.52 49.53) (xy 97.79 46.99)) - (stroke (width 0) (type default)) - (uuid 16d8cace-1afc-4f99-b702-7daf0550d04d) - ) - (wire (pts (xy 195.58 64.77) (xy 201.93 64.77)) - (stroke (width 0) (type default)) - (uuid 1707f493-f76f-4527-a089-aea091394b7f) - ) - (wire (pts (xy 153.67 46.99) (xy 154.94 46.99)) - (stroke (width 0) (type default)) - (uuid 177a5a07-68b4-4795-860d-6b3ff137d381) - ) - (wire (pts (xy 148.59 35.56) (xy 152.4 35.56)) - (stroke (width 0) (type default)) - (uuid 17e26ff3-34c1-4276-9a3e-ef555760e397) - ) - (wire (pts (xy 179.07 69.85) (xy 172.72 69.85)) - (stroke (width 0) (type default)) - (uuid 1811cf74-cd0f-43e8-bd97-261e7abf79aa) - ) - (wire (pts (xy 92.71 83.82) (xy 104.14 83.82)) - (stroke (width 0) (type default)) - (uuid 188a567e-3a6e-40f2-86b5-21ad2570f895) - ) - (wire (pts (xy 118.11 68.58) (xy 92.71 68.58)) - (stroke (width 0) (type default)) - (uuid 18b17206-4d84-48b9-9540-9fd6e3acb44a) - ) - (wire (pts (xy 205.74 38.1) (xy 209.55 38.1)) - (stroke (width 0) (type default)) - (uuid 18b20a23-1946-4810-bf2c-6aadac9dd614) - ) - (wire (pts (xy 118.11 69.85) (xy 111.76 69.85)) - (stroke (width 0) (type default)) - (uuid 18fbf9f8-07e5-4e06-b6b2-cf109b378f49) - ) - (wire (pts (xy 212.09 82.55) (xy 222.25 82.55)) - (stroke (width 0) (type default)) - (uuid 191eb6b4-9c26-4004-8253-1a162e37339e) - ) - (wire (pts (xy 173.99 85.09) (xy 175.26 87.63)) - (stroke (width 0) (type default)) - (uuid 1926c5db-cc2f-45f1-be16-060ba3f0bb22) - ) - (wire (pts (xy 186.69 41.91) (xy 187.96 39.37)) - (stroke (width 0) (type default)) - (uuid 19370a7a-0fd1-4090-855d-55b289bace48) - ) - (wire (pts (xy 152.4 38.1) (xy 156.21 38.1)) - (stroke (width 0) (type default)) - (uuid 1944e759-ba05-4b4a-93ff-18bf832240ca) - ) - (wire (pts (xy 194.31 39.37) (xy 187.96 39.37)) - (stroke (width 0) (type default)) - (uuid 1a965c72-ac95-4b8a-9afa-6384936d25af) - ) - (wire (pts (xy 99.06 35.56) (xy 99.06 38.1)) - (stroke (width 0) (type default)) - (uuid 1aac7fbd-f61b-48ca-b70b-9416574ca9a6) - ) - (wire (pts (xy 96.52 46.99) (xy 97.79 46.99)) - (stroke (width 0) (type default)) - (uuid 1b889ef2-6167-4736-8749-a8afefe98a26) - ) - (wire (pts (xy 195.58 41.91) (xy 201.93 41.91)) - (stroke (width 0) (type default)) - (uuid 1bc50631-b2c1-4b15-b0da-629fb610fc35) - ) - (wire (pts (xy 151.13 49.53) (xy 152.4 49.53)) - (stroke (width 0) (type default)) - (uuid 1bcbc7a0-ebe5-40db-b5e7-40dcd48878a4) - ) - (wire (pts (xy 168.91 46.99) (xy 170.18 49.53)) - (stroke (width 0) (type default)) - (uuid 1bdca5da-e1ff-435a-8450-2d435de4f3f8) - ) - (wire (pts (xy 201.93 83.82) (xy 210.82 83.82)) - (stroke (width 0) (type default)) - (uuid 1c410812-4838-49cf-a597-54fecb089bed) - ) - (wire (pts (xy 92.71 77.47) (xy 104.14 77.47)) - (stroke (width 0) (type default)) - (uuid 1c9ce8df-5f47-49dd-b186-40689421237f) - ) - (wire (pts (xy 171.45 73.66) (xy 172.72 76.2)) - (stroke (width 0) (type default)) - (uuid 1d47e689-d18e-43b3-9284-97cf86b73fd9) - ) - (wire (pts (xy 171.45 64.77) (xy 172.72 62.23)) - (stroke (width 0) (type default)) - (uuid 1e97383d-8730-463c-916b-2276951d0da0) - ) - (wire (pts (xy 118.11 39.37) (xy 119.38 41.91)) - (stroke (width 0) (type default)) - (uuid 1fbf828f-29dc-4c88-b9cf-73914cea6294) - ) - (wire (pts (xy 110.49 62.23) (xy 111.76 64.77)) - (stroke (width 0) (type default)) - (uuid 1fc28397-10b0-43eb-bbc4-82cc52d84a85) - ) - (wire (pts (xy 133.35 39.37) (xy 134.62 41.91)) - (stroke (width 0) (type default)) - (uuid 200cd584-b48e-44fd-9a7a-b466f62d7155) - ) - (wire (pts (xy 119.38 72.39) (xy 125.73 72.39)) - (stroke (width 0) (type default)) - (uuid 206ada7d-c8b1-4f39-bc9c-e623e7ebabe9) - ) - (wire (pts (xy 110.49 69.85) (xy 104.14 69.85)) - (stroke (width 0) (type default)) - (uuid 21490751-68b5-45be-bc3b-0f7ea1c8e0cb) - ) - (wire (pts (xy 163.83 64.77) (xy 165.1 62.23)) - (stroke (width 0) (type default)) - (uuid 216bb146-06e5-446d-bd29-20715107ae8a) - ) - (wire (pts (xy 110.49 39.37) (xy 104.14 39.37)) - (stroke (width 0) (type default)) - (uuid 22632010-d5a6-46df-9adb-144f68f7d8bc) - ) - (wire (pts (xy 160.02 46.99) (xy 165.1 46.99)) - (stroke (width 0) (type default)) - (uuid 22d0b6d4-7af5-4497-bef5-e8ee1798949b) - ) - (wire (pts (xy 95.25 72.39) (xy 96.52 69.85)) - (stroke (width 0) (type default)) - (uuid 2309f1e3-f99d-4396-90ad-5f59dd6a7218) - ) - (wire (pts (xy 222.25 39.37) (xy 218.44 39.37)) - (stroke (width 0) (type default)) - (uuid 24094065-c7e1-4106-ae94-b3d08a6b8f43) - ) - (wire (pts (xy 194.31 69.85) (xy 187.96 69.85)) - (stroke (width 0) (type default)) - (uuid 241b242a-b85c-4e99-9d95-c962b851346b) - ) - (wire (pts (xy 105.41 82.55) (xy 104.14 83.82)) - (stroke (width 0) (type default)) - (uuid 2432db9e-5acd-4e7f-bd3d-02fa9b84b341) - ) - (wire (pts (xy 209.55 69.85) (xy 203.2 69.85)) - (stroke (width 0) (type default)) - (uuid 24e8592d-749b-4195-8cd1-71cd9637c0ca) - ) - (wire (pts (xy 201.93 64.77) (xy 203.2 62.23)) - (stroke (width 0) (type default)) - (uuid 25886d70-8b10-4ecb-9461-088d3c98307f) - ) - (wire (pts (xy 137.16 35.56) (xy 137.16 38.1)) - (stroke (width 0) (type default)) - (uuid 25d6372f-31db-4f54-a9f8-b1321089b9fd) - ) - (wire (pts (xy 95.25 64.77) (xy 96.52 62.23)) - (stroke (width 0) (type default)) - (uuid 2605bb33-e4d4-4be7-8acb-7d5a66fed77f) - ) - (wire (pts (xy 198.12 38.1) (xy 201.93 38.1)) - (stroke (width 0) (type default)) - (uuid 266acd7c-8139-486a-80ab-c3688205f4c4) - ) - (wire (pts (xy 104.14 76.2) (xy 110.49 76.2)) - (stroke (width 0) (type default)) - (uuid 266d657d-b75a-48e3-859b-3c2b5d37e5f0) - ) - (wire (pts (xy 102.87 39.37) (xy 104.14 41.91)) - (stroke (width 0) (type default)) - (uuid 26a29594-0d2f-43dc-b99b-ba2ae48cd83d) - ) - (wire (pts (xy 209.55 41.91) (xy 210.82 39.37)) - (stroke (width 0) (type default)) - (uuid 27ad91bf-61c0-4b0e-9625-6f84cc6c6af9) - ) - (wire (pts (xy 179.07 54.61) (xy 180.34 57.15)) - (stroke (width 0) (type default)) - (uuid 27b67be5-dea6-4518-8ee1-e908fbb08570) - ) - (wire (pts (xy 205.74 46.99) (xy 207.01 49.53)) - (stroke (width 0) (type default)) - (uuid 28d47240-e74c-404e-a337-83c4c2630222) - ) - (wire (pts (xy 104.14 41.91) (xy 110.49 41.91)) - (stroke (width 0) (type default)) - (uuid 2aed1119-f94f-48c0-a1c7-d9b2519c2218) - ) - (wire (pts (xy 92.71 80.01) (xy 104.14 80.01)) - (stroke (width 0) (type default)) - (uuid 2b00b755-d89a-48cc-bb2f-9cc4652b446f) - ) - (wire (pts (xy 125.73 76.2) (xy 127 73.66)) - (stroke (width 0) (type default)) - (uuid 2b4173a4-4cca-4474-8f08-8612929421d9) - ) - (wire (pts (xy 101.6 49.53) (xy 102.87 46.99)) - (stroke (width 0) (type default)) - (uuid 2bea5bc0-c97e-4782-900e-9d40aceb5d99) - ) - (wire (pts (xy 110.49 73.66) (xy 111.76 76.2)) - (stroke (width 0) (type default)) - (uuid 2caf3a06-fca6-47f6-b17d-475cc626c9ae) - ) - (wire (pts (xy 201.93 69.85) (xy 195.58 69.85)) - (stroke (width 0) (type default)) - (uuid 2cfd9fdd-994c-4e93-b689-ea801d63cc82) - ) - (wire (pts (xy 180.34 41.91) (xy 186.69 41.91)) - (stroke (width 0) (type default)) - (uuid 2d0e231f-e53d-4ca9-a567-b3619835dacc) - ) - (wire (pts (xy 156.21 39.37) (xy 149.86 39.37)) - (stroke (width 0) (type default)) - (uuid 2d4de206-64d5-4f48-a930-e5f2560d760b) - ) - (wire (pts (xy 203.2 41.91) (xy 209.55 41.91)) - (stroke (width 0) (type default)) - (uuid 2d7bc09c-2a8e-4775-91dc-7baa9ce77bf4) - ) - (wire (pts (xy 219.71 49.53) (xy 220.98 46.99)) - (stroke (width 0) (type default)) - (uuid 2df2f379-4d84-4dcb-aee9-d2f83b8a2881) - ) - (wire (pts (xy 195.58 82.55) (xy 196.85 81.28)) - (stroke (width 0) (type default)) - (uuid 2e095c12-00d5-4981-abb8-6866f8aa20b8) - ) - (wire (pts (xy 99.06 49.53) (xy 100.33 49.53)) - (stroke (width 0) (type default)) - (uuid 2e609120-20ff-4ac8-bddc-4bbd3d740c9a) - ) - (wire (pts (xy 140.97 64.77) (xy 142.24 62.23)) - (stroke (width 0) (type default)) - (uuid 2efebc5b-a5fb-4cd5-88c4-cc03b9a482ac) - ) - (wire (pts (xy 120.65 46.99) (xy 121.92 46.99)) - (stroke (width 0) (type default)) - (uuid 2f9b4458-5021-4c9f-9806-890dc3beac6f) - ) - (wire (pts (xy 170.18 49.53) (xy 171.45 46.99)) - (stroke (width 0) (type default)) - (uuid 2faf3ca1-ab98-451e-8370-5dc6f6007e33) - ) - (wire (pts (xy 203.2 49.53) (xy 204.47 49.53)) - (stroke (width 0) (type default)) - (uuid 2fdb6d31-1425-4034-801e-9c6e4f737157) - ) - (wire (pts (xy 106.68 46.99) (xy 111.76 46.99)) - (stroke (width 0) (type default)) - (uuid 2fe64afd-5662-40c7-a43e-f0ff065217bc) - ) - (wire (pts (xy 95.25 69.85) (xy 92.71 69.85)) - (stroke (width 0) (type default)) - (uuid 2ff5436b-b538-45f9-9c34-6bd66103f07f) - ) - (wire (pts (xy 171.45 46.99) (xy 172.72 49.53)) - (stroke (width 0) (type default)) - (uuid 31640d7d-74b9-4d54-815c-3e28209fb3a3) - ) - (wire (pts (xy 186.69 69.85) (xy 180.34 69.85)) - (stroke (width 0) (type default)) - (uuid 32cacbd7-3702-4379-ada6-019280a0f4b2) - ) - (wire (pts (xy 95.25 62.23) (xy 92.71 62.23)) - (stroke (width 0) (type default)) - (uuid 32f484f3-e35d-4ea8-9a25-06f5bdf2896e) - ) - (wire (pts (xy 104.14 46.99) (xy 105.41 49.53)) - (stroke (width 0) (type default)) - (uuid 33057bd7-ac49-4e3b-a32a-eed65a8beac9) - ) - (wire (pts (xy 209.55 39.37) (xy 203.2 39.37)) - (stroke (width 0) (type default)) - (uuid 334c5621-0335-4f54-ac8a-4700d18c7b0a) - ) - (wire (pts (xy 168.91 85.09) (xy 170.18 87.63)) - (stroke (width 0) (type default)) - (uuid 33cfe7bb-6d18-44e0-9d74-6c6a69c2ec07) - ) - (wire (pts (xy 127 64.77) (xy 133.35 64.77)) - (stroke (width 0) (type default)) - (uuid 34d6e97c-18cd-4071-a4ed-724f9ab96824) - ) - (wire (pts (xy 149.86 72.39) (xy 156.21 72.39)) - (stroke (width 0) (type default)) - (uuid 35f87e10-23ea-434b-b0e1-7531f6a0bd6b) - ) - (wire (pts (xy 166.37 46.99) (xy 167.64 46.99)) - (stroke (width 0) (type default)) - (uuid 36219a13-9100-4086-b766-eccade74ab6f) - ) - (wire (pts (xy 175.26 38.1) (xy 179.07 38.1)) - (stroke (width 0) (type default)) - (uuid 36626dfe-d9a1-4172-ae88-c9e6fa846956) - ) - (wire (pts (xy 203.2 76.2) (xy 209.55 76.2)) - (stroke (width 0) (type default)) - (uuid 37099759-3c70-4905-9511-1ddf682599fd) - ) - (wire (pts (xy 97.79 49.53) (xy 99.06 49.53)) - (stroke (width 0) (type default)) - (uuid 37588c83-5dab-4367-97f1-62b78983a5f5) - ) - (wire (pts (xy 120.65 49.53) (xy 121.92 49.53)) - (stroke (width 0) (type default)) - (uuid 381e3836-425c-4280-8205-f87f5a238007) - ) - (wire (pts (xy 209.55 73.66) (xy 203.2 73.66)) - (stroke (width 0) (type default)) - (uuid 39c558a5-a46d-446f-a44a-edeb7629e725) - ) - (wire (pts (xy 217.17 39.37) (xy 218.44 41.91)) - (stroke (width 0) (type default)) - (uuid 39d12fcd-451b-4a73-8826-b7c708ecfd2c) - ) - (wire (pts (xy 204.47 46.99) (xy 205.74 49.53)) - (stroke (width 0) (type default)) - (uuid 39e147a3-1a34-4159-9bae-6f587e8efe4f) - ) - (wire (pts (xy 194.31 66.04) (xy 195.58 68.58)) - (stroke (width 0) (type default)) - (uuid 3a460672-b1c5-4aef-b69e-02c9eec7b37d) - ) - (wire (pts (xy 179.07 50.8) (xy 180.34 53.34)) - (stroke (width 0) (type default)) - (uuid 3ab74c68-348a-4fda-bc9e-b5d262d3e624) - ) - (wire (pts (xy 167.64 35.56) (xy 167.64 38.1)) - (stroke (width 0) (type default)) - (uuid 3b3727f4-3957-4da1-a2b9-d36671d187b4) - ) - (wire (pts (xy 156.21 46.99) (xy 157.48 49.53)) - (stroke (width 0) (type default)) - (uuid 3b4d1afc-c2ef-4385-80fe-9c6d57a17ace) - ) - (wire (pts (xy 186.69 39.37) (xy 187.96 41.91)) - (stroke (width 0) (type default)) - (uuid 3b4d6bbd-d29f-46fe-af5f-f9a51704ff43) - ) - (wire (pts (xy 210.82 41.91) (xy 217.17 41.91)) - (stroke (width 0) (type default)) - (uuid 3c8d38e4-ec43-44cf-83f2-3795eee3c3ba) - ) - (wire (pts (xy 125.73 64.77) (xy 127 62.23)) - (stroke (width 0) (type default)) - (uuid 3cdf9f91-ca38-4634-9dc7-d23ae009eba0) - ) - (wire (pts (xy 172.72 72.39) (xy 179.07 72.39)) - (stroke (width 0) (type default)) - (uuid 3de96b0c-9d61-4413-acfa-66f726782ca1) - ) - (wire (pts (xy 129.54 38.1) (xy 133.35 38.1)) - (stroke (width 0) (type default)) - (uuid 3e304436-92e9-4523-9e83-bb25727d98df) - ) - (wire (pts (xy 194.31 35.56) (xy 198.12 35.56)) - (stroke (width 0) (type default)) - (uuid 3e3f8848-fe32-4a5a-8596-e1f3cc6a198d) - ) - (wire (pts (xy 194.31 38.1) (xy 194.31 35.56)) - (stroke (width 0) (type default)) - (uuid 3ea3c647-1d64-46d0-b435-e31e1610da78) - ) - (wire (pts (xy 172.72 64.77) (xy 179.07 64.77)) - (stroke (width 0) (type default)) - (uuid 3f014dca-db1d-4f8c-8af9-fbdf6f357199) - ) - (wire (pts (xy 119.38 49.53) (xy 120.65 46.99)) - (stroke (width 0) (type default)) - (uuid 3f660949-15c3-41db-8f8a-350f3eb8f416) - ) - (wire (pts (xy 102.87 73.66) (xy 104.14 76.2)) - (stroke (width 0) (type default)) - (uuid 3fda05e2-5a63-41f5-ad43-de1edcea4d51) - ) - (wire (pts (xy 163.83 35.56) (xy 167.64 35.56)) - (stroke (width 0) (type default)) - (uuid 4035a075-ce76-4573-8385-91bec3c55df1) - ) - (wire (pts (xy 182.88 38.1) (xy 186.69 38.1)) - (stroke (width 0) (type default)) - (uuid 40432520-2437-4f89-ba06-28e6c0eded77) - ) - (wire (pts (xy 194.31 39.37) (xy 195.58 41.91)) - (stroke (width 0) (type default)) - (uuid 40b47b4f-cffb-472c-a783-5c88726f1bbc) - ) - (wire (pts (xy 179.07 39.37) (xy 180.34 41.91)) - (stroke (width 0) (type default)) - (uuid 40f23dd6-5698-4e8c-b06a-cbc73ad296f9) - ) - (wire (pts (xy 156.21 73.66) (xy 157.48 76.2)) - (stroke (width 0) (type default)) - (uuid 41807ce9-fbc9-4015-a1bf-6c96dd2ebc45) - ) - (wire (pts (xy 133.35 62.23) (xy 134.62 64.77)) - (stroke (width 0) (type default)) - (uuid 4193623b-6e9f-46ad-a4ee-e57297c1c5e3) - ) - (wire (pts (xy 133.35 76.2) (xy 134.62 73.66)) - (stroke (width 0) (type default)) - (uuid 41ca4c71-7af1-46cd-972c-226934966b2f) - ) - (wire (pts (xy 209.55 39.37) (xy 210.82 41.91)) - (stroke (width 0) (type default)) - (uuid 41e1cae0-6633-4feb-b3ae-2d6af132c138) - ) - (wire (pts (xy 157.48 46.99) (xy 158.75 46.99)) - (stroke (width 0) (type default)) - (uuid 421d8bf7-0fa4-4cf2-83f4-d8e7d1ded0a8) - ) - (wire (pts (xy 212.09 49.53) (xy 213.36 46.99)) - (stroke (width 0) (type default)) - (uuid 42219791-a85e-43fd-9d5b-2e4179e201fc) - ) - (wire (pts (xy 165.1 87.63) (xy 166.37 85.09)) - (stroke (width 0) (type default)) - (uuid 4244e017-d9f3-4313-b31b-45b4414c3ecc) - ) - (wire (pts (xy 210.82 81.28) (xy 212.09 82.55)) - (stroke (width 0) (type default)) - (uuid 4277cd96-ed65-4d1a-8255-1731c7cf969b) - ) - (wire (pts (xy 163.83 38.1) (xy 163.83 35.56)) - (stroke (width 0) (type default)) - (uuid 428dccbf-20aa-4b75-b2ff-8467f8b70e7b) - ) - (wire (pts (xy 102.87 49.53) (xy 104.14 46.99)) - (stroke (width 0) (type default)) - (uuid 42a2dc9a-bddb-41d1-b764-3238bcf898e4) - ) - (wire (pts (xy 140.97 35.56) (xy 144.78 35.56)) - (stroke (width 0) (type default)) - (uuid 4384e3e0-49b2-408f-8ac8-2d524b1d906c) - ) - (wire (pts (xy 207.01 49.53) (xy 208.28 46.99)) - (stroke (width 0) (type default)) - (uuid 43c44fcf-4a45-4262-8a96-888cecabdcf9) - ) - (wire (pts (xy 154.94 49.53) (xy 156.21 49.53)) - (stroke (width 0) (type default)) - (uuid 44287fe1-62a0-470a-bc4b-0c83ba0b61b7) - ) - (wire (pts (xy 194.31 64.77) (xy 195.58 62.23)) - (stroke (width 0) (type default)) - (uuid 44f3d365-fd16-4b93-a82c-313870c8e319) - ) - (wire (pts (xy 142.24 41.91) (xy 148.59 41.91)) - (stroke (width 0) (type default)) - (uuid 4506f349-40d2-45ef-9cc3-02c1060b09e5) - ) - (wire (pts (xy 179.07 72.39) (xy 180.34 69.85)) - (stroke (width 0) (type default)) - (uuid 45250d40-873e-4577-84cd-79ec648bd612) - ) - (wire (pts (xy 171.45 69.85) (xy 172.72 72.39)) - (stroke (width 0) (type default)) - (uuid 45fcbc3b-4ad9-4496-8598-d38ced2b3180) - ) - (wire (pts (xy 140.97 73.66) (xy 142.24 76.2)) - (stroke (width 0) (type default)) - (uuid 4619c992-80a0-4e47-999b-0ba120e801ed) - ) - (wire (pts (xy 204.47 49.53) (xy 205.74 49.53)) - (stroke (width 0) (type default)) - (uuid 46d16b83-d01a-43c3-a5e5-53fc4d5964ce) - ) - (wire (pts (xy 201.93 62.23) (xy 195.58 62.23)) - (stroke (width 0) (type default)) - (uuid 476c86ae-64fb-4435-8f99-fe4a9ae1e370) - ) - (wire (pts (xy 158.75 85.09) (xy 160.02 87.63)) - (stroke (width 0) (type default)) - (uuid 484c65bf-2164-49ee-9f22-22e0a3b34692) - ) - (wire (pts (xy 179.07 62.23) (xy 172.72 62.23)) - (stroke (width 0) (type default)) - (uuid 487618bd-829e-4ef8-ae8e-95f75dcc5c1c) - ) - (wire (pts (xy 104.14 64.77) (xy 110.49 64.77)) - (stroke (width 0) (type default)) - (uuid 48d3e9b5-9800-4620-97d2-36e095139e47) - ) - (wire (pts (xy 172.72 60.96) (xy 222.25 60.96)) - (stroke (width 0) (type default)) - (uuid 49d32b89-1475-4668-ae95-fc145cf0eea5) - ) - (wire (pts (xy 199.39 83.82) (xy 200.66 81.28)) - (stroke (width 0) (type default)) - (uuid 49e2bac5-5d67-4096-862f-43f343a45c26) - ) - (wire (pts (xy 158.75 49.53) (xy 160.02 46.99)) - (stroke (width 0) (type default)) - (uuid 4adace43-4107-4a04-9c28-32db9eaf4fc7) - ) - (wire (pts (xy 167.64 46.99) (xy 168.91 46.99)) - (stroke (width 0) (type default)) - (uuid 4b8d8392-ad15-43b4-92a7-edc97bcadf67) - ) - (wire (pts (xy 196.85 83.82) (xy 198.12 81.28)) - (stroke (width 0) (type default)) - (uuid 4c0e3583-9301-4132-be58-cac4f708a1d6) - ) - (wire (pts (xy 125.73 72.39) (xy 127 69.85)) - (stroke (width 0) (type default)) - (uuid 4ca3e933-636b-4e8b-ba4a-34a23f815514) - ) - (wire (pts (xy 163.83 62.23) (xy 165.1 64.77)) - (stroke (width 0) (type default)) - (uuid 4d1ee9b8-296b-4187-8583-a20ea07cdd80) - ) - (wire (pts (xy 149.86 46.99) (xy 151.13 49.53)) - (stroke (width 0) (type default)) - (uuid 4d48dba5-f387-4ddd-88a3-582253978ae7) - ) - (wire (pts (xy 114.3 46.99) (xy 115.57 49.53)) - (stroke (width 0) (type default)) - (uuid 4e8d0372-94cc-49a3-af55-7a51198469ff) - ) - (wire (pts (xy 171.45 73.66) (xy 165.1 73.66)) - (stroke (width 0) (type default)) - (uuid 4e92f751-6e77-4616-a065-950c93968174) - ) - (wire (pts (xy 156.21 39.37) (xy 157.48 41.91)) - (stroke (width 0) (type default)) - (uuid 4ed8aa30-00f7-433d-bf60-d67629899c9a) - ) - (wire (pts (xy 195.58 72.39) (xy 201.93 72.39)) - (stroke (width 0) (type default)) - (uuid 4f139c61-a872-4d5c-ad22-5a19eb4f5e95) - ) - (wire (pts (xy 118.11 39.37) (xy 111.76 39.37)) - (stroke (width 0) (type default)) - (uuid 4f1789ef-78dc-44f3-85e1-d369ac690fa4) - ) - (wire (pts (xy 213.36 46.99) (xy 218.44 46.99)) - (stroke (width 0) (type default)) - (uuid 4f4eaac6-64d0-4eee-a8cf-49b50deceb3a) - ) - (wire (pts (xy 111.76 64.77) (xy 118.11 64.77)) - (stroke (width 0) (type default)) - (uuid 4f8e4dc0-d000-434f-94dd-71110b1038b3) - ) - (wire (pts (xy 115.57 49.53) (xy 116.84 49.53)) - (stroke (width 0) (type default)) - (uuid 500f892f-c580-4758-990c-081ebb57a029) - ) - (wire (pts (xy 95.25 38.1) (xy 92.71 38.1)) - (stroke (width 0) (type default)) - (uuid 50aa2258-1dd9-4128-8572-a5bd359c00a4) - ) - (wire (pts (xy 171.45 62.23) (xy 172.72 64.77)) - (stroke (width 0) (type default)) - (uuid 50ac1dee-54fa-4b2f-b233-bd8745cb0cbd) - ) - (wire (pts (xy 163.83 73.66) (xy 157.48 73.66)) - (stroke (width 0) (type default)) - (uuid 51e7f976-94c8-4a68-beda-fcf13a602317) - ) - (wire (pts (xy 199.39 81.28) (xy 200.66 83.82)) - (stroke (width 0) (type default)) - (uuid 523f26b0-e70b-47b0-acdc-a8957f0aca13) - ) - (wire (pts (xy 156.21 64.77) (xy 157.48 62.23)) - (stroke (width 0) (type default)) - (uuid 52969d6b-a638-42ee-b431-6df13147f5d4) - ) - (wire (pts (xy 175.26 46.99) (xy 203.2 46.99)) - (stroke (width 0) (type default)) - (uuid 52c01eb2-bafa-415b-90ee-3358bceb8395) - ) - (wire (pts (xy 102.87 69.85) (xy 96.52 69.85)) - (stroke (width 0) (type default)) - (uuid 53ccd6b3-f19e-4d93-97d0-1ba674920524) - ) - (wire (pts (xy 140.97 69.85) (xy 134.62 69.85)) - (stroke (width 0) (type default)) - (uuid 53d30e76-62f3-4554-8dc0-bf1cf060d0c9) - ) - (wire (pts (xy 121.92 38.1) (xy 125.73 38.1)) - (stroke (width 0) (type default)) - (uuid 53dc5ab1-dcb5-44f7-9db3-ade4b827e39f) - ) - (wire (pts (xy 106.68 35.56) (xy 106.68 38.1)) - (stroke (width 0) (type default)) - (uuid 5400f7fd-0502-417d-83f1-d1694ce459bb) - ) - (wire (pts (xy 96.52 46.99) (xy 97.79 49.53)) - (stroke (width 0) (type default)) - (uuid 5434a405-23e3-42ca-bcdd-f84433d282f0) - ) - (wire (pts (xy 120.65 49.53) (xy 121.92 46.99)) - (stroke (width 0) (type default)) - (uuid 543617ca-b57b-4956-939a-c2e2b20d9581) - ) - (wire (pts (xy 134.62 76.2) (xy 140.97 76.2)) - (stroke (width 0) (type default)) - (uuid 550f4517-5e7d-4903-a2c7-3dc1c126dfd0) - ) - (wire (pts (xy 154.94 46.99) (xy 156.21 46.99)) - (stroke (width 0) (type default)) - (uuid 5518b2cf-3540-453f-b78f-1ab3b4ae3777) - ) - (wire (pts (xy 177.8 87.63) (xy 179.07 85.09)) - (stroke (width 0) (type default)) - (uuid 553dca35-a729-4b2e-b4bf-cc044c588530) - ) - (wire (pts (xy 173.99 46.99) (xy 175.26 46.99)) - (stroke (width 0) (type default)) - (uuid 55a39bfc-f47f-4a54-a001-6bf5d613f043) - ) - (wire (pts (xy 198.12 81.28) (xy 199.39 83.82)) - (stroke (width 0) (type default)) - (uuid 56da9d80-8a91-40a8-bea4-f19792dbcbc1) - ) - (wire (pts (xy 173.99 49.53) (xy 175.26 46.99)) - (stroke (width 0) (type default)) - (uuid 571b4acc-4b08-4221-8855-3755a83522a8) - ) - (wire (pts (xy 213.36 35.56) (xy 213.36 38.1)) - (stroke (width 0) (type default)) - (uuid 577b56ea-b1dd-4401-b541-89017d80424d) - ) - (wire (pts (xy 165.1 49.53) (xy 166.37 46.99)) - (stroke (width 0) (type default)) - (uuid 57e3bf7a-7079-41c8-b659-45c3341a68f8) - ) - (wire (pts (xy 156.21 62.23) (xy 149.86 62.23)) - (stroke (width 0) (type default)) - (uuid 57f6beba-bd83-42a8-ad16-ea14f1724136) - ) - (wire (pts (xy 217.17 72.39) (xy 218.44 69.85)) - (stroke (width 0) (type default)) - (uuid 58195ed9-6ca3-4cce-ad02-db2dc7c7590c) - ) - (wire (pts (xy 105.41 78.74) (xy 157.48 78.74)) - (stroke (width 0) (type default)) - (uuid 58fbb2ee-28f2-4041-bcdf-17981cd1be5b) - ) - (wire (pts (xy 133.35 62.23) (xy 127 62.23)) - (stroke (width 0) (type default)) - (uuid 59e8dbb0-a4ec-4328-8017-4e343e037c56) - ) - (wire (pts (xy 110.49 73.66) (xy 104.14 73.66)) - (stroke (width 0) (type default)) - (uuid 5a115d1c-df7c-4eae-a19e-64f6d2755746) - ) - (wire (pts (xy 110.49 41.91) (xy 111.76 39.37)) - (stroke (width 0) (type default)) - (uuid 5a87758b-ccd9-4437-a732-5dbacacded75) - ) - (wire (pts (xy 148.59 50.8) (xy 149.86 53.34)) - (stroke (width 0) (type default)) - (uuid 5a889991-be30-49d0-9edc-83c12726b2bc) - ) - (wire (pts (xy 95.25 72.39) (xy 92.71 72.39)) - (stroke (width 0) (type default)) - (uuid 5b0d7e07-8833-4221-8675-062b49538900) - ) - (wire (pts (xy 170.18 49.53) (xy 171.45 49.53)) - (stroke (width 0) (type default)) - (uuid 5bee20be-ddb1-42f6-8bd7-e67515d1c11e) - ) - (wire (pts (xy 186.69 73.66) (xy 180.34 73.66)) - (stroke (width 0) (type default)) - (uuid 5c2de463-af87-4c72-bb8d-d8effa176b59) - ) - (wire (pts (xy 161.29 87.63) (xy 162.56 85.09)) - (stroke (width 0) (type default)) - (uuid 5c3836b7-073b-4a1b-8c84-737fecfb7090) - ) - (wire (pts (xy 118.11 73.66) (xy 111.76 73.66)) - (stroke (width 0) (type default)) - (uuid 5c4277d9-0b0e-4a7c-ba68-67a55fa58ef4) - ) - (wire (pts (xy 133.35 64.77) (xy 134.62 62.23)) - (stroke (width 0) (type default)) - (uuid 5ca8b578-cc1a-43fa-9e6b-a1fd57aad2e3) - ) - (wire (pts (xy 114.3 46.99) (xy 115.57 46.99)) - (stroke (width 0) (type default)) - (uuid 5dea6f6f-e70d-4b16-aa2e-296339b9b850) - ) - (wire (pts (xy 105.41 86.36) (xy 104.14 87.63)) - (stroke (width 0) (type default)) - (uuid 5e3f8787-d29e-402a-9393-27a7e9689e6f) - ) - (wire (pts (xy 163.83 85.09) (xy 165.1 87.63)) - (stroke (width 0) (type default)) - (uuid 5e404e8a-1709-458d-97bb-c0301c4f831f) - ) - (wire (pts (xy 148.59 39.37) (xy 142.24 39.37)) - (stroke (width 0) (type default)) - (uuid 6066a4bb-06b7-4434-87ed-12015c773c39) - ) - (wire (pts (xy 171.45 46.99) (xy 172.72 46.99)) - (stroke (width 0) (type default)) - (uuid 609af9ee-fe2a-4a71-861f-04bc2dc303c8) - ) - (wire (pts (xy 179.07 38.1) (xy 179.07 35.56)) - (stroke (width 0) (type default)) - (uuid 61452823-78cf-46f8-861b-171b6307c403) - ) - (wire (pts (xy 156.21 35.56) (xy 160.02 35.56)) - (stroke (width 0) (type default)) - (uuid 63403895-fde7-4aca-82e4-fa8f7c84306b) - ) - (wire (pts (xy 201.93 62.23) (xy 203.2 64.77)) - (stroke (width 0) (type default)) - (uuid 63476999-b955-41c8-86d7-db97e6a34e33) - ) - (wire (pts (xy 171.45 72.39) (xy 172.72 69.85)) - (stroke (width 0) (type default)) - (uuid 635998fa-8cfc-46d7-b1b6-39d835392ae7) - ) - (wire (pts (xy 119.38 46.99) (xy 120.65 49.53)) - (stroke (width 0) (type default)) - (uuid 63c339ee-c6a3-44cb-9d5e-559f9ff2c130) - ) - (wire (pts (xy 140.97 72.39) (xy 142.24 69.85)) - (stroke (width 0) (type default)) - (uuid 63d6ea0f-4ad8-4ad4-9548-b5f14435f1dc) - ) - (wire (pts (xy 163.83 62.23) (xy 157.48 62.23)) - (stroke (width 0) (type default)) - (uuid 63fd9fff-9da6-4f46-82b9-f097f5c7f9eb) - ) - (wire (pts (xy 217.17 76.2) (xy 218.44 73.66)) - (stroke (width 0) (type default)) - (uuid 6404c0f9-1a80-4a81-a258-eb679394bf0e) - ) - (wire (pts (xy 120.65 46.99) (xy 121.92 49.53)) - (stroke (width 0) (type default)) - (uuid 641ca7bb-c35a-40f5-8707-ae420146e05b) - ) - (wire (pts (xy 218.44 64.77) (xy 222.25 64.77)) - (stroke (width 0) (type default)) - (uuid 641ebe3f-710d-4a22-97d9-82b3e6e5e9d2) - ) - (wire (pts (xy 186.69 62.23) (xy 180.34 62.23)) - (stroke (width 0) (type default)) - (uuid 64756566-017b-4044-87bd-444484e2735d) - ) - (wire (pts (xy 168.91 49.53) (xy 170.18 49.53)) - (stroke (width 0) (type default)) - (uuid 64a67a16-526b-4fc3-b7ff-b691c810cee0) - ) - (wire (pts (xy 201.93 35.56) (xy 205.74 35.56)) - (stroke (width 0) (type default)) - (uuid 64c941d5-3bef-40c7-8da8-34cf92a0baa9) - ) - (wire (pts (xy 220.98 46.99) (xy 222.25 49.53)) - (stroke (width 0) (type default)) - (uuid 64d8da55-5f73-4818-9660-a4bfeea0606c) - ) - (wire (pts (xy 154.94 49.53) (xy 156.21 46.99)) - (stroke (width 0) (type default)) - (uuid 650abe11-98da-4626-bf6f-05c23bb9803e) - ) - (wire (pts (xy 179.07 35.56) (xy 182.88 35.56)) - (stroke (width 0) (type default)) - (uuid 65288b54-b6fc-4ac4-9899-4a834d5e8a0c) - ) - (wire (pts (xy 156.21 76.2) (xy 157.48 73.66)) - (stroke (width 0) (type default)) - (uuid 65290f27-4f1d-4f86-b926-eb304e21d1be) - ) - (wire (pts (xy 118.11 41.91) (xy 119.38 39.37)) - (stroke (width 0) (type default)) - (uuid 663afea1-9d1c-4017-9330-d669a2069a7d) - ) - (wire (pts (xy 148.59 62.23) (xy 142.24 62.23)) - (stroke (width 0) (type default)) - (uuid 664199bb-a5b6-42fe-be1a-5a34d72156b4) - ) - (wire (pts (xy 182.88 35.56) (xy 182.88 38.1)) - (stroke (width 0) (type default)) - (uuid 66744239-987e-47f1-acd1-49f5299513f1) - ) - (wire (pts (xy 156.21 53.34) (xy 157.48 50.8)) - (stroke (width 0) (type default)) - (uuid 66ac8056-8504-4844-9a8c-d362da2b3ae7) - ) - (wire (pts (xy 134.62 72.39) (xy 140.97 72.39)) - (stroke (width 0) (type default)) - (uuid 66ae6ca6-93a7-4cf8-b6c2-6ebe076a7b1c) - ) - (wire (pts (xy 102.87 39.37) (xy 96.52 39.37)) - (stroke (width 0) (type default)) - (uuid 676f6090-7855-44c9-b09a-2286f006538f) - ) - (wire (pts (xy 144.78 38.1) (xy 148.59 38.1)) - (stroke (width 0) (type default)) - (uuid 687983a2-9bb6-4ae2-9c84-5d086d79b129) - ) - (wire (pts (xy 99.06 49.53) (xy 100.33 46.99)) - (stroke (width 0) (type default)) - (uuid 68f9b68b-0f1d-4391-9240-85ff69bc6b33) - ) - (wire (pts (xy 140.97 39.37) (xy 142.24 41.91)) - (stroke (width 0) (type default)) - (uuid 697f6503-5402-4156-aa21-ff8e5e3d4565) - ) - (wire (pts (xy 171.45 85.09) (xy 172.72 87.63)) - (stroke (width 0) (type default)) - (uuid 69ae6194-990a-4988-be2c-8df82f0f7186) - ) - (wire (pts (xy 201.93 81.28) (xy 210.82 81.28)) - (stroke (width 0) (type default)) - (uuid 69d12dc6-a5f3-43c5-8769-ea234f5fa3aa) - ) - (wire (pts (xy 176.53 87.63) (xy 177.8 85.09)) - (stroke (width 0) (type default)) - (uuid 69db25c3-5f30-4df9-9ec6-7849e6ac9d71) - ) - (wire (pts (xy 222.25 62.23) (xy 218.44 62.23)) - (stroke (width 0) (type default)) - (uuid 6a3fb86f-b8ca-4f14-ab73-1927167cf512) - ) - (wire (pts (xy 167.64 49.53) (xy 168.91 46.99)) - (stroke (width 0) (type default)) - (uuid 6b15a49c-9fbc-49e8-90f3-1afc381b205c) - ) - (wire (pts (xy 95.25 76.2) (xy 92.71 76.2)) - (stroke (width 0) (type default)) - (uuid 6bb32ba4-780a-4994-8b7f-7f70fdc06b68) - ) - (wire (pts (xy 172.72 46.99) (xy 173.99 46.99)) - (stroke (width 0) (type default)) - (uuid 6be11ae3-9f5b-4c1e-83b2-bc11ac536168) - ) - (wire (pts (xy 118.11 35.56) (xy 121.92 35.56)) - (stroke (width 0) (type default)) - (uuid 6c36a446-10fa-4ec6-83d0-80c617adb9ae) - ) - (wire (pts (xy 196.85 81.28) (xy 198.12 83.82)) - (stroke (width 0) (type default)) - (uuid 6d2c0012-c9e0-4887-9687-b69552d1059a) - ) - (wire (pts (xy 210.82 76.2) (xy 217.17 76.2)) - (stroke (width 0) (type default)) - (uuid 6e45f805-26ed-4d81-b5fe-e982cace88c8) - ) - (wire (pts (xy 217.17 69.85) (xy 218.44 72.39)) - (stroke (width 0) (type default)) - (uuid 6e6ba738-706c-4898-a469-488f783474fa) - ) - (wire (pts (xy 153.67 49.53) (xy 154.94 49.53)) - (stroke (width 0) (type default)) - (uuid 6e8011e7-20ba-4247-8995-a2fa12163783) - ) - (wire (pts (xy 100.33 49.53) (xy 101.6 49.53)) - (stroke (width 0) (type default)) - (uuid 6ea2659f-da31-4b74-87ab-8a77fc549ca7) - ) - (wire (pts (xy 104.14 46.99) (xy 105.41 46.99)) - (stroke (width 0) (type default)) - (uuid 6ec28492-ffda-4f6a-ade4-b77f75e651a1) - ) - (wire (pts (xy 163.83 39.37) (xy 165.1 41.91)) - (stroke (width 0) (type default)) - (uuid 6ecb7e23-7921-4c80-888f-a0a24f47b2df) - ) - (wire (pts (xy 148.59 73.66) (xy 149.86 76.2)) - (stroke (width 0) (type default)) - (uuid 6ecd3973-b0e7-40b4-a338-a99d4ed0b667) - ) - (wire (pts (xy 201.93 73.66) (xy 203.2 76.2)) - (stroke (width 0) (type default)) - (uuid 6ef968f2-979c-4f6b-a8d6-71dc256740c0) - ) - (wire (pts (xy 207.01 46.99) (xy 208.28 46.99)) - (stroke (width 0) (type default)) - (uuid 702a6450-35df-4c49-9e2b-e479f1bd53fa) - ) - (wire (pts (xy 201.93 38.1) (xy 201.93 35.56)) - (stroke (width 0) (type default)) - (uuid 70a1128c-4cb2-4920-93f0-114c8351ee50) - ) - (wire (pts (xy 157.48 41.91) (xy 163.83 41.91)) - (stroke (width 0) (type default)) - (uuid 71285f50-ac39-4191-980a-ff2a1180257f) - ) - (wire (pts (xy 110.49 76.2) (xy 111.76 73.66)) - (stroke (width 0) (type default)) - (uuid 71d72245-2ec0-4e37-a6c4-bc5ca841326d) - ) - (wire (pts (xy 156.21 38.1) (xy 156.21 35.56)) - (stroke (width 0) (type default)) - (uuid 72181b56-a101-478d-9d1a-aa71dbf9897d) - ) - (wire (pts (xy 104.14 49.53) (xy 105.41 46.99)) - (stroke (width 0) (type default)) - (uuid 72474671-1bec-4991-910f-8e4c1d3cd45a) - ) - (wire (pts (xy 119.38 41.91) (xy 125.73 41.91)) - (stroke (width 0) (type default)) - (uuid 72515452-9306-4370-949e-4fd981126338) - ) - (wire (pts (xy 158.75 77.47) (xy 210.82 77.47)) - (stroke (width 0) (type default)) - (uuid 728d5b41-6fa6-4414-8908-8a3f22ecd318) - ) - (wire (pts (xy 92.71 49.53) (xy 96.52 49.53)) - (stroke (width 0) (type default)) - (uuid 729010f4-0fb8-47a8-af99-4bc7688de97a) - ) - (wire (pts (xy 140.97 41.91) (xy 142.24 39.37)) - (stroke (width 0) (type default)) - (uuid 72bc4b1c-653a-454d-af80-6c6d810ee471) - ) - (wire (pts (xy 210.82 46.99) (xy 212.09 49.53)) - (stroke (width 0) (type default)) - (uuid 7363c60b-85d4-4f47-bc88-6c8181f35803) - ) - (wire (pts (xy 140.97 76.2) (xy 142.24 73.66)) - (stroke (width 0) (type default)) - (uuid 737cb845-2283-4018-80be-12e1ab31102d) - ) - (wire (pts (xy 201.93 39.37) (xy 203.2 41.91)) - (stroke (width 0) (type default)) - (uuid 73fabf30-c05c-452e-97df-11b4185e79a6) - ) - (wire (pts (xy 179.07 69.85) (xy 180.34 72.39)) - (stroke (width 0) (type default)) - (uuid 74a60a62-2794-482f-9e02-84615d99cad6) - ) - (wire (pts (xy 179.07 50.8) (xy 187.96 50.8)) - (stroke (width 0) (type default)) - (uuid 74a9ee5d-5e59-41ff-8b5a-323ac2ce195e) - ) - (wire (pts (xy 171.45 58.42) (xy 172.72 60.96)) - (stroke (width 0) (type default)) - (uuid 74f035e3-a917-437e-8a91-fdfe1b514e0c) - ) - (wire (pts (xy 101.6 46.99) (xy 102.87 46.99)) - (stroke (width 0) (type default)) - (uuid 75cdd3d8-211f-4630-831a-e275e55ec1b8) - ) - (wire (pts (xy 194.31 62.23) (xy 195.58 64.77)) - (stroke (width 0) (type default)) - (uuid 75d3f37f-2aa4-4d74-ab9a-5954d8ede0a3) - ) - (wire (pts (xy 165.1 85.09) (xy 166.37 87.63)) - (stroke (width 0) (type default)) - (uuid 75f35b6c-fbc6-4aae-869f-183cc04ed19a) - ) - (wire (pts (xy 96.52 72.39) (xy 102.87 72.39)) - (stroke (width 0) (type default)) - (uuid 7671cf24-5476-4cb5-a159-8578ff56ba45) - ) - (wire (pts (xy 115.57 46.99) (xy 116.84 49.53)) - (stroke (width 0) (type default)) - (uuid 76ed8138-115f-4104-af60-8403cf622165) - ) - (wire (pts (xy 217.17 73.66) (xy 210.82 73.66)) - (stroke (width 0) (type default)) - (uuid 7738d083-643b-4f82-b162-675805a7dce8) - ) - (wire (pts (xy 217.17 64.77) (xy 218.44 62.23)) - (stroke (width 0) (type default)) - (uuid 776dbc6e-bd6c-45a5-9b2c-298aeadd472a) - ) - (wire (pts (xy 140.97 69.85) (xy 142.24 72.39)) - (stroke (width 0) (type default)) - (uuid 7783e429-b8ed-40df-9f26-167b755a2feb) - ) - (wire (pts (xy 205.74 35.56) (xy 205.74 38.1)) - (stroke (width 0) (type default)) - (uuid 77bdcb21-28ba-4c1b-b253-0eb9d79aa53f) - ) - (wire (pts (xy 173.99 46.99) (xy 175.26 49.53)) - (stroke (width 0) (type default)) - (uuid 77deec44-a483-47b5-9e4c-4f4886ef57f5) - ) - (wire (pts (xy 180.34 76.2) (xy 186.69 76.2)) - (stroke (width 0) (type default)) - (uuid 78be170e-80e7-448c-a990-04c6e14785f0) - ) - (wire (pts (xy 114.3 49.53) (xy 115.57 49.53)) - (stroke (width 0) (type default)) - (uuid 79593b5e-7428-4d12-b65f-d79a1254fad8) - ) - (wire (pts (xy 95.25 73.66) (xy 92.71 73.66)) - (stroke (width 0) (type default)) - (uuid 79a62da6-8fdd-4110-a448-8c8787112a94) - ) - (wire (pts (xy 163.83 73.66) (xy 165.1 76.2)) - (stroke (width 0) (type default)) - (uuid 79c93983-08e5-49ea-9c16-6c5e48b862d3) - ) - (wire (pts (xy 133.35 39.37) (xy 127 39.37)) - (stroke (width 0) (type default)) - (uuid 79f7183d-23a4-42ce-a3d5-100107270649) - ) - (wire (pts (xy 205.74 46.99) (xy 207.01 46.99)) - (stroke (width 0) (type default)) - (uuid 7a0d7dbe-58a6-4b35-be75-68685c361e7e) - ) - (wire (pts (xy 158.75 46.99) (xy 160.02 46.99)) - (stroke (width 0) (type default)) - (uuid 7a421c7e-15c3-4974-9518-8aeb4ca02deb) - ) - (wire (pts (xy 140.97 73.66) (xy 134.62 73.66)) - (stroke (width 0) (type default)) - (uuid 7a598658-caaa-4913-986c-6f7c83d20f8a) - ) - (wire (pts (xy 156.21 49.53) (xy 157.48 49.53)) - (stroke (width 0) (type default)) - (uuid 7ae9e2b1-dfd9-49c7-8d30-505a816a0efd) - ) - (wire (pts (xy 92.71 54.61) (xy 179.07 54.61)) - (stroke (width 0) (type default)) - (uuid 7b07c721-882e-48f9-90f9-4ff4e568c7ea) - ) - (wire (pts (xy 209.55 72.39) (xy 210.82 69.85)) - (stroke (width 0) (type default)) - (uuid 7b4815e0-37c4-413e-8925-5dc6fb40d4dd) - ) - (wire (pts (xy 148.59 69.85) (xy 149.86 72.39)) - (stroke (width 0) (type default)) - (uuid 7b637d7e-8b18-4500-bdfd-e21f9f0727de) - ) - (wire (pts (xy 118.11 46.99) (xy 119.38 46.99)) - (stroke (width 0) (type default)) - (uuid 7bc24aa6-77f8-4e93-846e-35aefac6bd62) - ) - (wire (pts (xy 210.82 49.53) (xy 212.09 46.99)) - (stroke (width 0) (type default)) - (uuid 7bd0edf5-0194-44e2-b480-49dc356ad677) - ) - (wire (pts (xy 171.45 39.37) (xy 165.1 39.37)) - (stroke (width 0) (type default)) - (uuid 7bf860d5-f752-4468-b0f1-982912b503f5) - ) - (wire (pts (xy 166.37 87.63) (xy 167.64 85.09)) - (stroke (width 0) (type default)) - (uuid 7c1befa8-0ffa-4a3d-9ff1-328c465ba6b3) - ) - (wire (pts (xy 212.09 49.53) (xy 213.36 49.53)) - (stroke (width 0) (type default)) - (uuid 7c4a6579-ca7d-43f7-a262-03f330c7df14) - ) - (wire (pts (xy 118.11 60.96) (xy 92.71 60.96)) - (stroke (width 0) (type default)) - (uuid 7c5f8156-e656-40ee-a13f-c366bcb14e9b) - ) - (wire (pts (xy 173.99 87.63) (xy 175.26 85.09)) - (stroke (width 0) (type default)) - (uuid 7c7ea6e0-1e8c-4539-bceb-1fc3f72753e5) - ) - (wire (pts (xy 105.41 46.99) (xy 106.68 46.99)) - (stroke (width 0) (type default)) - (uuid 7e6795a5-db6c-47b4-8f15-c8c0ff87ba66) - ) - (wire (pts (xy 92.71 87.63) (xy 104.14 87.63)) - (stroke (width 0) (type default)) - (uuid 7e70a235-3919-4a7b-86bb-1c7c171971dc) - ) - (wire (pts (xy 190.5 35.56) (xy 190.5 38.1)) - (stroke (width 0) (type default)) - (uuid 7ea66e7a-1b30-4250-9a00-f28e73cb5d36) - ) - (wire (pts (xy 125.73 41.91) (xy 127 39.37)) - (stroke (width 0) (type default)) - (uuid 7ebf23be-e11a-422c-8235-32f68226425e) - ) - (wire (pts (xy 133.35 69.85) (xy 134.62 72.39)) - (stroke (width 0) (type default)) - (uuid 7ee86599-d787-4aee-83bd-70232641d49e) - ) - (wire (pts (xy 212.09 82.55) (xy 210.82 83.82)) - (stroke (width 0) (type default)) - (uuid 7f5605ac-9d02-44a6-9ea2-97a4b379b3c9) - ) - (wire (pts (xy 165.1 46.99) (xy 166.37 49.53)) - (stroke (width 0) (type default)) - (uuid 7f68ef97-f81e-431e-9bc6-8f8227e3d69d) - ) - (wire (pts (xy 104.14 49.53) (xy 105.41 49.53)) - (stroke (width 0) (type default)) - (uuid 80394e92-3c17-4c0a-a133-488bb93450cf) - ) - (wire (pts (xy 148.59 72.39) (xy 149.86 69.85)) - (stroke (width 0) (type default)) - (uuid 814f16fa-3eb2-404e-b648-f0fc8040a79b) - ) - (wire (pts (xy 157.48 86.36) (xy 158.75 87.63)) - (stroke (width 0) (type default)) - (uuid 81b31d40-47aa-45b3-8746-91997d7d5d86) - ) - (wire (pts (xy 220.98 46.99) (xy 222.25 46.99)) - (stroke (width 0) (type default)) - (uuid 82a5caf7-1837-460f-832f-6196254b811a) - ) - (wire (pts (xy 134.62 64.77) (xy 140.97 64.77)) - (stroke (width 0) (type default)) - (uuid 835706af-5bbd-4bda-83fd-77e3393bf250) - ) - (wire (pts (xy 179.07 73.66) (xy 172.72 73.66)) - (stroke (width 0) (type default)) - (uuid 83beea66-4c9a-4982-9d30-8e57efe073a0) - ) - (wire (pts (xy 172.72 46.99) (xy 173.99 49.53)) - (stroke (width 0) (type default)) - (uuid 83c100e4-10fd-42b2-ad55-3cc062654f08) - ) - (wire (pts (xy 212.09 86.36) (xy 210.82 87.63)) - (stroke (width 0) (type default)) - (uuid 83d376c6-91b3-4af7-b72c-22e884372ecd) - ) - (wire (pts (xy 125.73 69.85) (xy 119.38 69.85)) - (stroke (width 0) (type default)) - (uuid 8408193a-520a-4fc8-a541-3a246ade113e) - ) - (wire (pts (xy 142.24 72.39) (xy 148.59 72.39)) - (stroke (width 0) (type default)) - (uuid 866ea206-18ca-44f0-954d-e94af56c7ade) - ) - (wire (pts (xy 121.92 46.99) (xy 149.86 46.99)) - (stroke (width 0) (type default)) - (uuid 867f0d09-4844-48a6-b3ed-a45423e476af) - ) - (wire (pts (xy 186.69 72.39) (xy 187.96 69.85)) - (stroke (width 0) (type default)) - (uuid 8723646a-8107-4520-a76f-c1f3f2fab320) - ) - (wire (pts (xy 95.25 64.77) (xy 92.71 64.77)) - (stroke (width 0) (type default)) - (uuid 873371d9-10f1-4ec6-985f-beab4941f704) - ) - (wire (pts (xy 176.53 85.09) (xy 177.8 87.63)) - (stroke (width 0) (type default)) - (uuid 8786ffa5-22f5-4e04-a11f-5a85cd03adbc) - ) - (wire (pts (xy 160.02 85.09) (xy 161.29 87.63)) - (stroke (width 0) (type default)) - (uuid 87da07a4-2821-4c14-8844-4f0c8cb9ef76) - ) - (wire (pts (xy 156.21 41.91) (xy 157.48 39.37)) - (stroke (width 0) (type default)) - (uuid 880b30c0-7de1-4d2d-8e3c-ef2fdb9fabc0) - ) - (wire (pts (xy 99.06 46.99) (xy 100.33 46.99)) - (stroke (width 0) (type default)) - (uuid 886586b5-9636-4f1d-9a55-0d36b77a2db7) - ) - (wire (pts (xy 156.21 45.72) (xy 157.48 43.18)) - (stroke (width 0) (type default)) - (uuid 89097c39-d822-41d8-a5a7-5f17a44c192a) - ) - (wire (pts (xy 119.38 64.77) (xy 125.73 64.77)) - (stroke (width 0) (type default)) - (uuid 895e402e-73ee-4d95-98a8-7c4cce265a22) - ) - (wire (pts (xy 102.87 72.39) (xy 104.14 69.85)) - (stroke (width 0) (type default)) - (uuid 89c6418e-4527-4c90-ac60-318894df12a1) - ) - (wire (pts (xy 194.31 76.2) (xy 195.58 73.66)) - (stroke (width 0) (type default)) - (uuid 89d9acbd-43a2-427d-aa9b-3e828a37ca83) - ) - (wire (pts (xy 160.02 87.63) (xy 161.29 85.09)) - (stroke (width 0) (type default)) - (uuid 8a37c25b-64d7-4030-96f3-0af114ab7e44) - ) (wire (pts (xy 118.11 49.53) (xy 119.38 46.99)) (stroke (width 0) (type default)) - (uuid 8a4e25c8-9f41-43da-8581-eca993072819) - ) - (wire (pts (xy 194.31 69.85) (xy 195.58 72.39)) - (stroke (width 0) (type default)) - (uuid 8a971481-0780-44a1-9376-2c464dbfb4a4) - ) - (wire (pts (xy 121.92 35.56) (xy 121.92 38.1)) - (stroke (width 0) (type default)) - (uuid 8aa48631-338a-477c-9dc0-6df22d061535) - ) - (wire (pts (xy 102.87 46.99) (xy 104.14 49.53)) - (stroke (width 0) (type default)) - (uuid 8ac7bf0c-343c-40f5-b7bd-825429e4a5e4) - ) - (wire (pts (xy 152.4 49.53) (xy 153.67 46.99)) - (stroke (width 0) (type default)) - (uuid 8b10a4fe-6bed-4dfb-8eb8-ba29f92afc87) - ) - (wire (pts (xy 114.3 49.53) (xy 115.57 46.99)) - (stroke (width 0) (type default)) - (uuid 8b8e82a0-7fb1-4ccb-bf5e-69d3139ae6ff) - ) - (wire (pts (xy 218.44 72.39) (xy 222.25 72.39)) - (stroke (width 0) (type default)) - (uuid 8c0e014d-8715-4532-8017-033fdc3c22dd) - ) - (wire (pts (xy 194.31 66.04) (xy 119.38 66.04)) - (stroke (width 0) (type default)) - (uuid 8d930dc2-c25d-407d-b40d-ba55cd482344) - ) - (wire (pts (xy 217.17 73.66) (xy 218.44 76.2)) - (stroke (width 0) (type default)) - (uuid 8da98b7d-d872-4060-adf3-886b155c1c6f) - ) - (wire (pts (xy 213.36 38.1) (xy 217.17 38.1)) - (stroke (width 0) (type default)) - (uuid 8daa3531-6ff2-40c8-ad93-aedd8104d935) - ) - (wire (pts (xy 113.03 49.53) (xy 114.3 49.53)) - (stroke (width 0) (type default)) - (uuid 8e43e416-95f8-43d5-995f-8d2ea91ecca2) - ) - (wire (pts (xy 102.87 69.85) (xy 104.14 72.39)) - (stroke (width 0) (type default)) - (uuid 8eb48371-120a-41b2-ab24-5dd51abe8cbf) - ) - (wire (pts (xy 210.82 72.39) (xy 217.17 72.39)) - (stroke (width 0) (type default)) - (uuid 8eecbe29-fa98-4658-9b9d-10e283d19c8f) - ) - (wire (pts (xy 175.26 87.63) (xy 176.53 85.09)) - (stroke (width 0) (type default)) - (uuid 8f162211-92c7-4d05-9353-21e1aac7750b) - ) - (wire (pts (xy 110.49 72.39) (xy 111.76 69.85)) - (stroke (width 0) (type default)) - (uuid 8f7446ae-c136-4028-81ba-f5f22fc24b08) - ) - (wire (pts (xy 179.07 85.09) (xy 180.34 87.63)) - (stroke (width 0) (type default)) - (uuid 8fc046d2-baca-4155-a13d-b344f739b7c1) - ) - (wire (pts (xy 217.17 38.1) (xy 217.17 35.56)) - (stroke (width 0) (type default)) - (uuid 8fd21ed8-aca5-412a-b01b-5414888e082b) - ) - (wire (pts (xy 149.86 49.53) (xy 151.13 49.53)) - (stroke (width 0) (type default)) - (uuid 90845352-7284-43b3-9d01-f880ff111f37) - ) - (wire (pts (xy 209.55 76.2) (xy 210.82 73.66)) - (stroke (width 0) (type default)) - (uuid 90e299c6-e086-40fe-ae82-20a3e7244c2e) - ) - (wire (pts (xy 96.52 64.77) (xy 102.87 64.77)) - (stroke (width 0) (type default)) - (uuid 90e5c302-df7a-458d-a77f-56df83f8ab38) - ) - (wire (pts (xy 119.38 66.04) (xy 118.11 68.58)) - (stroke (width 0) (type default)) - (uuid 9147d8ef-acec-40bc-8ddb-0e5628d9316e) - ) - (wire (pts (xy 179.07 39.37) (xy 172.72 39.37)) - (stroke (width 0) (type default)) - (uuid 9194dcf7-f74f-483f-8c5c-714f7b08af89) - ) - (wire (pts (xy 179.07 62.23) (xy 180.34 64.77)) - (stroke (width 0) (type default)) - (uuid 91a1332b-ab48-46c8-a62c-8a0828d00955) - ) - (wire (pts (xy 102.87 43.18) (xy 104.14 45.72)) - (stroke (width 0) (type default)) - (uuid 91ccf787-6af4-49f0-bc4c-1562c4ae8f9e) - ) - (wire (pts (xy 163.83 76.2) (xy 165.1 73.66)) - (stroke (width 0) (type default)) - (uuid 921383fc-e10b-476f-b85f-749ef61e1bfc) - ) - (wire (pts (xy 157.48 78.74) (xy 158.75 77.47)) - (stroke (width 0) (type default)) - (uuid 923deb6e-75ac-40bf-b61d-9af20203b70d) - ) - (wire (pts (xy 217.17 39.37) (xy 210.82 39.37)) - (stroke (width 0) (type default)) - (uuid 92c4f45e-24e4-4ac3-b400-035121f8950b) - ) - (wire (pts (xy 157.48 64.77) (xy 163.83 64.77)) - (stroke (width 0) (type default)) - (uuid 9316efa2-9567-4206-9e26-f9adf7b99c50) - ) - (wire (pts (xy 201.93 39.37) (xy 195.58 39.37)) - (stroke (width 0) (type default)) - (uuid 93b3178d-257b-4646-9167-5ec9dad176fb) - ) - (wire (pts (xy 186.69 69.85) (xy 187.96 72.39)) - (stroke (width 0) (type default)) - (uuid 941e390a-687e-4111-bfed-0521d24e42d0) - ) - (wire (pts (xy 167.64 46.99) (xy 168.91 49.53)) - (stroke (width 0) (type default)) - (uuid 945df1bc-19e2-40a5-bf14-96639174a8b9) - ) - (wire (pts (xy 111.76 49.53) (xy 113.03 46.99)) - (stroke (width 0) (type default)) - (uuid 94a3a97a-93e2-492c-b68f-b6c0b1977c12) - ) - (wire (pts (xy 187.96 72.39) (xy 194.31 72.39)) - (stroke (width 0) (type default)) - (uuid 94e5d521-cc65-48e2-861e-4b2152dd681b) - ) - (wire (pts (xy 190.5 38.1) (xy 194.31 38.1)) - (stroke (width 0) (type default)) - (uuid 9547d0ed-d6e7-466c-8c2f-3064fb9f7b4f) - ) - (wire (pts (xy 163.83 69.85) (xy 157.48 69.85)) - (stroke (width 0) (type default)) - (uuid 96aea38a-1516-4da4-abef-bf0cdf83c6f2) - ) - (wire (pts (xy 149.86 64.77) (xy 156.21 64.77)) - (stroke (width 0) (type default)) - (uuid 970fa35d-0fdf-4961-9321-b054466dc145) - ) - (wire (pts (xy 207.01 49.53) (xy 208.28 49.53)) - (stroke (width 0) (type default)) - (uuid 97bcc7fd-97d1-4b0c-acd8-69c19484178d) - ) - (wire (pts (xy 186.69 57.15) (xy 187.96 54.61)) - (stroke (width 0) (type default)) - (uuid 9828268e-03e4-4bbe-b920-68bc217b53d8) - ) - (wire (pts (xy 163.83 72.39) (xy 165.1 69.85)) - (stroke (width 0) (type default)) - (uuid 985a48a6-cec2-478d-b842-845e9236cb71) - ) - (wire (pts (xy 165.1 64.77) (xy 171.45 64.77)) - (stroke (width 0) (type default)) - (uuid 9894e0e8-68ec-4e4c-ac0e-0ba20e3292d3) - ) - (wire (pts (xy 201.93 73.66) (xy 195.58 73.66)) - (stroke (width 0) (type default)) - (uuid 99f6b0da-5079-4c83-9b0c-c07a040c3871) - ) - (wire (pts (xy 110.49 39.37) (xy 111.76 41.91)) - (stroke (width 0) (type default)) - (uuid 9a379e3d-4984-4603-b88c-2cb8e36bbc6f) - ) - (wire (pts (xy 102.87 46.99) (xy 104.14 46.99)) - (stroke (width 0) (type default)) - (uuid 9a7cc23a-3ff5-480f-8cfe-399e4e863bbd) - ) - (wire (pts (xy 158.75 46.99) (xy 160.02 49.53)) - (stroke (width 0) (type default)) - (uuid 9b75117d-ebf2-4cb0-a1ea-c83939dfaa7f) - ) - (wire (pts (xy 208.28 46.99) (xy 209.55 46.99)) - (stroke (width 0) (type default)) - (uuid 9b8734c7-45a0-45ae-89fe-f56bbbd1a6fd) - ) - (wire (pts (xy 105.41 78.74) (xy 104.14 80.01)) - (stroke (width 0) (type default)) - (uuid 9badbb1b-1b9c-43a9-bc42-0ae10440e18a) - ) - (wire (pts (xy 162.56 85.09) (xy 163.83 87.63)) - (stroke (width 0) (type default)) - (uuid 9c363d0e-215c-485e-bc5d-b2cef65cea81) - ) - (wire (pts (xy 220.98 38.1) (xy 222.25 38.1)) - (stroke (width 0) (type default)) - (uuid 9c52eb1c-d747-425e-a907-a4c42ff3fd6b) - ) - (wire (pts (xy 105.41 49.53) (xy 106.68 46.99)) - (stroke (width 0) (type default)) - (uuid 9c55068f-215c-49c6-b4db-b70ff727595e) - ) - (wire (pts (xy 171.45 69.85) (xy 165.1 69.85)) - (stroke (width 0) (type default)) - (uuid 9ce06a85-2f4f-4ee1-95e3-aa8e764d1653) - ) - (wire (pts (xy 187.96 76.2) (xy 194.31 76.2)) - (stroke (width 0) (type default)) - (uuid 9cf7e14a-25d6-492d-adcc-5afa819fc5ec) - ) - (wire (pts (xy 171.45 39.37) (xy 172.72 41.91)) - (stroke (width 0) (type default)) - (uuid 9d1b895b-ea36-4d7a-ba4f-3f3f13479e17) - ) - (wire (pts (xy 157.48 46.99) (xy 158.75 49.53)) - (stroke (width 0) (type default)) - (uuid 9d29085c-6c42-4668-81f0-885bd4674533) - ) - (wire (pts (xy 116.84 49.53) (xy 118.11 46.99)) - (stroke (width 0) (type default)) - (uuid 9d57cc96-05af-462c-a71d-2b7ec932f2b5) - ) - (wire (pts (xy 97.79 46.99) (xy 99.06 46.99)) - (stroke (width 0) (type default)) - (uuid 9d84400e-863c-4694-83e2-ba95981ffe60) - ) - (wire (pts (xy 212.09 78.74) (xy 222.25 78.74)) - (stroke (width 0) (type default)) - (uuid 9e060e51-af63-415a-969b-e88f329afcaa) - ) - (wire (pts (xy 133.35 41.91) (xy 134.62 39.37)) - (stroke (width 0) (type default)) - (uuid 9e862a3e-acad-4cb8-a159-9854fe4c93c7) - ) - (wire (pts (xy 125.73 62.23) (xy 119.38 62.23)) - (stroke (width 0) (type default)) - (uuid 9f0d23c0-6357-409f-84c4-408b1effcb10) - ) - (wire (pts (xy 157.48 43.18) (xy 209.55 43.18)) - (stroke (width 0) (type default)) - (uuid 9f641d98-5fa8-4ac8-8334-64ed5e1f8c35) - ) - (wire (pts (xy 209.55 46.99) (xy 210.82 46.99)) - (stroke (width 0) (type default)) - (uuid 9faa34ae-ab86-4e36-9823-338f1ba2d386) - ) - (wire (pts (xy 208.28 46.99) (xy 209.55 49.53)) - (stroke (width 0) (type default)) - (uuid a00f80f4-bf40-4a65-9f93-696c53e43f10) - ) - (wire (pts (xy 92.71 81.28) (xy 104.14 81.28)) - (stroke (width 0) (type default)) - (uuid a02fd41e-168a-493a-82f3-ddc0b353a8d8) - ) - (wire (pts (xy 213.36 49.53) (xy 218.44 49.53)) - (stroke (width 0) (type default)) - (uuid a075d925-69e9-4390-9c2d-eefcfa91d945) - ) - (wire (pts (xy 209.55 38.1) (xy 209.55 35.56)) - (stroke (width 0) (type default)) - (uuid a0e90a6c-3247-40d9-9d78-a3e4c8de148f) + (uuid 006e8b78-eaa9-4c62-8624-657b0fd121dd) ) (wire (pts (xy 125.73 73.66) (xy 119.38 73.66)) (stroke (width 0) (type default)) - (uuid a1896052-5d64-4d4c-a370-0425835488c4) + (uuid 010a181b-f9d8-40d9-a292-ad14c017dc1c) ) - (wire (pts (xy 105.41 49.53) (xy 106.68 49.53)) + (wire (pts (xy 104.14 41.91) (xy 110.49 41.91)) (stroke (width 0) (type default)) - (uuid a190fb61-c475-4e5d-8f9b-c7d3ceb38596) + (uuid 01424b79-9607-4fc5-ba60-7de868907bae) ) - (wire (pts (xy 148.59 39.37) (xy 149.86 41.91)) + (wire (pts (xy 219.71 49.53) (xy 220.98 46.99)) (stroke (width 0) (type default)) - (uuid a1912ac6-c4ff-4553-9c03-2895e2483136) - ) - (wire (pts (xy 170.18 85.09) (xy 171.45 87.63)) - (stroke (width 0) (type default)) - (uuid a1a086eb-2c1f-4bad-bef6-3317a173de00) - ) - (wire (pts (xy 102.87 76.2) (xy 104.14 73.66)) - (stroke (width 0) (type default)) - (uuid a2ea41d9-7f39-468e-9f66-aeff6037a737) - ) - (wire (pts (xy 194.31 41.91) (xy 195.58 39.37)) - (stroke (width 0) (type default)) - (uuid a2f0e642-bab0-41ee-acc2-daaf9a6cef1b) - ) - (wire (pts (xy 205.74 49.53) (xy 207.01 46.99)) - (stroke (width 0) (type default)) - (uuid a398223a-82d7-48d8-b330-5dd2de836e77) - ) - (wire (pts (xy 148.59 62.23) (xy 149.86 64.77)) - (stroke (width 0) (type default)) - (uuid a3f5199c-6390-47b4-9d16-82592d451bea) - ) - (wire (pts (xy 171.45 38.1) (xy 171.45 35.56)) - (stroke (width 0) (type default)) - (uuid a409fb6a-dacd-4da8-860d-53797e283517) - ) - (wire (pts (xy 171.45 49.53) (xy 172.72 49.53)) - (stroke (width 0) (type default)) - (uuid a41d4d6f-1604-4434-8393-6adc815ec505) - ) - (wire (pts (xy 104.14 77.47) (xy 105.41 78.74)) - (stroke (width 0) (type default)) - (uuid a422bdff-6184-4ad4-b6b0-c00cd03acd9e) - ) - (wire (pts (xy 222.25 73.66) (xy 218.44 73.66)) - (stroke (width 0) (type default)) - (uuid a430daa3-3f6a-4765-9570-3487a0c9a913) - ) - (wire (pts (xy 114.3 38.1) (xy 118.11 38.1)) - (stroke (width 0) (type default)) - (uuid a4d7e226-dd7f-429e-9db3-a29044d27334) - ) - (wire (pts (xy 218.44 76.2) (xy 222.25 76.2)) - (stroke (width 0) (type default)) - (uuid a55da12e-63b8-48cb-81a4-ef449daf300d) - ) - (wire (pts (xy 104.14 72.39) (xy 110.49 72.39)) - (stroke (width 0) (type default)) - (uuid a5a244e7-1715-4db7-8b42-958b0af680bd) - ) - (wire (pts (xy 186.69 64.77) (xy 187.96 62.23)) - (stroke (width 0) (type default)) - (uuid a5bf68d8-14f0-42f1-bb03-bcf791474ed8) - ) - (wire (pts (xy 161.29 85.09) (xy 162.56 87.63)) - (stroke (width 0) (type default)) - (uuid a6a6fefa-5f55-4fbb-b089-ba66987884f4) - ) - (wire (pts (xy 110.49 62.23) (xy 104.14 62.23)) - (stroke (width 0) (type default)) - (uuid a7b2047d-3d97-4026-8a64-3860af25685f) - ) - (wire (pts (xy 156.21 73.66) (xy 149.86 73.66)) - (stroke (width 0) (type default)) - (uuid a86a8494-a9bb-4704-9089-0298bdb1ffb0) - ) - (wire (pts (xy 104.14 85.09) (xy 105.41 86.36)) - (stroke (width 0) (type default)) - (uuid a88b38b8-fecf-47f3-b1a3-44b6a154997f) - ) - (wire (pts (xy 113.03 49.53) (xy 114.3 46.99)) - (stroke (width 0) (type default)) - (uuid a934e068-24b1-4e4c-b200-e651f098e130) - ) - (wire (pts (xy 209.55 69.85) (xy 210.82 72.39)) - (stroke (width 0) (type default)) - (uuid a98fd28d-5b04-4ee1-816e-c786356af417) - ) - (wire (pts (xy 156.21 49.53) (xy 157.48 46.99)) - (stroke (width 0) (type default)) - (uuid a9dc4351-a432-4e50-9b40-46263fefcdd8) - ) - (wire (pts (xy 142.24 64.77) (xy 148.59 64.77)) - (stroke (width 0) (type default)) - (uuid aa17e0cc-a27a-4f88-adf2-e22d9dfa1667) - ) - (wire (pts (xy 219.71 49.53) (xy 220.98 49.53)) - (stroke (width 0) (type default)) - (uuid aa2a4a45-d2d0-43e3-89a6-df9d7734d621) - ) - (wire (pts (xy 210.82 49.53) (xy 212.09 49.53)) - (stroke (width 0) (type default)) - (uuid aa48564c-1749-4860-9a45-2558da0f9312) - ) - (wire (pts (xy 95.25 69.85) (xy 96.52 72.39)) - (stroke (width 0) (type default)) - (uuid aaa8a34d-b37e-4de7-b4bb-ebddc243657f) - ) - (wire (pts (xy 118.11 62.23) (xy 119.38 64.77)) - (stroke (width 0) (type default)) - (uuid aaac67a0-f816-48ce-a618-1b79e60ced4c) - ) - (wire (pts (xy 210.82 77.47) (xy 212.09 78.74)) - (stroke (width 0) (type default)) - (uuid aac7ba9e-7ca2-4f9a-98e1-bda4e79a24f9) - ) - (wire (pts (xy 160.02 35.56) (xy 160.02 38.1)) - (stroke (width 0) (type default)) - (uuid ab7e73e8-4a17-4df0-960e-c29b895227ac) - ) - (wire (pts (xy 121.92 49.53) (xy 149.86 49.53)) - (stroke (width 0) (type default)) - (uuid ac976298-61c2-4192-bd7a-0fc4da69c3eb) - ) - (wire (pts (xy 195.58 82.55) (xy 196.85 83.82)) - (stroke (width 0) (type default)) - (uuid ad1f0d05-c682-4801-978b-e65dcf9bbde9) - ) - (wire (pts (xy 129.54 35.56) (xy 129.54 38.1)) - (stroke (width 0) (type default)) - (uuid ad69c1d0-7870-4d12-986b-c58f2c055c8a) - ) - (wire (pts (xy 157.48 76.2) (xy 163.83 76.2)) - (stroke (width 0) (type default)) - (uuid ad8b8342-8e00-4ae3-8581-f58db547a283) - ) - (wire (pts (xy 168.91 49.53) (xy 170.18 46.99)) - (stroke (width 0) (type default)) - (uuid add2798c-d920-4b8b-87d4-cf7421c3e138) - ) - (wire (pts (xy 157.48 50.8) (xy 179.07 50.8)) - (stroke (width 0) (type default)) - (uuid ae5246cc-f8c6-4f00-a54f-27bddac00298) - ) - (wire (pts (xy 95.25 35.56) (xy 95.25 38.1)) - (stroke (width 0) (type default)) - (uuid ae63e41e-5195-4fd9-b7fb-e9f4016799da) - ) - (wire (pts (xy 220.98 49.53) (xy 222.25 49.53)) - (stroke (width 0) (type default)) - (uuid aeb39f8f-ed0d-47e2-ad70-e77cc8c1769f) - ) - (wire (pts (xy 92.71 50.8) (xy 148.59 50.8)) - (stroke (width 0) (type default)) - (uuid af2d9052-80a1-47e9-a3c4-6dec40f25027) - ) - (wire (pts (xy 194.31 73.66) (xy 187.96 73.66)) - (stroke (width 0) (type default)) - (uuid af4c170f-f64e-4cb4-9aef-b92f36779a88) - ) - (wire (pts (xy 175.26 49.53) (xy 203.2 49.53)) - (stroke (width 0) (type default)) - (uuid aff6adae-e209-4f1c-a7cc-714313e8c655) - ) - (wire (pts (xy 165.1 76.2) (xy 171.45 76.2)) - (stroke (width 0) (type default)) - (uuid b0e075bd-9f22-4ec8-8569-428d74d9adc1) - ) - (wire (pts (xy 208.28 49.53) (xy 209.55 49.53)) - (stroke (width 0) (type default)) - (uuid b22d91a8-cca4-43e3-868a-00a67c3ce748) - ) - (wire (pts (xy 218.44 49.53) (xy 219.71 49.53)) - (stroke (width 0) (type default)) - (uuid b27e410e-7836-4ce1-9676-38cf536a0473) - ) - (wire (pts (xy 172.72 76.2) (xy 179.07 76.2)) - (stroke (width 0) (type default)) - (uuid b29b0f55-e6c2-49fb-91d7-09c07b1f8214) - ) - (wire (pts (xy 175.26 35.56) (xy 175.26 38.1)) - (stroke (width 0) (type default)) - (uuid b2a0acc3-2044-4403-b429-75233c97454a) - ) - (wire (pts (xy 152.4 46.99) (xy 153.67 46.99)) - (stroke (width 0) (type default)) - (uuid b2b50215-2939-4824-ad57-4a9080f9ac47) - ) - (wire (pts (xy 102.87 41.91) (xy 104.14 39.37)) - (stroke (width 0) (type default)) - (uuid b397fdd8-7835-4c1a-a1f1-206700e00d4a) - ) - (wire (pts (xy 111.76 76.2) (xy 118.11 76.2)) - (stroke (width 0) (type default)) - (uuid b4ca992c-fc36-4ab9-9315-ecaf3d91f3d6) - ) - (wire (pts (xy 142.24 76.2) (xy 148.59 76.2)) - (stroke (width 0) (type default)) - (uuid b4d85591-fe80-462c-9e10-b9f92123ead3) - ) - (wire (pts (xy 102.87 38.1) (xy 102.87 35.56)) - (stroke (width 0) (type default)) - (uuid b60ed88e-9126-4253-bacb-819c25dfa108) - ) - (wire (pts (xy 140.97 39.37) (xy 134.62 39.37)) - (stroke (width 0) (type default)) - (uuid b77daecd-1981-4418-93ea-b3b6e6f4b7d0) - ) - (wire (pts (xy 201.93 69.85) (xy 203.2 72.39)) - (stroke (width 0) (type default)) - (uuid b78b5db0-db3f-4c7e-a8b3-95e4063462c9) - ) - (wire (pts (xy 165.1 49.53) (xy 166.37 49.53)) - (stroke (width 0) (type default)) - (uuid b87cf36d-8811-462e-a3bf-2e4b7dbca3b3) - ) - (wire (pts (xy 118.11 46.99) (xy 119.38 49.53)) - (stroke (width 0) (type default)) - (uuid b88cdeee-7d18-4a53-ad5b-1d09f588b747) - ) - (wire (pts (xy 171.45 87.63) (xy 172.72 85.09)) - (stroke (width 0) (type default)) - (uuid b8eda11c-d5f5-4721-96ac-bcbb5e7b5290) - ) - (wire (pts (xy 105.41 46.99) (xy 106.68 49.53)) - (stroke (width 0) (type default)) - (uuid b947bf6b-357e-4afc-9347-a8091106b373) - ) - (wire (pts (xy 163.83 39.37) (xy 157.48 39.37)) - (stroke (width 0) (type default)) - (uuid b963ecff-a1e2-47ca-ac76-51d2c520d046) - ) - (wire (pts (xy 125.73 38.1) (xy 125.73 35.56)) - (stroke (width 0) (type default)) - (uuid b9b2106b-311f-44c3-96fc-5e8ba24ff329) - ) - (wire (pts (xy 179.07 73.66) (xy 180.34 76.2)) - (stroke (width 0) (type default)) - (uuid b9cad6b3-7362-47c3-a203-b0c6fcb30030) - ) - (wire (pts (xy 110.49 35.56) (xy 114.3 35.56)) - (stroke (width 0) (type default)) - (uuid b9e36a33-bd85-4667-b2c7-0150fdc3b319) - ) - (wire (pts (xy 96.52 49.53) (xy 97.79 49.53)) - (stroke (width 0) (type default)) - (uuid b9f61fec-a60f-4bcd-8a59-e90c7307c89c) - ) - (wire (pts (xy 95.25 41.91) (xy 92.71 41.91)) - (stroke (width 0) (type default)) - (uuid ba94ae37-4daa-4778-a7c8-b3f19f9f84d2) - ) - (wire (pts (xy 166.37 46.99) (xy 167.64 49.53)) - (stroke (width 0) (type default)) - (uuid bbe43cf5-50f5-41d7-9c03-eda6f5f86e99) - ) - (wire (pts (xy 218.44 46.99) (xy 219.71 49.53)) - (stroke (width 0) (type default)) - (uuid bd3f2f0b-4966-4dd1-a84d-ea1aebb612a2) - ) - (wire (pts (xy 170.18 46.99) (xy 171.45 46.99)) - (stroke (width 0) (type default)) - (uuid bd4fa1ae-ac06-4cd2-8dd6-add911cff1aa) - ) - (wire (pts (xy 140.97 38.1) (xy 140.97 35.56)) - (stroke (width 0) (type default)) - (uuid bd79344a-2ec3-47c8-b839-dda8e08c1aa0) - ) - (wire (pts (xy 134.62 41.91) (xy 140.97 41.91)) - (stroke (width 0) (type default)) - (uuid be1213f0-8ea0-4db2-9c4a-add1ad3f2b03) - ) - (wire (pts (xy 210.82 85.09) (xy 212.09 86.36)) - (stroke (width 0) (type default)) - (uuid bf5a6e36-c58f-4c53-b76f-6babeed5e42f) - ) - (wire (pts (xy 158.75 87.63) (xy 160.02 85.09)) - (stroke (width 0) (type default)) - (uuid bf95ad55-86f5-44f5-bdbb-e2c87dca9262) - ) - (wire (pts (xy 167.64 38.1) (xy 171.45 38.1)) - (stroke (width 0) (type default)) - (uuid bf9dae9c-b150-4d35-98e8-b0db0d2a65a6) - ) - (wire (pts (xy 171.45 49.53) (xy 172.72 46.99)) - (stroke (width 0) (type default)) - (uuid c06e5c45-0eef-4906-a68e-0dd715584565) - ) - (wire (pts (xy 198.12 83.82) (xy 199.39 81.28)) - (stroke (width 0) (type default)) - (uuid c11abfb8-9057-45d0-93a0-ab470518fafe) - ) - (wire (pts (xy 173.99 49.53) (xy 175.26 49.53)) - (stroke (width 0) (type default)) - (uuid c1f55858-a943-4b72-ba0e-28ff1fd83e06) - ) - (wire (pts (xy 92.71 43.18) (xy 102.87 43.18)) - (stroke (width 0) (type default)) - (uuid c22fe6e7-8ae8-4dfc-887b-124820b06516) - ) - (wire (pts (xy 195.58 68.58) (xy 222.25 68.58)) - (stroke (width 0) (type default)) - (uuid c36960c4-eade-47fb-9b43-cc063c3c244a) - ) - (wire (pts (xy 194.31 73.66) (xy 195.58 76.2)) - (stroke (width 0) (type default)) - (uuid c398b8d1-4d32-475e-8028-76d1cac52950) - ) - (wire (pts (xy 151.13 46.99) (xy 152.4 49.53)) - (stroke (width 0) (type default)) - (uuid c40c8e50-d636-47cb-9958-2f98afe3af21) - ) - (wire (pts (xy 125.73 39.37) (xy 127 41.91)) - (stroke (width 0) (type default)) - (uuid c46436b3-30da-48a2-9caf-15a232b58541) - ) - (wire (pts (xy 179.07 76.2) (xy 180.34 73.66)) - (stroke (width 0) (type default)) - (uuid c46918b5-37cd-4df7-8163-1e16eb1c6063) - ) - (wire (pts (xy 180.34 57.15) (xy 186.69 57.15)) - (stroke (width 0) (type default)) - (uuid c566804b-2005-44da-bfae-b211b13ba042) - ) - (wire (pts (xy 208.28 49.53) (xy 209.55 46.99)) - (stroke (width 0) (type default)) - (uuid c66086f7-a82d-4cde-a73f-2d90ed594cc7) - ) - (wire (pts (xy 157.48 86.36) (xy 158.75 85.09)) - (stroke (width 0) (type default)) - (uuid c7593b74-a29a-4e78-9b6e-4f859d19eacf) - ) - (wire (pts (xy 115.57 46.99) (xy 116.84 46.99)) - (stroke (width 0) (type default)) - (uuid c7b4f408-e2e9-4d27-801b-39eaa2751cc0) - ) - (wire (pts (xy 166.37 49.53) (xy 167.64 46.99)) - (stroke (width 0) (type default)) - (uuid c7ba59e1-6581-4244-83b7-29fec03b5893) - ) - (wire (pts (xy 151.13 46.99) (xy 152.4 46.99)) - (stroke (width 0) (type default)) - (uuid c7f58dc0-8f12-466d-bdd2-0bd82f93a8d5) - ) - (wire (pts (xy 95.25 41.91) (xy 96.52 39.37)) - (stroke (width 0) (type default)) - (uuid c81df3f9-8d61-4673-8124-12890bc39260) - ) - (wire (pts (xy 156.21 72.39) (xy 157.48 69.85)) - (stroke (width 0) (type default)) - (uuid c82c601d-d4da-44f4-b469-168b99412b78) - ) - (wire (pts (xy 116.84 46.99) (xy 118.11 46.99)) - (stroke (width 0) (type default)) - (uuid c87fcbea-9ef4-44ac-aa5f-c6afae1f981d) - ) - (wire (pts (xy 125.73 35.56) (xy 129.54 35.56)) - (stroke (width 0) (type default)) - (uuid c9070e02-f75e-458a-85aa-a2da81a2aed5) - ) - (wire (pts (xy 168.91 87.63) (xy 170.18 85.09)) - (stroke (width 0) (type default)) - (uuid c99047c7-a73a-46ba-a2ef-b491ba581fec) - ) - (wire (pts (xy 102.87 35.56) (xy 106.68 35.56)) - (stroke (width 0) (type default)) - (uuid ca41df5b-b76f-4005-8176-e8acdd2f266f) - ) - (wire (pts (xy 218.44 41.91) (xy 222.25 41.91)) - (stroke (width 0) (type default)) - (uuid ca704290-8966-4a81-95b5-e1ff1c9783b0) - ) - (wire (pts (xy 170.18 87.63) (xy 171.45 85.09)) - (stroke (width 0) (type default)) - (uuid ca833e02-49d8-4119-b1a3-7d93bd89fdbf) - ) - (wire (pts (xy 172.72 41.91) (xy 179.07 41.91)) - (stroke (width 0) (type default)) - (uuid ca913935-9386-43f9-8a28-d39a91bd7c3d) - ) - (wire (pts (xy 163.83 41.91) (xy 165.1 39.37)) - (stroke (width 0) (type default)) - (uuid ca9efee9-d574-43d3-bcbe-91155722f4e6) - ) - (wire (pts (xy 171.45 58.42) (xy 119.38 58.42)) - (stroke (width 0) (type default)) - (uuid cace5c53-603a-40b6-848b-1c60ac1f22d5) - ) - (wire (pts (xy 133.35 73.66) (xy 134.62 76.2)) - (stroke (width 0) (type default)) - (uuid cae9e5be-c818-4b21-a0d7-9d339bcea12d) - ) - (wire (pts (xy 194.31 62.23) (xy 187.96 62.23)) - (stroke (width 0) (type default)) - (uuid cb90963e-1051-4dd3-aebb-1518485e6ca6) - ) - (wire (pts (xy 115.57 49.53) (xy 116.84 46.99)) - (stroke (width 0) (type default)) - (uuid cb9506ef-4fd9-401a-b844-880f01167ba7) - ) - (wire (pts (xy 113.03 46.99) (xy 114.3 49.53)) - (stroke (width 0) (type default)) - (uuid cb956384-ad5f-4b26-946d-ee86df5f4d64) - ) - (wire (pts (xy 186.69 73.66) (xy 187.96 76.2)) - (stroke (width 0) (type default)) - (uuid cb9b6bc0-863d-4fe2-84b8-448fe2869814) - ) - (wire (pts (xy 210.82 64.77) (xy 217.17 64.77)) - (stroke (width 0) (type default)) - (uuid cbad729d-99d4-4227-a1aa-3bc1c928362a) - ) - (wire (pts (xy 116.84 49.53) (xy 118.11 49.53)) - (stroke (width 0) (type default)) - (uuid cc36ff47-5e70-402e-b36e-97111dbaac81) - ) - (wire (pts (xy 118.11 64.77) (xy 119.38 62.23)) - (stroke (width 0) (type default)) - (uuid cc609b96-958a-45e3-92ab-6fa7e135f6a4) - ) - (wire (pts (xy 148.59 64.77) (xy 149.86 62.23)) - (stroke (width 0) (type default)) - (uuid cce90279-ccb2-4791-a6d0-6b98b6b02633) - ) - (wire (pts (xy 102.87 64.77) (xy 104.14 62.23)) - (stroke (width 0) (type default)) - (uuid ccfe716c-e83b-41a8-800a-4ae243442d6c) - ) - (wire (pts (xy 111.76 41.91) (xy 118.11 41.91)) - (stroke (width 0) (type default)) - (uuid ce5a9332-6e5a-4688-b2e2-f12e54b80e68) - ) - (wire (pts (xy 186.69 39.37) (xy 180.34 39.37)) - (stroke (width 0) (type default)) - (uuid ce9f808e-5594-4a3e-b732-0ba404aad2c9) - ) - (wire (pts (xy 187.96 54.61) (xy 222.25 54.61)) - (stroke (width 0) (type default)) - (uuid cec2d335-c453-41f3-a52c-72158499ce6c) - ) - (wire (pts (xy 219.71 46.99) (xy 220.98 46.99)) - (stroke (width 0) (type default)) - (uuid cefabb13-7e35-49b1-b557-d8ceb17754c3) - ) - (wire (pts (xy 180.34 64.77) (xy 186.69 64.77)) - (stroke (width 0) (type default)) - (uuid cf42eb61-94dc-4d15-8ca6-d64fd158f050) - ) - (wire (pts (xy 168.91 46.99) (xy 170.18 46.99)) - (stroke (width 0) (type default)) - (uuid cf5fe5fe-35be-4a04-8208-874d0adb533e) - ) - (wire (pts (xy 111.76 46.99) (xy 113.03 46.99)) - (stroke (width 0) (type default)) - (uuid d029d8bf-b70e-4fcb-8260-caf4a810b694) - ) - (wire (pts (xy 209.55 62.23) (xy 203.2 62.23)) - (stroke (width 0) (type default)) - (uuid d06b3e1e-7afa-4c6d-b1ed-daf78bbe0905) - ) - (wire (pts (xy 180.34 53.34) (xy 186.69 53.34)) - (stroke (width 0) (type default)) - (uuid d0727e3d-709e-491e-bf81-1501ec4f8642) - ) - (wire (pts (xy 119.38 49.53) (xy 120.65 49.53)) - (stroke (width 0) (type default)) - (uuid d116b7b7-4853-4dc2-b20b-56f7c4d8bf46) - ) - (wire (pts (xy 207.01 46.99) (xy 208.28 49.53)) - (stroke (width 0) (type default)) - (uuid d1451572-8c19-4935-a48e-4149b825cf54) - ) - (wire (pts (xy 110.49 38.1) (xy 110.49 35.56)) - (stroke (width 0) (type default)) - (uuid d15823d3-8bff-467b-a30b-500fada54843) - ) - (wire (pts (xy 148.59 38.1) (xy 148.59 35.56)) - (stroke (width 0) (type default)) - (uuid d164c7a5-c484-4650-9d01-3c77410660d1) - ) - (wire (pts (xy 171.45 76.2) (xy 172.72 73.66)) - (stroke (width 0) (type default)) - (uuid d170f6db-dd0a-4df1-b37b-f128f7b0a217) - ) - (wire (pts (xy 167.64 49.53) (xy 168.91 49.53)) - (stroke (width 0) (type default)) - (uuid d1c915fe-e4f9-4d13-9624-49f5b7ab3401) - ) - (wire (pts (xy 118.11 62.23) (xy 111.76 62.23)) - (stroke (width 0) (type default)) - (uuid d1d36776-e6fb-4538-9ae3-445f10ca25a8) - ) - (wire (pts (xy 97.79 46.99) (xy 99.06 49.53)) - (stroke (width 0) (type default)) - (uuid d257c2b9-9dde-4e33-8db2-1eb16c1d5e42) - ) - (wire (pts (xy 171.45 35.56) (xy 175.26 35.56)) - (stroke (width 0) (type default)) - (uuid d2ce923d-e239-4eee-930a-8ca1bace9ad4) - ) - (wire (pts (xy 95.25 73.66) (xy 96.52 76.2)) - (stroke (width 0) (type default)) - (uuid d33db23d-a9de-4039-977c-0f011a451884) - ) - (wire (pts (xy 125.73 73.66) (xy 127 76.2)) - (stroke (width 0) (type default)) - (uuid d3767b77-db36-4221-98c6-9731a1be6b96) - ) - (wire (pts (xy 217.17 62.23) (xy 218.44 64.77)) - (stroke (width 0) (type default)) - (uuid d38b671d-f696-44d7-9580-bddf34097844) - ) - (wire (pts (xy 144.78 35.56) (xy 144.78 38.1)) - (stroke (width 0) (type default)) - (uuid d3c1482a-ffd9-4ec1-8db9-8138253e20d2) - ) - (wire (pts (xy 187.96 41.91) (xy 194.31 41.91)) - (stroke (width 0) (type default)) - (uuid d3f070d2-0db5-4ba4-b085-7d414a9b11c4) - ) - (wire (pts (xy 205.74 49.53) (xy 207.01 49.53)) - (stroke (width 0) (type default)) - (uuid d427c49d-b1fa-41ee-a780-8df75d30c038) - ) - (wire (pts (xy 152.4 49.53) (xy 153.67 49.53)) - (stroke (width 0) (type default)) - (uuid d468e29f-7d3f-40c0-a87e-8e54b77a6b02) - ) - (wire (pts (xy 179.07 41.91) (xy 180.34 39.37)) - (stroke (width 0) (type default)) - (uuid d46c955b-26b5-488b-a6f7-ca72e3623053) - ) - (wire (pts (xy 186.69 35.56) (xy 190.5 35.56)) - (stroke (width 0) (type default)) - (uuid d4d4d52b-994a-41ca-b00b-d2563d89209a) - ) - (wire (pts (xy 195.58 76.2) (xy 201.93 76.2)) - (stroke (width 0) (type default)) - (uuid d5439801-b7af-477e-9da2-743b957b9a88) - ) - (wire (pts (xy 96.52 76.2) (xy 102.87 76.2)) - (stroke (width 0) (type default)) - (uuid d5db51cf-889b-4243-b50b-9828614282af) - ) - (wire (pts (xy 209.55 46.99) (xy 210.82 49.53)) - (stroke (width 0) (type default)) - (uuid d5dbd82b-3a68-4439-a5a4-89f524ebeaf3) - ) - (wire (pts (xy 102.87 62.23) (xy 104.14 64.77)) - (stroke (width 0) (type default)) - (uuid d688ce54-0b09-4014-a7de-7fd82a7f3995) - ) - (wire (pts (xy 92.71 85.09) (xy 104.14 85.09)) - (stroke (width 0) (type default)) - (uuid d6b89921-18fe-4bc2-a266-48443bb3a0b5) - ) - (wire (pts (xy 119.38 46.99) (xy 120.65 46.99)) - (stroke (width 0) (type default)) - (uuid d6f8efa1-df4b-4b5d-bcc1-827db18b5fec) - ) - (wire (pts (xy 95.25 76.2) (xy 96.52 73.66)) - (stroke (width 0) (type default)) - (uuid d7bde662-ffe6-4bb4-84cf-c21b5833c46a) - ) - (wire (pts (xy 187.96 50.8) (xy 222.25 50.8)) - (stroke (width 0) (type default)) - (uuid d7c354ff-7d33-4620-8746-8cb1e40e5e42) - ) - (wire (pts (xy 212.09 86.36) (xy 222.25 86.36)) - (stroke (width 0) (type default)) - (uuid d80f0c1d-f1f0-4fda-9052-46a003faaad2) - ) - (wire (pts (xy 156.21 46.99) (xy 157.48 46.99)) - (stroke (width 0) (type default)) - (uuid d831465e-b413-43cf-b4ce-4bdbec5cad24) - ) - (wire (pts (xy 209.55 35.56) (xy 213.36 35.56)) - (stroke (width 0) (type default)) - (uuid d865a1f7-472a-4f66-a9eb-4db87e85573a) - ) - (wire (pts (xy 127 41.91) (xy 133.35 41.91)) - (stroke (width 0) (type default)) - (uuid d8d69892-ca09-4860-9d8d-02ee61d7a711) - ) - (wire (pts (xy 157.48 78.74) (xy 158.75 80.01)) - (stroke (width 0) (type default)) - (uuid d944c15e-70d8-4966-a6ed-98feaa53351c) - ) - (wire (pts (xy 113.03 46.99) (xy 114.3 46.99)) - (stroke (width 0) (type default)) - (uuid d949e24a-8a35-46d0-a9b6-aa2108301d86) - ) - (wire (pts (xy 203.2 46.99) (xy 204.47 46.99)) - (stroke (width 0) (type default)) - (uuid d982eb01-d7a2-4ab7-8677-cecdff9f3670) - ) - (wire (pts (xy 187.96 64.77) (xy 194.31 64.77)) - (stroke (width 0) (type default)) - (uuid d9fc4532-4e89-4f10-9d1e-aed9e6352323) - ) - (wire (pts (xy 118.11 49.53) (xy 119.38 49.53)) - (stroke (width 0) (type default)) - (uuid dac646c0-17c6-4211-865f-6c204b698c30) - ) - (wire (pts (xy 204.47 49.53) (xy 205.74 46.99)) - (stroke (width 0) (type default)) - (uuid dbb7ca05-148e-47d3-8b8a-46c7376b97ba) - ) - (wire (pts (xy 209.55 49.53) (xy 210.82 49.53)) - (stroke (width 0) (type default)) - (uuid dbcd4c52-20af-40ec-a9bb-29286a7e9a6b) - ) - (wire (pts (xy 210.82 46.99) (xy 212.09 46.99)) - (stroke (width 0) (type default)) - (uuid dd37f25a-6551-4fb8-9287-eaa6778acd19) - ) - (wire (pts (xy 127 72.39) (xy 133.35 72.39)) - (stroke (width 0) (type default)) - (uuid dd6024f8-f747-4f71-841b-14294625994a) - ) - (wire (pts (xy 203.2 49.53) (xy 204.47 46.99)) - (stroke (width 0) (type default)) - (uuid de084cdc-5133-497e-ae46-941966340913) - ) - (wire (pts (xy 160.02 49.53) (xy 165.1 49.53)) - (stroke (width 0) (type default)) - (uuid de0b4741-283e-4ded-8721-ab1a6f960fb6) - ) - (wire (pts (xy 118.11 69.85) (xy 119.38 72.39)) - (stroke (width 0) (type default)) - (uuid de46e1f3-0595-4834-8420-a2cea5b030f4) - ) - (wire (pts (xy 209.55 64.77) (xy 210.82 62.23)) - (stroke (width 0) (type default)) - (uuid de5236fd-2c69-4d89-be3a-152956efb509) - ) - (wire (pts (xy 217.17 41.91) (xy 218.44 39.37)) - (stroke (width 0) (type default)) - (uuid defaf708-7fc0-468c-959d-3cbd283ca17d) - ) - (wire (pts (xy 163.83 69.85) (xy 165.1 72.39)) - (stroke (width 0) (type default)) - (uuid df19d966-5edb-4c24-8f3f-15bd2725fe73) - ) - (wire (pts (xy 148.59 41.91) (xy 149.86 39.37)) - (stroke (width 0) (type default)) - (uuid e0e4d2ec-2983-409b-a5d3-a93534c13d53) - ) - (wire (pts (xy 158.75 49.53) (xy 160.02 49.53)) - (stroke (width 0) (type default)) - (uuid e1107c41-263b-4838-9cb0-334bbb3ad05b) - ) - (wire (pts (xy 95.25 39.37) (xy 96.52 41.91)) - (stroke (width 0) (type default)) - (uuid e2647558-8c83-4bfe-b1dc-91d5dbac48b9) - ) - (wire (pts (xy 218.44 46.99) (xy 219.71 46.99)) - (stroke (width 0) (type default)) - (uuid e302a1fd-c239-46ae-8163-5bdc9a3086ed) - ) - (wire (pts (xy 95.25 39.37) (xy 92.71 39.37)) - (stroke (width 0) (type default)) - (uuid e3b925fc-2a3c-4197-895d-281907a08f54) - ) - (wire (pts (xy 133.35 35.56) (xy 137.16 35.56)) - (stroke (width 0) (type default)) - (uuid e3d76d7b-379c-4205-9db1-455e82f767bb) - ) - (wire (pts (xy 157.48 72.39) (xy 163.83 72.39)) - (stroke (width 0) (type default)) - (uuid e401f0eb-8281-4883-b19b-9f473ba8f421) - ) - (wire (pts (xy 203.2 46.99) (xy 204.47 49.53)) - (stroke (width 0) (type default)) - (uuid e47d1331-6f95-413e-b396-fc6e78820f59) - ) - (wire (pts (xy 152.4 35.56) (xy 152.4 38.1)) - (stroke (width 0) (type default)) - (uuid e4e3e43e-8ccd-44d8-8f2c-4a740a4eb250) - ) - (wire (pts (xy 179.07 54.61) (xy 187.96 54.61)) - (stroke (width 0) (type default)) - (uuid e528b651-3831-4bb7-9ce7-fcba91711ba3) - ) - (wire (pts (xy 157.48 49.53) (xy 158.75 46.99)) - (stroke (width 0) (type default)) - (uuid e60fab06-5efa-4a54-b258-fd9221fe63dd) - ) - (wire (pts (xy 222.25 69.85) (xy 218.44 69.85)) - (stroke (width 0) (type default)) - (uuid e61b90e2-92f1-4c46-82fa-8bdb58c46840) - ) - (wire (pts (xy 106.68 49.53) (xy 111.76 49.53)) - (stroke (width 0) (type default)) - (uuid e645e21e-2f7b-4584-a31d-f43ddeace8fa) - ) - (wire (pts (xy 157.48 49.53) (xy 158.75 49.53)) - (stroke (width 0) (type default)) - (uuid e67200e9-6431-4c76-97cf-1f12bb51bad5) - ) - (wire (pts (xy 172.72 49.53) (xy 173.99 46.99)) - (stroke (width 0) (type default)) - (uuid e733aa2f-35a8-4c6f-9ee1-1961266861e5) - ) - (wire (pts (xy 171.45 62.23) (xy 165.1 62.23)) - (stroke (width 0) (type default)) - (uuid e7a307f2-410a-44b8-a400-caa85357affe) - ) - (wire (pts (xy 99.06 46.99) (xy 100.33 49.53)) - (stroke (width 0) (type default)) - (uuid e7cb14d2-ef5b-4c28-b3aa-8793d433f19d) - ) - (wire (pts (xy 220.98 49.53) (xy 222.25 46.99)) - (stroke (width 0) (type default)) - (uuid e92964b3-787a-4e8d-96d9-3b6c00bf914c) - ) - (wire (pts (xy 165.1 41.91) (xy 171.45 41.91)) - (stroke (width 0) (type default)) - (uuid e9792efc-83e5-44f6-a88a-5bdfd8ccbe8b) - ) - (wire (pts (xy 200.66 83.82) (xy 201.93 81.28)) - (stroke (width 0) (type default)) - (uuid e993291d-c2db-4b00-bc1b-0e0a149e75d8) - ) - (wire (pts (xy 148.59 73.66) (xy 142.24 73.66)) - (stroke (width 0) (type default)) - (uuid ea1fdcba-d06b-4cd0-9fc9-3ab4b2e5e6f7) - ) - (wire (pts (xy 186.69 38.1) (xy 186.69 35.56)) - (stroke (width 0) (type default)) - (uuid ea4164d9-f597-4d34-8ccc-2d2d4f69cb48) - ) - (wire (pts (xy 92.71 46.99) (xy 96.52 46.99)) - (stroke (width 0) (type default)) - (uuid eaabba56-dda9-4720-950b-c429439f7acb) - ) - (wire (pts (xy 177.8 85.09) (xy 179.07 87.63)) - (stroke (width 0) (type default)) - (uuid eaea47d1-8e73-4f4f-944c-c88ee92a3765) - ) - (wire (pts (xy 201.93 72.39) (xy 203.2 69.85)) - (stroke (width 0) (type default)) - (uuid eb057711-1a54-4fe8-9d55-c4dfef1889f6) - ) - (wire (pts (xy 203.2 72.39) (xy 209.55 72.39)) - (stroke (width 0) (type default)) - (uuid ebb82558-e5b3-4740-8828-11c75f1b0d68) - ) - (wire (pts (xy 165.1 46.99) (xy 166.37 46.99)) - (stroke (width 0) (type default)) - (uuid ec642cb6-9d58-4d53-b649-0fce337b6451) - ) - (wire (pts (xy 153.67 49.53) (xy 154.94 46.99)) - (stroke (width 0) (type default)) - (uuid ec9e80b0-bde4-4b0c-8da8-0b5d0024ba9c) - ) - (wire (pts (xy 209.55 43.18) (xy 210.82 45.72)) - (stroke (width 0) (type default)) - (uuid eddf7df1-6a11-4028-9a97-7b958c2d214a) - ) - (wire (pts (xy 149.86 49.53) (xy 151.13 46.99)) - (stroke (width 0) (type default)) - (uuid ee1d9970-5dff-49f8-923e-8a164fe90b2a) - ) - (wire (pts (xy 99.06 38.1) (xy 102.87 38.1)) - (stroke (width 0) (type default)) - (uuid ee854f49-088d-45e1-a5a8-5051a145e85e) - ) - (wire (pts (xy 104.14 81.28) (xy 105.41 82.55)) - (stroke (width 0) (type default)) - (uuid ee8884bb-5582-4ddd-9966-0d928940f102) - ) - (wire (pts (xy 97.79 49.53) (xy 99.06 46.99)) - (stroke (width 0) (type default)) - (uuid ee8bb60a-706e-4566-88f0-c75715bcbd70) - ) - (wire (pts (xy 212.09 46.99) (xy 213.36 46.99)) - (stroke (width 0) (type default)) - (uuid f03662f1-d159-418d-86e6-559339d37f16) - ) - (wire (pts (xy 137.16 38.1) (xy 140.97 38.1)) - (stroke (width 0) (type default)) - (uuid f175ad3f-427d-40c2-9f91-6808205b3c2f) - ) - (wire (pts (xy 149.86 76.2) (xy 156.21 76.2)) - (stroke (width 0) (type default)) - (uuid f1899d2e-f9c2-4c20-99ed-fc98f9b66187) - ) - (wire (pts (xy 149.86 53.34) (xy 156.21 53.34)) - (stroke (width 0) (type default)) - (uuid f2409ad6-f244-4608-a54b-3bd6c0c58b7e) - ) - (wire (pts (xy 127 76.2) (xy 133.35 76.2)) - (stroke (width 0) (type default)) - (uuid f24702eb-dbaf-428b-8656-a98b16a6844e) - ) - (wire (pts (xy 148.59 76.2) (xy 149.86 73.66)) - (stroke (width 0) (type default)) - (uuid f2dfb608-464c-487e-8418-f62182eca531) - ) - (wire (pts (xy 140.97 62.23) (xy 142.24 64.77)) - (stroke (width 0) (type default)) - (uuid f30d0a58-97c6-42f8-bef8-cfc539972f35) - ) - (wire (pts (xy 119.38 58.42) (xy 118.11 60.96)) - (stroke (width 0) (type default)) - (uuid f31abee1-3022-44eb-9c24-e516cc27766b) + (uuid 02167abe-099a-406f-988b-aa14a62cbd84) ) (wire (pts (xy 172.72 87.63) (xy 173.99 85.09)) (stroke (width 0) (type default)) - (uuid f3a79c00-009d-4ba8-990d-9eb59de3e03a) + (uuid 022e9836-e6c2-4372-bfb2-c3a9f5b534f3) ) - (wire (pts (xy 209.55 73.66) (xy 210.82 76.2)) + (wire (pts (xy 172.72 64.77) (xy 179.07 64.77)) (stroke (width 0) (type default)) - (uuid f4db5001-bbf0-4084-ab98-194d84b197b1) + (uuid 02b6fc2a-6381-4d61-b20e-5b5ea5636c3c) ) - (wire (pts (xy 204.47 46.99) (xy 205.74 46.99)) + (wire (pts (xy 149.86 64.77) (xy 156.21 64.77)) (stroke (width 0) (type default)) - (uuid f5df6632-6876-40ae-8e7c-e505803b2cef) + (uuid 02d00c15-7830-4e88-a6ad-396e27911e7d) ) - (wire (pts (xy 156.21 69.85) (xy 149.86 69.85)) + (wire (pts (xy 149.86 46.99) (xy 151.13 49.53)) (stroke (width 0) (type default)) - (uuid f7db9b60-267e-45da-80fb-04627331d1b1) + (uuid 02e804d9-d157-4193-8ece-d64d9d64de82) ) - (wire (pts (xy 104.14 45.72) (xy 156.21 45.72)) + (wire (pts (xy 210.82 49.53) (xy 212.09 46.99)) (stroke (width 0) (type default)) - (uuid f7e07ce9-4ce9-415e-b19c-e18dc647023b) + (uuid 03acb531-12e5-4d7f-9031-59c88c6c49c7) ) - (wire (pts (xy 166.37 49.53) (xy 167.64 49.53)) + (wire (pts (xy 203.2 41.91) (xy 209.55 41.91)) (stroke (width 0) (type default)) - (uuid f7ec64a9-07a0-4247-9acf-aaebe7c9a0ad) + (uuid 03b63043-ceb1-45ff-a87f-93d0c4e2d9d5) ) - (wire (pts (xy 203.2 64.77) (xy 209.55 64.77)) + (wire (pts (xy 156.21 73.66) (xy 149.86 73.66)) (stroke (width 0) (type default)) - (uuid f8360b56-72b4-48ab-8cc3-ce29682f5911) + (uuid 03febe5a-9352-4dee-a0d3-7d392ad3f1fc) ) - (wire (pts (xy 162.56 87.63) (xy 163.83 85.09)) + (wire (pts (xy 163.83 64.77) (xy 165.1 62.23)) (stroke (width 0) (type default)) - (uuid f8a40f42-ae8e-4500-b348-13131333a91f) + (uuid 042cf1f2-b935-4886-8514-26e235ff8979) ) - (wire (pts (xy 116.84 46.99) (xy 118.11 49.53)) + (wire (pts (xy 156.21 39.37) (xy 157.48 41.91)) (stroke (width 0) (type default)) - (uuid f8d24c98-48e2-4845-a7dd-4c31e2892746) + (uuid 04dd7a79-798c-4ad0-a833-17785fc66503) ) - (wire (pts (xy 209.55 49.53) (xy 210.82 46.99)) + (wire (pts (xy 95.25 38.1) (xy 92.71 38.1)) (stroke (width 0) (type default)) - (uuid f93ac977-18e4-44ee-89f3-5250842d9567) + (uuid 05796f27-93d9-48e9-ac6c-0b92fba94521) ) - (wire (pts (xy 180.34 87.63) (xy 210.82 87.63)) + (wire (pts (xy 104.14 85.09) (xy 105.41 86.36)) (stroke (width 0) (type default)) - (uuid f967a21f-f94c-490b-b661-cf3342d032ab) + (uuid 058573e2-ded6-476f-b50b-c7503413efc5) + ) + (wire (pts (xy 96.52 64.77) (xy 102.87 64.77)) + (stroke (width 0) (type default)) + (uuid 0628dfac-98a8-4756-bebe-23a778809ed1) ) (wire (pts (xy 95.25 62.23) (xy 96.52 64.77)) (stroke (width 0) (type default)) - (uuid f99d11c8-711b-4a7c-adba-5c5f218f81a5) + (uuid 06b9935e-a521-4958-bfcb-a05c06988c95) ) - (wire (pts (xy 119.38 76.2) (xy 125.73 76.2)) + (wire (pts (xy 104.14 45.72) (xy 156.21 45.72)) (stroke (width 0) (type default)) - (uuid f9a841e4-880f-44c4-855f-52b216406628) + (uuid 06cd9424-51d2-468f-9b0f-f955a266a041) ) - (wire (pts (xy 111.76 49.53) (xy 113.03 49.53)) + (wire (pts (xy 102.87 73.66) (xy 104.14 76.2)) (stroke (width 0) (type default)) - (uuid fae11bb4-3d1f-460f-8ad1-958886efb53e) + (uuid 06db558c-77a7-4e7d-be01-f6e5aaa54cc5) ) - (wire (pts (xy 140.97 62.23) (xy 134.62 62.23)) + (wire (pts (xy 92.71 54.61) (xy 222.25 54.61)) (stroke (width 0) (type default)) - (uuid fb9a4564-065f-41ca-b7a6-88442f1ad04e) + (uuid 071cecec-b93d-4d2b-b4de-9fe5e80844c1) ) - (wire (pts (xy 175.26 85.09) (xy 176.53 87.63)) + (wire (pts (xy 210.82 77.47) (xy 212.09 78.74)) (stroke (width 0) (type default)) - (uuid fbae1a9e-08ad-4bdb-a9d9-9bdcc286063d) + (uuid 07aa9ebc-8a1d-4ee3-8cd3-15c730df9cad) ) - (wire (pts (xy 152.4 46.99) (xy 153.67 49.53)) + (wire (pts (xy 110.49 73.66) (xy 104.14 73.66)) (stroke (width 0) (type default)) - (uuid fc19823a-4447-41fb-8b70-e493b386abfb) + (uuid 083144f4-4415-49c6-8b5e-bacf88f82523) ) - (wire (pts (xy 106.68 38.1) (xy 110.49 38.1)) + (wire (pts (xy 173.99 49.53) (xy 175.26 46.99)) (stroke (width 0) (type default)) - (uuid fc54ac2b-14a6-4d3a-92e2-e24933ecd9e8) + (uuid 0893e536-d927-4f8b-94b5-591093a26dc5) ) - (wire (pts (xy 118.11 73.66) (xy 119.38 76.2)) + (wire (pts (xy 92.71 49.53) (xy 222.25 49.53)) (stroke (width 0) (type default)) - (uuid fd209117-33a6-4be5-9dac-f1fe701a5198) + (uuid 09b76d94-bf9e-4122-a0df-8dcb32a6f50b) ) - (wire (pts (xy 102.87 73.66) (xy 96.52 73.66)) + (wire (pts (xy 157.48 78.74) (xy 158.75 80.01)) (stroke (width 0) (type default)) - (uuid fd2f6e93-f2b5-4c4c-b8ac-43fbc40848d0) + (uuid 0aa9f5e1-7f7b-40cd-abd3-a0e3b80275bf) ) - (wire (pts (xy 217.17 35.56) (xy 220.98 35.56)) + (wire (pts (xy 156.21 69.85) (xy 157.48 72.39)) (stroke (width 0) (type default)) - (uuid fdbe77ba-daa0-4467-88db-cdc8e7000546) + (uuid 0af9cd72-6277-4c85-8870-8897a98106db) ) - (wire (pts (xy 180.34 85.09) (xy 210.82 85.09)) + (wire (pts (xy 209.55 39.37) (xy 203.2 39.37)) (stroke (width 0) (type default)) - (uuid fdf5c7ad-b6c2-4f60-b695-cecaec1cdd65) + (uuid 0bf54f74-0a05-41f3-b379-f9fd80d644e4) ) - (wire (pts (xy 186.69 62.23) (xy 187.96 64.77)) + (wire (pts (xy 179.07 54.61) (xy 180.34 57.15)) (stroke (width 0) (type default)) - (uuid fdf78b85-123e-4528-ad39-750143452740) + (uuid 0c436320-c90f-4387-bd82-09ca57bd1e3d) ) - (wire (pts (xy 201.93 41.91) (xy 203.2 39.37)) + (wire (pts (xy 212.09 82.55) (xy 210.82 83.82)) (stroke (width 0) (type default)) - (uuid fe84d722-a063-41ca-b86e-0bf7da00effb) + (uuid 0cc54b8f-5495-40b5-ba1f-59f0a9e26b0f) ) - (wire (pts (xy 125.73 69.85) (xy 127 72.39)) + (wire (pts (xy 95.25 72.39) (xy 96.52 69.85)) (stroke (width 0) (type default)) - (uuid fec0edb1-12fb-405d-8043-cf467804abd8) + (uuid 0ce23d05-0a06-4336-8808-4475d9f3fae3) ) - (wire (pts (xy 200.66 81.28) (xy 201.93 83.82)) + (wire (pts (xy 172.72 76.2) (xy 179.07 76.2)) (stroke (width 0) (type default)) - (uuid feda6446-5ade-4fa0-9646-300b2aaf1556) + (uuid 0d21ba06-6a12-4df6-a0b0-e0d9d6d88208) ) - (wire (pts (xy 100.33 49.53) (xy 101.6 46.99)) + (wire (pts (xy 222.25 62.23) (xy 218.44 62.23)) (stroke (width 0) (type default)) - (uuid fef4a93d-87d8-4ede-b7a4-d250f805ff3d) + (uuid 0dde7035-0ac1-4f4b-9119-e256465851dc) ) - (wire (pts (xy 125.73 62.23) (xy 127 64.77)) + (wire (pts (xy 218.44 76.2) (xy 222.25 76.2)) (stroke (width 0) (type default)) - (uuid ff1f88c1-bb62-44e9-9812-d3ae336e5481) + (uuid 0e296950-eca8-408d-affa-ffd93d24fff7) ) - (wire (pts (xy 167.64 87.63) (xy 168.91 85.09)) + (wire (pts (xy 201.93 35.56) (xy 205.74 35.56)) (stroke (width 0) (type default)) - (uuid ff2fca1d-a071-4b7c-a161-0dd4269c881f) + (uuid 0e869c3b-f9cb-4fa9-9abc-15689e98d753) + ) + (wire (pts (xy 201.93 69.85) (xy 195.58 69.85)) + (stroke (width 0) (type default)) + (uuid 0ee2c80f-48ee-4fd9-ae63-43e03e49aa17) + ) + (wire (pts (xy 118.11 69.85) (xy 111.76 69.85)) + (stroke (width 0) (type default)) + (uuid 0fe2e338-29d4-4074-b5a8-67ae0368f1d4) ) (wire (pts (xy 156.21 62.23) (xy 157.48 64.77)) (stroke (width 0) (type default)) - (uuid ff3ec1df-119f-41af-a8e4-71f14569a8d0) + (uuid 1148f1af-df7e-49ef-b128-6ec41cb4b845) ) - (wire (pts (xy 101.6 49.53) (xy 102.87 49.53)) + (wire (pts (xy 209.55 41.91) (xy 210.82 39.37)) (stroke (width 0) (type default)) - (uuid ff7abd2f-deb1-48ff-a460-173cbac7ed42) + (uuid 117cca0b-8ce9-432c-aaad-3e0e15d2f4c0) + ) + (wire (pts (xy 163.83 62.23) (xy 165.1 64.77)) + (stroke (width 0) (type default)) + (uuid 124b3c00-7a46-4810-8428-4d70f345f266) + ) + (wire (pts (xy 212.09 86.36) (xy 210.82 87.63)) + (stroke (width 0) (type default)) + (uuid 130c99cc-b8ce-44ba-8400-7f3be66492f5) + ) + (wire (pts (xy 217.17 62.23) (xy 218.44 64.77)) + (stroke (width 0) (type default)) + (uuid 13372b6e-3b71-4dbb-9d53-807694f1bbe8) + ) + (wire (pts (xy 119.38 76.2) (xy 125.73 76.2)) + (stroke (width 0) (type default)) + (uuid 137232bb-c1aa-4524-9aa6-1226e4378569) + ) + (wire (pts (xy 113.03 46.99) (xy 114.3 49.53)) + (stroke (width 0) (type default)) + (uuid 13c2dcc9-d410-40d2-9a49-e9d12d6fd07a) + ) + (wire (pts (xy 96.52 49.53) (xy 97.79 46.99)) + (stroke (width 0) (type default)) + (uuid 148402af-da8e-4b72-9b85-150aa1fab99f) + ) + (wire (pts (xy 168.91 46.99) (xy 170.18 49.53)) + (stroke (width 0) (type default)) + (uuid 14eb56e8-88dd-4f26-9508-8dc7f9635c32) + ) + (wire (pts (xy 134.62 64.77) (xy 140.97 64.77)) + (stroke (width 0) (type default)) + (uuid 158d57ab-87b5-4cae-a799-ff707e88670b) + ) + (wire (pts (xy 157.48 86.36) (xy 105.41 86.36)) + (stroke (width 0) (type default)) + (uuid 15955aff-f391-4402-9a41-78018c723427) + ) + (wire (pts (xy 175.26 38.1) (xy 179.07 38.1)) + (stroke (width 0) (type default)) + (uuid 16146e53-0c04-4a4e-ac4e-4bacbf3b9210) + ) + (wire (pts (xy 179.07 39.37) (xy 172.72 39.37)) + (stroke (width 0) (type default)) + (uuid 1694a307-8bfa-4b3d-8325-020ebf5e09ed) + ) + (wire (pts (xy 171.45 73.66) (xy 165.1 73.66)) + (stroke (width 0) (type default)) + (uuid 171e7c19-c1c9-4248-9a3d-c9a782f9a7be) + ) + (wire (pts (xy 104.14 49.53) (xy 105.41 46.99)) + (stroke (width 0) (type default)) + (uuid 17e28137-2aeb-4e43-9330-b85eebcbe30d) + ) + (wire (pts (xy 194.31 41.91) (xy 195.58 39.37)) + (stroke (width 0) (type default)) + (uuid 181e8cd9-ca3c-4aa9-84dd-06090086fbda) + ) + (wire (pts (xy 133.35 73.66) (xy 134.62 76.2)) + (stroke (width 0) (type default)) + (uuid 196c6681-605b-4974-b3f4-b8953f43e8cc) + ) + (wire (pts (xy 180.34 87.63) (xy 210.82 87.63)) + (stroke (width 0) (type default)) + (uuid 1a278bdb-1924-4376-9381-c5e6146edff1) + ) + (wire (pts (xy 118.11 62.23) (xy 111.76 62.23)) + (stroke (width 0) (type default)) + (uuid 1aa45eb0-5ffe-487d-b66b-0ac5ec392347) + ) + (wire (pts (xy 194.31 72.39) (xy 195.58 69.85)) + (stroke (width 0) (type default)) + (uuid 1aa792ff-f96a-4e14-a1cd-0e6aac6c8127) + ) + (wire (pts (xy 118.11 60.96) (xy 92.71 60.96)) + (stroke (width 0) (type default)) + (uuid 1ad222b7-6aa3-4300-a37a-ab0db8fc0e03) + ) + (wire (pts (xy 140.97 58.42) (xy 142.24 60.96)) + (stroke (width 0) (type default)) + (uuid 1b7eb44d-f44b-4ca5-acca-0c40e044cef6) + ) + (wire (pts (xy 209.55 64.77) (xy 210.82 62.23)) + (stroke (width 0) (type default)) + (uuid 1bd52d76-aab0-406e-9c3e-6a6476ecb520) + ) + (wire (pts (xy 110.49 72.39) (xy 111.76 69.85)) + (stroke (width 0) (type default)) + (uuid 1d2379ed-6ec8-4a50-961f-53a103759d0d) + ) + (wire (pts (xy 102.87 64.77) (xy 104.14 62.23)) + (stroke (width 0) (type default)) + (uuid 1d53257f-ab71-48a6-842b-92239c55e37f) + ) + (wire (pts (xy 133.35 69.85) (xy 127 69.85)) + (stroke (width 0) (type default)) + (uuid 1d67f088-50b0-4f70-9c22-b0f196d9720d) + ) + (wire (pts (xy 102.87 38.1) (xy 102.87 35.56)) + (stroke (width 0) (type default)) + (uuid 1da60851-220b-4195-b49f-881128c18707) + ) + (wire (pts (xy 92.71 43.18) (xy 102.87 43.18)) + (stroke (width 0) (type default)) + (uuid 1dcdd7d7-74c3-4e56-bdcd-9a55d7732bf3) + ) + (wire (pts (xy 115.57 46.99) (xy 116.84 49.53)) + (stroke (width 0) (type default)) + (uuid 1e27daae-9885-48b3-87ef-62b216912e20) + ) + (wire (pts (xy 171.45 58.42) (xy 172.72 60.96)) + (stroke (width 0) (type default)) + (uuid 1eb5e228-2c79-4081-963b-4c45764c6bf0) + ) + (wire (pts (xy 142.24 41.91) (xy 148.59 41.91)) + (stroke (width 0) (type default)) + (uuid 1ee2c099-0ac1-4b18-aade-2f5d1b579ff2) + ) + (wire (pts (xy 118.11 41.91) (xy 119.38 39.37)) + (stroke (width 0) (type default)) + (uuid 1fbcc8df-5762-4404-a9d5-f4f18484e850) + ) + (wire (pts (xy 110.49 38.1) (xy 110.49 35.56)) + (stroke (width 0) (type default)) + (uuid 22113bde-509c-48c4-8b1f-35bdc137fc1d) + ) + (wire (pts (xy 171.45 49.53) (xy 172.72 46.99)) + (stroke (width 0) (type default)) + (uuid 2290ff2c-84b2-465e-a9b3-c2962904456b) + ) + (wire (pts (xy 167.64 38.1) (xy 171.45 38.1)) + (stroke (width 0) (type default)) + (uuid 22bce41b-5769-45ec-83a7-1d65a335c107) + ) + (wire (pts (xy 201.93 72.39) (xy 203.2 69.85)) + (stroke (width 0) (type default)) + (uuid 22cd01df-8774-4018-af81-4bbc251254cd) + ) + (wire (pts (xy 119.38 46.99) (xy 120.65 49.53)) + (stroke (width 0) (type default)) + (uuid 236875cc-a4b2-4ba4-896d-0eb1f4cab9af) + ) + (wire (pts (xy 180.34 72.39) (xy 186.69 72.39)) + (stroke (width 0) (type default)) + (uuid 240e939e-92c9-41fa-8f3e-13671229e2dd) + ) + (wire (pts (xy 102.87 39.37) (xy 96.52 39.37)) + (stroke (width 0) (type default)) + (uuid 24b602ad-af07-4e51-a9f1-a7f704b9da46) + ) + (wire (pts (xy 101.6 49.53) (xy 102.87 46.99)) + (stroke (width 0) (type default)) + (uuid 24df9f59-8ffd-440e-b385-bf6b6d4e6390) + ) + (wire (pts (xy 190.5 38.1) (xy 194.31 38.1)) + (stroke (width 0) (type default)) + (uuid 2502d8d0-121d-4d46-b47d-de06d8494f85) + ) + (wire (pts (xy 205.74 46.99) (xy 207.01 49.53)) + (stroke (width 0) (type default)) + (uuid 2546f044-dd69-4929-9c49-f0de9ef66cd4) + ) + (wire (pts (xy 101.6 46.99) (xy 102.87 49.53)) + (stroke (width 0) (type default)) + (uuid 25ca9551-e044-45c7-9fd3-692e52bcc0ea) + ) + (wire (pts (xy 218.44 46.99) (xy 219.71 49.53)) + (stroke (width 0) (type default)) + (uuid 260c28bf-7e0b-4dfd-ba92-580302491d2d) + ) + (wire (pts (xy 201.93 41.91) (xy 203.2 39.37)) + (stroke (width 0) (type default)) + (uuid 28046f88-1c20-407a-8552-8a593b05676b) + ) + (wire (pts (xy 201.93 76.2) (xy 203.2 73.66)) + (stroke (width 0) (type default)) + (uuid 280c1799-fba9-4b9f-afb1-376a70068cda) + ) + (wire (pts (xy 160.02 35.56) (xy 160.02 38.1)) + (stroke (width 0) (type default)) + (uuid 29a60928-a602-48ac-a6a8-4e6c56db771b) + ) + (wire (pts (xy 209.55 62.23) (xy 203.2 62.23)) + (stroke (width 0) (type default)) + (uuid 29fe23cc-f33f-4dca-8b89-92d5edfe6598) + ) + (wire (pts (xy 195.58 64.77) (xy 201.93 64.77)) + (stroke (width 0) (type default)) + (uuid 2b07309a-82be-400a-82a1-3c4cea248f4a) + ) + (wire (pts (xy 105.41 82.55) (xy 195.58 82.55)) + (stroke (width 0) (type default)) + (uuid 2b132cb9-4522-42a7-992a-87efe15eee16) + ) + (wire (pts (xy 201.93 83.82) (xy 210.82 83.82)) + (stroke (width 0) (type default)) + (uuid 2b3d871d-0160-40f6-9659-4a4e065ca724) + ) + (wire (pts (xy 208.28 49.53) (xy 209.55 46.99)) + (stroke (width 0) (type default)) + (uuid 2b6f4ceb-2377-4a44-b187-92632e4db4c8) + ) + (wire (pts (xy 156.21 64.77) (xy 157.48 62.23)) + (stroke (width 0) (type default)) + (uuid 2bfe0e2c-d232-4537-b322-0783a7ef73b7) + ) + (wire (pts (xy 218.44 64.77) (xy 222.25 64.77)) + (stroke (width 0) (type default)) + (uuid 2c0f7ec9-be18-4ec2-ba20-bf636dbc5076) + ) + (wire (pts (xy 179.07 87.63) (xy 180.34 85.09)) + (stroke (width 0) (type default)) + (uuid 2c5659a0-e4e9-41b5-b232-c0ce2597a455) + ) + (wire (pts (xy 194.31 62.23) (xy 187.96 62.23)) + (stroke (width 0) (type default)) + (uuid 2cf8f38d-402d-460a-a257-1856bbe25a4b) + ) + (wire (pts (xy 105.41 78.74) (xy 157.48 78.74)) + (stroke (width 0) (type default)) + (uuid 2cfa7065-5ba3-4fd1-bec4-48c7f3c639e7) + ) + (wire (pts (xy 105.41 49.53) (xy 106.68 46.99)) + (stroke (width 0) (type default)) + (uuid 2d1ef11d-97a5-47ad-b2f2-fc6170e5d93f) + ) + (wire (pts (xy 209.55 39.37) (xy 210.82 41.91)) + (stroke (width 0) (type default)) + (uuid 2db74ad3-2ef4-40dd-b874-b591054654c8) + ) + (wire (pts (xy 217.17 35.56) (xy 220.98 35.56)) + (stroke (width 0) (type default)) + (uuid 2de250c5-0f00-4b22-a581-3bcfd80e3719) + ) + (wire (pts (xy 220.98 38.1) (xy 222.25 38.1)) + (stroke (width 0) (type default)) + (uuid 2e095d87-a6f4-4ca0-b382-0d23052608e5) + ) + (wire (pts (xy 163.83 39.37) (xy 157.48 39.37)) + (stroke (width 0) (type default)) + (uuid 2f6fbaa8-8fa7-4d67-8322-e15b1fa5baa4) + ) + (wire (pts (xy 157.48 64.77) (xy 163.83 64.77)) + (stroke (width 0) (type default)) + (uuid 2fab3a66-2878-4ec2-b8b0-40e6b7aedd4b) + ) + (wire (pts (xy 177.8 87.63) (xy 179.07 85.09)) + (stroke (width 0) (type default)) + (uuid 2ff590df-4a37-4b1f-9714-f0fedc77b824) + ) + (wire (pts (xy 217.17 39.37) (xy 210.82 39.37)) + (stroke (width 0) (type default)) + (uuid 300f07e8-179e-409d-9174-6310c7d7f55a) + ) + (wire (pts (xy 165.1 64.77) (xy 171.45 64.77)) + (stroke (width 0) (type default)) + (uuid 30e64088-58f0-4cea-98d0-1f289f74a480) + ) + (wire (pts (xy 100.33 49.53) (xy 101.6 46.99)) + (stroke (width 0) (type default)) + (uuid 30e9c260-3395-476e-8d68-88660d3c8f60) + ) + (wire (pts (xy 140.97 38.1) (xy 140.97 35.56)) + (stroke (width 0) (type default)) + (uuid 3112beb1-43de-4be8-bffa-54c2d85efaad) + ) + (wire (pts (xy 171.45 38.1) (xy 171.45 35.56)) + (stroke (width 0) (type default)) + (uuid 32acf18a-2821-476e-b9fb-0e980d39ea1b) + ) + (wire (pts (xy 180.34 41.91) (xy 186.69 41.91)) + (stroke (width 0) (type default)) + (uuid 32f45b7d-ce51-4d38-a85f-ba218f3930cc) + ) + (wire (pts (xy 140.97 69.85) (xy 142.24 72.39)) + (stroke (width 0) (type default)) + (uuid 35623dd4-9824-4a58-82b4-809de1a0f53a) + ) + (wire (pts (xy 194.31 38.1) (xy 194.31 35.56)) + (stroke (width 0) (type default)) + (uuid 35a74cda-f895-4690-ac91-029741a97357) + ) + (wire (pts (xy 95.25 64.77) (xy 96.52 62.23)) + (stroke (width 0) (type default)) + (uuid 3767f21e-3daf-437c-ad92-da56dbebbe11) + ) + (wire (pts (xy 110.49 69.85) (xy 111.76 72.39)) + (stroke (width 0) (type default)) + (uuid 37a81d05-464e-4f1a-b181-0451a95147d3) + ) + (wire (pts (xy 125.73 41.91) (xy 127 39.37)) + (stroke (width 0) (type default)) + (uuid 386a2963-c694-4262-9111-160adb22ad7c) + ) + (wire (pts (xy 186.69 73.66) (xy 180.34 73.66)) + (stroke (width 0) (type default)) + (uuid 38f4cb85-399d-41a4-8907-d682734e1fce) + ) + (wire (pts (xy 171.45 69.85) (xy 172.72 72.39)) + (stroke (width 0) (type default)) + (uuid 3b217415-8fa9-4053-805f-c1991a710a24) + ) + (wire (pts (xy 179.07 39.37) (xy 180.34 41.91)) + (stroke (width 0) (type default)) + (uuid 3b825cec-5efb-4511-b6f3-3c1847797379) + ) + (wire (pts (xy 148.59 38.1) (xy 148.59 35.56)) + (stroke (width 0) (type default)) + (uuid 3bd3f1df-4378-4b4d-85c4-a21c7f65c191) + ) + (wire (pts (xy 212.09 46.99) (xy 213.36 49.53)) + (stroke (width 0) (type default)) + (uuid 3cb0f902-0e92-4d82-8666-647e8772cdbd) + ) + (wire (pts (xy 217.17 69.85) (xy 218.44 72.39)) + (stroke (width 0) (type default)) + (uuid 3d1ae5f1-eafa-419f-9141-0860065665f0) + ) + (wire (pts (xy 203.2 49.53) (xy 204.47 46.99)) + (stroke (width 0) (type default)) + (uuid 3d345290-5242-4e28-967d-2c42e8562335) + ) + (wire (pts (xy 149.86 41.91) (xy 156.21 41.91)) + (stroke (width 0) (type default)) + (uuid 3d5b3f0d-7fb0-4f7c-8577-e463b0fa18b0) + ) + (wire (pts (xy 119.38 64.77) (xy 125.73 64.77)) + (stroke (width 0) (type default)) + (uuid 3d67bf58-0311-4fdb-ad98-37db4a7030ec) + ) + (wire (pts (xy 186.69 62.23) (xy 187.96 64.77)) + (stroke (width 0) (type default)) + (uuid 3d694f42-127e-46da-afbf-fe2775fd3ce2) + ) + (wire (pts (xy 95.25 35.56) (xy 95.25 38.1)) + (stroke (width 0) (type default)) + (uuid 3d750db8-7c39-4edf-899d-88e0a0d6627b) + ) + (wire (pts (xy 176.53 87.63) (xy 177.8 85.09)) + (stroke (width 0) (type default)) + (uuid 3dfe99e7-ec1d-4e89-be79-f84b26fef26b) + ) + (wire (pts (xy 102.87 72.39) (xy 104.14 69.85)) + (stroke (width 0) (type default)) + (uuid 3e0ba79c-70db-4429-a3be-ce9b0d04064b) + ) + (wire (pts (xy 163.83 39.37) (xy 165.1 41.91)) + (stroke (width 0) (type default)) + (uuid 3e5adf3e-e6aa-451d-ac3b-e8f6559d3be6) + ) + (wire (pts (xy 95.25 69.85) (xy 92.71 69.85)) + (stroke (width 0) (type default)) + (uuid 3fe34c40-1d51-4dc2-81e5-3dcf43fdb06f) + ) + (wire (pts (xy 210.82 81.28) (xy 212.09 82.55)) + (stroke (width 0) (type default)) + (uuid 4078f5cc-638d-4d0f-9deb-da60025d0773) + ) + (wire (pts (xy 140.97 73.66) (xy 142.24 76.2)) + (stroke (width 0) (type default)) + (uuid 40c5e606-e973-410e-a251-c70bbaed4208) + ) + (wire (pts (xy 102.87 46.99) (xy 104.14 49.53)) + (stroke (width 0) (type default)) + (uuid 418ba9f4-46ab-4245-91b4-051bee588f54) + ) + (wire (pts (xy 217.17 41.91) (xy 218.44 39.37)) + (stroke (width 0) (type default)) + (uuid 4190029d-43c6-418e-97a4-8eccab8ecc34) + ) + (wire (pts (xy 110.49 39.37) (xy 111.76 41.91)) + (stroke (width 0) (type default)) + (uuid 41e01eb2-aea3-4294-9772-5d9099c7158a) + ) + (wire (pts (xy 205.74 49.53) (xy 207.01 46.99)) + (stroke (width 0) (type default)) + (uuid 428508b7-f035-47c4-9de9-cca3006b38aa) + ) + (wire (pts (xy 118.11 76.2) (xy 119.38 73.66)) + (stroke (width 0) (type default)) + (uuid 43fcfb1d-59d2-47e6-9a64-fbeb3e0fe280) + ) + (wire (pts (xy 100.33 46.99) (xy 101.6 49.53)) + (stroke (width 0) (type default)) + (uuid 43fe13d0-533a-48ad-86cb-cb2e7fd84151) + ) + (wire (pts (xy 92.71 83.82) (xy 104.14 83.82)) + (stroke (width 0) (type default)) + (uuid 4412d495-4d98-4ceb-a79d-d88ed2b79c33) + ) + (wire (pts (xy 168.91 87.63) (xy 170.18 85.09)) + (stroke (width 0) (type default)) + (uuid 44302465-8fef-4a0e-a58b-840390a74242) + ) + (wire (pts (xy 209.55 35.56) (xy 213.36 35.56)) + (stroke (width 0) (type default)) + (uuid 443fa55c-0824-454c-9407-00c212d9e826) + ) + (wire (pts (xy 179.07 72.39) (xy 180.34 69.85)) + (stroke (width 0) (type default)) + (uuid 4449c089-6864-4d05-80b3-f0566293983a) + ) + (wire (pts (xy 121.92 35.56) (xy 121.92 38.1)) + (stroke (width 0) (type default)) + (uuid 45bcc197-e4e2-4dc2-aa49-7ba4495f262f) + ) + (wire (pts (xy 195.58 72.39) (xy 201.93 72.39)) + (stroke (width 0) (type default)) + (uuid 45fc716c-2fc3-42e7-a2dc-f56bf50d06b9) + ) + (wire (pts (xy 133.35 41.91) (xy 134.62 39.37)) + (stroke (width 0) (type default)) + (uuid 4702c0bf-cb03-4d5a-b8f2-4b26541242c7) + ) + (wire (pts (xy 163.83 69.85) (xy 165.1 72.39)) + (stroke (width 0) (type default)) + (uuid 485d41dc-b125-4343-86e2-a2fbb3f27b33) + ) + (wire (pts (xy 157.48 41.91) (xy 163.83 41.91)) + (stroke (width 0) (type default)) + (uuid 495a1e67-3450-4576-8f5d-0b9dfeed140d) + ) + (wire (pts (xy 106.68 38.1) (xy 110.49 38.1)) + (stroke (width 0) (type default)) + (uuid 4a95c763-aba2-4499-b4b9-bc94c6d932ac) + ) + (wire (pts (xy 133.35 39.37) (xy 134.62 41.91)) + (stroke (width 0) (type default)) + (uuid 4b431ef5-7124-4671-b49e-b51fa6a02f0a) + ) + (wire (pts (xy 203.2 76.2) (xy 209.55 76.2)) + (stroke (width 0) (type default)) + (uuid 4bc3d9d1-eae9-45d3-83a9-db59afe175e6) + ) + (wire (pts (xy 186.69 38.1) (xy 186.69 35.56)) + (stroke (width 0) (type default)) + (uuid 4bf1ffd0-8233-4d4b-8f65-b4a6008e09d9) + ) + (wire (pts (xy 203.2 46.99) (xy 204.47 49.53)) + (stroke (width 0) (type default)) + (uuid 4c5c398d-d504-4810-ae88-30755bcaf28b) + ) + (wire (pts (xy 118.11 73.66) (xy 119.38 76.2)) + (stroke (width 0) (type default)) + (uuid 4cac7a0f-949f-4cd2-8545-6b56e3a7e067) + ) + (wire (pts (xy 140.97 69.85) (xy 134.62 69.85)) + (stroke (width 0) (type default)) + (uuid 4cbf3904-d12b-4744-b1aa-5ee969a6c492) + ) + (wire (pts (xy 210.82 85.09) (xy 212.09 86.36)) + (stroke (width 0) (type default)) + (uuid 4ccb190b-ee8e-46f0-854d-fd2e117a871f) + ) + (wire (pts (xy 201.93 62.23) (xy 203.2 64.77)) + (stroke (width 0) (type default)) + (uuid 4ce8ef58-16d8-42ca-8728-36b3d5e4d09d) + ) + (wire (pts (xy 209.55 73.66) (xy 203.2 73.66)) + (stroke (width 0) (type default)) + (uuid 4dd03173-b125-402b-9024-ac6cbb654efb) + ) + (wire (pts (xy 194.31 73.66) (xy 187.96 73.66)) + (stroke (width 0) (type default)) + (uuid 4e45a837-0539-4cda-944a-bd1ae7707d21) + ) + (wire (pts (xy 217.17 62.23) (xy 210.82 62.23)) + (stroke (width 0) (type default)) + (uuid 4e8b0873-bd86-4102-9923-c791b664bd1e) + ) + (wire (pts (xy 194.31 39.37) (xy 195.58 41.91)) + (stroke (width 0) (type default)) + (uuid 4f59d99d-a422-4b9a-83fe-6eb75f777890) + ) + (wire (pts (xy 187.96 64.77) (xy 194.31 64.77)) + (stroke (width 0) (type default)) + (uuid 4fea9203-3fe8-40f6-b53c-330a8c5721c4) + ) + (wire (pts (xy 195.58 41.91) (xy 201.93 41.91)) + (stroke (width 0) (type default)) + (uuid 51cbb336-3f18-478d-b4c3-d233dd42b4f6) + ) + (wire (pts (xy 165.1 41.91) (xy 171.45 41.91)) + (stroke (width 0) (type default)) + (uuid 520f0895-4a19-497f-8cd9-b8d68e4872ae) + ) + (wire (pts (xy 157.48 78.74) (xy 158.75 77.47)) + (stroke (width 0) (type default)) + (uuid 523dbeb4-43d0-47aa-b96c-935381ff1fc6) + ) + (wire (pts (xy 171.45 85.09) (xy 172.72 87.63)) + (stroke (width 0) (type default)) + (uuid 5245a9db-e2bc-42d4-b9b5-13985d5733b6) + ) + (wire (pts (xy 114.3 35.56) (xy 114.3 38.1)) + (stroke (width 0) (type default)) + (uuid 525d1bf3-2a81-4087-9463-8f829f436461) + ) + (wire (pts (xy 104.14 46.99) (xy 105.41 49.53)) + (stroke (width 0) (type default)) + (uuid 53bc8d63-969c-490b-81a3-6e887c28a1c5) + ) + (wire (pts (xy 148.59 62.23) (xy 149.86 64.77)) + (stroke (width 0) (type default)) + (uuid 54684929-79f6-4779-b471-7f261aeb4ffc) + ) + (wire (pts (xy 194.31 62.23) (xy 195.58 64.77)) + (stroke (width 0) (type default)) + (uuid 55609616-c311-4486-8199-de8f17361cc4) + ) + (wire (pts (xy 210.82 45.72) (xy 222.25 45.72)) + (stroke (width 0) (type default)) + (uuid 57e5d5b0-6b04-46b0-8430-4be2709cee65) + ) + (wire (pts (xy 148.59 73.66) (xy 149.86 76.2)) + (stroke (width 0) (type default)) + (uuid 57edbb46-c7e0-4913-a2f1-33ade4d351a2) + ) + (wire (pts (xy 168.91 49.53) (xy 170.18 46.99)) + (stroke (width 0) (type default)) + (uuid 580cd587-3463-464b-b38c-146e8f7c73f0) + ) + (wire (pts (xy 194.31 69.85) (xy 195.58 72.39)) + (stroke (width 0) (type default)) + (uuid 587502b5-f79b-4a58-a345-9f0cef98a850) + ) + (wire (pts (xy 140.97 73.66) (xy 134.62 73.66)) + (stroke (width 0) (type default)) + (uuid 589dcce7-3aec-450f-a2b7-74ee5f957a95) + ) + (wire (pts (xy 175.26 35.56) (xy 175.26 38.1)) + (stroke (width 0) (type default)) + (uuid 58edf568-9b02-4170-ab52-38d04bf40fe0) + ) + (wire (pts (xy 171.45 87.63) (xy 172.72 85.09)) + (stroke (width 0) (type default)) + (uuid 590c293b-2b11-4324-ac26-f92bed7aa0af) + ) + (wire (pts (xy 179.07 38.1) (xy 179.07 35.56)) + (stroke (width 0) (type default)) + (uuid 5a100011-44b4-4923-ba0c-166f881a7b3d) + ) + (wire (pts (xy 199.39 81.28) (xy 200.66 83.82)) + (stroke (width 0) (type default)) + (uuid 5a815a03-b980-470d-b295-2a150acb75ff) + ) + (wire (pts (xy 118.11 38.1) (xy 118.11 35.56)) + (stroke (width 0) (type default)) + (uuid 5aed32ff-8412-4d59-8c78-a1df0ed8d71e) + ) + (wire (pts (xy 133.35 73.66) (xy 127 73.66)) + (stroke (width 0) (type default)) + (uuid 5b6c719e-034d-4343-80d4-fa701184d723) + ) + (wire (pts (xy 186.69 69.85) (xy 187.96 72.39)) + (stroke (width 0) (type default)) + (uuid 5b82ed5b-ed9d-4be4-a87a-8d4cb84cedb0) + ) + (wire (pts (xy 142.24 68.58) (xy 148.59 68.58)) + (stroke (width 0) (type default)) + (uuid 5bafd5aa-b762-4598-a57b-8275e700e72c) + ) + (wire (pts (xy 201.93 73.66) (xy 203.2 76.2)) + (stroke (width 0) (type default)) + (uuid 5c732f0e-587a-4c29-af7b-ebc3ff444b16) + ) + (wire (pts (xy 118.11 39.37) (xy 119.38 41.91)) + (stroke (width 0) (type default)) + (uuid 5d231bc7-1eed-4b48-9fce-e24ac8e6bb90) + ) + (wire (pts (xy 160.02 38.1) (xy 163.83 38.1)) + (stroke (width 0) (type default)) + (uuid 5d70a21e-78e4-470b-87f4-6a6adefa50f8) + ) + (wire (pts (xy 186.69 39.37) (xy 187.96 41.91)) + (stroke (width 0) (type default)) + (uuid 5d7eabb5-9732-4594-9628-cf566f1874b1) + ) + (wire (pts (xy 144.78 38.1) (xy 148.59 38.1)) + (stroke (width 0) (type default)) + (uuid 5ebbcbee-2a3a-4d15-af02-aab5f1d85120) + ) + (wire (pts (xy 158.75 87.63) (xy 160.02 85.09)) + (stroke (width 0) (type default)) + (uuid 5f452771-e42d-436d-9e78-e344d0d4e947) + ) + (wire (pts (xy 171.45 72.39) (xy 172.72 69.85)) + (stroke (width 0) (type default)) + (uuid 5f5d6e0d-3456-46b5-92fa-d428bec91606) + ) + (wire (pts (xy 95.25 69.85) (xy 96.52 72.39)) + (stroke (width 0) (type default)) + (uuid 604519e0-1077-4359-ac89-beb3b1839978) + ) + (wire (pts (xy 140.97 39.37) (xy 134.62 39.37)) + (stroke (width 0) (type default)) + (uuid 619eead0-6906-4e2c-b9ad-628ba0002182) + ) + (wire (pts (xy 201.93 64.77) (xy 203.2 62.23)) + (stroke (width 0) (type default)) + (uuid 61b36dd8-22b6-49f6-9b4a-bb0924b949bf) + ) + (wire (pts (xy 172.72 41.91) (xy 179.07 41.91)) + (stroke (width 0) (type default)) + (uuid 62ec0371-d78f-45bd-9f79-d4fd2b3c6ae6) + ) + (wire (pts (xy 198.12 83.82) (xy 199.39 81.28)) + (stroke (width 0) (type default)) + (uuid 62f2a1a0-438f-48f4-925d-87f34a20699f) + ) + (wire (pts (xy 120.65 46.99) (xy 121.92 49.53)) + (stroke (width 0) (type default)) + (uuid 6390497a-09c5-4942-9a21-7010a1b70ec1) + ) + (wire (pts (xy 179.07 62.23) (xy 172.72 62.23)) + (stroke (width 0) (type default)) + (uuid 656f2264-93fc-4989-b795-8fc617027464) + ) + (wire (pts (xy 157.48 43.18) (xy 209.55 43.18)) + (stroke (width 0) (type default)) + (uuid 6597ea95-1bf1-4a10-b3d0-4c4bfea91fe8) + ) + (wire (pts (xy 92.71 77.47) (xy 104.14 77.47)) + (stroke (width 0) (type default)) + (uuid 65a198cb-59fc-4304-ae9a-f78c7b5dfe91) + ) + (wire (pts (xy 99.06 49.53) (xy 100.33 46.99)) + (stroke (width 0) (type default)) + (uuid 671b5776-6614-4f81-9df9-572c750efa9a) + ) + (wire (pts (xy 142.24 50.8) (xy 222.25 50.8)) + (stroke (width 0) (type default)) + (uuid 6759706b-63e4-4374-b9e7-3d4850557c75) + ) + (wire (pts (xy 114.3 38.1) (xy 118.11 38.1)) + (stroke (width 0) (type default)) + (uuid 677d8411-ad71-4e07-8343-f4df312371f3) + ) + (wire (pts (xy 210.82 76.2) (xy 217.17 76.2)) + (stroke (width 0) (type default)) + (uuid 6858db9d-4b1e-4336-aec0-e789424ae9ef) + ) + (wire (pts (xy 171.45 73.66) (xy 172.72 76.2)) + (stroke (width 0) (type default)) + (uuid 688c26b8-e84b-4b9f-b85b-3eec97f76cb6) + ) + (wire (pts (xy 203.2 64.77) (xy 209.55 64.77)) + (stroke (width 0) (type default)) + (uuid 68e231c3-1f58-4293-9d7b-711b166bc7a3) + ) + (wire (pts (xy 148.59 72.39) (xy 149.86 69.85)) + (stroke (width 0) (type default)) + (uuid 68fcbbd1-d0c2-4122-bca7-77b081e0ce2c) + ) + (wire (pts (xy 111.76 46.99) (xy 113.03 49.53)) + (stroke (width 0) (type default)) + (uuid 693f06b6-7e6c-4813-a533-4059fc9bcca7) + ) + (wire (pts (xy 129.54 38.1) (xy 133.35 38.1)) + (stroke (width 0) (type default)) + (uuid 6a13c379-9d2e-480d-a71e-0fe940ed0ba0) + ) + (wire (pts (xy 160.02 85.09) (xy 161.29 87.63)) + (stroke (width 0) (type default)) + (uuid 6b206e74-ca3c-43b7-a767-8fdc324afa14) + ) + (wire (pts (xy 105.41 78.74) (xy 104.14 80.01)) + (stroke (width 0) (type default)) + (uuid 6b330f49-e0f4-4212-8162-3a7e8b05ee71) + ) + (wire (pts (xy 133.35 69.85) (xy 134.62 72.39)) + (stroke (width 0) (type default)) + (uuid 6c76c5da-4cb3-463b-b991-f51a80a01723) + ) + (wire (pts (xy 129.54 35.56) (xy 129.54 38.1)) + (stroke (width 0) (type default)) + (uuid 6d111ccc-b1b2-4462-a894-87636b9617b3) + ) + (wire (pts (xy 217.17 38.1) (xy 217.17 35.56)) + (stroke (width 0) (type default)) + (uuid 6deb965d-e1e7-4c90-a191-9682cd71201a) + ) + (wire (pts (xy 140.97 64.77) (xy 142.24 62.23)) + (stroke (width 0) (type default)) + (uuid 6e9b37d0-3770-4947-8f0d-2d5c52eb73f0) + ) + (wire (pts (xy 179.07 73.66) (xy 172.72 73.66)) + (stroke (width 0) (type default)) + (uuid 6ea25492-1b84-497f-b5ad-3247d68a1a4a) + ) + (wire (pts (xy 125.73 35.56) (xy 129.54 35.56)) + (stroke (width 0) (type default)) + (uuid 6f4a2f96-d693-4e48-9458-91cde13cc0e5) + ) + (wire (pts (xy 194.31 64.77) (xy 195.58 62.23)) + (stroke (width 0) (type default)) + (uuid 706ad58d-ba43-472e-8865-5f5f0e48f3a1) + ) + (wire (pts (xy 179.07 41.91) (xy 180.34 39.37)) + (stroke (width 0) (type default)) + (uuid 70b84720-2e78-4290-82bd-062528a2b1b1) + ) + (wire (pts (xy 175.26 85.09) (xy 176.53 87.63)) + (stroke (width 0) (type default)) + (uuid 70bee40b-bba2-456d-90c3-02177190d078) + ) + (wire (pts (xy 140.97 62.23) (xy 142.24 64.77)) + (stroke (width 0) (type default)) + (uuid 70e16e58-cdea-4eb7-b7ef-006c3b4c9f37) + ) + (wire (pts (xy 111.76 49.53) (xy 113.03 46.99)) + (stroke (width 0) (type default)) + (uuid 70f5a3b4-3d4a-4440-9f94-e85a6d98d3f0) + ) + (wire (pts (xy 110.49 76.2) (xy 111.76 73.66)) + (stroke (width 0) (type default)) + (uuid 71414ddc-e3cc-4420-bc7c-63246ad589c9) + ) + (wire (pts (xy 133.35 35.56) (xy 137.16 35.56)) + (stroke (width 0) (type default)) + (uuid 71945205-5a76-4842-90a8-deb9c9cb3324) + ) + (wire (pts (xy 220.98 49.53) (xy 222.25 46.99)) + (stroke (width 0) (type default)) + (uuid 72145911-dd93-4d8b-84c1-b3ab212770af) + ) + (wire (pts (xy 127 76.2) (xy 133.35 76.2)) + (stroke (width 0) (type default)) + (uuid 72bb6246-ae89-439e-a2ae-44ebdfb62acb) + ) + (wire (pts (xy 167.64 35.56) (xy 167.64 38.1)) + (stroke (width 0) (type default)) + (uuid 72c897bc-f30e-40ef-880b-56c38c3d75ee) + ) + (wire (pts (xy 137.16 38.1) (xy 140.97 38.1)) + (stroke (width 0) (type default)) + (uuid 730b9195-9a93-4ef4-99f6-23af00146517) + ) + (wire (pts (xy 158.75 85.09) (xy 160.02 87.63)) + (stroke (width 0) (type default)) + (uuid 738ac456-5b40-4706-9733-5ca0624f5fb4) + ) + (wire (pts (xy 179.07 62.23) (xy 180.34 64.77)) + (stroke (width 0) (type default)) + (uuid 73b1f435-eef7-436f-93dd-1715a18f586a) + ) + (wire (pts (xy 134.62 72.39) (xy 140.97 72.39)) + (stroke (width 0) (type default)) + (uuid 73c53480-dc2d-409c-870f-cbbc93f51e62) + ) + (wire (pts (xy 116.84 46.99) (xy 118.11 49.53)) + (stroke (width 0) (type default)) + (uuid 7401b159-a71a-4c38-bd49-da5d47124b3d) + ) + (wire (pts (xy 102.87 69.85) (xy 96.52 69.85)) + (stroke (width 0) (type default)) + (uuid 74058e0c-94e7-4bf1-a24e-771a6cf67e68) + ) + (wire (pts (xy 163.83 73.66) (xy 157.48 73.66)) + (stroke (width 0) (type default)) + (uuid 74748b98-efcd-4dc1-b0ea-17e082778d70) + ) + (wire (pts (xy 165.1 72.39) (xy 171.45 72.39)) + (stroke (width 0) (type default)) + (uuid 747ed696-e240-43c8-85ae-7c703d0057e3) + ) + (wire (pts (xy 187.96 72.39) (xy 194.31 72.39)) + (stroke (width 0) (type default)) + (uuid 748c4808-8650-4ec3-845f-320409777ac9) + ) + (wire (pts (xy 166.37 49.53) (xy 167.64 46.99)) + (stroke (width 0) (type default)) + (uuid 748e09fe-3f7a-496f-9043-ad3b280b0e64) + ) + (wire (pts (xy 96.52 72.39) (xy 102.87 72.39)) + (stroke (width 0) (type default)) + (uuid 74e7c920-fc1a-4734-a415-e06d50915411) + ) + (wire (pts (xy 92.71 50.8) (xy 133.35 50.8)) + (stroke (width 0) (type default)) + (uuid 7535e8a3-b800-48ea-aa62-38d45e99e20d) + ) + (wire (pts (xy 156.21 45.72) (xy 157.48 43.18)) + (stroke (width 0) (type default)) + (uuid 75400700-9b35-43da-979d-92c2a79258f9) + ) + (wire (pts (xy 171.45 39.37) (xy 172.72 41.91)) + (stroke (width 0) (type default)) + (uuid 75786074-f491-423d-8a47-004945009bf3) + ) + (wire (pts (xy 175.26 87.63) (xy 176.53 85.09)) + (stroke (width 0) (type default)) + (uuid 758f67db-fcda-49fb-abae-3d7259d332f5) + ) + (wire (pts (xy 172.72 46.99) (xy 173.99 49.53)) + (stroke (width 0) (type default)) + (uuid 7590623a-1e9f-4030-91ad-9243a2e0afae) + ) + (wire (pts (xy 179.07 73.66) (xy 180.34 76.2)) + (stroke (width 0) (type default)) + (uuid 75ab64c1-8254-405f-8cf3-a8fd6807275e) + ) + (wire (pts (xy 167.64 85.09) (xy 168.91 87.63)) + (stroke (width 0) (type default)) + (uuid 76213420-1361-42bb-a65b-d681da41201b) + ) + (wire (pts (xy 186.69 39.37) (xy 180.34 39.37)) + (stroke (width 0) (type default)) + (uuid 76588468-f7da-4580-a4a2-7b4961014852) + ) + (wire (pts (xy 222.25 73.66) (xy 218.44 73.66)) + (stroke (width 0) (type default)) + (uuid 76b75a9b-c400-424a-820d-060edd90abb0) + ) + (wire (pts (xy 110.49 39.37) (xy 104.14 39.37)) + (stroke (width 0) (type default)) + (uuid 773baa54-4b39-4875-9f17-7e7ebb68ff23) + ) + (wire (pts (xy 95.25 76.2) (xy 92.71 76.2)) + (stroke (width 0) (type default)) + (uuid 77436ab2-15c7-499b-beaf-e0856318f976) + ) + (wire (pts (xy 170.18 87.63) (xy 171.45 85.09)) + (stroke (width 0) (type default)) + (uuid 77490084-fe7a-47a1-afc5-f3215217dcfc) + ) + (wire (pts (xy 180.34 85.09) (xy 210.82 85.09)) + (stroke (width 0) (type default)) + (uuid 778fe145-11aa-464d-9a85-0e66297fac59) + ) + (wire (pts (xy 152.4 38.1) (xy 156.21 38.1)) + (stroke (width 0) (type default)) + (uuid 77916fa8-55e3-41d0-bafd-595b8185e759) + ) + (wire (pts (xy 158.75 49.53) (xy 160.02 46.99)) + (stroke (width 0) (type default)) + (uuid 78884d6d-e361-4fcc-a73e-fccaaf917e2c) + ) + (wire (pts (xy 163.83 35.56) (xy 167.64 35.56)) + (stroke (width 0) (type default)) + (uuid 79615110-fd27-4fd3-900f-d63357871314) + ) + (wire (pts (xy 106.68 35.56) (xy 106.68 38.1)) + (stroke (width 0) (type default)) + (uuid 79ed869b-0428-416d-8ab5-325651d25871) + ) + (wire (pts (xy 104.14 64.77) (xy 110.49 64.77)) + (stroke (width 0) (type default)) + (uuid 7a1ae14d-55c5-4c9f-b5fd-f0ef68e66939) + ) + (wire (pts (xy 187.96 41.91) (xy 194.31 41.91)) + (stroke (width 0) (type default)) + (uuid 7a8dfa29-0dca-4838-b5e6-8735ba442c83) + ) + (wire (pts (xy 111.76 41.91) (xy 118.11 41.91)) + (stroke (width 0) (type default)) + (uuid 7aedb145-c3ca-4e16-ac4e-54e62cd55684) + ) + (wire (pts (xy 157.48 72.39) (xy 163.83 72.39)) + (stroke (width 0) (type default)) + (uuid 7b2cbe42-9529-43de-b5a3-2e54bb69a3cd) + ) + (wire (pts (xy 218.44 49.53) (xy 219.71 46.99)) + (stroke (width 0) (type default)) + (uuid 7b4305e6-3ef4-4491-8212-0cbed6d96c9c) + ) + (wire (pts (xy 144.78 35.56) (xy 144.78 38.1)) + (stroke (width 0) (type default)) + (uuid 7b7360d1-9f71-4a01-b761-0a36b67ffa3b) + ) + (wire (pts (xy 213.36 38.1) (xy 217.17 38.1)) + (stroke (width 0) (type default)) + (uuid 7c978293-e91a-483d-ad1b-c97a5b18b711) + ) + (wire (pts (xy 158.75 80.01) (xy 210.82 80.01)) + (stroke (width 0) (type default)) + (uuid 7c9f7546-363b-4b4a-aa9a-62fca6b7d833) + ) + (wire (pts (xy 165.1 46.99) (xy 166.37 49.53)) + (stroke (width 0) (type default)) + (uuid 7e0ae2dd-c254-4397-91b7-a4a5e6196b1e) + ) + (wire (pts (xy 163.83 85.09) (xy 165.1 87.63)) + (stroke (width 0) (type default)) + (uuid 7e27454d-bd9e-47ad-875f-ecf920f37a7d) + ) + (wire (pts (xy 134.62 41.91) (xy 140.97 41.91)) + (stroke (width 0) (type default)) + (uuid 7e322ab7-494b-410c-92a0-3b1547b303c4) + ) + (wire (pts (xy 205.74 38.1) (xy 209.55 38.1)) + (stroke (width 0) (type default)) + (uuid 7e64d928-6251-435d-9388-33c2fc261c46) + ) + (wire (pts (xy 212.09 82.55) (xy 222.25 82.55)) + (stroke (width 0) (type default)) + (uuid 7efba5cf-cdbf-44b5-9e90-9f06cc9c8027) + ) + (wire (pts (xy 217.17 64.77) (xy 218.44 62.23)) + (stroke (width 0) (type default)) + (uuid 7f0b55d9-ae57-4bbf-ae0b-38c791f708c0) + ) + (wire (pts (xy 172.72 49.53) (xy 173.99 46.99)) + (stroke (width 0) (type default)) + (uuid 7f27eee7-6a2f-4936-b011-8c47391f4ccb) + ) + (wire (pts (xy 209.55 69.85) (xy 203.2 69.85)) + (stroke (width 0) (type default)) + (uuid 8012d497-bcd1-49d8-8dc3-b656f7e216e0) + ) + (wire (pts (xy 196.85 83.82) (xy 198.12 81.28)) + (stroke (width 0) (type default)) + (uuid 8015ef07-0ca6-45b6-a982-7bb5b378f96a) + ) + (wire (pts (xy 209.55 46.99) (xy 210.82 49.53)) + (stroke (width 0) (type default)) + (uuid 806179d5-691f-4a9d-97f2-4fd6ee54cb85) + ) + (wire (pts (xy 133.35 64.77) (xy 134.62 62.23)) + (stroke (width 0) (type default)) + (uuid 8066049e-c3fc-472f-9273-3539e0694d8a) + ) + (wire (pts (xy 133.35 38.1) (xy 133.35 35.56)) + (stroke (width 0) (type default)) + (uuid 80f04da1-acfd-4f97-af5a-7316d588302f) + ) + (wire (pts (xy 156.21 72.39) (xy 157.48 69.85)) + (stroke (width 0) (type default)) + (uuid 8289cbbf-dabd-452f-9f33-8ee3e40daa4c) + ) + (wire (pts (xy 163.83 72.39) (xy 165.1 69.85)) + (stroke (width 0) (type default)) + (uuid 83894110-9d7e-41ec-aa22-041514270f65) + ) + (wire (pts (xy 127 64.77) (xy 133.35 64.77)) + (stroke (width 0) (type default)) + (uuid 83bd578e-42ba-46f0-86e9-3b61ce3683e0) + ) + (wire (pts (xy 156.21 76.2) (xy 157.48 73.66)) + (stroke (width 0) (type default)) + (uuid 83ceb655-d3f3-4e5c-8047-cef45698cdcc) + ) + (wire (pts (xy 212.09 49.53) (xy 213.36 46.99)) + (stroke (width 0) (type default)) + (uuid 83f4b04f-6f7b-4d69-8f4e-f69b2a659aec) + ) + (wire (pts (xy 96.52 46.99) (xy 97.79 49.53)) + (stroke (width 0) (type default)) + (uuid 852d42db-7624-4060-9f41-ab51b91738c3) + ) + (wire (pts (xy 104.14 77.47) (xy 105.41 78.74)) + (stroke (width 0) (type default)) + (uuid 8565d21d-cf85-496e-9d87-95f503355068) + ) + (wire (pts (xy 171.45 64.77) (xy 172.72 62.23)) + (stroke (width 0) (type default)) + (uuid 85e1ab2c-6e85-454f-9045-984f0561e7bb) + ) + (wire (pts (xy 172.72 60.96) (xy 222.25 60.96)) + (stroke (width 0) (type default)) + (uuid 8638dbf2-6f9e-4462-8eb9-3e37d1f8e70d) + ) + (wire (pts (xy 157.48 86.36) (xy 158.75 87.63)) + (stroke (width 0) (type default)) + (uuid 86463356-71d1-453b-9294-a752a4c5683a) + ) + (wire (pts (xy 148.59 73.66) (xy 142.24 73.66)) + (stroke (width 0) (type default)) + (uuid 87457a2e-6adc-4856-8f60-c8a9e17296c3) + ) + (wire (pts (xy 153.67 46.99) (xy 154.94 49.53)) + (stroke (width 0) (type default)) + (uuid 87d069c2-8257-4bb9-ae54-ffcb85054276) + ) + (wire (pts (xy 210.82 46.99) (xy 212.09 49.53)) + (stroke (width 0) (type default)) + (uuid 87e7485f-c14a-4270-9a95-a3b371e59465) + ) + (wire (pts (xy 209.55 72.39) (xy 210.82 69.85)) + (stroke (width 0) (type default)) + (uuid 87e96009-74d6-407e-b16c-f923f95ca9b2) + ) + (wire (pts (xy 110.49 64.77) (xy 111.76 62.23)) + (stroke (width 0) (type default)) + (uuid 8833dd69-a582-4bab-a4e4-e3d549c3ed7e) + ) + (wire (pts (xy 142.24 76.2) (xy 148.59 76.2)) + (stroke (width 0) (type default)) + (uuid 88415338-7287-43c2-87ca-f14614913e01) + ) + (wire (pts (xy 95.25 72.39) (xy 92.71 72.39)) + (stroke (width 0) (type default)) + (uuid 884819db-edc1-41ea-a94e-e376f97b9172) + ) + (wire (pts (xy 194.31 39.37) (xy 187.96 39.37)) + (stroke (width 0) (type default)) + (uuid 8854face-9d8c-4a81-855c-9b3d4bc0a1d3) + ) + (wire (pts (xy 186.69 35.56) (xy 190.5 35.56)) + (stroke (width 0) (type default)) + (uuid 88bb8f2c-5da3-4aee-908f-77b6c531f10e) + ) + (wire (pts (xy 133.35 50.8) (xy 134.62 53.34)) + (stroke (width 0) (type default)) + (uuid 8a1ffaf0-daaf-4794-9d3d-dcfb5c833e16) + ) + (wire (pts (xy 217.17 73.66) (xy 210.82 73.66)) + (stroke (width 0) (type default)) + (uuid 8a2e946e-39ae-4a77-91f8-4d83f1ca2329) + ) + (wire (pts (xy 113.03 49.53) (xy 114.3 46.99)) + (stroke (width 0) (type default)) + (uuid 8c671eba-bda9-46fb-b704-ab43827f7f95) + ) + (wire (pts (xy 119.38 66.04) (xy 118.11 68.58)) + (stroke (width 0) (type default)) + (uuid 8d69f102-407d-444f-90d1-d4fa39636569) + ) + (wire (pts (xy 167.64 46.99) (xy 168.91 49.53)) + (stroke (width 0) (type default)) + (uuid 8dbccf1d-8946-4fdd-b7ff-d800cb043e20) + ) + (wire (pts (xy 186.69 64.77) (xy 187.96 62.23)) + (stroke (width 0) (type default)) + (uuid 8dbfefc9-10ca-49a0-8d5f-784fd6dbcee8) + ) + (wire (pts (xy 140.97 58.42) (xy 119.38 58.42)) + (stroke (width 0) (type default)) + (uuid 8dc446c7-2796-4c14-aa58-b40071c88b34) + ) + (wire (pts (xy 151.13 46.99) (xy 152.4 49.53)) + (stroke (width 0) (type default)) + (uuid 8de09fd0-a0ec-4500-9f9e-d8ab8fa67841) + ) + (wire (pts (xy 217.17 39.37) (xy 218.44 41.91)) + (stroke (width 0) (type default)) + (uuid 8e2ebcec-7a25-4631-809d-32fc30329e6e) + ) + (wire (pts (xy 201.93 39.37) (xy 195.58 39.37)) + (stroke (width 0) (type default)) + (uuid 8eabd0c5-962a-4209-bd48-d074070cbef4) + ) + (wire (pts (xy 102.87 49.53) (xy 104.14 46.99)) + (stroke (width 0) (type default)) + (uuid 8ec0c949-f7cd-4f80-96f3-51ba29c10b5e) + ) + (wire (pts (xy 149.86 72.39) (xy 156.21 72.39)) + (stroke (width 0) (type default)) + (uuid 8f3be4aa-62c4-4bf4-afe2-bcd297be96d1) + ) + (wire (pts (xy 140.97 41.91) (xy 142.24 39.37)) + (stroke (width 0) (type default)) + (uuid 8fcf4ff3-140e-4717-8cce-341d4a7dbdef) + ) + (wire (pts (xy 180.34 64.77) (xy 186.69 64.77)) + (stroke (width 0) (type default)) + (uuid 90271d32-5d18-4a27-bdf2-05ef3f2ecc2c) + ) + (wire (pts (xy 209.55 76.2) (xy 210.82 73.66)) + (stroke (width 0) (type default)) + (uuid 90d80ae2-17fd-4049-9cad-b6fb6ac379f4) + ) + (wire (pts (xy 118.11 39.37) (xy 111.76 39.37)) + (stroke (width 0) (type default)) + (uuid 9109109e-88a0-4150-a54a-ad9c5c1b842b) + ) + (wire (pts (xy 179.07 50.8) (xy 180.34 53.34)) + (stroke (width 0) (type default)) + (uuid 911823ab-faa6-4e40-891b-5c36218f2e25) + ) + (wire (pts (xy 99.06 46.99) (xy 100.33 49.53)) + (stroke (width 0) (type default)) + (uuid 91abf08c-90c8-4cb7-a542-605ecf27db70) + ) + (wire (pts (xy 186.69 72.39) (xy 187.96 69.85)) + (stroke (width 0) (type default)) + (uuid 93b5f22b-ce6a-4784-aa2c-5f9d39ab38ef) + ) + (wire (pts (xy 110.49 41.91) (xy 111.76 39.37)) + (stroke (width 0) (type default)) + (uuid 9442c8b1-2503-449c-b0d4-aa803a946b98) + ) + (wire (pts (xy 92.71 46.99) (xy 222.25 46.99)) + (stroke (width 0) (type default)) + (uuid 948c4995-8a5d-413c-a21a-5549929ee733) + ) + (wire (pts (xy 163.83 76.2) (xy 165.1 73.66)) + (stroke (width 0) (type default)) + (uuid 94bcdfdc-3f8b-4da8-a4bb-7425aef0397a) + ) + (wire (pts (xy 172.72 85.09) (xy 173.99 87.63)) + (stroke (width 0) (type default)) + (uuid 961055d0-8f28-4765-a8da-f93b400c2e14) + ) + (wire (pts (xy 179.07 64.77) (xy 180.34 62.23)) + (stroke (width 0) (type default)) + (uuid 963ea5e4-00d8-4724-b98b-967ed88750aa) + ) + (wire (pts (xy 105.41 86.36) (xy 104.14 87.63)) + (stroke (width 0) (type default)) + (uuid 963fce9f-4ad8-4965-8a20-c5d920d90ef6) + ) + (wire (pts (xy 92.71 85.09) (xy 104.14 85.09)) + (stroke (width 0) (type default)) + (uuid 9712b855-04bf-4e4d-a216-00c94fb77e4b) + ) + (wire (pts (xy 165.1 85.09) (xy 166.37 87.63)) + (stroke (width 0) (type default)) + (uuid 9719251e-7b91-4a16-bb31-ba8995ce1c59) + ) + (wire (pts (xy 163.83 62.23) (xy 157.48 62.23)) + (stroke (width 0) (type default)) + (uuid 972e8864-fe06-4fa4-b8fd-fb38f185a257) + ) + (wire (pts (xy 95.25 64.77) (xy 92.71 64.77)) + (stroke (width 0) (type default)) + (uuid 973ff6c7-e423-4a7e-ad58-adcd29d076d8) + ) + (wire (pts (xy 204.47 49.53) (xy 205.74 46.99)) + (stroke (width 0) (type default)) + (uuid 97c5c042-7110-465b-9d8e-880d25f6fc2f) + ) + (wire (pts (xy 163.83 87.63) (xy 165.1 85.09)) + (stroke (width 0) (type default)) + (uuid 9861ab48-a6cc-44ff-bee8-f6bcdc62f213) + ) + (wire (pts (xy 194.31 35.56) (xy 198.12 35.56)) + (stroke (width 0) (type default)) + (uuid 98b557a4-4d5f-4b2a-9fef-6f1b433db196) + ) + (wire (pts (xy 161.29 87.63) (xy 162.56 85.09)) + (stroke (width 0) (type default)) + (uuid 98f6dae6-74cc-4869-b76b-161b27782f1a) + ) + (wire (pts (xy 163.83 73.66) (xy 165.1 76.2)) + (stroke (width 0) (type default)) + (uuid 998abe05-9972-4c46-aeb6-492aa8251aa6) + ) + (wire (pts (xy 102.87 69.85) (xy 104.14 72.39)) + (stroke (width 0) (type default)) + (uuid 99d357fd-2da3-4aed-8b3b-d20870c9bf12) + ) + (wire (pts (xy 186.69 41.91) (xy 187.96 39.37)) + (stroke (width 0) (type default)) + (uuid 9aedfc33-8b5e-478d-8263-743a1c5bd830) + ) + (wire (pts (xy 95.25 41.91) (xy 96.52 39.37)) + (stroke (width 0) (type default)) + (uuid 9c248a30-e035-4759-b8e6-e33dd7a12f1f) + ) + (wire (pts (xy 125.73 62.23) (xy 127 64.77)) + (stroke (width 0) (type default)) + (uuid 9c939e2d-bcf6-41be-a690-61bed2f04d67) + ) + (wire (pts (xy 194.31 69.85) (xy 187.96 69.85)) + (stroke (width 0) (type default)) + (uuid 9ca59c6e-447c-4cc7-981b-1495d758ae34) + ) + (wire (pts (xy 95.25 62.23) (xy 92.71 62.23)) + (stroke (width 0) (type default)) + (uuid 9d01e200-fd86-4a8c-b1d9-1644c9d2fd74) + ) + (wire (pts (xy 125.73 62.23) (xy 119.38 62.23)) + (stroke (width 0) (type default)) + (uuid 9e8271b0-d5e2-4814-acc1-edf8eb399a7a) + ) + (wire (pts (xy 180.34 57.15) (xy 186.69 57.15)) + (stroke (width 0) (type default)) + (uuid 9ec295a4-1987-4687-bbe5-b6f7eabc6413) + ) + (wire (pts (xy 140.97 76.2) (xy 142.24 73.66)) + (stroke (width 0) (type default)) + (uuid 9f4b2338-c2a3-476f-a4c3-0b806179d366) + ) + (wire (pts (xy 125.73 38.1) (xy 125.73 35.56)) + (stroke (width 0) (type default)) + (uuid 9fe28658-691d-4ae4-9256-ea6e8e5b27e3) + ) + (wire (pts (xy 148.59 76.2) (xy 149.86 73.66)) + (stroke (width 0) (type default)) + (uuid a0336527-526a-4858-8879-9517039ef657) + ) + (wire (pts (xy 118.11 64.77) (xy 119.38 62.23)) + (stroke (width 0) (type default)) + (uuid a09e0809-a5a3-41e9-9130-0efe80250da1) + ) + (wire (pts (xy 118.11 46.99) (xy 119.38 49.53)) + (stroke (width 0) (type default)) + (uuid a0e10695-1a02-4f3c-8d1c-4060bed8b8bb) + ) + (wire (pts (xy 102.87 39.37) (xy 104.14 41.91)) + (stroke (width 0) (type default)) + (uuid a11fae3e-b0d6-4c72-9078-3445cafb8020) + ) + (wire (pts (xy 160.02 87.63) (xy 161.29 85.09)) + (stroke (width 0) (type default)) + (uuid a159584d-8fd0-4cae-b1d7-14ed28870f4c) + ) + (wire (pts (xy 194.31 73.66) (xy 195.58 76.2)) + (stroke (width 0) (type default)) + (uuid a19747ed-5858-463a-b851-2a24f0e782ce) + ) + (wire (pts (xy 99.06 35.56) (xy 99.06 38.1)) + (stroke (width 0) (type default)) + (uuid a20f6c12-62f6-441b-b4d4-244b87005075) + ) + (wire (pts (xy 125.73 76.2) (xy 127 73.66)) + (stroke (width 0) (type default)) + (uuid a2aaf3b2-7fdb-4137-9f41-16a2d64c686c) + ) + (wire (pts (xy 172.72 72.39) (xy 179.07 72.39)) + (stroke (width 0) (type default)) + (uuid a2b223cd-63bd-4526-a3f6-6a499fcedbdd) + ) + (wire (pts (xy 165.1 49.53) (xy 166.37 46.99)) + (stroke (width 0) (type default)) + (uuid a32fa5fd-acea-44bf-820f-129ae5aff593) + ) + (wire (pts (xy 133.35 39.37) (xy 127 39.37)) + (stroke (width 0) (type default)) + (uuid a336257c-0357-4372-8911-749f652aed90) + ) + (wire (pts (xy 157.48 46.99) (xy 158.75 49.53)) + (stroke (width 0) (type default)) + (uuid a34db6e9-2f93-41d1-bd0e-92a7c3ab0d0f) + ) + (wire (pts (xy 120.65 49.53) (xy 121.92 46.99)) + (stroke (width 0) (type default)) + (uuid a3be1e84-2532-4adb-b4ff-19e968d607a2) + ) + (wire (pts (xy 186.69 57.15) (xy 187.96 54.61)) + (stroke (width 0) (type default)) + (uuid a4342576-7cba-4b94-b9d4-5c813d083ea1) + ) + (wire (pts (xy 95.25 39.37) (xy 96.52 41.91)) + (stroke (width 0) (type default)) + (uuid a4dab838-2b8e-4f97-8675-223266a34c2b) + ) + (wire (pts (xy 110.49 69.85) (xy 104.14 69.85)) + (stroke (width 0) (type default)) + (uuid a5a66eb3-9fd2-41e2-8fa1-ae08d07adbe4) + ) + (wire (pts (xy 148.59 35.56) (xy 152.4 35.56)) + (stroke (width 0) (type default)) + (uuid a5e3c09a-d4a3-4d25-ad9f-9cecc82606d1) + ) + (wire (pts (xy 140.97 53.34) (xy 142.24 50.8)) + (stroke (width 0) (type default)) + (uuid a67b03e4-ecbc-4e0f-878b-afae8d15919a) + ) + (wire (pts (xy 140.97 66.04) (xy 119.38 66.04)) + (stroke (width 0) (type default)) + (uuid a7abdc5c-6274-4d92-b21e-b284280d75da) + ) + (wire (pts (xy 222.25 69.85) (xy 218.44 69.85)) + (stroke (width 0) (type default)) + (uuid a84b7a39-5ed9-4e66-b317-475ca116dbb8) + ) + (wire (pts (xy 167.64 87.63) (xy 168.91 85.09)) + (stroke (width 0) (type default)) + (uuid a8527b8f-d32a-43c6-a186-ee810a5b768c) + ) + (wire (pts (xy 148.59 69.85) (xy 149.86 72.39)) + (stroke (width 0) (type default)) + (uuid a8a815bf-734a-4fef-891e-acd04c456b0b) + ) + (wire (pts (xy 217.17 73.66) (xy 218.44 76.2)) + (stroke (width 0) (type default)) + (uuid a90b7618-18b0-4141-a0e9-f37ca0b6cb35) + ) + (wire (pts (xy 201.93 73.66) (xy 195.58 73.66)) + (stroke (width 0) (type default)) + (uuid aaad3c5d-b3a0-4b0c-abc0-6ab88a5f8191) + ) + (wire (pts (xy 204.47 46.99) (xy 205.74 49.53)) + (stroke (width 0) (type default)) + (uuid ab020554-3319-4b31-853f-42664568a0eb) + ) + (wire (pts (xy 125.73 39.37) (xy 119.38 39.37)) + (stroke (width 0) (type default)) + (uuid ac3cd7a7-8ea2-4b32-a511-968813107731) + ) + (wire (pts (xy 104.14 72.39) (xy 110.49 72.39)) + (stroke (width 0) (type default)) + (uuid ad999324-3eab-450a-9a91-d4c4073fd6ab) + ) + (wire (pts (xy 111.76 64.77) (xy 118.11 64.77)) + (stroke (width 0) (type default)) + (uuid adc828c7-2231-4d9a-8a84-e1508e65ffbc) + ) + (wire (pts (xy 148.59 62.23) (xy 142.24 62.23)) + (stroke (width 0) (type default)) + (uuid ae2b24e6-302b-42e1-b1ef-525c8572e97a) + ) + (wire (pts (xy 201.93 81.28) (xy 210.82 81.28)) + (stroke (width 0) (type default)) + (uuid ae5ae974-bdbe-45ca-8f60-3eae2f51845e) + ) + (wire (pts (xy 209.55 49.53) (xy 210.82 46.99)) + (stroke (width 0) (type default)) + (uuid ae9709e6-3739-4266-8f1e-b3c1f98d46e4) + ) + (wire (pts (xy 201.93 39.37) (xy 203.2 41.91)) + (stroke (width 0) (type default)) + (uuid ae998d7c-4d94-4666-a2b5-515c00e49a3f) + ) + (wire (pts (xy 142.24 72.39) (xy 148.59 72.39)) + (stroke (width 0) (type default)) + (uuid af05bce6-0961-4749-92d2-4538685432c3) + ) + (wire (pts (xy 96.52 41.91) (xy 102.87 41.91)) + (stroke (width 0) (type default)) + (uuid af2b2c65-db50-406c-a972-add6429c386d) + ) + (wire (pts (xy 97.79 49.53) (xy 99.06 46.99)) + (stroke (width 0) (type default)) + (uuid af6376f2-c391-49bf-bab4-58ea11eb5397) + ) + (wire (pts (xy 194.31 76.2) (xy 195.58 73.66)) + (stroke (width 0) (type default)) + (uuid afec6420-caf0-4d59-bdce-a7c518433307) + ) + (wire (pts (xy 199.39 83.82) (xy 200.66 81.28)) + (stroke (width 0) (type default)) + (uuid b027179a-b4d8-4fd9-8334-437b48a855d2) + ) + (wire (pts (xy 140.97 72.39) (xy 142.24 69.85)) + (stroke (width 0) (type default)) + (uuid b054b7e2-91ec-4fcc-838d-d47f3e10e2f7) + ) + (wire (pts (xy 99.06 38.1) (xy 102.87 38.1)) + (stroke (width 0) (type default)) + (uuid b1fcceea-afa7-4372-88b1-e2934e1b7cbf) + ) + (wire (pts (xy 119.38 72.39) (xy 125.73 72.39)) + (stroke (width 0) (type default)) + (uuid b2411b4c-a83f-4937-9bd9-8bde312720bc) + ) + (wire (pts (xy 210.82 41.91) (xy 217.17 41.91)) + (stroke (width 0) (type default)) + (uuid b2933dfd-02bd-4ce8-9186-c5a51441405e) + ) + (wire (pts (xy 165.1 87.63) (xy 166.37 85.09)) + (stroke (width 0) (type default)) + (uuid b3f46dc4-3068-4c32-abe9-9944543badf3) + ) + (wire (pts (xy 195.58 68.58) (xy 222.25 68.58)) + (stroke (width 0) (type default)) + (uuid b423e88b-ebed-4b10-bd32-e23b16982d1e) + ) + (wire (pts (xy 207.01 46.99) (xy 208.28 49.53)) + (stroke (width 0) (type default)) + (uuid b536042c-c20f-4a2a-ae25-f50eb7923651) + ) + (wire (pts (xy 186.69 53.34) (xy 187.96 50.8)) + (stroke (width 0) (type default)) + (uuid b54517b3-df7d-4c74-9657-9fc3d1c79ce9) + ) + (wire (pts (xy 152.4 46.99) (xy 153.67 49.53)) + (stroke (width 0) (type default)) + (uuid b55c5ae3-0044-41b8-9f0b-ffe091833ad8) + ) + (wire (pts (xy 156.21 69.85) (xy 149.86 69.85)) + (stroke (width 0) (type default)) + (uuid b57f609e-b7f4-4921-8c7a-d0046684cc9a) + ) + (wire (pts (xy 157.48 86.36) (xy 158.75 85.09)) + (stroke (width 0) (type default)) + (uuid b697872c-a420-4b76-a020-4e59f7b92587) + ) + (wire (pts (xy 114.3 46.99) (xy 115.57 49.53)) + (stroke (width 0) (type default)) + (uuid b74815b0-e0d4-4de2-bb1e-f5ea8232f487) + ) + (wire (pts (xy 110.49 35.56) (xy 114.3 35.56)) + (stroke (width 0) (type default)) + (uuid b75332e8-d7a3-4f04-b648-2e922018ce26) + ) + (wire (pts (xy 217.17 72.39) (xy 218.44 69.85)) + (stroke (width 0) (type default)) + (uuid b7806cc4-a5a5-4104-8493-033df69bdd3f) + ) + (wire (pts (xy 92.71 87.63) (xy 104.14 87.63)) + (stroke (width 0) (type default)) + (uuid b7cc35db-d800-496d-87b7-ae243822e878) + ) + (wire (pts (xy 200.66 83.82) (xy 201.93 81.28)) + (stroke (width 0) (type default)) + (uuid b7f407ff-70f5-4335-b04a-51504b02c720) + ) + (wire (pts (xy 111.76 72.39) (xy 118.11 72.39)) + (stroke (width 0) (type default)) + (uuid b820f6ad-73ad-4f10-941a-aabc8b05f9f4) + ) + (wire (pts (xy 154.94 49.53) (xy 156.21 46.99)) + (stroke (width 0) (type default)) + (uuid b836cdeb-5133-4a3b-bed2-b7c3b953b011) + ) + (wire (pts (xy 166.37 85.09) (xy 167.64 87.63)) + (stroke (width 0) (type default)) + (uuid b840800a-5490-4d11-bd63-ab350ded50eb) + ) + (wire (pts (xy 186.69 76.2) (xy 187.96 73.66)) + (stroke (width 0) (type default)) + (uuid b8df76bb-69f7-40f3-a797-e399dda35a06) + ) + (wire (pts (xy 95.25 76.2) (xy 96.52 73.66)) + (stroke (width 0) (type default)) + (uuid b92e4074-2530-4b6e-bba8-37926e8a2618) + ) + (wire (pts (xy 92.71 81.28) (xy 104.14 81.28)) + (stroke (width 0) (type default)) + (uuid ba326f56-3a1d-479c-b251-6c31687152b6) + ) + (wire (pts (xy 125.73 69.85) (xy 127 72.39)) + (stroke (width 0) (type default)) + (uuid bb17d15b-2087-4f93-8e0b-623266870d34) + ) + (wire (pts (xy 118.11 69.85) (xy 119.38 72.39)) + (stroke (width 0) (type default)) + (uuid bb5b69cf-14ae-4e3a-8221-81c9addfab74) + ) + (wire (pts (xy 201.93 69.85) (xy 203.2 72.39)) + (stroke (width 0) (type default)) + (uuid bc499a1c-5485-4fe6-afac-09dc31200a5b) + ) + (wire (pts (xy 127 72.39) (xy 133.35 72.39)) + (stroke (width 0) (type default)) + (uuid bd8f0887-798a-429b-87f5-2070b19c523e) + ) + (wire (pts (xy 116.84 49.53) (xy 118.11 46.99)) + (stroke (width 0) (type default)) + (uuid bd99ec7f-2721-4ce9-862a-0302048d6b6c) + ) + (wire (pts (xy 153.67 49.53) (xy 154.94 46.99)) + (stroke (width 0) (type default)) + (uuid bdddef6d-79a6-421e-b18f-ac7b440f991f) + ) + (wire (pts (xy 190.5 35.56) (xy 190.5 38.1)) + (stroke (width 0) (type default)) + (uuid be3dbb8f-de31-4f6e-a635-fa50036aad61) + ) + (wire (pts (xy 212.09 86.36) (xy 222.25 86.36)) + (stroke (width 0) (type default)) + (uuid be9bccca-ee45-4e6d-a681-45d82a5b736f) + ) + (wire (pts (xy 102.87 43.18) (xy 104.14 45.72)) + (stroke (width 0) (type default)) + (uuid bf57ef98-9a7d-4e3a-886b-481c144f25c5) + ) + (wire (pts (xy 142.24 60.96) (xy 148.59 60.96)) + (stroke (width 0) (type default)) + (uuid bf9a9ef4-8a49-4530-bb5c-8a3509c0467b) + ) + (wire (pts (xy 125.73 64.77) (xy 127 62.23)) + (stroke (width 0) (type default)) + (uuid bfa2565a-a5dc-4be4-9f80-50e49102b673) + ) + (wire (pts (xy 182.88 38.1) (xy 186.69 38.1)) + (stroke (width 0) (type default)) + (uuid bfe4950a-8fba-4515-afe4-d9b37116527d) + ) + (wire (pts (xy 158.75 77.47) (xy 210.82 77.47)) + (stroke (width 0) (type default)) + (uuid c0fbb947-9b81-4911-a004-9a25bf92c977) + ) + (wire (pts (xy 157.48 49.53) (xy 158.75 46.99)) + (stroke (width 0) (type default)) + (uuid c12abb3b-06f2-493f-8818-e28d0ffd3053) + ) + (wire (pts (xy 198.12 35.56) (xy 198.12 38.1)) + (stroke (width 0) (type default)) + (uuid c15b0c03-d939-4721-8a4c-86a7270dd93e) + ) + (wire (pts (xy 102.87 62.23) (xy 104.14 64.77)) + (stroke (width 0) (type default)) + (uuid c1a8ca08-5448-408b-af3e-27463c2292de) + ) + (wire (pts (xy 220.98 35.56) (xy 220.98 38.1)) + (stroke (width 0) (type default)) + (uuid c1dd7f2a-4b97-4171-ba72-0112e3eb2710) + ) + (wire (pts (xy 102.87 73.66) (xy 96.52 73.66)) + (stroke (width 0) (type default)) + (uuid c1e6eb3c-4d25-4544-9e04-8b9c0b7efd85) + ) + (wire (pts (xy 218.44 41.91) (xy 222.25 41.91)) + (stroke (width 0) (type default)) + (uuid c21561d9-7d5c-4955-92e7-5462abaab066) + ) + (wire (pts (xy 110.49 73.66) (xy 111.76 76.2)) + (stroke (width 0) (type default)) + (uuid c3049d0e-b860-4a02-aee5-4ec9898a0950) + ) + (wire (pts (xy 127 41.91) (xy 133.35 41.91)) + (stroke (width 0) (type default)) + (uuid c310b92e-0aae-48bc-8802-53769897990c) + ) + (wire (pts (xy 134.62 76.2) (xy 140.97 76.2)) + (stroke (width 0) (type default)) + (uuid c32b91f0-cdee-4852-a05c-84eb2134ee1e) + ) + (wire (pts (xy 157.48 76.2) (xy 163.83 76.2)) + (stroke (width 0) (type default)) + (uuid c34dfb58-dfb2-4b37-8fbe-1aa94a95ac4f) + ) + (wire (pts (xy 158.75 46.99) (xy 160.02 49.53)) + (stroke (width 0) (type default)) + (uuid c36d3784-9fa5-4c6c-ba35-1c4d1d53bc5c) + ) + (wire (pts (xy 156.21 39.37) (xy 149.86 39.37)) + (stroke (width 0) (type default)) + (uuid c561a7ca-bdd3-44d9-9083-e16f41b0f5b6) + ) + (wire (pts (xy 133.35 72.39) (xy 134.62 69.85)) + (stroke (width 0) (type default)) + (uuid c56b8622-6430-4b04-9b36-be1d860ff5fc) + ) + (wire (pts (xy 156.21 35.56) (xy 160.02 35.56)) + (stroke (width 0) (type default)) + (uuid c5991620-954f-4eb5-b115-159340c4892a) + ) + (wire (pts (xy 148.59 39.37) (xy 149.86 41.91)) + (stroke (width 0) (type default)) + (uuid c5acc74e-f5a5-4825-942f-a2dfa243bf42) + ) + (wire (pts (xy 118.11 35.56) (xy 121.92 35.56)) + (stroke (width 0) (type default)) + (uuid c5c6914f-537b-43b7-8247-21a38ea1baa0) + ) + (wire (pts (xy 195.58 82.55) (xy 196.85 83.82)) + (stroke (width 0) (type default)) + (uuid c5f261d1-5a66-4feb-b55f-0eff193514b2) + ) + (wire (pts (xy 115.57 49.53) (xy 116.84 46.99)) + (stroke (width 0) (type default)) + (uuid c600e779-029c-4950-bf22-1231e3e37008) + ) + (wire (pts (xy 140.97 62.23) (xy 134.62 62.23)) + (stroke (width 0) (type default)) + (uuid c652d4fe-5892-41e3-9210-4fd08b7b525d) + ) + (wire (pts (xy 210.82 64.77) (xy 217.17 64.77)) + (stroke (width 0) (type default)) + (uuid c687fce6-4a73-4b7a-8207-8464cc8b2ddb) + ) + (wire (pts (xy 119.38 58.42) (xy 118.11 60.96)) + (stroke (width 0) (type default)) + (uuid c767b6da-da3b-4b7b-b016-2143fc46f92d) + ) + (wire (pts (xy 125.73 73.66) (xy 127 76.2)) + (stroke (width 0) (type default)) + (uuid c7b387dc-31be-4987-b79d-388dd0723dbe) + ) + (wire (pts (xy 186.69 73.66) (xy 187.96 76.2)) + (stroke (width 0) (type default)) + (uuid c7f88e91-540e-42ae-bc8f-58a817ef7a81) + ) + (wire (pts (xy 149.86 66.04) (xy 148.59 68.58)) + (stroke (width 0) (type default)) + (uuid c84cb25e-c021-481a-bb56-8b938d318189) + ) + (wire (pts (xy 201.93 38.1) (xy 201.93 35.56)) + (stroke (width 0) (type default)) + (uuid c86877ba-f58e-44f2-828e-faca8166bd53) + ) + (wire (pts (xy 162.56 87.63) (xy 163.83 85.09)) + (stroke (width 0) (type default)) + (uuid c8950f7e-ef85-4409-8333-0f117bee955b) + ) + (wire (pts (xy 125.73 69.85) (xy 119.38 69.85)) + (stroke (width 0) (type default)) + (uuid c9de37db-bf28-4a29-a746-ec6807d6e912) + ) + (wire (pts (xy 218.44 72.39) (xy 222.25 72.39)) + (stroke (width 0) (type default)) + (uuid cb510474-e0ba-4302-bd38-03dce7b88c20) + ) + (wire (pts (xy 187.96 76.2) (xy 194.31 76.2)) + (stroke (width 0) (type default)) + (uuid cb62299a-31af-4ac8-acbe-51e56ab4b4e3) + ) + (wire (pts (xy 118.11 62.23) (xy 119.38 64.77)) + (stroke (width 0) (type default)) + (uuid cbc03ae1-c13a-4c94-bde7-b3a3c1372a9e) + ) + (wire (pts (xy 213.36 35.56) (xy 213.36 38.1)) + (stroke (width 0) (type default)) + (uuid cc4105b6-9dfb-4585-b1f7-305c7a21f368) + ) + (wire (pts (xy 171.45 46.99) (xy 172.72 49.53)) + (stroke (width 0) (type default)) + (uuid ccbadd00-48ec-475f-ad3f-8f9f86be8098) + ) + (wire (pts (xy 196.85 81.28) (xy 198.12 83.82)) + (stroke (width 0) (type default)) + (uuid cccd2e81-e7ea-45ed-a514-bd0bcd374158) + ) + (wire (pts (xy 119.38 41.91) (xy 125.73 41.91)) + (stroke (width 0) (type default)) + (uuid cec1dfd3-277d-4cbe-ad89-0f0ac4de08fc) + ) + (wire (pts (xy 198.12 81.28) (xy 199.39 83.82)) + (stroke (width 0) (type default)) + (uuid cf3bcd51-d734-47cd-b21c-078d8b7686e6) + ) + (wire (pts (xy 140.97 66.04) (xy 142.24 68.58)) + (stroke (width 0) (type default)) + (uuid cf507884-598e-4c8a-9c55-2fcb934e1400) + ) + (wire (pts (xy 203.2 72.39) (xy 209.55 72.39)) + (stroke (width 0) (type default)) + (uuid cf76a6e3-8c4e-49ba-a44d-889b4e65ec13) + ) + (wire (pts (xy 171.45 39.37) (xy 165.1 39.37)) + (stroke (width 0) (type default)) + (uuid cfec6023-b5eb-4ff9-9e88-104dfc1e582f) + ) + (wire (pts (xy 104.14 76.2) (xy 110.49 76.2)) + (stroke (width 0) (type default)) + (uuid d06c31d6-fd3d-40ea-8b58-4575ead9f948) + ) + (wire (pts (xy 154.94 46.99) (xy 156.21 49.53)) + (stroke (width 0) (type default)) + (uuid d07e33ac-93b9-4561-8a41-c3409d744340) + ) + (wire (pts (xy 171.45 41.91) (xy 172.72 39.37)) + (stroke (width 0) (type default)) + (uuid d0d4f8a2-fee9-4d4a-b01b-1854fe5c7a4a) + ) + (wire (pts (xy 118.11 72.39) (xy 119.38 69.85)) + (stroke (width 0) (type default)) + (uuid d1b07672-abf3-4783-a3c7-e4e6f260850a) + ) + (wire (pts (xy 156.21 38.1) (xy 156.21 35.56)) + (stroke (width 0) (type default)) + (uuid d2556aec-7c89-41e9-8406-4af784efa56b) + ) + (wire (pts (xy 156.21 49.53) (xy 157.48 46.99)) + (stroke (width 0) (type default)) + (uuid d34275fb-a432-432d-a305-1b31809ea776) + ) + (wire (pts (xy 140.97 39.37) (xy 142.24 41.91)) + (stroke (width 0) (type default)) + (uuid d3438c43-2720-42cb-bb36-19ce2d799c3a) + ) + (wire (pts (xy 179.07 69.85) (xy 172.72 69.85)) + (stroke (width 0) (type default)) + (uuid d35607d2-18d0-46e3-8637-8777952a6c15) + ) + (wire (pts (xy 102.87 76.2) (xy 104.14 73.66)) + (stroke (width 0) (type default)) + (uuid d38bc582-4d52-45cc-abb5-74278b577674) + ) + (wire (pts (xy 110.49 62.23) (xy 104.14 62.23)) + (stroke (width 0) (type default)) + (uuid d3d0fe5c-c6de-467b-82da-901b31f633ba) + ) + (wire (pts (xy 95.25 41.91) (xy 92.71 41.91)) + (stroke (width 0) (type default)) + (uuid d3f56149-2855-45e6-9186-64590598cb0d) + ) + (wire (pts (xy 195.58 82.55) (xy 196.85 81.28)) + (stroke (width 0) (type default)) + (uuid d4495d94-f990-416f-9a58-b4b812e568cd) + ) + (wire (pts (xy 156.21 73.66) (xy 157.48 76.2)) + (stroke (width 0) (type default)) + (uuid d4c8a9ac-58f9-483a-8e48-e341ceb599b6) + ) + (wire (pts (xy 148.59 69.85) (xy 142.24 69.85)) + (stroke (width 0) (type default)) + (uuid d4cc305d-cb34-42ed-8626-13343ef17db8) + ) + (wire (pts (xy 152.4 49.53) (xy 153.67 46.99)) + (stroke (width 0) (type default)) + (uuid d569bd0f-515f-4846-abcd-ae2766766890) + ) + (wire (pts (xy 95.25 39.37) (xy 92.71 39.37)) + (stroke (width 0) (type default)) + (uuid d5a4c83c-8a20-44ab-a749-5330de9db657) + ) + (wire (pts (xy 173.99 87.63) (xy 175.26 85.09)) + (stroke (width 0) (type default)) + (uuid d61b9873-fda8-43ca-b4c5-4ee47dd742d8) + ) + (wire (pts (xy 163.83 41.91) (xy 165.1 39.37)) + (stroke (width 0) (type default)) + (uuid d620a40b-b7b6-4a49-905d-6647626ac33c) + ) + (wire (pts (xy 209.55 38.1) (xy 209.55 35.56)) + (stroke (width 0) (type default)) + (uuid d6c5e296-af98-4631-b52c-acce746183f6) + ) + (wire (pts (xy 180.34 76.2) (xy 186.69 76.2)) + (stroke (width 0) (type default)) + (uuid d70f1fa5-4c58-47ba-9e99-de20ceef9a9b) + ) + (wire (pts (xy 209.55 69.85) (xy 210.82 72.39)) + (stroke (width 0) (type default)) + (uuid d738fa4b-25a7-46ff-8581-e81a4c22c976) + ) + (wire (pts (xy 212.09 78.74) (xy 210.82 80.01)) + (stroke (width 0) (type default)) + (uuid d75db6d8-e1d4-4415-a022-e2167501f3e5) + ) + (wire (pts (xy 148.59 41.91) (xy 149.86 39.37)) + (stroke (width 0) (type default)) + (uuid d79e4099-30cb-4914-94ea-0db683a55ccd) + ) + (wire (pts (xy 176.53 85.09) (xy 177.8 87.63)) + (stroke (width 0) (type default)) + (uuid d7d5a863-0bf8-44fb-9a51-c55c20aad7d8) + ) + (wire (pts (xy 133.35 62.23) (xy 134.62 64.77)) + (stroke (width 0) (type default)) + (uuid d800b72a-64bd-4d45-83d3-b3b1764049ca) + ) + (wire (pts (xy 179.07 35.56) (xy 182.88 35.56)) + (stroke (width 0) (type default)) + (uuid d82c945f-2ff1-4ef2-a1d7-de214484fb34) + ) + (wire (pts (xy 149.86 58.42) (xy 148.59 60.96)) + (stroke (width 0) (type default)) + (uuid d8a54df8-6ef9-46a9-9795-7304db0e99b8) + ) + (wire (pts (xy 217.17 69.85) (xy 210.82 69.85)) + (stroke (width 0) (type default)) + (uuid d99d4c2d-a6d3-4e4c-aa64-15191cd7b62c) + ) + (wire (pts (xy 200.66 81.28) (xy 201.93 83.82)) + (stroke (width 0) (type default)) + (uuid da8a1d24-8cfe-404d-9320-46d96a025ce2) + ) + (wire (pts (xy 110.49 62.23) (xy 111.76 64.77)) + (stroke (width 0) (type default)) + (uuid dad0b836-5e1f-4b4c-ad1e-8dc5e71bf4d6) + ) + (wire (pts (xy 201.93 62.23) (xy 195.58 62.23)) + (stroke (width 0) (type default)) + (uuid dbc10a81-b725-4278-b742-1656d254fc26) + ) + (wire (pts (xy 149.86 76.2) (xy 156.21 76.2)) + (stroke (width 0) (type default)) + (uuid dc4303f6-5d2d-47eb-ac1c-df7e86572e90) + ) + (wire (pts (xy 125.73 72.39) (xy 127 69.85)) + (stroke (width 0) (type default)) + (uuid dc8916d0-861e-4598-adac-96975a7ac198) + ) + (wire (pts (xy 166.37 87.63) (xy 167.64 85.09)) + (stroke (width 0) (type default)) + (uuid dcd9b735-db62-47d7-89c9-a84011ee97c9) + ) + (wire (pts (xy 148.59 64.77) (xy 149.86 62.23)) + (stroke (width 0) (type default)) + (uuid dd5437b2-c337-49ea-923a-a75ba0b12b05) + ) + (wire (pts (xy 133.35 62.23) (xy 127 62.23)) + (stroke (width 0) (type default)) + (uuid deae2b17-50a3-406d-a00b-216b3ad94d76) + ) + (wire (pts (xy 179.07 76.2) (xy 180.34 73.66)) + (stroke (width 0) (type default)) + (uuid e075903c-4f6e-4361-b0a7-a26091cc69a8) + ) + (wire (pts (xy 156.21 62.23) (xy 149.86 62.23)) + (stroke (width 0) (type default)) + (uuid e104b95c-558d-4f1d-b8f5-ba58113567e9) + ) + (wire (pts (xy 102.87 35.56) (xy 106.68 35.56)) + (stroke (width 0) (type default)) + (uuid e10b712a-de5d-4e00-a7bc-c2b7c19989bf) ) (wire (pts (xy 219.71 46.99) (xy 220.98 49.53)) (stroke (width 0) (type default)) - (uuid ff7c3757-d42f-4d74-9de8-56428cf95f4c) + (uuid e10f1cf3-ab38-4014-ad68-6917053dfd41) + ) + (wire (pts (xy 170.18 49.53) (xy 171.45 46.99)) + (stroke (width 0) (type default)) + (uuid e1304525-899c-4f07-8ba5-f2f13a4f9340) + ) + (wire (pts (xy 152.4 35.56) (xy 152.4 38.1)) + (stroke (width 0) (type default)) + (uuid e18b8ad2-2a6c-4a09-91d6-88a4718fbd06) + ) + (wire (pts (xy 194.31 66.04) (xy 149.86 66.04)) + (stroke (width 0) (type default)) + (uuid e221b842-2c6b-4a0e-842e-ba10183e9489) + ) + (wire (pts (xy 209.55 43.18) (xy 210.82 45.72)) + (stroke (width 0) (type default)) + (uuid e225e1e9-fab3-4fc8-88f9-5e5c709def79) + ) + (wire (pts (xy 207.01 49.53) (xy 208.28 46.99)) + (stroke (width 0) (type default)) + (uuid e2305de4-c9c9-4d89-811d-5c9ea1ed1f38) + ) + (wire (pts (xy 166.37 46.99) (xy 167.64 49.53)) + (stroke (width 0) (type default)) + (uuid e2783057-826a-4bbc-9ecd-a6264c215609) + ) + (wire (pts (xy 105.41 82.55) (xy 104.14 83.82)) + (stroke (width 0) (type default)) + (uuid e29ca279-e9a5-4a42-9fc9-14a81c094192) + ) + (wire (pts (xy 170.18 85.09) (xy 171.45 87.63)) + (stroke (width 0) (type default)) + (uuid e37ea31b-003e-4288-97b8-b39691e83142) + ) + (wire (pts (xy 217.17 76.2) (xy 218.44 73.66)) + (stroke (width 0) (type default)) + (uuid e3f1821f-f844-418a-8e8b-d2eca1c33207) + ) + (wire (pts (xy 97.79 46.99) (xy 99.06 49.53)) + (stroke (width 0) (type default)) + (uuid e40ffd19-a64e-4408-b1c3-9b398ef7126b) + ) + (wire (pts (xy 142.24 64.77) (xy 148.59 64.77)) + (stroke (width 0) (type default)) + (uuid e4103162-a260-4d41-bc5d-81fc37e94fb5) + ) + (wire (pts (xy 173.99 46.99) (xy 175.26 49.53)) + (stroke (width 0) (type default)) + (uuid e4ab8426-fa8f-41e8-9300-88de390ab508) + ) + (wire (pts (xy 210.82 72.39) (xy 217.17 72.39)) + (stroke (width 0) (type default)) + (uuid e4c6c733-d9f3-4b1b-b93e-91001f62489e) + ) + (wire (pts (xy 114.3 49.53) (xy 115.57 46.99)) + (stroke (width 0) (type default)) + (uuid e4d43cd8-10f3-4c69-a327-76dfdf13f74b) + ) + (wire (pts (xy 179.07 69.85) (xy 180.34 72.39)) + (stroke (width 0) (type default)) + (uuid e539c025-87eb-4648-baec-8f2b4fb2ac40) + ) + (wire (pts (xy 167.64 49.53) (xy 168.91 46.99)) + (stroke (width 0) (type default)) + (uuid e5542b44-d2a9-44e0-a79d-a6025c84e5ee) + ) + (wire (pts (xy 173.99 85.09) (xy 175.26 87.63)) + (stroke (width 0) (type default)) + (uuid e58c1a49-2031-4352-a857-6095027594f5) + ) + (wire (pts (xy 209.55 73.66) (xy 210.82 76.2)) + (stroke (width 0) (type default)) + (uuid e639b005-af31-4caf-a334-d3acda9befde) + ) + (wire (pts (xy 168.91 85.09) (xy 170.18 87.63)) + (stroke (width 0) (type default)) + (uuid e76bfc53-912b-4f26-a5e0-e907d5a63fe3) + ) + (wire (pts (xy 222.25 39.37) (xy 218.44 39.37)) + (stroke (width 0) (type default)) + (uuid e7b43672-075c-4450-aeb4-942b865d742b) + ) + (wire (pts (xy 171.45 62.23) (xy 172.72 64.77)) + (stroke (width 0) (type default)) + (uuid e82b1ad3-26cc-4938-81d5-bdf0280efc71) + ) + (wire (pts (xy 161.29 85.09) (xy 162.56 87.63)) + (stroke (width 0) (type default)) + (uuid e89e6360-bece-4425-9ddb-d7ab1071f633) + ) + (wire (pts (xy 125.73 39.37) (xy 127 41.91)) + (stroke (width 0) (type default)) + (uuid e996dfe3-8dfc-4253-b7e9-d97a2d6ec12a) + ) + (wire (pts (xy 156.21 41.91) (xy 157.48 39.37)) + (stroke (width 0) (type default)) + (uuid e9b031c0-1830-44cd-8f4f-688077c0eb7e) + ) + (wire (pts (xy 163.83 69.85) (xy 157.48 69.85)) + (stroke (width 0) (type default)) + (uuid ea6f32ed-bcc7-4e0e-b6f7-0004472f7d67) + ) + (wire (pts (xy 118.11 73.66) (xy 111.76 73.66)) + (stroke (width 0) (type default)) + (uuid ebe4f99c-5ab5-40da-bbf3-c1ffd35d5cb4) + ) + (wire (pts (xy 118.11 68.58) (xy 92.71 68.58)) + (stroke (width 0) (type default)) + (uuid ec1f34d8-0df5-4d3a-adfb-5e1f4868fbc8) + ) + (wire (pts (xy 212.09 78.74) (xy 222.25 78.74)) + (stroke (width 0) (type default)) + (uuid ec7381e4-d57b-4a76-b467-82eca07ecb4b) + ) + (wire (pts (xy 102.87 62.23) (xy 96.52 62.23)) + (stroke (width 0) (type default)) + (uuid ec855fed-522c-461c-8b1e-4ca6be11bde4) + ) + (wire (pts (xy 149.86 49.53) (xy 151.13 46.99)) + (stroke (width 0) (type default)) + (uuid ecffef68-8205-44ab-aaf0-6fb7c497d472) + ) + (wire (pts (xy 133.35 76.2) (xy 134.62 73.66)) + (stroke (width 0) (type default)) + (uuid ed4960e5-68d7-4847-8b1a-5431e57c30e5) + ) + (wire (pts (xy 96.52 76.2) (xy 102.87 76.2)) + (stroke (width 0) (type default)) + (uuid ed6e9f35-ec45-4037-9933-856f497ae88a) + ) + (wire (pts (xy 119.38 49.53) (xy 120.65 46.99)) + (stroke (width 0) (type default)) + (uuid edd7dabe-442b-4a58-94a2-b229fbf2d450) + ) + (wire (pts (xy 205.74 35.56) (xy 205.74 38.1)) + (stroke (width 0) (type default)) + (uuid ee0cf1f2-0cb9-414c-a55c-a00319d043d5) + ) + (wire (pts (xy 162.56 85.09) (xy 163.83 87.63)) + (stroke (width 0) (type default)) + (uuid ef12941d-f7d6-4c87-8ef4-8b37a2bc00c2) + ) + (wire (pts (xy 151.13 49.53) (xy 152.4 46.99)) + (stroke (width 0) (type default)) + (uuid ef14a1ee-23b7-42e6-a3b4-d612470fc0e9) + ) + (wire (pts (xy 194.31 66.04) (xy 195.58 68.58)) + (stroke (width 0) (type default)) + (uuid efb99a20-d7aa-4fc8-a154-59da05f315dd) + ) + (wire (pts (xy 99.06 35.56) (xy 95.25 35.56)) + (stroke (width 0) (type default)) + (uuid f04102c5-0fa9-447e-8719-ace94f199360) + ) + (wire (pts (xy 95.25 73.66) (xy 92.71 73.66)) + (stroke (width 0) (type default)) + (uuid f0d42724-d00d-4b9b-a54d-7726dfcbbac0) + ) + (wire (pts (xy 182.88 35.56) (xy 182.88 38.1)) + (stroke (width 0) (type default)) + (uuid f2efa99a-64b4-4d43-9154-c39e7fe95852) + ) + (wire (pts (xy 102.87 41.91) (xy 104.14 39.37)) + (stroke (width 0) (type default)) + (uuid f33a921d-9202-4b32-85eb-25c3807938b9) + ) + (wire (pts (xy 111.76 76.2) (xy 118.11 76.2)) + (stroke (width 0) (type default)) + (uuid f33a93a5-fdae-413a-9d16-3af75f442c6d) + ) + (wire (pts (xy 177.8 85.09) (xy 179.07 87.63)) + (stroke (width 0) (type default)) + (uuid f3818593-3212-419b-bd83-666b1fc50bc2) + ) + (wire (pts (xy 170.18 46.99) (xy 171.45 49.53)) + (stroke (width 0) (type default)) + (uuid f3bf1094-6d4b-4a81-abf7-b17cfd3cb510) + ) + (wire (pts (xy 121.92 38.1) (xy 125.73 38.1)) + (stroke (width 0) (type default)) + (uuid f4a9faec-62bf-4daa-8f73-3d081a3cbec9) + ) + (wire (pts (xy 134.62 53.34) (xy 140.97 53.34)) + (stroke (width 0) (type default)) + (uuid f4cd4e78-909e-475a-aea0-ec92a3f09c5a) + ) + (wire (pts (xy 165.1 76.2) (xy 171.45 76.2)) + (stroke (width 0) (type default)) + (uuid f4fb765d-c1df-4587-884e-f6f07d89dfff) + ) + (wire (pts (xy 180.34 53.34) (xy 186.69 53.34)) + (stroke (width 0) (type default)) + (uuid f522b936-e07a-4a83-a993-642e7e56907a) + ) + (wire (pts (xy 209.55 62.23) (xy 210.82 64.77)) + (stroke (width 0) (type default)) + (uuid f5596798-b751-46dd-84ee-0018f8d1b014) + ) + (wire (pts (xy 171.45 69.85) (xy 165.1 69.85)) + (stroke (width 0) (type default)) + (uuid f55a9091-f3b9-4b50-a970-2cb6e4abb6c1) + ) + (wire (pts (xy 186.69 69.85) (xy 180.34 69.85)) + (stroke (width 0) (type default)) + (uuid f57046a5-014f-4d98-84fd-1123307783d0) + ) + (wire (pts (xy 156.21 46.99) (xy 157.48 49.53)) + (stroke (width 0) (type default)) + (uuid f5e6f2d9-efec-4191-9ab8-493be6eea1e2) + ) + (wire (pts (xy 105.41 46.99) (xy 106.68 49.53)) + (stroke (width 0) (type default)) + (uuid f663dcf7-ee10-4700-b0ad-bdc706a9b49f) + ) + (wire (pts (xy 179.07 85.09) (xy 180.34 87.63)) + (stroke (width 0) (type default)) + (uuid f69733ac-f406-4782-a0fd-abf32d436532) + ) + (wire (pts (xy 198.12 38.1) (xy 201.93 38.1)) + (stroke (width 0) (type default)) + (uuid f6ed3074-2496-4c25-a574-d2c21607e7ae) + ) + (wire (pts (xy 171.45 58.42) (xy 149.86 58.42)) + (stroke (width 0) (type default)) + (uuid f72aeb45-c63d-45f1-b1b9-f32aabf1a289) + ) + (wire (pts (xy 163.83 38.1) (xy 163.83 35.56)) + (stroke (width 0) (type default)) + (uuid f794271f-57e1-4b28-9d48-8e858a67b0f1) + ) + (wire (pts (xy 92.71 80.01) (xy 104.14 80.01)) + (stroke (width 0) (type default)) + (uuid f87da91f-be9a-41ac-bb79-145aa433171b) + ) + (wire (pts (xy 148.59 39.37) (xy 142.24 39.37)) + (stroke (width 0) (type default)) + (uuid f97e7fbc-3d69-4b0d-8f7a-7640a6edcc96) + ) + (wire (pts (xy 171.45 76.2) (xy 172.72 73.66)) + (stroke (width 0) (type default)) + (uuid f9b93494-13dd-4432-a394-092cf1577cff) + ) + (wire (pts (xy 137.16 35.56) (xy 137.16 38.1)) + (stroke (width 0) (type default)) + (uuid faa4b5e6-5692-4dee-8dbe-9fadb85ce4b3) + ) + (wire (pts (xy 171.45 35.56) (xy 175.26 35.56)) + (stroke (width 0) (type default)) + (uuid fcf3c717-de24-407d-baff-62c6a7c03b9d) + ) + (wire (pts (xy 95.25 73.66) (xy 96.52 76.2)) + (stroke (width 0) (type default)) + (uuid fd6aacf4-f01a-488f-83f2-59d757fb3116) + ) + (wire (pts (xy 171.45 62.23) (xy 165.1 62.23)) + (stroke (width 0) (type default)) + (uuid fd8c672c-d5cf-4697-b096-2ee87040dcab) + ) + (wire (pts (xy 186.69 62.23) (xy 180.34 62.23)) + (stroke (width 0) (type default)) + (uuid fd973826-9574-44c3-88fa-13ccaaeb9967) + ) + (wire (pts (xy 140.97 35.56) (xy 144.78 35.56)) + (stroke (width 0) (type default)) + (uuid fdd3c7b8-1751-4771-a6e8-e68c68cf600d) + ) + (wire (pts (xy 220.98 46.99) (xy 222.25 49.53)) + (stroke (width 0) (type default)) + (uuid fe40c3a7-d1b6-42e1-8b17-7bfec72a4225) + ) + (wire (pts (xy 195.58 76.2) (xy 201.93 76.2)) + (stroke (width 0) (type default)) + (uuid fe63cd18-baba-487d-b280-ade6d6fbaf36) + ) + (wire (pts (xy 208.28 46.99) (xy 209.55 49.53)) + (stroke (width 0) (type default)) + (uuid ff136b43-8ea9-4668-b3e3-6b83dbac7910) + ) + (wire (pts (xy 104.14 81.28) (xy 105.41 82.55)) + (stroke (width 0) (type default)) + (uuid ff6f05a1-0602-4dab-bbeb-1b2237c3e2c6) ) - (text "NOP" (at 204.47 64.77 0) + (text "NOP" (at 151.13 76.2 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 0024cf12-b055-4292-a12f-a72c0738f0d4) - ) - (text "NOP" (at 120.65 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 00918a03-a971-42e9-b911-9cbb5a387766) - ) - (text "NOP" (at 143.51 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 0398a3d4-e831-4008-a43a-0d28809bdc84) - ) - (text "S1" (at 219.71 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 06180fd5-0679-47de-8d35-16e9a7fc182d) - ) - (text "NOP" (at 151.13 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 08dab2e7-1e19-43e4-8735-884b80980ce0) - ) - (text "Video Access" (at 116.84 30.48 0) - (effects (font (size 2.6416 2.6416)) (justify left bottom)) - (uuid 09b6f503-cb7e-4c89-8f8f-aaee01573f96) - ) - (text "MD[7:0] (read)" (at 91.44 83.82 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 138c567e-3b0d-4599-b60d-3426e7683b6f) + (uuid 00327607-49da-4f4a-97d8-632c8ddc2b0d) ) (text "NOP" (at 189.23 64.77 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 15a0626b-5a4b-4cf2-8cb8-c1b989eb301c) - ) - (text "NOP" (at 151.13 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 15dfc410-94b1-4260-ace1-c2320ed6522a) + (uuid 006d1f33-6a2f-421c-ac12-c80c233c1a15) ) (text "CMD (idle)" (at 91.44 64.77 0) (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 1883bbea-226c-41ae-a4b8-99b0d8c412fd) + (uuid 029056f4-944d-4b01-8abe-f01e773d1d80) ) - (text "NOP" (at 143.51 76.2 0) + (text "S9" (at 175.26 41.91 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 21cb26fd-401e-4084-9624-c7f142f1f703) + (uuid 0292ff7f-b316-4087-8eac-669934846795) ) - (text "MD[7:0] (write)" (at 91.44 87.63 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 24b88ba5-cb95-406e-b884-2285330be80c) - ) - (text "ACT" (at 128.27 76.2 0) + (text "AREF" (at 158.75 64.77 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 2a7451bf-8504-4acc-8017-6e9a01b80265) - ) - (text "NOP" (at 105.41 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 2b1ed925-9137-4dea-a868-ad790d15b1fb) - ) - (text "NOP" (at 181.61 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 2b1f9ecb-b6b0-42a8-88d5-83fc55428259) - ) - (text "NOP" (at 196.85 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 2bd533a3-2397-4e14-ba92-b4e4e4767f41) - ) - (text "NOP" (at 204.47 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 2f6376d8-612f-43bf-b4f5-4b794b2463de) - ) - (text "ACT" (at 128.27 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 2f8d99a1-d392-4d6f-9b60-beedff02419c) - ) - (text "NOP" (at 196.85 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 36269a83-2920-40ba-92e5-9baa5c357ef8) - ) - (text "DQML" (at 91.44 53.34 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 3b8c32ea-a384-4f83-818a-c727dbf55e37) - ) - (text "CMD (read)" (at 91.44 72.39 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 3fd26e00-c1eb-42ab-a403-a233888057d5) + (uuid 02f484b1-2475-4474-9ce6-02f24475e12a) ) (text "Information may be out of date. See ./cpld/RAM2E.v" (at 105.41 93.98 0) (effects (font (size 2.54 2.54)) (justify left bottom)) - (uuid 415d16f9-975f-413e-8ae6-a021fc789b90) - ) - (text "NOP" (at 212.09 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 433e959e-4472-4f07-913f-299cc18aec87) - ) - (text "CKE (read/write)" (at 91.44 68.58 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 434eea81-83b0-4143-a79f-ed3579bdbd26) - ) - (text "S7" (at 160.02 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 43718c5a-e55f-43c1-ac47-927aa51e5a4f) - ) - (text "NOP" (at 166.37 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 444f8b36-9867-4844-8381-f97151bdc155) - ) - (text "AREF" (at 158.75 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 447d3110-1fe5-450f-a311-f3d5e69911b9) - ) - (text "SE" (at 106.68 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 535b5753-974a-469a-8a0e-bf1bfa72bd35) - ) - (text "VD[7:0]" (at 91.44 80.01 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 562581b0-b645-4eb2-b9f7-643c257bbd59) - ) - (text "80-col video data" (at 175.26 80.01 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 564a6bed-3d8f-4dea-9d01-fce198cfa12b) - ) - (text "SD" (at 99.06 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 5900d16d-d6fa-422d-964e-cca2dcd0c8f2) - ) - (text "NOP" (at 196.85 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 5a82051b-2ab3-4132-beb9-247dc551c427) - ) - (text "NOP" (at 113.03 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 5ba3f002-f283-4ac4-b09e-5421ec93518a) - ) - (text "NOP" (at 212.09 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 5bf7e28e-87a8-404a-89c4-996f6709de53) - ) - (text "NOP" (at 189.23 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 5c88aea6-1bae-4817-8a74-6c93a05dfea2) - ) - (text "RD+AP" (at 134.62 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 62fc2645-afd5-4e20-89f2-3514988e3c46) - ) - (text "S9" (at 175.26 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 67e1df8c-4766-41a2-a2aa-8d15392fa613) - ) - (text "NOP" (at 113.03 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 6be8c628-c243-4cbf-9c0b-bdbfca7d795c) - ) - (text "S3" (at 129.54 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 6e41b566-805d-465b-bc82-73d6805048a0) - ) - (text "NOP" (at 97.79 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 6ffbe422-8db5-49ad-b7da-2f880dae5dc6) - ) - (text "NOP" (at 151.13 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 70c4f795-a403-48b6-978a-17ff093e3608) - ) - (text "S2" (at 121.92 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 70fe5de3-955c-46c5-9780-9553cae2c25b) - ) - (text "CKE (idle)" (at 91.44 60.96 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 7ca717d3-63ff-4f84-8783-8bc1630c2a71) - ) - (text "AREF" (at 158.75 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 89b7525a-9339-4387-9f98-7bf54db4c47c) - ) - (text "State" (at 91.44 41.91 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 8deb48d2-d0d9-4e48-8534-40229a5ff0c2) - ) - (text "ACT" (at 128.27 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 8e995c8d-92e5-4f89-9a35-44baa0a77940) - ) - (text "write data" (at 187.96 87.63 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 909601be-c4bb-4402-a2a4-1df82ed176ca) - ) - (text "S5" (at 144.78 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 94ccfcc4-7187-4c1f-a741-cf3748110bbd) - ) - (text "NOP" (at 218.44 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 958e9e27-f3d5-4517-9d23-753c09033edc) - ) - (text "RD+AP" (at 180.34 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 9bdaba64-3e3c-4084-bbd3-4ee4a198edf8) - ) - (text "NOP" (at 113.03 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 9d60dc20-08c6-4271-8718-e236dcd67116) - ) - (text "RD+AP" (at 134.62 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 9fe58412-e232-492e-bd41-05863b101217) - ) - (text "NOP" (at 143.51 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid a2c54ff1-a70a-49f3-89c9-ba233ef7ea18) + (uuid 06c6cba0-1507-4f35-9d0c-be1f9cba6a66) ) (text "NOP" (at 218.44 64.77 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid a6b20a97-ebab-4f8d-b66a-711fff9b9ae5) - ) - (text "NOP" (at 212.09 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid a7246387-fa4a-42d5-b1e6-41eb6c0c698d) - ) - (text "ACT" (at 173.99 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid a73a314c-ba28-4f9f-ab1a-3330e551db57) - ) - (text "NOP" (at 166.37 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid a753b450-c938-4345-876c-9abeaea95837) - ) - (text "S1" (at 114.3 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid ace7f872-4f3d-4368-9057-2e40efd89986) - ) - (text "C14M" (at 91.44 38.1 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid ae4c5b14-3575-4531-9e4f-1bd739b2e691) - ) - (text "SD" (at 205.74 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid af6bedcd-ae32-4fb2-b5b4-94b7b50d5df3) - ) - (text "6502 CPU Access" (at 167.64 30.48 0) - (effects (font (size 2.54 2.54)) (justify left bottom)) - (uuid b0c8343f-ed23-43e5-879c-1626a6f6470d) - ) - (text "NOP" (at 97.79 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid bbdb3815-1a7e-44bd-93cc-f01237298ec2) - ) - (text "DQMH" (at 91.44 57.15 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid bc44dbc4-cb77-4936-9a5e-53ae2975469d) - ) - (text "WR+AP" (at 180.34 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid beba14cd-02f5-415e-b716-7db3c14d3e43) - ) - (text "NOP" (at 97.79 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid bf6ad939-3a4f-491b-add2-19c6325d8727) - ) - (text "NOP" (at 120.65 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid c37da4a4-a3b7-41f4-a502-da1985c77112) - ) - (text "SB" (at 190.5 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid c7214018-be48-4260-bd1b-a97ce0509784) - ) - (text "S8" (at 167.64 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid c8ed8938-fe9c-4c99-824e-9212a234c902) - ) - (text "NOP" (at 204.47 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid cd4ecef8-478a-48eb-92f9-dd4abb63d2b6) - ) - (text "RD+AP" (at 134.62 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid cfd89d18-aca6-4050-9f99-713122f7cc1d) - ) - (text "NOP" (at 173.99 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid d03b0901-06f5-407a-8b8b-d59130fd8410) - ) - (text "NOP" (at 120.65 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid d067845d-bfd5-42f2-a48e-d58c568dd745) - ) - (text "PHI0" (at 91.44 45.72 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid d0d2f9c5-6e53-41b1-89ce-1f9726fb33b8) - ) - (text "RA[7:0]" (at 91.44 49.53 0) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid d2a11bef-6bd9-4b92-ba9d-1df7f5b4ba10) - ) - (text "SE" (at 213.36 41.91 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid d619326e-5e3e-4b1d-8964-30759cabc38c) - ) - (text "NOP" (at 189.23 72.39 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid d7ad76b2-9395-4d18-8f15-4b40a9eae01c) + (uuid 0a267786-9952-40dd-b3b4-e4cece5b6d0e) ) (text "S6" (at 152.4 41.91 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid d9247cf2-3b3b-4f0d-b657-b23173558ddf) + (uuid 0f66ea72-f50e-4cc6-bc45-558ba4af15e8) ) - (text "NOP" (at 105.41 72.39 0) + (text "S8" (at 167.64 41.91 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid df72d07b-99bc-479d-b58d-14ca47aa709b) + (uuid 0fddc2a9-5723-4949-98a2-0d01ddabb949) ) - (text "S4" (at 137.16 41.91 0) + (text "PCall" (at 143.51 76.2 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid e0cb895c-9541-4218-9439-6ecdbd6b5632) + (uuid 112213f8-f4ac-4380-b94a-6f83ecf5ae43) ) - (text "NOP" (at 218.44 76.2 0) + (text "S3" (at 129.54 41.91 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid e18c16f0-f4c1-45ec-a6b2-525f34687d02) + (uuid 124bb43b-f4ce-4b9d-be2e-4876da00fa09) ) - (text "ACT" (at 173.99 72.39 0) + (text "NOP" (at 218.44 72.39 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid e3350b05-b1cb-4998-a755-fd53078a4ca0) + (uuid 201ce76e-5c64-4bff-b2f5-463ce291e42c) ) - (text "AREF" (at 158.75 72.39 0) + (text "ACT" (at 173.99 76.2 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid ea69a34c-695d-47aa-84d2-58785a7904e2) + (uuid 21db5a89-ff79-4bd5-ac16-0f9523b697a5) + ) + (text "S7" (at 160.02 41.91 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 2245c0bf-7faf-4b60-99b2-02254d3437ad) + ) + (text "NOP" (at 105.41 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 2bc47ac6-f311-4028-a21a-520e1e9e9383) + ) + (text "NOP" (at 204.47 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 2cfdd5aa-71e8-4bef-b276-0645871efb7d) ) (text "read data" (at 201.93 83.82 0) (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ef27f359-f1ce-4350-849f-aecee20f0967) + (uuid 30b7ca2c-897e-4ca1-a5cd-36eeb6357c73) ) - (text "NOP" (at 105.41 76.2 0) + (text "PCall" (at 143.51 64.77 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid f080566a-5766-4b3b-a6f5-da937063b6db) + (uuid 311a919d-df08-451f-b946-660a438b8d52) ) - (text "CMD (write)" (at 91.44 76.2 0) + (text "MD[7:0] (write)" (at 91.44 87.63 0) (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid f333d6a9-9b35-473b-9f23-55e846a6ffdd) + (uuid 332be257-0c71-4aa2-b19d-72f6dd829835) + ) + (text "Video Access" (at 116.84 30.48 0) + (effects (font (size 2.6416 2.6416)) (justify left bottom)) + (uuid 390ea685-e7ea-4b0a-b8bb-004d90e13a9a) + ) + (text "MD[7:0] (read)" (at 91.44 83.82 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3c42b24f-a61b-494a-8a12-107d894862f8) + ) + (text "ACT" (at 173.99 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 3d417d19-2872-4019-9f16-1a7721dc97e7) + ) + (text "PHI0" (at 91.44 45.72 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3f7ed024-042e-4101-87b5-4e96e7c09dfc) + ) + (text "S2" (at 121.92 41.91 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 42749321-77a5-4ba5-a2f3-72efa50ba1de) + ) + (text "NOP" (at 113.03 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 4dd84a3a-f46c-4555-b008-5cd7b64e599f) + ) + (text "NOP" (at 120.65 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 505fcc79-658e-4007-a5da-33ff66707b5e) + ) + (text "NOP" (at 218.44 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 53faf4ce-dce3-42dc-9094-d538ffd073f6) + ) + (text "RD" (at 137.16 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 58d8b5df-a886-4a8a-9f44-07299bb2b9c3) + ) + (text "NOP" (at 212.09 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 5a86d19f-ba52-43e5-9a5a-8bfad78c98d2) + ) + (text "ACT" (at 128.27 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 5c08af29-f157-43ab-9b77-91162fe8898a) + ) + (text "RD" (at 137.16 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 5f8964d1-01d9-4ae6-8632-7c452f65bb81) + ) + (text "NOP" (at 196.85 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 65f6c493-caee-4bc4-800f-7c7c47194803) + ) + (text "NOP" (at 173.99 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 678404be-4f70-412c-a490-28d54a71a864) + ) + (text "NOP" (at 189.23 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 6de9ca33-f55b-4218-893a-d343447e678d) + ) + (text "SD" (at 99.06 41.91 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 6e449c78-94e5-46d3-a808-3d1a04ca9c6c) + ) + (text "VD[7:0]" (at 91.44 80.01 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7326bd0a-ea51-4b69-a055-b058ae83a1cc) + ) + (text "NOP" (at 151.13 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 7512c457-c48a-464d-aed6-d484a2ae2155) + ) + (text "AREF" (at 158.75 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 77c94a3c-f128-43bd-b6ac-88e80cc4e76a) + ) + (text "RD" (at 137.16 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 7bba6516-7c44-40c7-a65a-ce4597af548d) + ) + (text "NOP" (at 120.65 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 7d0c1740-57e2-426c-8f6e-867884381870) ) (text "SA" (at 182.88 41.91 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid f7504c78-33bb-41d7-9e19-ccec46a8cfd6) + (uuid 7ffc41d8-2978-41c1-b284-1d21f1c178bd) + ) + (text "S1" (at 114.3 41.91 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 829c80ae-281b-4861-8dc3-5bce63350203) + ) + (text "NOP" (at 105.41 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 84a9a8aa-ec08-4793-bb39-61dcf50a62d2) + ) + (text "PCall" (at 196.85 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 89e44d58-524e-4a8f-afd7-bdb04ef29948) + ) + (text "RD" (at 182.88 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 93746d52-47aa-4387-94c7-d8b03903496c) + ) + (text "ACT" (at 128.27 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 967c283c-09af-46f3-a552-3e9679c27fc4) + ) + (text "NOP" (at 166.37 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 98dd2057-dcb1-4f12-9828-1a4111e9524b) + ) + (text "NOP" (at 97.79 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 9d06ac88-daaf-4f0f-9f66-4d6139b46790) + ) + (text "NOP" (at 181.61 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 9db33c4b-0883-4e9b-b541-87ac54c66f9e) + ) + (text "CKE (read/write)" (at 91.44 68.58 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9fa87244-391e-4af6-9ec7-16253dce35fd) + ) + (text "NOP" (at 212.09 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid a3fbec16-f204-41b1-a41d-13a881f1128e) + ) + (text "NOP" (at 166.37 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid a5f9cffb-1bbc-4082-ad43-4fdb51f79aa0) + ) + (text "write data" (at 187.96 87.63 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid aeb9a845-a39a-4dfa-9e0c-1dbadcb5fc1b) + ) + (text "NOP" (at 105.41 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid b0254473-a9d5-4c1c-993e-d9cc89715720) ) (text "NOP" (at 166.37 64.77 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid f762c7b1-6832-41a4-b461-43669c37dd07) + (uuid b10a06ab-5dac-431a-86db-7f0c3ffd3b3a) + ) + (text "NOP" (at 204.47 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid b36f39f8-934d-4188-a9a0-3dceb104e73f) + ) + (text "CMD (write)" (at 91.44 76.2 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b47d7fe9-b73a-473f-90bd-b120709e9849) + ) + (text "ACT" (at 128.27 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid b6491eb8-8a77-4cf7-b137-ffb2a60f9354) + ) + (text "DQML" (at 91.44 53.34 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b703982f-641e-4624-8ab8-dde707a0f63b) + ) + (text "C14M" (at 91.44 38.1 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b85e6242-d5ee-4415-b4f6-5fefe46d2a30) + ) + (text "PCall" (at 196.85 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid b9255f62-371a-47d2-bde3-6c2d76a32286) ) (text "SC" (at 198.12 41.91 0) (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid fc7dc988-dc6f-4731-8d2f-1ba1e4eaea6e) + (uuid bf2b6741-6143-4138-ae34-54ac4b062bc6) + ) + (text "NOP" (at 97.79 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid c0d189f7-077c-4189-8fbc-b1fb3081ed95) + ) + (text "SB" (at 190.5 41.91 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid c369e334-a889-4f4f-a7e3-03a1a45c7c0f) + ) + (text "NOP" (at 204.47 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid c54d64fc-e9cd-4035-ae3d-0a4cdfc19a19) + ) + (text "NOP" (at 113.03 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid c5dbb2c0-d48b-4740-8c21-bef01b0fcf5b) + ) + (text "AREF" (at 158.75 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid c77d4bad-f041-4284-bc48-442d216126b4) + ) + (text "S4" (at 137.16 41.91 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid cedc51a8-4314-484d-9413-1048b9c54e79) + ) + (text "NOP" (at 212.09 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid d281d134-f401-45df-bd8d-9b35ae3a9c21) + ) + (text "RA[7:0]" (at 91.44 49.53 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d3e47643-142f-4206-a680-d25c7b6680f9) + ) + (text "State" (at 91.44 41.91 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid da70f917-56b5-4401-92e4-e58e4737aad7) + ) + (text "S5" (at 144.78 41.91 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid dace1370-3174-4185-9245-da3f9c139243) + ) + (text "S1" (at 219.71 41.91 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid dc09e17f-8ed6-4216-ba9a-45cf546228fb) + ) + (text "6502 CPU Access" (at 167.64 30.48 0) + (effects (font (size 2.54 2.54)) (justify left bottom)) + (uuid ded08eab-f6bb-4dfc-9c20-a4742db2aec6) + ) + (text "NOP" (at 189.23 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid e147f95e-14d6-4314-b9ef-a582d4c0ea92) + ) + (text "SE" (at 106.68 41.91 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid e59538fd-ecf6-4989-af78-cdb64a18a7df) + ) + (text "SD" (at 205.74 41.91 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid e5a9b09e-7d6f-4919-9e24-4276dfe85a98) + ) + (text "SE" (at 213.36 41.91 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid e93a7915-59d8-4966-8b31-aeabf906085d) + ) + (text "DQMH" (at 91.44 57.15 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ea53cc0d-18fe-4c2e-9653-2ea8af8db0d3) + ) + (text "CMD (read)" (at 91.44 72.39 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ebdcfd5d-56d2-4680-81ea-a60928608e93) + ) + (text "PCall" (at 143.51 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid ee4e22eb-458e-4d90-9f1c-016e9840312b) + ) + (text "NOP" (at 97.79 72.39 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid f0081a60-d545-4975-8f30-1b1db66a7a34) + ) + (text "80-col video data" (at 175.26 80.01 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f1c65374-bedb-4c6b-95d0-42b5b2fbf749) + ) + (text "WR" (at 182.88 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid f51aba0b-40ec-4daa-a5d3-0a7e528af955) + ) + (text "NOP" (at 151.13 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid f694b1f1-be7c-42c6-ac12-6ef08fd9d0f7) + ) + (text "NOP" (at 120.65 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid f7ecb32a-4b9b-45b5-9b29-8fdb66293651) + ) + (text "CKE (idle)" (at 91.44 60.96 0) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f8e47646-7b2e-4879-bfdd-ebf2d7f25679) + ) + (text "NOP" (at 113.03 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid fed247dc-cc71-49ae-8781-7ab1207385d9) ) ) diff --git a/Hardware/LCMXO2/Documentation/RAM2E.4203B.LCMXO2-Placement 2.pdf b/Hardware/LCMXO2/Documentation/RAM2E.4203B.LCMXO2-Placement 2.pdf deleted file mode 100644 index 6436e4d..0000000 Binary files a/Hardware/LCMXO2/Documentation/RAM2E.4203B.LCMXO2-Placement 2.pdf and /dev/null differ diff --git a/Hardware/LCMXO2/Documentation/RAM2E.4203B.LCMXO2-Schematic 2.pdf b/Hardware/LCMXO2/Documentation/RAM2E.4203B.LCMXO2-Schematic 2.pdf deleted file mode 100644 index 3cce608..0000000 Binary files a/Hardware/LCMXO2/Documentation/RAM2E.4203B.LCMXO2-Schematic 2.pdf and /dev/null differ diff --git a/Hardware/MAX/Docs.kicad_sch b/Hardware/MAX/Docs.kicad_sch index a5e7b38..ff00598 100644 --- a/Hardware/MAX/Docs.kicad_sch +++ b/Hardware/MAX/Docs.kicad_sch @@ -14,333 +14,6 @@ (lib_symbols ) - (junction (at 219.71 49.53) (diameter 0) (color 0 0 0 0) - (uuid 023e768e-c80b-4c4d-8235-d6d7308f8231) - ) - (junction (at 157.48 49.53) (diameter 0) (color 0 0 0 0) - (uuid 02f631d9-1f11-4837-9594-2bded2ee7f6a) - ) - (junction (at 203.2 46.99) (diameter 0) (color 0 0 0 0) - (uuid 08bee640-77c4-4024-9200-ad7575e46677) - ) - (junction (at 172.72 49.53) (diameter 0) (color 0 0 0 0) - (uuid 09a8c7c7-cbff-4679-b327-987bfc10e933) - ) - (junction (at 168.91 49.53) (diameter 0) (color 0 0 0 0) - (uuid 0a8cf5ce-46da-4ae6-bf3a-786a175c21f4) - ) - (junction (at 120.65 46.99) (diameter 0) (color 0 0 0 0) - (uuid 0b04d4b3-700b-450d-b75d-955bd9dfc943) - ) - (junction (at 116.84 46.99) (diameter 0) (color 0 0 0 0) - (uuid 0b8fc98c-ffef-443c-9481-1df816916bd2) - ) - (junction (at 111.76 46.99) (diameter 0) (color 0 0 0 0) - (uuid 0ca55b3b-a5c0-4cc5-bf51-40dbf8b6aaf3) - ) - (junction (at 119.38 46.99) (diameter 0) (color 0 0 0 0) - (uuid 0e7e0e0c-8656-4838-a3db-e83204c12867) - ) - (junction (at 187.96 54.61) (diameter 0) (color 0 0 0 0) - (uuid 1136c1b1-74a7-4f66-81ba-881097fd92c5) - ) - (junction (at 212.09 46.99) (diameter 0) (color 0 0 0 0) - (uuid 16f93205-6b80-40ef-aa69-0764afba07b3) - ) - (junction (at 167.64 49.53) (diameter 0) (color 0 0 0 0) - (uuid 1761654a-aa60-4ba6-8c2b-4046dbfcc9d2) - ) - (junction (at 104.14 49.53) (diameter 0) (color 0 0 0 0) - (uuid 18d882da-d930-4891-8c25-907ecd913745) - ) - (junction (at 118.11 49.53) (diameter 0) (color 0 0 0 0) - (uuid 1b2bf79d-a6f2-4df9-aa9f-33441c06a18e) - ) - (junction (at 160.02 46.99) (diameter 0) (color 0 0 0 0) - (uuid 20c45016-c807-4d75-a341-ecef3c23d601) - ) - (junction (at 210.82 49.53) (diameter 0) (color 0 0 0 0) - (uuid 2a6be5ab-e243-4ed8-b61e-321fe452ef99) - ) - (junction (at 158.75 46.99) (diameter 0) (color 0 0 0 0) - (uuid 2bb1da1e-e449-4ef7-a4cd-6e5221e827e3) - ) - (junction (at 102.87 49.53) (diameter 0) (color 0 0 0 0) - (uuid 31511022-fc66-4b58-bd42-d057f2fa6f6d) - ) - (junction (at 97.79 46.99) (diameter 0) (color 0 0 0 0) - (uuid 31e3d7e3-9a57-4099-b5ca-99401fd77ee6) - ) - (junction (at 218.44 49.53) (diameter 0) (color 0 0 0 0) - (uuid 32b94ba5-7eb5-4802-8b9f-d8f63ff8f054) - ) - (junction (at 96.52 46.99) (diameter 0) (color 0 0 0 0) - (uuid 32c30853-7708-47a2-aa3f-80ec0f300a06) - ) - (junction (at 153.67 46.99) (diameter 0) (color 0 0 0 0) - (uuid 3520a0a1-559b-4dd5-a928-9813577726f5) - ) - (junction (at 105.41 86.36) (diameter 0) (color 0 0 0 0) - (uuid 371bff21-be2d-45f7-a031-c150552c76e3) - ) - (junction (at 175.26 46.99) (diameter 0) (color 0 0 0 0) - (uuid 38d432d6-99db-4431-9f0a-df450334bf04) - ) - (junction (at 212.09 82.55) (diameter 0) (color 0 0 0 0) - (uuid 3984dccb-811e-41ce-a3e2-975b3b73b7ec) - ) - (junction (at 153.67 49.53) (diameter 0) (color 0 0 0 0) - (uuid 3aef8d30-532c-425d-8789-960bfbe66a4c) - ) - (junction (at 104.14 46.99) (diameter 0) (color 0 0 0 0) - (uuid 3b77cffb-b86b-426b-9571-b19cbd12fc4c) - ) - (junction (at 173.99 49.53) (diameter 0) (color 0 0 0 0) - (uuid 3c0c9a03-7a04-4e1c-a947-e41fd0c6ed65) - ) - (junction (at 105.41 46.99) (diameter 0) (color 0 0 0 0) - (uuid 3db18e2d-62d8-415d-bd3f-34b304e97169) - ) - (junction (at 207.01 49.53) (diameter 0) (color 0 0 0 0) - (uuid 41330ca0-8082-4828-b484-9620db28dc02) - ) - (junction (at 218.44 46.99) (diameter 0) (color 0 0 0 0) - (uuid 4ad4bc26-117b-42ed-acf1-6aa3cf878c22) - ) - (junction (at 160.02 49.53) (diameter 0) (color 0 0 0 0) - (uuid 4d386ba8-a539-43c7-ae41-af59a7464278) - ) - (junction (at 99.06 46.99) (diameter 0) (color 0 0 0 0) - (uuid 4fe71f5b-faa3-4557-871c-34f152dcada3) - ) - (junction (at 156.21 49.53) (diameter 0) (color 0 0 0 0) - (uuid 53f32ca1-ae30-4796-a08d-19f2b4b807aa) - ) - (junction (at 165.1 49.53) (diameter 0) (color 0 0 0 0) - (uuid 5879de1b-6652-4e2d-b699-ae08990f0e6e) - ) - (junction (at 179.07 54.61) (diameter 0) (color 0 0 0 0) - (uuid 598b1bb2-8865-415c-b3e9-d8f667c1c6d0) - ) - (junction (at 116.84 49.53) (diameter 0) (color 0 0 0 0) - (uuid 5f6db7cf-341b-4d96-8815-294beded6f61) - ) - (junction (at 101.6 46.99) (diameter 0) (color 0 0 0 0) - (uuid 60cadb3f-bc6b-4ad0-8fa8-62973852bfa0) - ) - (junction (at 157.48 86.36) (diameter 0) (color 0 0 0 0) - (uuid 60ec90f3-15e7-430e-9b21-7ca01039da09) - ) - (junction (at 152.4 46.99) (diameter 0) (color 0 0 0 0) - (uuid 60f9d4c5-353f-44c7-b0e0-6ab2bf728c91) - ) - (junction (at 195.58 82.55) (diameter 0) (color 0 0 0 0) - (uuid 68141419-f81d-477d-84ba-e679db7aa7ed) - ) - (junction (at 168.91 46.99) (diameter 0) (color 0 0 0 0) - (uuid 76494bc6-8344-472d-a5a5-a57c8c99e49e) - ) - (junction (at 149.86 46.99) (diameter 0) (color 0 0 0 0) - (uuid 7b7fbb1a-873c-4c6c-8a97-7ea69093498d) - ) - (junction (at 204.47 49.53) (diameter 0) (color 0 0 0 0) - (uuid 7b9be1e2-cb4c-4580-b50f-02092a3b2abe) - ) - (junction (at 209.55 49.53) (diameter 0) (color 0 0 0 0) - (uuid 7ce7154e-69ad-4483-9b8c-cf9337dc848a) - ) - (junction (at 120.65 49.53) (diameter 0) (color 0 0 0 0) - (uuid 804e0e3c-bce6-4a05-8bb2-f8a346454327) - ) - (junction (at 151.13 46.99) (diameter 0) (color 0 0 0 0) - (uuid 81264925-22de-49ee-8f1d-8c918c0d5cd9) - ) - (junction (at 175.26 49.53) (diameter 0) (color 0 0 0 0) - (uuid 81851d72-8201-455c-a9eb-c9fe4b1cca93) - ) - (junction (at 101.6 49.53) (diameter 0) (color 0 0 0 0) - (uuid 81ad12e9-77d0-4c81-af9f-806b9ce37c26) - ) - (junction (at 179.07 50.8) (diameter 0) (color 0 0 0 0) - (uuid 879936af-95c9-445a-a99c-087eaf9164e2) - ) - (junction (at 172.72 46.99) (diameter 0) (color 0 0 0 0) - (uuid 87c49694-ca05-4f03-8a88-4d1949a0746b) - ) - (junction (at 118.11 46.99) (diameter 0) (color 0 0 0 0) - (uuid 89d1d722-588b-4330-ac11-6411988f47ce) - ) - (junction (at 154.94 49.53) (diameter 0) (color 0 0 0 0) - (uuid 8a4ed48f-3add-40f8-a4ed-f5868a094ed9) - ) - (junction (at 113.03 46.99) (diameter 0) (color 0 0 0 0) - (uuid 8d05ad7e-ea2f-4146-91f2-2dd7616bbc6f) - ) - (junction (at 220.98 49.53) (diameter 0) (color 0 0 0 0) - (uuid 8eca6323-7ea6-4ed8-817e-b2d38771b9ed) - ) - (junction (at 187.96 50.8) (diameter 0) (color 0 0 0 0) - (uuid 911b7879-0858-461f-b92f-5136a7eca757) - ) - (junction (at 173.99 46.99) (diameter 0) (color 0 0 0 0) - (uuid 91a73a6e-8db0-456c-a24c-17743f340479) - ) - (junction (at 166.37 49.53) (diameter 0) (color 0 0 0 0) - (uuid 91aa5954-cca5-4ae9-a994-271bf456de04) - ) - (junction (at 114.3 49.53) (diameter 0) (color 0 0 0 0) - (uuid 973f04c6-7a99-4b81-854b-9babab7525e1) - ) - (junction (at 97.79 49.53) (diameter 0) (color 0 0 0 0) - (uuid 97b9bc8e-2708-423c-9fd6-9d5fd5ebc8aa) - ) - (junction (at 154.94 46.99) (diameter 0) (color 0 0 0 0) - (uuid 983dedc9-2f46-40b3-80ff-aca0296d7334) - ) - (junction (at 100.33 49.53) (diameter 0) (color 0 0 0 0) - (uuid 999da4b5-e9e9-44e2-a720-788a2d8314e1) - ) - (junction (at 170.18 49.53) (diameter 0) (color 0 0 0 0) - (uuid 9af8913b-7705-4ccd-8452-0ef62dc3e1db) - ) - (junction (at 152.4 49.53) (diameter 0) (color 0 0 0 0) - (uuid 9bc0105f-2b7e-4199-a2a0-93c18aafcbda) - ) - (junction (at 209.55 46.99) (diameter 0) (color 0 0 0 0) - (uuid a194545c-e638-4b74-a350-752aae2236f0) - ) - (junction (at 207.01 46.99) (diameter 0) (color 0 0 0 0) - (uuid a76299ca-2f9c-4363-ae9f-a1cb876a3e1c) - ) - (junction (at 212.09 78.74) (diameter 0) (color 0 0 0 0) - (uuid a8bcb775-fa82-44e4-8a64-b89a0bdc3b36) - ) - (junction (at 111.76 49.53) (diameter 0) (color 0 0 0 0) - (uuid aec2960b-2205-4011-9e9e-69a0f06afce6) - ) - (junction (at 96.52 49.53) (diameter 0) (color 0 0 0 0) - (uuid b0d021ad-8bf2-46b2-b6c9-b55b22e76299) - ) - (junction (at 99.06 49.53) (diameter 0) (color 0 0 0 0) - (uuid b255a182-0424-4f93-b056-f9422c9d9de3) - ) - (junction (at 105.41 78.74) (diameter 0) (color 0 0 0 0) - (uuid b30e4cbd-f3db-4270-a802-da334cca69e8) - ) - (junction (at 166.37 46.99) (diameter 0) (color 0 0 0 0) - (uuid b334b7af-04a7-4df4-94cd-838cf1e30db1) - ) - (junction (at 167.64 46.99) (diameter 0) (color 0 0 0 0) - (uuid b54a3872-0ad8-4a08-9871-237c9231c51a) - ) - (junction (at 102.87 46.99) (diameter 0) (color 0 0 0 0) - (uuid b8fde4ab-36e5-40ed-976b-b2eb45a621bd) - ) - (junction (at 212.09 86.36) (diameter 0) (color 0 0 0 0) - (uuid b997be7d-f867-4a01-ab82-333e8540cdc8) - ) - (junction (at 204.47 46.99) (diameter 0) (color 0 0 0 0) - (uuid c005725e-6454-4cc7-93ff-22da82d61e1c) - ) - (junction (at 213.36 46.99) (diameter 0) (color 0 0 0 0) - (uuid c2a6eef1-b40a-47e0-9bb9-8b8d0533e926) - ) - (junction (at 157.48 78.74) (diameter 0) (color 0 0 0 0) - (uuid c3009271-aa72-4d5a-9b6e-624b8701d0b6) - ) - (junction (at 100.33 46.99) (diameter 0) (color 0 0 0 0) - (uuid c3752d85-ffe2-40f6-ab02-e60516937895) - ) - (junction (at 105.41 49.53) (diameter 0) (color 0 0 0 0) - (uuid c8fdb13e-e6d1-4732-8b91-d4cb85f328cd) - ) - (junction (at 119.38 49.53) (diameter 0) (color 0 0 0 0) - (uuid caaa4d7a-1073-4cd5-8ad1-19fda4c9734a) - ) - (junction (at 106.68 46.99) (diameter 0) (color 0 0 0 0) - (uuid cf8f8428-98ff-4867-9056-177da66f2436) - ) - (junction (at 121.92 49.53) (diameter 0) (color 0 0 0 0) - (uuid d3475d42-63ec-4354-9d74-4f40610de2e0) - ) - (junction (at 213.36 49.53) (diameter 0) (color 0 0 0 0) - (uuid d43f394a-07da-4bf6-9c3f-a901432b739f) - ) - (junction (at 212.09 49.53) (diameter 0) (color 0 0 0 0) - (uuid d6dcea32-e19c-4311-baf8-37d78138aa29) - ) - (junction (at 171.45 49.53) (diameter 0) (color 0 0 0 0) - (uuid d879c875-5c04-4b9b-a568-12f5be8844db) - ) - (junction (at 149.86 49.53) (diameter 0) (color 0 0 0 0) - (uuid d87ea75a-8469-43e7-b100-4125603ce358) - ) - (junction (at 114.3 46.99) (diameter 0) (color 0 0 0 0) - (uuid d8d9a31f-3292-40e3-afb6-9637f5b5e975) - ) - (junction (at 210.82 46.99) (diameter 0) (color 0 0 0 0) - (uuid dbb71d9b-2d74-4079-a2bf-78d338f89be8) - ) - (junction (at 156.21 46.99) (diameter 0) (color 0 0 0 0) - (uuid dc1be20e-eafe-4e2f-b013-538a7f0aa6ab) - ) - (junction (at 219.71 46.99) (diameter 0) (color 0 0 0 0) - (uuid dcdf8abb-39c6-4712-9fae-3c1a952e6952) - ) - (junction (at 170.18 46.99) (diameter 0) (color 0 0 0 0) - (uuid de544780-5f47-43a5-b9ac-97eebe32cacd) - ) - (junction (at 157.48 46.99) (diameter 0) (color 0 0 0 0) - (uuid e1108d7a-6d21-49f1-b733-93b0fda9a380) - ) - (junction (at 115.57 49.53) (diameter 0) (color 0 0 0 0) - (uuid e3512310-4ea5-430c-96db-fb0581d4d43b) - ) - (junction (at 208.28 49.53) (diameter 0) (color 0 0 0 0) - (uuid e426e27a-5d3b-45e3-98dd-75bee427b387) - ) - (junction (at 171.45 46.99) (diameter 0) (color 0 0 0 0) - (uuid e7ec3be1-e134-4597-859a-6f880c72b369) - ) - (junction (at 220.98 46.99) (diameter 0) (color 0 0 0 0) - (uuid eb0155ac-ab37-4176-b6c1-4e48afc0c4e6) - ) - (junction (at 115.57 46.99) (diameter 0) (color 0 0 0 0) - (uuid ebbe6021-667c-4cdd-86cc-576f2697462c) - ) - (junction (at 205.74 49.53) (diameter 0) (color 0 0 0 0) - (uuid eef077ee-a6c0-4f5e-8ad1-415d7a2a1301) - ) - (junction (at 121.92 46.99) (diameter 0) (color 0 0 0 0) - (uuid efcd708c-b7f0-437f-8cd2-288fa1fd1538) - ) - (junction (at 205.74 46.99) (diameter 0) (color 0 0 0 0) - (uuid f0a363a3-e3ef-415d-b8a1-500209ff079d) - ) - (junction (at 106.68 49.53) (diameter 0) (color 0 0 0 0) - (uuid f218cc95-c8b1-467a-8ca3-a49bae881013) - ) - (junction (at 208.28 46.99) (diameter 0) (color 0 0 0 0) - (uuid f2cc27ab-73ef-4208-8b7b-c6d20816c6f0) - ) - (junction (at 158.75 49.53) (diameter 0) (color 0 0 0 0) - (uuid f6dacab4-d86c-4bd3-b89d-681259efc050) - ) - (junction (at 105.41 82.55) (diameter 0) (color 0 0 0 0) - (uuid f9243352-9a8b-4cc8-99a4-64b28d7c34d2) - ) - (junction (at 113.03 49.53) (diameter 0) (color 0 0 0 0) - (uuid fa82c940-043e-48c3-a6df-576322ddcce6) - ) - (junction (at 151.13 49.53) (diameter 0) (color 0 0 0 0) - (uuid fc0fe6fe-7cde-4065-aeac-7835993256e2) - ) - (junction (at 203.2 49.53) (diameter 0) (color 0 0 0 0) - (uuid fd3ca562-38a9-4830-8497-fae5d1089e7b) - ) - (junction (at 165.1 46.99) (diameter 0) (color 0 0 0 0) - (uuid fefe62be-b2b3-412d-9987-bbf95c749e24) - ) (wire (pts (xy 92.71 81.28) (xy 104.14 81.28)) (stroke (width 0) (type default)) @@ -434,10 +107,6 @@ (stroke (width 0) (type default)) (uuid 0b4db766-7808-456a-afe9-e39a39ae51dd) ) - (wire (pts (xy 119.38 49.53) (xy 120.65 49.53)) - (stroke (width 0) (type default)) - (uuid 0b979d57-90cc-416d-96cb-f379f3b7002d) - ) (wire (pts (xy 179.07 69.85) (xy 180.34 72.39)) (stroke (width 0) (type default)) (uuid 0c811541-c8e1-4623-a878-88e09f1a905d) @@ -470,10 +139,6 @@ (stroke (width 0) (type default)) (uuid 101ba7e1-57ee-4b13-bb16-c7945132298c) ) - (wire (pts (xy 99.06 49.53) (xy 100.33 49.53)) - (stroke (width 0) (type default)) - (uuid 106bd468-3471-4ea2-9a3a-65964f6a5156) - ) (wire (pts (xy 149.86 76.2) (xy 156.21 76.2)) (stroke (width 0) (type default)) (uuid 10ab3869-a87a-4a53-a4bd-f9301d65d515) @@ -482,9 +147,9 @@ (stroke (width 0) (type default)) (uuid 10ce8253-d9bb-4a3c-bf92-a5540a1071c9) ) - (wire (pts (xy 218.44 49.53) (xy 219.71 49.53)) + (wire (pts (xy 140.97 58.42) (xy 142.24 60.96)) (stroke (width 0) (type default)) - (uuid 11e48093-aa74-4242-8b22-5a0c629c3a5e) + (uuid 112441fd-f844-40ee-8021-2809514e2c1f) ) (wire (pts (xy 133.35 72.39) (xy 134.62 69.85)) (stroke (width 0) (type default)) @@ -506,10 +171,6 @@ (stroke (width 0) (type default)) (uuid 13fbad64-af25-4dc4-abb2-8329e13e8ac7) ) - (wire (pts (xy 171.45 46.99) (xy 172.72 46.99)) - (stroke (width 0) (type default)) - (uuid 1417bfa2-6ada-4d28-aacf-55ce0e115ba0) - ) (wire (pts (xy 104.14 49.53) (xy 105.41 46.99)) (stroke (width 0) (type default)) (uuid 141ff1a4-7f6c-47a3-a336-c0a9e0cfb77d) @@ -566,10 +227,6 @@ (stroke (width 0) (type default)) (uuid 18683671-1ac3-4f2d-a7d9-d0be1f69954f) ) - (wire (pts (xy 209.55 49.53) (xy 210.82 49.53)) - (stroke (width 0) (type default)) - (uuid 198c24f9-feb4-44be-a800-e1a5592a8427) - ) (wire (pts (xy 217.17 64.77) (xy 218.44 62.23)) (stroke (width 0) (type default)) (uuid 19b1ff9e-7824-4e70-acab-48a9810172ed) @@ -606,9 +263,9 @@ (stroke (width 0) (type default)) (uuid 1cd0ccc8-fa0a-4320-8c9e-7993adb90c99) ) - (wire (pts (xy 116.84 49.53) (xy 118.11 49.53)) + (wire (pts (xy 140.97 66.04) (xy 119.38 66.04)) (stroke (width 0) (type default)) - (uuid 1d5d0cd4-03d3-4118-a023-c4a7e1f89ef7) + (uuid 1d28dc5e-af25-4b06-9eff-6aaef5e30d40) ) (wire (pts (xy 148.59 38.1) (xy 148.59 35.56)) (stroke (width 0) (type default)) @@ -622,10 +279,6 @@ (stroke (width 0) (type default)) (uuid 1e1acb99-caec-472b-89bc-4f26805225a0) ) - (wire (pts (xy 166.37 46.99) (xy 167.64 46.99)) - (stroke (width 0) (type default)) - (uuid 1e4aefb1-a129-4aae-9ae3-3ccba6cb780f) - ) (wire (pts (xy 209.55 76.2) (xy 210.82 73.66)) (stroke (width 0) (type default)) (uuid 1ee60542-ab5e-461b-ad6c-5bb7a23e3871) @@ -662,10 +315,6 @@ (stroke (width 0) (type default)) (uuid 225ae3ea-e675-40e2-8734-8240608a1e23) ) - (wire (pts (xy 187.96 50.8) (xy 222.25 50.8)) - (stroke (width 0) (type default)) - (uuid 22d310cd-ac5c-44a1-a3a1-c97bcdf0f76d) - ) (wire (pts (xy 165.1 76.2) (xy 171.45 76.2)) (stroke (width 0) (type default)) (uuid 236655bc-7752-43bc-a13c-2ec9adaea7bf) @@ -678,18 +327,10 @@ (stroke (width 0) (type default)) (uuid 2429e941-b13c-4ecc-bc01-ba3edeaafa09) ) - (wire (pts (xy 96.52 46.99) (xy 97.79 46.99)) - (stroke (width 0) (type default)) - (uuid 2440d512-1f6c-4bb6-b4ea-d46bbaa8348a) - ) (wire (pts (xy 201.93 38.1) (xy 201.93 35.56)) (stroke (width 0) (type default)) (uuid 24924e36-5235-42df-a6d8-8881ff68905d) ) - (wire (pts (xy 100.33 49.53) (xy 101.6 49.53)) - (stroke (width 0) (type default)) - (uuid 24d0ede9-ae30-4516-864b-6720fe39157f) - ) (wire (pts (xy 104.14 85.09) (xy 105.41 86.36)) (stroke (width 0) (type default)) (uuid 2564f6d9-b7bd-4366-a32f-cc51bc01381b) @@ -722,7 +363,7 @@ (stroke (width 0) (type default)) (uuid 2706c073-799e-493f-a12b-3832d1a710a8) ) - (wire (pts (xy 171.45 58.42) (xy 119.38 58.42)) + (wire (pts (xy 171.45 58.42) (xy 149.86 58.42)) (stroke (width 0) (type default)) (uuid 2715dc7d-99c6-4e22-8500-e11b22c8daab) ) @@ -730,10 +371,6 @@ (stroke (width 0) (type default)) (uuid 278d9fb7-b695-422e-a928-381f247012ee) ) - (wire (pts (xy 106.68 46.99) (xy 111.76 46.99)) - (stroke (width 0) (type default)) - (uuid 27b631d1-1637-4bce-be19-c9d04a7025f7) - ) (wire (pts (xy 95.25 62.23) (xy 92.71 62.23)) (stroke (width 0) (type default)) (uuid 27ea2070-1c2b-41b4-b1b2-725824e65e5d) @@ -770,18 +407,6 @@ (stroke (width 0) (type default)) (uuid 2aa08a57-3067-43a5-8d9b-4e58bfaed93c) ) - (wire (pts (xy 179.07 50.8) (xy 187.96 50.8)) - (stroke (width 0) (type default)) - (uuid 2abeea37-bda0-46b8-a4c0-46e803d7f1ad) - ) - (wire (pts (xy 166.37 49.53) (xy 167.64 49.53)) - (stroke (width 0) (type default)) - (uuid 2ad405e6-5685-4113-9aad-abc2e1910ca1) - ) - (wire (pts (xy 102.87 49.53) (xy 104.14 49.53)) - (stroke (width 0) (type default)) - (uuid 2ad75a72-82f0-44ce-bb00-4e0904eb2fbb) - ) (wire (pts (xy 95.25 76.2) (xy 92.71 76.2)) (stroke (width 0) (type default)) (uuid 2c3bba84-0f38-4363-92dd-71aee55df8f7) @@ -798,10 +423,6 @@ (stroke (width 0) (type default)) (uuid 2d492664-7af1-4e54-b4d1-04b41515a7f7) ) - (wire (pts (xy 175.26 49.53) (xy 203.2 49.53)) - (stroke (width 0) (type default)) - (uuid 2e7a9333-9351-41fa-97c3-64077929b692) - ) (wire (pts (xy 218.44 46.99) (xy 219.71 49.53)) (stroke (width 0) (type default)) (uuid 2eec6049-a2de-428f-91cf-05c7acf4fb8b) @@ -850,10 +471,6 @@ (stroke (width 0) (type default)) (uuid 33af9e9c-b902-48de-bd12-fc03aab5d456) ) - (wire (pts (xy 118.11 49.53) (xy 119.38 49.53)) - (stroke (width 0) (type default)) - (uuid 33ceb179-f36d-4da6-8d3b-a648d9229443) - ) (wire (pts (xy 172.72 41.91) (xy 179.07 41.91)) (stroke (width 0) (type default)) (uuid 340b1667-b6ee-4c15-9308-ffeae3fd5c49) @@ -870,10 +487,6 @@ (stroke (width 0) (type default)) (uuid 3569ec59-3eeb-4f9e-ae50-2f7fd97f8f2b) ) - (wire (pts (xy 209.55 46.99) (xy 210.82 46.99)) - (stroke (width 0) (type default)) - (uuid 35beb5e1-7d2f-4b3c-b0e3-d46d8c5fbfb6) - ) (wire (pts (xy 144.78 35.56) (xy 144.78 38.1)) (stroke (width 0) (type default)) (uuid 35decf56-0bdb-42cf-b2da-0611644d2661) @@ -882,6 +495,10 @@ (stroke (width 0) (type default)) (uuid 35efeb78-bef7-4872-98eb-ae6501d6bbfe) ) + (wire (pts (xy 149.86 66.04) (xy 148.59 68.58)) + (stroke (width 0) (type default)) + (uuid 360103b8-c090-4828-898e-81063a510803) + ) (wire (pts (xy 166.37 46.99) (xy 167.64 49.53)) (stroke (width 0) (type default)) (uuid 3624f2eb-858f-4ede-9b05-d5e8b49132ea) @@ -894,10 +511,6 @@ (stroke (width 0) (type default)) (uuid 36c78780-3944-4b62-884e-e31640f9ee03) ) - (wire (pts (xy 105.41 49.53) (xy 106.68 49.53)) - (stroke (width 0) (type default)) - (uuid 36d2b5c3-0e8d-451d-bc3f-32f1debc903a) - ) (wire (pts (xy 96.52 64.77) (xy 102.87 64.77)) (stroke (width 0) (type default)) (uuid 36ec1596-45ec-43bd-b831-9168dcafb698) @@ -914,10 +527,6 @@ (stroke (width 0) (type default)) (uuid 37d8b9ad-73f5-4b9e-b668-4a08eaeeec6a) ) - (wire (pts (xy 101.6 46.99) (xy 102.87 46.99)) - (stroke (width 0) (type default)) - (uuid 39011edc-a0e7-4756-94bd-d4e96f202803) - ) (wire (pts (xy 142.24 72.39) (xy 148.59 72.39)) (stroke (width 0) (type default)) (uuid 392acfc1-99e7-4d06-9c3e-339da5d1edcf) @@ -930,14 +539,6 @@ (stroke (width 0) (type default)) (uuid 3992d436-350b-41ad-bb56-a45b7d989ad6) ) - (wire (pts (xy 153.67 49.53) (xy 154.94 49.53)) - (stroke (width 0) (type default)) - (uuid 39946c19-70ec-40a4-88ac-a16df2f0d1ce) - ) - (wire (pts (xy 113.03 46.99) (xy 114.3 46.99)) - (stroke (width 0) (type default)) - (uuid 39b129ac-ff4a-4658-8b07-3a359466ae29) - ) (wire (pts (xy 120.65 49.53) (xy 121.92 46.99)) (stroke (width 0) (type default)) (uuid 39ec8c7e-92d4-4903-a260-24cf159685b8) @@ -946,18 +547,10 @@ (stroke (width 0) (type default)) (uuid 3a070770-76dc-4682-9593-6b3f2b20c50e) ) - (wire (pts (xy 153.67 46.99) (xy 154.94 46.99)) - (stroke (width 0) (type default)) - (uuid 3a8b9527-645e-4634-aa1e-ad34f2e4b8ac) - ) (wire (pts (xy 113.03 49.53) (xy 114.3 46.99)) (stroke (width 0) (type default)) (uuid 3ab50c80-c3c8-4a45-8a6f-cab382640593) ) - (wire (pts (xy 210.82 46.99) (xy 212.09 46.99)) - (stroke (width 0) (type default)) - (uuid 3b1d81a7-376e-40c1-a6ef-9ad5032b4a25) - ) (wire (pts (xy 118.11 73.66) (xy 119.38 76.2)) (stroke (width 0) (type default)) (uuid 3b757171-d861-4d70-8f08-0dd8b61ced6f) @@ -998,18 +591,14 @@ (stroke (width 0) (type default)) (uuid 41312ca6-e09c-4557-b1c5-af2eab62d0ac) ) - (wire (pts (xy 92.71 54.61) (xy 179.07 54.61)) - (stroke (width 0) (type default)) - (uuid 41829427-a27d-4c2b-bf6b-18fa22812ad9) - ) - (wire (pts (xy 121.92 46.99) (xy 149.86 46.99)) - (stroke (width 0) (type default)) - (uuid 420a1240-5bf3-418f-aba1-0dfcdc8e66f2) - ) (wire (pts (xy 209.55 43.18) (xy 210.82 45.72)) (stroke (width 0) (type default)) (uuid 4216b181-361f-40a6-a11e-c1cfb9cc9004) ) + (wire (pts (xy 149.86 58.42) (xy 148.59 60.96)) + (stroke (width 0) (type default)) + (uuid 4316c1f9-a8c7-4b98-ac23-2f6ce212afaf) + ) (wire (pts (xy 209.55 38.1) (xy 209.55 35.56)) (stroke (width 0) (type default)) (uuid 4333510f-b1bd-46df-8194-c7c076dbd38c) @@ -1030,15 +619,11 @@ (stroke (width 0) (type default)) (uuid 44e73a5f-2695-4850-9e1e-0ee6afbec27e) ) - (wire (pts (xy 212.09 46.99) (xy 213.36 46.99)) - (stroke (width 0) (type default)) - (uuid 4507b808-8df5-4dda-8e5f-47988f8f0744) - ) (wire (pts (xy 95.25 64.77) (xy 96.52 62.23)) (stroke (width 0) (type default)) (uuid 46e20a24-7647-48b7-9316-082b805f2615) ) - (wire (pts (xy 92.71 50.8) (xy 148.59 50.8)) + (wire (pts (xy 92.71 50.8) (xy 133.35 50.8)) (stroke (width 0) (type default)) (uuid 473e6c2c-e123-4e8b-a5bf-2c6da18cf76b) ) @@ -1054,10 +639,6 @@ (stroke (width 0) (type default)) (uuid 4939f5e1-3c5d-4572-9672-d89b78ef5efd) ) - (wire (pts (xy 152.4 46.99) (xy 153.67 46.99)) - (stroke (width 0) (type default)) - (uuid 49be398b-ebfc-4fb3-af25-5070de2b61ff) - ) (wire (pts (xy 95.25 73.66) (xy 92.71 73.66)) (stroke (width 0) (type default)) (uuid 4ae8b2ad-84a3-4149-a938-cf527296fe69) @@ -1066,10 +647,6 @@ (stroke (width 0) (type default)) (uuid 4b3cce1a-2061-4b02-b88f-529b6828bf24) ) - (wire (pts (xy 168.91 46.99) (xy 170.18 46.99)) - (stroke (width 0) (type default)) - (uuid 4b4503e2-621e-44d6-a2e6-eef4e5310631) - ) (wire (pts (xy 96.52 41.91) (xy 102.87 41.91)) (stroke (width 0) (type default)) (uuid 4b7b7752-bbe9-4627-b3f0-c2d2bf648612) @@ -1098,10 +675,6 @@ (stroke (width 0) (type default)) (uuid 509a9c09-8ca5-4f94-98ab-f4103eb91c0f) ) - (wire (pts (xy 172.72 49.53) (xy 173.99 49.53)) - (stroke (width 0) (type default)) - (uuid 50a79086-098d-4403-ba94-57f9c6ae5dbc) - ) (wire (pts (xy 114.3 35.56) (xy 114.3 38.1)) (stroke (width 0) (type default)) (uuid 50ef3cdf-3fbe-4282-a0ce-ddeacb7c5419) @@ -1110,18 +683,10 @@ (stroke (width 0) (type default)) (uuid 5155dc68-4359-4951-b844-e95f98705a1d) ) - (wire (pts (xy 204.47 46.99) (xy 205.74 46.99)) - (stroke (width 0) (type default)) - (uuid 5190deb9-48b8-4cc8-a998-31a29db8520b) - ) (wire (pts (xy 125.73 39.37) (xy 119.38 39.37)) (stroke (width 0) (type default)) (uuid 519d0c51-b86b-4a31-b530-290303ecb428) ) - (wire (pts (xy 114.3 49.53) (xy 115.57 49.53)) - (stroke (width 0) (type default)) - (uuid 51e9e4bf-a0c8-45f1-b93d-0c22487ea821) - ) (wire (pts (xy 171.45 73.66) (xy 172.72 76.2)) (stroke (width 0) (type default)) (uuid 52ac36f0-3d11-441c-842b-6db8b01edae6) @@ -1142,10 +707,6 @@ (stroke (width 0) (type default)) (uuid 53a8e288-dd31-4d22-ae12-5df0414fa28f) ) - (wire (pts (xy 101.6 49.53) (xy 102.87 49.53)) - (stroke (width 0) (type default)) - (uuid 5488fdaf-b570-4f91-9e7f-0da5edd5d973) - ) (wire (pts (xy 157.48 41.91) (xy 163.83 41.91)) (stroke (width 0) (type default)) (uuid 54c91437-92d3-49a7-9670-ce412d5ac961) @@ -1158,10 +719,6 @@ (stroke (width 0) (type default)) (uuid 5566553f-f570-4d6f-82aa-de406b160d67) ) - (wire (pts (xy 111.76 49.53) (xy 113.03 49.53)) - (stroke (width 0) (type default)) - (uuid 55a4cec6-a95d-4675-9f0d-018f9be93c24) - ) (wire (pts (xy 97.79 49.53) (xy 99.06 46.99)) (stroke (width 0) (type default)) (uuid 56a2952d-aa9d-4d34-b434-095687318264) @@ -1194,10 +751,6 @@ (stroke (width 0) (type default)) (uuid 58018daf-bdb8-4197-b8ec-5d3f47141717) ) - (wire (pts (xy 119.38 46.99) (xy 120.65 46.99)) - (stroke (width 0) (type default)) - (uuid 58b391b9-a6eb-4416-aec4-08a987fd7ca7) - ) (wire (pts (xy 219.71 46.99) (xy 220.98 49.53)) (stroke (width 0) (type default)) (uuid 58d6b3c3-a812-46bc-9cc4-e2f42968613a) @@ -1206,10 +759,6 @@ (stroke (width 0) (type default)) (uuid 59649046-1d48-41a3-b2e2-42c6460f3b51) ) - (wire (pts (xy 173.99 49.53) (xy 175.26 49.53)) - (stroke (width 0) (type default)) - (uuid 596e4673-02ce-4d07-936e-f8cee739d9ca) - ) (wire (pts (xy 116.84 46.99) (xy 118.11 49.53)) (stroke (width 0) (type default)) (uuid 5a0df1ea-e722-4129-aba7-1d7a38a04cd1) @@ -1230,10 +779,6 @@ (stroke (width 0) (type default)) (uuid 5c0836a8-3300-485c-9c47-3a32b1992573) ) - (wire (pts (xy 212.09 49.53) (xy 213.36 49.53)) - (stroke (width 0) (type default)) - (uuid 5c0c97dd-ca08-42db-846e-3417b2f4dbb3) - ) (wire (pts (xy 180.34 87.63) (xy 210.82 87.63)) (stroke (width 0) (type default)) (uuid 5c8029fd-cea4-4189-87e9-6a9169b3083f) @@ -1254,14 +799,6 @@ (stroke (width 0) (type default)) (uuid 5e6bc438-06e1-4089-9552-cadd61a91467) ) - (wire (pts (xy 111.76 46.99) (xy 113.03 46.99)) - (stroke (width 0) (type default)) - (uuid 5e9b08c4-cd37-44ad-9a96-7a84461f5dec) - ) - (wire (pts (xy 92.71 49.53) (xy 96.52 49.53)) - (stroke (width 0) (type default)) - (uuid 5ec3c418-c0a2-4e4c-a218-2d86a90895bd) - ) (wire (pts (xy 163.83 39.37) (xy 157.48 39.37)) (stroke (width 0) (type default)) (uuid 5edde654-fecc-4764-9952-895849030867) @@ -1338,11 +875,7 @@ (stroke (width 0) (type default)) (uuid 67cefb4c-1374-418e-a74f-f9a50156ab86) ) - (wire (pts (xy 173.99 46.99) (xy 175.26 46.99)) - (stroke (width 0) (type default)) - (uuid 68576b2d-d416-4c10-8915-a21dcd63f837) - ) - (wire (pts (xy 148.59 50.8) (xy 149.86 53.34)) + (wire (pts (xy 133.35 50.8) (xy 134.62 53.34)) (stroke (width 0) (type default)) (uuid 68b382a2-6e10-4119-a7a3-34d9ac033ee8) ) @@ -1354,7 +887,7 @@ (stroke (width 0) (type default)) (uuid 6a2524a1-6f4e-4f14-b7ea-b59f211a573e) ) - (wire (pts (xy 187.96 54.61) (xy 222.25 54.61)) + (wire (pts (xy 92.71 54.61) (xy 222.25 54.61)) (stroke (width 0) (type default)) (uuid 6a9db2a2-121e-434f-92cf-077ef1653178) ) @@ -1366,18 +899,10 @@ (stroke (width 0) (type default)) (uuid 6be9dc2a-c0b7-48e5-a955-e444416eada6) ) - (wire (pts (xy 157.48 50.8) (xy 179.07 50.8)) - (stroke (width 0) (type default)) - (uuid 6c80c52f-e65c-4227-9312-9aa0a9243346) - ) (wire (pts (xy 102.87 69.85) (xy 104.14 72.39)) (stroke (width 0) (type default)) (uuid 6d0eebf5-467a-4982-9b95-518625a3a09a) ) - (wire (pts (xy 115.57 49.53) (xy 116.84 49.53)) - (stroke (width 0) (type default)) - (uuid 6d1b1389-954e-49ec-a7c9-5df3a7271683) - ) (wire (pts (xy 133.35 39.37) (xy 127 39.37)) (stroke (width 0) (type default)) (uuid 6d46e7c0-bd67-485c-b07a-c534d075dc09) @@ -1390,10 +915,6 @@ (stroke (width 0) (type default)) (uuid 6e87f72a-50ee-419b-9703-99c262a80bab) ) - (wire (pts (xy 99.06 46.99) (xy 100.33 46.99)) - (stroke (width 0) (type default)) - (uuid 6ecc580f-a56e-43dd-87fa-3b7a238a17fe) - ) (wire (pts (xy 201.93 62.23) (xy 203.2 64.77)) (stroke (width 0) (type default)) (uuid 6f2a4a0e-21d0-4f28-aaeb-d33e72471290) @@ -1418,10 +939,6 @@ (stroke (width 0) (type default)) (uuid 71fe092e-e267-4ebf-a3b7-4d76138baecb) ) - (wire (pts (xy 158.75 49.53) (xy 160.02 49.53)) - (stroke (width 0) (type default)) - (uuid 72c71f35-8189-4bf6-8a10-d295f0ca880c) - ) (wire (pts (xy 95.25 39.37) (xy 96.52 41.91)) (stroke (width 0) (type default)) (uuid 736a8732-1432-4e7c-90f0-2a0fd2fa9379) @@ -1454,6 +971,10 @@ (stroke (width 0) (type default)) (uuid 760c24d0-d1bf-41a4-988f-396c8181dd0e) ) + (wire (pts (xy 142.24 68.58) (xy 148.59 68.58)) + (stroke (width 0) (type default)) + (uuid 76128d17-a564-497b-9520-f700fdbb99d6) + ) (wire (pts (xy 133.35 38.1) (xy 133.35 35.56)) (stroke (width 0) (type default)) (uuid 762a2af3-e0d2-4e70-ab5a-ba0b740bd13a) @@ -1466,14 +987,6 @@ (stroke (width 0) (type default)) (uuid 76a6878c-5016-4e66-9b02-ff75814f3b81) ) - (wire (pts (xy 167.64 46.99) (xy 168.91 46.99)) - (stroke (width 0) (type default)) - (uuid 76f30bc1-2dab-4aa4-ac36-3cfcc65d925a) - ) - (wire (pts (xy 120.65 46.99) (xy 121.92 46.99)) - (stroke (width 0) (type default)) - (uuid 76fa00b4-0dd1-400f-bc66-32a563e84d55) - ) (wire (pts (xy 154.94 46.99) (xy 156.21 49.53)) (stroke (width 0) (type default)) (uuid 771692bf-1ef4-4b4d-91e7-00b4e2db95f1) @@ -1482,14 +995,6 @@ (stroke (width 0) (type default)) (uuid 777d5bdf-7f00-49b8-886e-da07743a7269) ) - (wire (pts (xy 205.74 49.53) (xy 207.01 49.53)) - (stroke (width 0) (type default)) - (uuid 77c6b535-ea38-473b-9507-e2c919733b4d) - ) - (wire (pts (xy 100.33 46.99) (xy 101.6 46.99)) - (stroke (width 0) (type default)) - (uuid 78126aab-b102-4c49-b556-5f2fe842fdd2) - ) (wire (pts (xy 156.21 72.39) (xy 157.48 69.85)) (stroke (width 0) (type default)) (uuid 781fe2a6-ae11-43cb-8699-c45ba603d889) @@ -1506,10 +1011,6 @@ (stroke (width 0) (type default)) (uuid 7b246832-4bc7-4aa5-81ec-39278d45e8c6) ) - (wire (pts (xy 97.79 49.53) (xy 99.06 49.53)) - (stroke (width 0) (type default)) - (uuid 7b92f49a-7aa3-4142-bc30-aa31a7a1bd1e) - ) (wire (pts (xy 156.21 73.66) (xy 149.86 73.66)) (stroke (width 0) (type default)) (uuid 7bb8ef3f-b8dd-4bb0-a703-938566194e0c) @@ -1566,10 +1067,6 @@ (stroke (width 0) (type default)) (uuid 802cb47a-eac9-4b71-992a-d13310660f95) ) - (wire (pts (xy 157.48 46.99) (xy 158.75 46.99)) - (stroke (width 0) (type default)) - (uuid 803ef0d8-c8d7-4ca1-8a6b-3dc744c28b38) - ) (wire (pts (xy 152.4 46.99) (xy 153.67 49.53)) (stroke (width 0) (type default)) (uuid 80f20f82-0ce4-4e62-978d-7455cbe857bb) @@ -1586,10 +1083,6 @@ (stroke (width 0) (type default)) (uuid 833d233a-f1ff-44f0-a7c7-3fa72397f437) ) - (wire (pts (xy 160.02 46.99) (xy 165.1 46.99)) - (stroke (width 0) (type default)) - (uuid 83986444-2366-4806-a68c-a8c316f2f155) - ) (wire (pts (xy 194.31 72.39) (xy 195.58 69.85)) (stroke (width 0) (type default)) (uuid 839de305-fabd-4e60-8d19-d4e511dce015) @@ -1606,10 +1099,6 @@ (stroke (width 0) (type default)) (uuid 84518e7d-bcf8-400d-ad87-60b9219ac754) ) - (wire (pts (xy 203.2 49.53) (xy 204.47 49.53)) - (stroke (width 0) (type default)) - (uuid 84708bec-c0f9-48db-8d85-0f99453a3562) - ) (wire (pts (xy 212.09 46.99) (xy 213.36 49.53)) (stroke (width 0) (type default)) (uuid 848d9d62-dc26-494d-8228-637187bcdbdc) @@ -1666,10 +1155,6 @@ (stroke (width 0) (type default)) (uuid 890600ed-0462-4294-b700-65971cf9237a) ) - (wire (pts (xy 92.71 46.99) (xy 96.52 46.99)) - (stroke (width 0) (type default)) - (uuid 893af31a-a19c-4752-b64f-6d3d69e58c5b) - ) (wire (pts (xy 177.8 85.09) (xy 179.07 87.63)) (stroke (width 0) (type default)) (uuid 89672b10-55dd-4661-8eca-c1f988456ba3) @@ -1702,10 +1187,6 @@ (stroke (width 0) (type default)) (uuid 8cc8dcb7-816a-450f-86c7-a3fa77365c21) ) - (wire (pts (xy 156.21 46.99) (xy 157.48 46.99)) - (stroke (width 0) (type default)) - (uuid 8ccfcfe4-b588-4e4d-9ccc-5de8700e1e31) - ) (wire (pts (xy 110.49 64.77) (xy 111.76 62.23)) (stroke (width 0) (type default)) (uuid 8cf87912-6694-4cb6-9392-4b1c61d42c43) @@ -1714,10 +1195,6 @@ (stroke (width 0) (type default)) (uuid 8d0b806c-b823-49f6-b2c1-b0c828bfccea) ) - (wire (pts (xy 97.79 46.99) (xy 99.06 46.99)) - (stroke (width 0) (type default)) - (uuid 8d4bec5d-629c-4c28-b582-03d26d8ff758) - ) (wire (pts (xy 105.41 49.53) (xy 106.68 46.99)) (stroke (width 0) (type default)) (uuid 8df7aef3-d7ce-4991-b3cf-4e13688f22b8) @@ -1790,6 +1267,10 @@ (stroke (width 0) (type default)) (uuid 957b3886-69f7-4a02-9263-380341bc7e0f) ) + (wire (pts (xy 140.97 58.42) (xy 119.38 58.42)) + (stroke (width 0) (type default)) + (uuid 95c75704-21d3-4d43-8dcf-ea4da9e757fc) + ) (wire (pts (xy 210.82 41.91) (xy 217.17 41.91)) (stroke (width 0) (type default)) (uuid 95dbf5ba-7551-4a6b-a0e6-d9297dbd09a4) @@ -1830,10 +1311,6 @@ (stroke (width 0) (type default)) (uuid 98ae0a6f-ec76-4080-ac5b-611823f1e032) ) - (wire (pts (xy 121.92 49.53) (xy 149.86 49.53)) - (stroke (width 0) (type default)) - (uuid 98cd0ab6-bf66-4145-a576-01082b7b2dcb) - ) (wire (pts (xy 171.45 69.85) (xy 172.72 72.39)) (stroke (width 0) (type default)) (uuid 98dad717-1bf0-46ba-ae61-23cecc1a7dcb) @@ -1918,14 +1395,6 @@ (stroke (width 0) (type default)) (uuid a235f555-328b-4561-b12e-ef926771d5d4) ) - (wire (pts (xy 179.07 54.61) (xy 187.96 54.61)) - (stroke (width 0) (type default)) - (uuid a2f4bcda-84df-4f43-ab0f-941ff60b3648) - ) - (wire (pts (xy 168.91 49.53) (xy 170.18 49.53)) - (stroke (width 0) (type default)) - (uuid a3f6c02a-763f-4a25-a8d6-be2f3dc65fde) - ) (wire (pts (xy 222.25 39.37) (xy 218.44 39.37)) (stroke (width 0) (type default)) (uuid a40bcf2d-d3f3-468b-b168-7166a6f0f9b5) @@ -1942,6 +1411,10 @@ (stroke (width 0) (type default)) (uuid a55c874d-38a0-48c1-93e7-7220406dff47) ) + (wire (pts (xy 142.24 60.96) (xy 148.59 60.96)) + (stroke (width 0) (type default)) + (uuid a5f3592c-7270-4a31-b128-c3a4021bb38c) + ) (wire (pts (xy 195.58 82.55) (xy 196.85 83.82)) (stroke (width 0) (type default)) (uuid a6d92292-d49b-4ae7-af4c-cdc20c1d5fbc) @@ -1958,10 +1431,6 @@ (stroke (width 0) (type default)) (uuid a82f35e7-2f8a-43ff-8647-43615a94dc64) ) - (wire (pts (xy 175.26 46.99) (xy 203.2 46.99)) - (stroke (width 0) (type default)) - (uuid a837bafa-a1b5-4246-8be3-828faacc6967) - ) (wire (pts (xy 133.35 41.91) (xy 134.62 39.37)) (stroke (width 0) (type default)) (uuid a8d8517b-e4fd-4898-8462-aa7029bcc2df) @@ -1990,14 +1459,6 @@ (stroke (width 0) (type default)) (uuid aab9b4ae-b3d1-40f0-bdc3-9923455c6a61) ) - (wire (pts (xy 116.84 46.99) (xy 118.11 46.99)) - (stroke (width 0) (type default)) - (uuid aac8e9c6-ecf3-434d-8b31-487215727cbf) - ) - (wire (pts (xy 205.74 46.99) (xy 207.01 46.99)) - (stroke (width 0) (type default)) - (uuid aaf0ce89-d196-4740-ac1e-af4a4a694290) - ) (wire (pts (xy 118.11 69.85) (xy 111.76 69.85)) (stroke (width 0) (type default)) (uuid ab214322-d326-4a15-a9d8-bab2cb6bb627) @@ -2018,10 +1479,6 @@ (stroke (width 0) (type default)) (uuid ac8aa8d2-5c00-4cdc-b684-171297b170d8) ) - (wire (pts (xy 120.65 49.53) (xy 121.92 49.53)) - (stroke (width 0) (type default)) - (uuid ac9bb786-e666-4c1b-ab86-4aadfc319f29) - ) (wire (pts (xy 166.37 85.09) (xy 167.64 87.63)) (stroke (width 0) (type default)) (uuid ad1f3696-90ce-4aba-8f20-f65f1a10e8b2) @@ -2030,15 +1487,11 @@ (stroke (width 0) (type default)) (uuid ae16388d-a7c5-41a2-9b8b-b6a26160a76e) ) - (wire (pts (xy 154.94 49.53) (xy 156.21 49.53)) - (stroke (width 0) (type default)) - (uuid ae8ecbe5-e52a-4f6e-a073-595dceef04b0) - ) (wire (pts (xy 220.98 38.1) (xy 222.25 38.1)) (stroke (width 0) (type default)) (uuid aeaa7f81-603a-4ab7-ab1b-c9c230b57275) ) - (wire (pts (xy 220.98 46.99) (xy 222.25 46.99)) + (wire (pts (xy 92.71 46.99) (xy 222.25 46.99)) (stroke (width 0) (type default)) (uuid aeeebd0b-04c6-4a0f-9d81-e86ce0248157) ) @@ -2046,10 +1499,6 @@ (stroke (width 0) (type default)) (uuid aefbe998-204f-4bc3-a031-c2487cda221b) ) - (wire (pts (xy 219.71 46.99) (xy 220.98 46.99)) - (stroke (width 0) (type default)) - (uuid af370a11-c1f9-4d95-9084-474545084adc) - ) (wire (pts (xy 99.06 49.53) (xy 100.33 46.99)) (stroke (width 0) (type default)) (uuid b057ed1f-adf4-413a-9e65-4e2676c127fc) @@ -2086,22 +1535,10 @@ (stroke (width 0) (type default)) (uuid b22855bd-2302-4269-8015-4e2065c15208) ) - (wire (pts (xy 96.52 49.53) (xy 97.79 49.53)) - (stroke (width 0) (type default)) - (uuid b2783c00-b23b-46b8-957f-14d4df447861) - ) - (wire (pts (xy 171.45 49.53) (xy 172.72 49.53)) - (stroke (width 0) (type default)) - (uuid b427092e-0a86-46e9-923f-e41af81cf634) - ) (wire (pts (xy 167.64 49.53) (xy 168.91 46.99)) (stroke (width 0) (type default)) (uuid b4c47f1f-84a9-4c72-ac46-2fa11fbf8086) ) - (wire (pts (xy 207.01 46.99) (xy 208.28 46.99)) - (stroke (width 0) (type default)) - (uuid b4dd0704-f562-489c-8ea8-fe5fdbf4fe30) - ) (wire (pts (xy 201.93 73.66) (xy 203.2 76.2)) (stroke (width 0) (type default)) (uuid b4f1176b-2d34-4507-b229-125b5278c831) @@ -2182,10 +1619,6 @@ (stroke (width 0) (type default)) (uuid bde5fca0-df1f-4c44-b32b-efaa3e180540) ) - (wire (pts (xy 204.47 49.53) (xy 205.74 49.53)) - (stroke (width 0) (type default)) - (uuid be442420-2fdf-4763-bb83-cdb02e245c6e) - ) (wire (pts (xy 186.69 53.34) (xy 187.96 50.8)) (stroke (width 0) (type default)) (uuid be897a08-da9e-4c6c-9065-b256849b7583) @@ -2222,7 +1655,7 @@ (stroke (width 0) (type default)) (uuid c30e1a8e-fcd3-4e81-a5f0-d2c4a0873e0e) ) - (wire (pts (xy 149.86 53.34) (xy 156.21 53.34)) + (wire (pts (xy 134.62 53.34) (xy 140.97 53.34)) (stroke (width 0) (type default)) (uuid c3bc25d9-f138-423f-bd82-85613eafafbd) ) @@ -2234,7 +1667,7 @@ (stroke (width 0) (type default)) (uuid c45ae3fd-c277-4bd1-9556-c7cda866972d) ) - (wire (pts (xy 156.21 53.34) (xy 157.48 50.8)) + (wire (pts (xy 140.97 53.34) (xy 142.24 50.8)) (stroke (width 0) (type default)) (uuid c4746992-cb01-4100-a60f-81a3ae1a507c) ) @@ -2282,18 +1715,10 @@ (stroke (width 0) (type default)) (uuid ca145fff-1b15-4218-884c-0388ef7abef8) ) - (wire (pts (xy 104.14 49.53) (xy 105.41 49.53)) - (stroke (width 0) (type default)) - (uuid ca2c850b-4979-49d1-960a-e064a405fa25) - ) (wire (pts (xy 158.75 49.53) (xy 160.02 46.99)) (stroke (width 0) (type default)) (uuid caa05bf4-f66c-429b-9522-bf7b239d7dea) ) - (wire (pts (xy 219.71 49.53) (xy 220.98 49.53)) - (stroke (width 0) (type default)) - (uuid caa8c319-e55b-4685-9fa9-1e3818aa412c) - ) (wire (pts (xy 161.29 87.63) (xy 162.56 85.09)) (stroke (width 0) (type default)) (uuid caab6675-f515-4b9d-a013-bcd7ba295887) @@ -2310,22 +1735,10 @@ (stroke (width 0) (type default)) (uuid cb04569d-991e-4bb1-8b2d-226c644b5ac9) ) - (wire (pts (xy 149.86 46.99) (xy 151.13 46.99)) - (stroke (width 0) (type default)) - (uuid cbd65e7a-c5fb-4f7a-8abf-b252692f767e) - ) (wire (pts (xy 217.17 62.23) (xy 218.44 64.77)) (stroke (width 0) (type default)) (uuid cc0d1f50-c18a-47ae-acc1-7a7e7b87f3b0) ) - (wire (pts (xy 213.36 49.53) (xy 218.44 49.53)) - (stroke (width 0) (type default)) - (uuid cc2b54d1-ba34-449d-988f-dbd4131a2c65) - ) - (wire (pts (xy 167.64 49.53) (xy 168.91 49.53)) - (stroke (width 0) (type default)) - (uuid cc333ad4-74b3-4be9-a234-bae295822133) - ) (wire (pts (xy 133.35 64.77) (xy 134.62 62.23)) (stroke (width 0) (type default)) (uuid cc36bfe7-46b3-495a-90f4-32c949bf7bc8) @@ -2334,10 +1747,6 @@ (stroke (width 0) (type default)) (uuid cccefa65-cdff-4ab6-aea9-d2c8bb547bc3) ) - (wire (pts (xy 114.3 46.99) (xy 115.57 46.99)) - (stroke (width 0) (type default)) - (uuid cd0acb3d-148e-46d1-9bd1-1eb427d7daad) - ) (wire (pts (xy 148.59 73.66) (xy 142.24 73.66)) (stroke (width 0) (type default)) (uuid cd7952f3-1ada-46ac-bd78-4365ddb9fd56) @@ -2358,18 +1767,6 @@ (stroke (width 0) (type default)) (uuid ce6adcc7-dcf4-47a3-a89e-b76db889f3d8) ) - (wire (pts (xy 157.48 49.53) (xy 158.75 49.53)) - (stroke (width 0) (type default)) - (uuid cf0cd044-f371-4a3a-a9e1-a726f0fb3f0f) - ) - (wire (pts (xy 172.72 46.99) (xy 173.99 46.99)) - (stroke (width 0) (type default)) - (uuid cf1bc550-571e-4ad9-a8b1-ea30359a0dc7) - ) - (wire (pts (xy 115.57 46.99) (xy 116.84 46.99)) - (stroke (width 0) (type default)) - (uuid cf8dc418-ba87-45e2-b22f-b3ea101524a4) - ) (wire (pts (xy 186.69 64.77) (xy 187.96 62.23)) (stroke (width 0) (type default)) (uuid d04da1fa-7289-4f35-9c2f-ef75fc21d7c6) @@ -2378,13 +1775,9 @@ (stroke (width 0) (type default)) (uuid d0a38eb4-e8dd-4dfd-b74f-cdb7807792f7) ) - (wire (pts (xy 154.94 46.99) (xy 156.21 46.99)) + (wire (pts (xy 142.24 50.8) (xy 222.25 50.8)) (stroke (width 0) (type default)) - (uuid d0b1f6de-f157-4948-930d-8e0af001161f) - ) - (wire (pts (xy 203.2 46.99) (xy 204.47 46.99)) - (stroke (width 0) (type default)) - (uuid d1256552-6aea-4b0e-91fc-b9aa15556500) + (uuid d18822d3-b5ad-4a5f-bca6-b2ac58870ef1) ) (wire (pts (xy 204.47 46.99) (xy 205.74 49.53)) (stroke (width 0) (type default)) @@ -2406,18 +1799,10 @@ (stroke (width 0) (type default)) (uuid d3b33dee-5708-44ac-872d-169e08756109) ) - (wire (pts (xy 118.11 46.99) (xy 119.38 46.99)) - (stroke (width 0) (type default)) - (uuid d4c21faf-df50-4a84-9b22-9722d20fc2f7) - ) (wire (pts (xy 170.18 87.63) (xy 171.45 85.09)) (stroke (width 0) (type default)) (uuid d4ccc8ab-a69b-4ed7-9267-b2ea00bcabf5) ) - (wire (pts (xy 160.02 49.53) (xy 165.1 49.53)) - (stroke (width 0) (type default)) - (uuid d4e2cba5-73ec-435e-843c-5d64f0a2d967) - ) (wire (pts (xy 110.49 69.85) (xy 104.14 69.85)) (stroke (width 0) (type default)) (uuid d4f5beec-97cd-4907-bf6d-9ed118dcedc0) @@ -2458,10 +1843,6 @@ (stroke (width 0) (type default)) (uuid d8e18788-1749-4dd6-b4db-79b4a9ad812e) ) - (wire (pts (xy 152.4 49.53) (xy 153.67 49.53)) - (stroke (width 0) (type default)) - (uuid da3bc773-9fa7-4b53-8293-78443fac0b31) - ) (wire (pts (xy 175.26 38.1) (xy 179.07 38.1)) (stroke (width 0) (type default)) (uuid db2fa9f8-47ed-45d5-a38d-17165ec88747) @@ -2474,18 +1855,10 @@ (stroke (width 0) (type default)) (uuid dbaea7b6-4c0f-4b2f-b683-5bcafda98e8a) ) - (wire (pts (xy 102.87 46.99) (xy 104.14 46.99)) - (stroke (width 0) (type default)) - (uuid dbaf38e2-bece-4787-85a4-ad2e41681287) - ) (wire (pts (xy 110.49 73.66) (xy 111.76 76.2)) (stroke (width 0) (type default)) (uuid dbb9f847-6e64-4f75-85dd-afd160e7cc34) ) - (wire (pts (xy 106.68 49.53) (xy 111.76 49.53)) - (stroke (width 0) (type default)) - (uuid dc49d417-18ba-4785-8993-8271bc0043e3) - ) (wire (pts (xy 96.52 76.2) (xy 102.87 76.2)) (stroke (width 0) (type default)) (uuid dc577d62-c4d2-45ff-aa55-d6c0520d047b) @@ -2506,10 +1879,6 @@ (stroke (width 0) (type default)) (uuid dde8278c-802a-417c-84c6-093d94e7f90e) ) - (wire (pts (xy 208.28 49.53) (xy 209.55 49.53)) - (stroke (width 0) (type default)) - (uuid dde946cd-8c28-4e2c-8327-a9b94ecd98be) - ) (wire (pts (xy 140.97 72.39) (xy 142.24 69.85)) (stroke (width 0) (type default)) (uuid ddfa27f6-e2cd-41af-97f9-68df6e877a2c) @@ -2566,10 +1935,6 @@ (stroke (width 0) (type default)) (uuid e16060b7-c04e-4422-b65b-28126f8c66a8) ) - (wire (pts (xy 170.18 46.99) (xy 171.45 46.99)) - (stroke (width 0) (type default)) - (uuid e1768914-f101-4ba0-9052-9fe4b83d6b06) - ) (wire (pts (xy 212.09 86.36) (xy 222.25 86.36)) (stroke (width 0) (type default)) (uuid e1cd10e1-bce9-47c4-b25a-8f5c0b13f17c) @@ -2582,10 +1947,6 @@ (stroke (width 0) (type default)) (uuid e244597e-bdc0-4bee-bb93-24a3630665b3) ) - (wire (pts (xy 165.1 46.99) (xy 166.37 46.99)) - (stroke (width 0) (type default)) - (uuid e26d3057-360f-40b3-8766-1908c8e0b7d6) - ) (wire (pts (xy 217.17 76.2) (xy 218.44 73.66)) (stroke (width 0) (type default)) (uuid e2b18066-317d-4e3d-8911-79a0f1d51e88) @@ -2606,7 +1967,7 @@ (stroke (width 0) (type default)) (uuid e5047092-1309-4718-8401-d3e894cba828) ) - (wire (pts (xy 220.98 49.53) (xy 222.25 49.53)) + (wire (pts (xy 92.71 49.53) (xy 222.25 49.53)) (stroke (width 0) (type default)) (uuid e52376ed-7930-4cee-aadc-c24fed0e55fb) ) @@ -2642,22 +2003,10 @@ (stroke (width 0) (type default)) (uuid e8167dfe-7195-4c7b-bc5d-14be4e1cdc71) ) - (wire (pts (xy 113.03 49.53) (xy 114.3 49.53)) - (stroke (width 0) (type default)) - (uuid e8a179b6-d142-492d-b984-d3a009684d01) - ) - (wire (pts (xy 207.01 49.53) (xy 208.28 49.53)) - (stroke (width 0) (type default)) - (uuid e8c3e322-bf7b-4085-a579-9bb6910cb725) - ) (wire (pts (xy 218.44 49.53) (xy 219.71 46.99)) (stroke (width 0) (type default)) (uuid e947812a-9c79-4cc7-9f02-fedf04d4aee6) ) - (wire (pts (xy 158.75 46.99) (xy 160.02 46.99)) - (stroke (width 0) (type default)) - (uuid e99e3d92-3a00-467d-8ba2-5e4ff24b4fa3) - ) (wire (pts (xy 148.59 39.37) (xy 142.24 39.37)) (stroke (width 0) (type default)) (uuid ea38451e-dccd-446b-bc8b-4f5b3bc266f2) @@ -2670,10 +2019,6 @@ (stroke (width 0) (type default)) (uuid ea662624-f9fc-476d-adbf-3d74a2927655) ) - (wire (pts (xy 151.13 49.53) (xy 152.4 49.53)) - (stroke (width 0) (type default)) - (uuid ea6c316d-cd2f-4e81-942f-cf6ff0f07096) - ) (wire (pts (xy 111.76 41.91) (xy 118.11 41.91)) (stroke (width 0) (type default)) (uuid eaa438bb-1e01-4c46-81f7-68567315651a) @@ -2682,26 +2027,14 @@ (stroke (width 0) (type default)) (uuid eaade938-89f5-46f0-8ad8-8c72fffb58b8) ) - (wire (pts (xy 151.13 46.99) (xy 152.4 46.99)) - (stroke (width 0) (type default)) - (uuid eae46998-1de6-497c-88bf-ae292e2279e1) - ) - (wire (pts (xy 213.36 46.99) (xy 218.44 46.99)) - (stroke (width 0) (type default)) - (uuid eb966aa8-426c-49a1-b50c-5ece105e2997) - ) (wire (pts (xy 165.1 64.77) (xy 171.45 64.77)) (stroke (width 0) (type default)) (uuid ebb69cc4-275c-49d3-9f61-1582413162c0) ) - (wire (pts (xy 194.31 66.04) (xy 119.38 66.04)) + (wire (pts (xy 194.31 66.04) (xy 149.86 66.04)) (stroke (width 0) (type default)) (uuid ebd2d611-bf44-405e-97b3-63f2a6f45198) ) - (wire (pts (xy 208.28 46.99) (xy 209.55 46.99)) - (stroke (width 0) (type default)) - (uuid ec64a791-3849-4fdb-a786-6d6cc7bf836e) - ) (wire (pts (xy 173.99 49.53) (xy 175.26 46.99)) (stroke (width 0) (type default)) (uuid eca3de23-c4cb-4a5e-8a57-63bff443f2b0) @@ -2758,10 +2091,6 @@ (stroke (width 0) (type default)) (uuid f29219c6-ab2b-4d12-9c19-05af4f962523) ) - (wire (pts (xy 218.44 46.99) (xy 219.71 46.99)) - (stroke (width 0) (type default)) - (uuid f29d8a88-1810-475b-9dbd-805c5237e9ea) - ) (wire (pts (xy 171.45 62.23) (xy 172.72 64.77)) (stroke (width 0) (type default)) (uuid f323bfc7-a330-4b42-a7eb-524ccaa1164b) @@ -2802,18 +2131,10 @@ (stroke (width 0) (type default)) (uuid f5f26e35-3b5f-4739-ac71-02138d7be295) ) - (wire (pts (xy 165.1 49.53) (xy 166.37 49.53)) - (stroke (width 0) (type default)) - (uuid f60716e4-7ced-47a8-b9ae-2da85a762eef) - ) (wire (pts (xy 201.93 69.85) (xy 195.58 69.85)) (stroke (width 0) (type default)) (uuid f6464ab9-5758-4252-baa3-197b69565ce9) ) - (wire (pts (xy 170.18 49.53) (xy 171.45 49.53)) - (stroke (width 0) (type default)) - (uuid f65cb978-7cc0-49fe-8c6f-fa56aa9eab10) - ) (wire (pts (xy 167.64 38.1) (xy 171.45 38.1)) (stroke (width 0) (type default)) (uuid f6878acc-b0ed-4be3-944a-f581e43e0431) @@ -2830,10 +2151,6 @@ (stroke (width 0) (type default)) (uuid f7560657-1829-4bf8-a080-91d8451f18e7) ) - (wire (pts (xy 105.41 46.99) (xy 106.68 46.99)) - (stroke (width 0) (type default)) - (uuid f7f3f425-71e1-4ede-91e6-bd025a4bef74) - ) (wire (pts (xy 209.55 46.99) (xy 210.82 49.53)) (stroke (width 0) (type default)) (uuid f828e4e2-e670-4f4f-921b-9e7073afcf2b) @@ -2862,10 +2179,6 @@ (stroke (width 0) (type default)) (uuid fb9170d2-1fe8-4a61-a707-eb49244ac7b1) ) - (wire (pts (xy 104.14 46.99) (xy 105.41 46.99)) - (stroke (width 0) (type default)) - (uuid fba94d25-6f71-4738-8b57-f78f6e049e85) - ) (wire (pts (xy 209.55 69.85) (xy 203.2 69.85)) (stroke (width 0) (type default)) (uuid fc5a2229-6fc6-44ce-a407-9e6d99e64c13) @@ -2878,10 +2191,6 @@ (stroke (width 0) (type default)) (uuid fd6bf32d-171c-42e0-a7e4-52ca722a8f07) ) - (wire (pts (xy 149.86 49.53) (xy 151.13 49.53)) - (stroke (width 0) (type default)) - (uuid fdc20641-8055-4e68-a4c4-ec6f95939748) - ) (wire (pts (xy 156.21 62.23) (xy 149.86 62.23)) (stroke (width 0) (type default)) (uuid fdef9ea7-3a22-41da-ad1d-d8e60a0066c5) @@ -2894,10 +2203,6 @@ (stroke (width 0) (type default)) (uuid fe27a4c7-8d49-42e6-b2d9-a5974b0042f4) ) - (wire (pts (xy 210.82 49.53) (xy 212.09 49.53)) - (stroke (width 0) (type default)) - (uuid fe618414-1dd0-421a-b058-a8eb34b6268b) - ) (wire (pts (xy 118.11 35.56) (xy 121.92 35.56)) (stroke (width 0) (type default)) (uuid fe6d1974-919f-4ea6-a433-2905d854b26a) @@ -2906,9 +2211,9 @@ (stroke (width 0) (type default)) (uuid fe84ce4c-a4f0-451e-b9d2-8095d280d491) ) - (wire (pts (xy 156.21 49.53) (xy 157.48 49.53)) + (wire (pts (xy 140.97 66.04) (xy 142.24 68.58)) (stroke (width 0) (type default)) - (uuid ff5639dc-dc06-4ac5-a338-e6d3c05b54e0) + (uuid ffbab06d-d100-48f8-b2ec-d2cf6dd60f62) ) (wire (pts (xy 140.97 64.77) (xy 142.24 62.23)) (stroke (width 0) (type default)) @@ -2999,7 +2304,7 @@ (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid 3a28b81c-fd8a-45c8-b129-02911c057159) ) - (text "NOP" (at 143.51 72.39 0) + (text "PCall" (at 143.51 72.39 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid 3a7179f8-522d-421c-aa3a-d7c345b0e4ac) ) @@ -3039,10 +2344,6 @@ (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid 64f94351-a852-4228-9c36-7c25669008ba) ) - (text "RD+AP" (at 134.62 64.77 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid 655ccffa-adf1-474c-889d-30e0f0ac558f) - ) (text "NOP" (at 212.09 72.39 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid 66dc328c-627c-4549-bdc8-97486e4009b3) @@ -3055,7 +2356,7 @@ (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid 680ca61b-d984-4f5d-b623-0b761ba183e1) ) - (text "RD+AP" (at 134.62 72.39 0) + (text "RD" (at 137.16 72.39 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid 6826bf93-bf1f-4684-a834-f12bc2e3f13e) ) @@ -3067,7 +2368,7 @@ (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid 73984ba0-1455-4c07-b4c1-0fefc35c27f0) ) - (text "WR+AP" (at 180.34 76.2 0) + (text "WR" (at 182.88 76.2 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid 77f76f77-cefd-4dc5-9e1f-2dc83cd0b260) ) @@ -3079,7 +2380,7 @@ (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 79f25149-63bb-44fd-b6aa-977f0358f83b) ) - (text "NOP" (at 143.51 76.2 0) + (text "PCall" (at 143.51 76.2 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid 7c7b99be-1329-4616-95eb-4c9c90f2a1ec) ) @@ -3111,6 +2412,10 @@ (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid 8df61528-edee-4426-abd4-49d391c0e00c) ) + (text "RD" (at 137.16 64.77 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid 8e906054-83c4-46f9-86eb-defb2538f0dc) + ) (text "S1" (at 114.3 41.91 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid 925518a4-9c61-45c2-bdba-a32179d610d5) @@ -3167,7 +2472,7 @@ (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid af88908b-8e5c-4276-931b-023f34130077) ) - (text "NOP" (at 143.51 64.77 0) + (text "PCall" (at 143.51 64.77 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid b00e5096-9dd5-487a-9a24-e0ae24483d25) ) @@ -3175,6 +2480,10 @@ (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid b187b379-abc0-4ab9-8de9-ecf99298d1a8) ) + (text "RD" (at 137.16 76.2 0) + (effects (font (size 1.016 1.016)) (justify left bottom)) + (uuid b6fdab56-02d9-4c5f-b160-79bccdb7d04b) + ) (text "NOP" (at 105.41 72.39 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid bbace457-45a1-4912-ac74-6d4330d3d2cd) @@ -3228,10 +2537,6 @@ (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid e86d1bb0-d223-4743-ad29-e9c398b4849f) ) - (text "RD+AP" (at 134.62 76.2 0) - (effects (font (size 1.016 1.016)) (justify left bottom)) - (uuid eb28cc74-f0d3-4fd6-9511-5cd9647c1354) - ) (text "NOP" (at 218.44 64.77 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid ebc71d72-4eae-4a15-81c2-d26ebeee454e) @@ -3244,7 +2549,7 @@ (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid efa9f844-11d1-437b-898e-c286adb17e15) ) - (text "RD+AP" (at 180.34 72.39 0) + (text "RD" (at 182.88 72.39 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid f1b7d7ae-fb1f-4526-889f-c552f6438ade) ) @@ -3260,7 +2565,7 @@ (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid fa732126-4e20-4d1e-943b-e29166b9746e) ) - (text "NOP" (at 196.85 72.39 0) + (text "PCall" (at 196.85 72.39 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid fa84190d-ab30-417c-b6e9-ce9cc927c5d5) ) @@ -3268,7 +2573,7 @@ (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid fac93f01-dc4b-4ac9-8c70-a0813767bc64) ) - (text "NOP" (at 196.85 76.2 0) + (text "PCall" (at 196.85 76.2 0) (effects (font (size 1.016 1.016)) (justify left bottom)) (uuid fe3cc719-1690-4410-98d9-60d159a1ef56) )