From ae8c84d2c945f0cecadf99b09f0e4aed14136f09 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Thu, 28 Jan 2021 14:42:44 -0500 Subject: [PATCH] Refresh rate increase compiled in Quartus --- cpld/RAM2E.qsf | 4 +- cpld/RAM2E.qws | Bin 1364 -> 407 bytes cpld/UFM.v | 22 +- cpld/db/RAM2E.(0).cnf.cdb | Bin 35262 -> 35287 bytes cpld/db/RAM2E.(0).cnf.hdb | Bin 4051 -> 4085 bytes cpld/db/RAM2E.(1).cnf.cdb | Bin 1259 -> 1261 bytes cpld/db/RAM2E.(1).cnf.hdb | Bin 924 -> 923 bytes cpld/db/RAM2E.(2).cnf.cdb | Bin 1262 -> 1262 bytes cpld/db/RAM2E.(2).cnf.hdb | Bin 1012 -> 1010 bytes cpld/db/RAM2E.asm.qmsg | 12 +- cpld/db/RAM2E.asm.rdb | Bin 1382 -> 1401 bytes cpld/db/RAM2E.asm_labs.ddb | Bin 2813 -> 2725 bytes cpld/db/RAM2E.cmp.cdb | Bin 46058 -> 45002 bytes cpld/db/RAM2E.cmp.hdb | Bin 18521 -> 18438 bytes cpld/db/RAM2E.cmp.idb | Bin 13728 -> 13310 bytes cpld/db/RAM2E.cmp.rdb | Bin 15108 -> 15073 bytes cpld/db/RAM2E.cmp0.ddb | Bin 84578 -> 79377 bytes cpld/db/RAM2E.db_info | 2 +- cpld/db/RAM2E.fit.qmsg | 77 +- cpld/db/RAM2E.hier_info | 10 +- cpld/db/RAM2E.hif | Bin 578 -> 576 bytes cpld/db/RAM2E.ipinfo | Bin 259 -> 351 bytes cpld/db/RAM2E.lpc.html | 2 +- cpld/db/RAM2E.lpc.rdb | Bin 508 -> 508 bytes cpld/db/RAM2E.lpc.txt | 2 +- cpld/db/RAM2E.map.cdb | Bin 17226 -> 17154 bytes cpld/db/RAM2E.map.hdb | Bin 17654 -> 17621 bytes cpld/db/RAM2E.map.qmsg | 38 +- cpld/db/RAM2E.map.rdb | Bin 1246 -> 1250 bytes cpld/db/RAM2E.pre_map.hdb | Bin 15766 -> 15754 bytes cpld/db/RAM2E.routing.rdb | Bin 1494 -> 1423 bytes cpld/db/RAM2E.rtlv.hdb | Bin 15685 -> 15673 bytes cpld/db/RAM2E.rtlv_sg.cdb | Bin 28670 -> 28667 bytes cpld/db/RAM2E.rtlv_sg_swap.cdb | Bin 814 -> 815 bytes cpld/db/RAM2E.sgdiff.cdb | Bin 17304 -> 17285 bytes cpld/db/RAM2E.sgdiff.hdb | Bin 15938 -> 15925 bytes cpld/db/RAM2E.sta.qmsg | 44 +- cpld/db/RAM2E.sta.rdb | Bin 10922 -> 10776 bytes cpld/db/RAM2E.sta_cmp.5_slow.tdb | Bin 43539 -> 42660 bytes cpld/db/RAM2E.tmw_info | 8 +- cpld/db/RAM2E.vpr.ammdb | Bin 624 -> 612 bytes cpld/db/logic_util_heursitic.dat | Bin 11396 -> 11396 bytes cpld/db/prev_cmp_RAM2E.qmsg | 187 +-- cpld/greybox_tmp/cbx_args.txt | 4 +- .../RAM2E.root_partition.map.kpt | Bin 2925 -> 2858 bytes cpld/output_files/RAM2E.asm.rpt | 52 +- cpld/output_files/RAM2E.done | 2 +- cpld/output_files/RAM2E.fit.rpt | 415 ++++--- cpld/output_files/RAM2E.fit.summary | 8 +- cpld/output_files/RAM2E.flow.rpt | 72 +- cpld/output_files/RAM2E.jdi | 4 +- cpld/output_files/RAM2E.map.rpt | 68 +- cpld/output_files/RAM2E.map.summary | 4 +- cpld/output_files/RAM2E.pin | 26 +- cpld/output_files/RAM2E.pof | Bin 7879 -> 7879 bytes cpld/output_files/RAM2E.sta.rpt | 1070 ++++++++--------- cpld/output_files/RAM2E.sta.summary | 6 +- 57 files changed, 1068 insertions(+), 1071 deletions(-) diff --git a/cpld/RAM2E.qsf b/cpld/RAM2E.qsf index 43d7247..c9ec12d 100755 --- a/cpld/RAM2E.qsf +++ b/cpld/RAM2E.qsf @@ -36,8 +36,8 @@ # -------------------------------------------------------------------------- # -set_global_assignment -name FAMILY "MAX V" -set_global_assignment -name DEVICE 5M240ZT100C5 +set_global_assignment -name FAMILY "MAX II" +set_global_assignment -name DEVICE EPM240T100C5 set_global_assignment -name TOP_LEVEL_ENTITY RAM2E set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "22:58:44 MAY 05, 2020" diff --git a/cpld/RAM2E.qws b/cpld/RAM2E.qws index 0d77c12ae5f7c1aedb79179b4f1c3d0073b99c43..ab951d37e7f6f2841374dfb0d8482ab716dd7f0f 100644 GIT binary patch delta 195 zcmcb@HJy2aHY)=VFiw_dj<9FA4P^cY@&AIvKnx&w#=yX|{0|e5#rXR_5J1J5mIFm% z7=Qu{46KnLHIr{J%SwV&fYgE{z(&E?llhq>6|u?!Re((gNlq4I72#wCiZDWX>N0Fj UK>1}LV?Y2X{11eIOeQ7<05Lc_`Tzg` literal 1364 zcmeH`O)o=H5Qg7dnsh__0E&dfP8?>Xn4xl<9fMz6}ssG+9P+E-O|mGEk;<>V6NAV*4R zQX^Vp$0eeHy+K3;Z$z_X<+P_=yb3tByPzW0BCA=v6-{edqng9cfn8P}tIBQ@&vaS^ zGRh!W_Ivy=@p<;70J5Y5O(@363D8`{(L=0^6E%hvMKYii&~db7 z9{z=QIk4-bxydu4M^jQT#A~fUoxb2J^x!*89v1_!;qsQI!kY0oY{F;-ZhPHm>7}qN`J2(%_P!6qCJM|VJ~9^>tGCURis`}Fe;f{ ze?=^@{8{CboGb1rwdc&yp=Y=)iFIvED*#80^5 z@cJ#QzCGy*M~^K%@8m3JQCr(5W;?oV?!H9l++7HvGHb4%#I`cJ6^e)|uJKoBO8?Cr zpL-o|WP5Eg`kmYI@~@y0q@TgIP@uzH79fAZ6yz}XQ~o5D48F^sJgRru+bW*s_=JDz+qP<@@??;!U%B7pZf{G-uybGXWwh0xFfm7YkX|Q>Qi<1wtQ)}9Zy*{LT37bZ`)Er-$K>r`lmf39u;mg&aSw*#R5(eO(A3e52Wv(J-j<9t(asJc184xvoK6H%Vupc)a#>R<)n1$ z=^#I`J0O;5oZUbTfc9;hv8iq=E4h^O`vKs|RAO4>W9267( zRofYJVLP53dw=-;M{lo4@U8%4RwG}GyCr`dRf{}(q1|UN!<7j9T?7?Ks~pd*Mu_Z* z|GvAE`1R83hmvQ<16T5l(TkEz6-}kP$4^PBHS9*NHlxt~{S_c>;&{#q;f{lrnogxm zj~6!j%;a#7BObqYFc^$0z0^_c_UCVQ)xe93Z46oYecwNssP1lIr+peOL>wz**xiYE z9X)G2uY&OMN_r~iIr=)VSj;<8T2{f+MDN#T+nzvOYugg|@|Ia;rY6Q6!ZG{c)ZnLe zB?NvnWw`&w>Uki*Vc=9hKDhKlrxSWx)g$<&7V`}Epu@H&m&Lq@zvZFz|5$krKa zqmw=%1li|vAh~q=`J{85sX79+f);?yCO~&3yu3`r+b$N;s~)tZjPs?UECt>kw>?1{ zyfbj8=Y4q_*2Ec!t_EkRT@BuLM#+CC8EIm#aS!!0=wQC@$`TA-L;|lgvBqj>hA2rN zYn5m)8mJA3=BIri9e~cE?d5G=aZl4gpjuc1RWJV-5K7_R$VI6yuJyNoxK2ADMhKMp z5N_$mKu_&2lscT>w801Y4Y8~TIKtDQ$8$3K^}m3!^`-#+Gj6ZB?U$EUBJ{n31!|gJ zbDw+xwL_*nV?Z#eo?}UBUD$P1h(adE0s1{)$=vs(GV^6mKq0&D2Jx6-qJCY~J8%YR zwEl*qmpx992(vjwu#yS7&{TuhB*Bo~hxjsV?k+S8e36zQP0(M`tuKAV-X4eqWcz=) z{R9kw4Jf>`2_t>TTC-4T5mO_wPly$Hya3{hsJQ_N4ZeIn{fYs5p<-YK`L5{!fXr=t z<6Vg3+js*@QW${^d)HnclmDo>DZOpJ}I_KE^M zd^yB=`l}u&NXN3zF-SLE5-uE5bML$K7{rdQ zdw8Dd7$N`5e=8i!>dAc{HT@nin-iY>3EY_dn`WlKO=N{2(~lLTC8kf^wZE=nC0C|W z&yNj*wf;QCKEV;K7uHX#uWWs-ls+Ec;;dZ)qub&+PoyG`A8qFmHBT-xN=EM7AUr*l z-khuq;drz4YLC|QMy4VpNkzo6+vdsU+Cof3*j6kwlxbv8iMbo4;1>eyJM`NeCc4af z?6N7$wafl};J(PZRuE>}ebI6(6Lq0)p)~XNd}xD3Ui^k4u;KIO=2qE7SXEQ80r>)| zV3AFYHmb|${x=jG91ME+qRdnE8BoOH7k6X-?7&9n8<`wgPBpyv^%Z~T;et;=N<-!Rm9Am-$Q-i` z_t>whyg)SDY%q!-AiZ8L#DM-h#vqMw-II6krbdBHkL;mZAmBx$vk+zv>@n052T*&h z+%o>K2sROe)UZZ>H1YU*D6E!EZ+t)vxxO2b5AA1Oz5nXKQB~{_?sJxh@kYm;&#&h! z!g>!8>yK+Je<$(mSyG((4Z1dME~lrg*X6!l-XivgSxi!0-3ZpH1cX13w9>D!N#-bp zSr(-BI%Eg5JOl6|ccl9vX{|3GWTv4i6IDdQ6ot=Sb#=xI{nA9v=UG=1 zmeCrANaM6ye`xb$B7{K~|BxQoRSN@xWWfMAVMyXX6&Yj|!NS6jbaDeM6LyY%_q4Ob zpCauIdva!vdLD3UxRlTTf!JH_M68*)m)hb7*l?(Mesc)9as6cQ{aotO(ii5JC|)Hu zd<&2JL{3bD4DGyDpc;6Bul6#iZeLfBi|6D~mF~knksEIh8ZSItXR}4xP>TMzFfa9u z64F=EAxinyaz^8}T6q{2i>v+;)wLgT;|sVGt#}_wTHmRjc|O*1N0ic0suo}N0?2FT z11`zR4MpKY6XOqq-_iPKFR2+?;+U(hy^LpuR^y@8jU9ofP$NvSR3)}j;E%0{rs{4jH=s&q7zTYdFCA5 zAAH%l{p&n;us7I7Lh%%kipk%%>wdI-%8I zjn*?oc!ErNznE}L@jsILr43O@!(^t9eAVWUrtSVR3G`8ajiWvh5G-Rbn|Z6r3WbNM z7_|IOur_+kgd4Mk(}=%{mbX&?n6H0bzC74EXH--)6nPT(rHGIykfV2=uw7fMJN@_# z7|Mb)o(k~j6d&q#x?P!2^{`r$lkki7pi?1WivEwm&M1Gcw}iVSS0f_4-fTy)Yo4EP zLCR-I1#Ku8_>}%gFnsxwcyEeh#?y2cP~KF^@~wXv40`-8bN}TzfNx=S)<0w?%Pcd0 zm$6KgHz2b-Q^@%{dzZ{_ctBqv60r17Mn}9iRnUArxLJkOe%VMl8Z5MWnkQ@8n)C3B zVQoU|t|Y^~F8yuW;Q8=6$ec?_RLE=Mrd82ovrSR9hL2r~%stpZ)*GtKea3Es;Xl$J z({PgbCYwj90IWa?^H)!Rgxfkl8h&&5VHp8M!{OzYc%e-)!S|3vb1PTu{YXTtHsg%U zUzY`2Q}d=JMTKkitPc)(sFM>0e^E@r@QfvtM0ipHqX+=~UiX5p`Z4{HtS(>ngQ=;w zNxiE|^de6&!nc6@+z1@RrV8kW;z~uIuiqOJ-tmp3do=Vcsy2M~#t(B# z|0z9Aq(rOr^v%8Puyejf8N>v`5q+bK^c=&{bdVj)lK#j==JDo_6ki*uR;vdo`R{oM zKdBea>gm&f<1+~yZ>YDX6L_IYiDT;x?G`U^za2FvPGM#ii?km!`o;bZSs7k(4y9JO zrsF0p)7L0Iuja{;gn$pb)Z}n!hAPOu-qK6i=4^*{URAP*y4yNLDUukGoN)0^Z`s+e zzF_^6oD-Ht8Dts9YDbWrjbzw)MJFeo2K6$Jj!z4?O|x{a*GsMVkw^Zy=!r>0#UADKxYfZro_olFS6xg747P^@$2)#+O}Fwpj`8| zNCDoSn(v$Ul1#N7j<5&LZ?E#Br^D+ zIz`Y3WcpL|h>vdfNlr^h{0s^o(kMf!;#ds|vTMrcSgjATBLe!;y|swKreAVu$`Sn= zd#`#~9ul9?rjDag4?z%zp)|fLgTe*LGoMUehhdJUS-u|#DghV{w`pip3Wo$xn}|jWTn88IB&20XdbJ8fBpH)?i8Q+aqX%Pp?uI zrpW`?2vG5C_HP=-Q2n^OwQ4GMX`1&cnqRQB+avBGQ22F8{UGir9InnDbPZY=`p1kW zQ4yOP%eX_HvikGR1Dj(R#!JTq5=QCt7S9sDKP&?Pvc32~JEEO*Z|Z4lusIo=v<5$* zKbp}&VBa}hzR14y+Ur4<&`OOLzl~KomoodDu8DXMXWN=K-QrjUmTmWQ6_yQ6($rsE z%RB3&UqX5a8%YP12l0sTVV?!sinNW&a8U9#6*K{o#$3$BpYMW(tbLkERJHw38T5YvNYCC<4PVF&Wv~0|@oVrbW zt(O`9bI$S9>)Wv@-k-v<9T=Cu$=9Xrt%%CGe4(8#j7xG4u2iQvSuMXlb8Q1^PsO^T zIa5izFCx6n1Q8jJvHSzCMYcqg9NEYyo=@5;$a~NTXwym#U>YSd|60`K&K3 z^&L8(b`*06xKeJsSUAtzRG9E*v1y1zVc5a45r?eXFR2e7&38aOqg|#v&bP>1r)M}n z&#F=|;Udm{OhWn|{9=?jumin3Hb2hktU4YhoGG&+{bS&QBalo!Skf?B9Pit|pBUV5 z+jnD1;@{OU@)-JlaE*EVf+Hk}uZAs&I2(3dRve#3J9~%k;UhmkfsdlcI|`!EJq)93 z6?l6z@&T}|k&re*G<0WzHQKRwTqsc;G;iyv-Ztar83&V=1`YSi7!c9y?FWo7cl5`#I3pYs>xpe4~+u(8c zg)rQ$W^7aLPVa98^>n*unNG@!i>Be`a}TdLrm=nLd1;?^Tt)pn-26Ok!j9dBhW{Zu z^zju8jWo@qp}%FR8z6zERzWO`>Y|in)l=-A`ae71Y5VDLjr!IKSI3p@`0#m}aP#{j zx_5f%(TWF)o3@sGnb*5yBv{^W@i;#+NN`SL0~<8s%0r#`lK;_P(N|{LjkW)?xZqO{ z;dI{l_8)ob=J;m2(uQUIf2!c|xOk_iEWT^h0GG3N3ilX$(BEg@-M?M$laYYiymL%5pSEMYV1M&1}qULWOl|)>PrIev_R(?wy%ngYWLi*ZcegJ@sXSf0zj`s8r0t>7NZ_poC6)1@G$!e@^Y9L%b|sKU)VN?(LgKesDvojC)y z0R>LimN}ofdsw~^V}Dg;$MQ~JSeL<)ZMVbi=m({VR(~tqtKdR^JMOCrg167D%s(!c zLy}Mk z<+!=Eu8_1*$XupSYauG0C*|8%V-bGU5&Z!#vDZGTy^S?GEw?rGKj(zRu`zZy{7&c? z(JC0_BXUAfT{_o^A}@~q9CU1sINEGI-xl`{bUm^3LU ziV(Z6Zv=Xs3fzA@&YYBY-xPi~Vrg}Qxq32Es$G1#H9GgUKKsS?Pj088lELmQ;TLaN zR>|8fY}Hr3ROwqx1_U~X*`yhJ)!m&#bRU+`l_&Xpi>fKr*i#(4ADM6XIH2>7w8YzJ zf0J8MsJ{b4Z;x#+xq2IqTg(G_yyp4r!IZ8(xA@mB7&06$R?gSkXjn89X2}H)HnR87 zRQX>WU(mGBwXW4>=NWUix-NI2X?~a}Xz01r)NGBh))BjHs1}WUvl6{%)?LQc2Y%)J0Ik@>SBc@kJqPH+5)N#-SY0{+<5?+yiow zSwpGXTy9miFjv$#{X(_+#rZ9v{^Mp%FwG;y%TL!wm-uZ$c=G=i?mk^lZOCuVM}yx= zJ{&^ZBI}6ms=pw6Y6MXl36bA|ey|Pcvk^cfA22jsZ>x48vHjNG6+h8MOuO9@@H&1) zyYsDIa?uRKyVtZtZh{&b;8EeE_E(tIflFK{}MLlV$1 zPquP7=vYM4s1d-=S0L8X3#`F=j=>jp{8No9l9~kNJ@01_oEcbDpK)awEYv(END%Y) z%GtOFjtF{fYKWsD1-2R(GE1uWQ94X4lxQ@-e|avnDe-i9^cJR}6G@G^jMSL(oF`pZ zGq98-AVFFA5I@;{q|3nGiveIfYpyQ~$%(QwQU6NI-`wJDXzXH&kjc1V0 z{NpjJtOuQAy{T6#$S)?$D+po7lNYn#Z{3rK+8~0-G4v1mg9jKXVrp}{Xs17bq6vUh zBUbUjM^^l>cb8lw`;I+NPob^x!G*)_6G~re2XSW>?Itd&FQO9v#MKm!YO184~101ZKart$CT)->+II@edyTF22Z8sgpifXMou z-%R?_pj8Q1mY2;*r4qu?I?M5$(zAf$XZ&98X+IJly=Z#$&1>@cWd~MVKz8HAAN2Ex zzI?3vyCY0NSYx}3)qFJNbV zSUMaQX!$AiW`J|1I=Vr4sk{@I%yQwsJjl$6>E#g!lt2sFzsE4}ct0jZ?5`-Q%|W*F zx9|J4+z*xAg;1*cy^SHf3i;Xzsp`{TttS33LX5A-`4H=9h`UaINo=p@*xdszSL@Zz z-me;+)4v=KwnE^dF$_l71GEwVSXFn1(GB1Z1c?$$hvTtlT+CRp3xpMwP#AO|VsR%p z6b1?<^3V`aOju8DOb`zygJIZHata>Ag|T9g{8@+zdY{<@Tm}!FFL)1BWV(fM!?!4q zEfHpcjT)tm?yDiG2%APW&u1i-G(E=D&l3+?#}7W%Gx-G7V75bOO978o7pXWmQtdd2 z=?tR+uj2xpm1v-S_^`wIYxlVOJ3_Gc83T**9JkxR1P2;|rEWAD;cbP!mZ@{OnX28# zc_}SZEaAj;WcW+qH>*uE#L_!LU{H7SGn`9$+x|w~<%ZYZ_q{tHW4~p`gER?)7NFJN z9+9&awBW(4nz5id;4x2Y&Dfhdex96~G0%8f)sSCVyB1n-Bl;AfIANVzu$US{!2l#_vQFw+mGVf}q7P(^vkOgTM`Dk225>(3&6Qb&fW1B&ZkfV1{v+uq#$^nFFB7Ky-r*j)Gpkn=sUp~3~4 z)7G-#N$-d_`{@@Pjqw(R&{RhLMic0AY(GGBTR=Vw5G_F$B9JTS+RXWa%IJQ`wHV?d z)BcA;G?<2Po<(|LORPYs1N`fn=PnKEq&K0nLnN!vCbN7A{LiCX<46tc!@HRCbalv| zEC>qZ4=M6|Oc(7{!&uZ{LXcoc)AKm4^hWFAjAi)zsz<%H~WRIl521UyIrJ_n8R zA!fTFB1!il$YqPOXCVrE8$=x68X&mt55CgGa6E_L#?ZbcPN|Vpd$Q(0m~7&!YV~-R zd+#yOJL_WHm^DsJhI|A@aXbrdI{?gNftUkNpiQ+eWLBBa6b0rl_fB%Zvgsq%XIGr| zBuwj*2)EA04V!$fGFiU<0s#oRh+&%&$IY`frDbeM9|o_;jy|PXBq0?uH3=fVd>;-- zX!R%(kj+=O8uzw^axPgH=OE@qJC^oMon+v||cSAR5I=UTc*q_=;8aY14LY2rj-&mr=J zg-ikBn(I8D#RdeAIuTK%7dk;@knqL193*WZ;%&7ysH6y9WH(Q`h{--PAI?JdWI?C1 zNJ;7>a40dJh7d?Y*r6d{X$X7jXnghI^$yb9_0$8jEN--y)DXWoa(;zG`Y`Kol@vyF z>TP4UUNr#lTL;nJQY`7G(1qoy#6_{4aAY@e?M*WjO-mv%1R{Be(iqQalid|%h7!_V zP%Iw3#S!06OM+04j%*6Y3rPXH9S1oB$ZaEYez_I+IS68f{LB$`Zx89N3i`0O2jjz$ zX2-ioR!9pDkes(P5xCTZ#J<@k1=$FZd~{&bRP~FY@KVola@^4>B~y=~hI+2cKgSbse*$a4JcA%h1{ z38an1DEMcz#PJcDw4-uFyGCNqT&h^U+=+GZTr#x;@+xLWtFvG?fFZ@utB`hpDY?i( zei^_zbdj{5s#I@2Ar+s*>+Oz4+$K2PfYEz0cEra;1w*!eir>6>ta)IpK&C3?8RDylY|4#z&|5b_Pje|BE%P&LeQ%_d*H~7ASdzxEomUe*f$Uemwi(I6w>Kg(3Mqs50vLitB&jCUz zuouJ|Vc`88|MhdwdH)mq024VX!BVfd{*pS@Y?IMK#=21&<|iXItk+he5A>Qs@UX+cP#O0aXsFogy{W<8#NX zOofpZEd!|ybAV-Y<+S=I1pdW=Q1=sBAtO-}z4s-*QDwD2A5yW4;|D)K@*57q7+fH& zWmS239AiGsiQ6`&^!Q)zjpb)8!rW*-_i_`Q?UU zC|&VpKj9cUTEa!ZR_i11fu$>zSXG^NJK*Cn!ZYaAJ3#q9)~h6DnD&X!*=2R8)RE55 zOwR1U#Vc*861U9}OIC+8TW>Nd9(}mKB@Wv^*a*F%dZeRk5Sx4asbOJB-?EYWc#4^P zlTAnR2WL$72pnr40`5VeX}xLq(K#&@4JYa3Y)Mv+Bk1%an(%fq$qFI*liq z)}%bJ1+alE@{G#e8CCc_`@#>K1U?}clY0Z$-zxLN<13W#*%$XWK~XL}Qh%rxc|1f) z)+0dv2SNeOh_wvu*DR8;J|80-Iu!kVNI1+u2k)s z%Eq{jZ7CFOjf0z#$3r4|uk?cqNs;*m)_^ekj`Js9fof=cn;{`2u-fy|eQd4)bQ}~C z_z|4|(s=a%9dE#F>;tt~J6E6TM&w)DTkhUnlgCC(j0Y+B9H?rcf#WdCjO{D^2-OUw zy7nOjmIzpgH7^!ZrqFON3$nB$|1R)=IiNCgkq4QH8KOyV5|3;D8V}|01cz8RZv_jz36jemY!tht<36q za8`zwWAzN13qJ%b7b3C`?q<5A$1Q0tJzqe3ta@gMd6zWbVnDkU1&6h9J%@eOMIR(% zkVorZXw9T8cYDs0?~w%h-1#5BB>F155{@|39NQOUJ;&)@JGx>ZdZp&Wb9-$e+}n zW9kiui*MA?zI3C;yLXyXj`>?qa;K2Jq(Oy|2uzdJCX2;h`KGwMmH{%1Tz~SUF-!;+ zbjjZW0I;`4fQ_0fU;=vqz*;M)xima0dOQNrGJt&8+=%!mSV{7jr(GEI9c0eN3P4y1 zZe7fpumSSv$RECFcY|MPjZTxW2;@R_w|#ofZ>}*1dPp6|L_ASlGkmnENTM6;IZs6} zuNeviGTdJU8}J<60D<;)&}&`NL71!_U~L=0IEwVZH&;6qS%vBZd1uWGMGmr~L(c-( zk@c6-Q}8xaC3n`G=YL1&PV(kNu z+oI?U+(vX|gjgO;8u0YiZ4<3dzPf(9X>nqF^`z-r&D`Z7c(m@?9xgoi{wVGjfDPF% zeFhS9%UjB$tPNpR!{RItnpt@sZ+4+*3UFQrVIS4jT~Czop&BvPC=U{4@W~gIH=Vr= zw-Fu1tW=L67yS-WS79%vFrn)h;{)?F^|h#zlhE+`1bfR6LT=48JAY6+16v>IZHqx2 zUGcGWXyeOb(}AZs@--GG5T;eY>XZ)^GfDY-Dc?v!`-s#wZ~87>TX1LZs;`R@Ot{Rb z5`!GAKz`uOKEH<}&;)JNrETLj(^6%GlRoiXA8DJtWPAhSK6u>6ie4Gs>F^%3!OW5C&R`&+>TIi`op#M>&b2hP9C(*; zTAtW{mWK@UF3=xkVb8c24>>blVus6J7;G)SeOXrT`+8(u%N6)f!ZA(IFV+5qMQCbZeNy#AFs9&=@@nqu zfR~TYk^)aSVkhZ>wmy?*s48unROrxo{&HZ&@%w9?9H83jOFWqM02j}+@$ukLD73K> zzZf|UbCp?hw*fLFQKH{d?@!Dq>K1*qb}mgV*mL~(xKkLJ>;9g}?>T}Z6+^!tYD3vH zC((RrMEN+Ddar|mP8+b_hoNkxh2~{)*FMRLUl6^YjOa4^9IWTib7>xY-u?k2oeAdq{_9Xh6X5JQ z4Iqy1>7v#1b^45X8mj1|6ByOf^Y+UW636L?nux(ThFVYaePz!~ZYDLzYTAXX49-gv z*ssNfrOh{6+;$+;v~8@Ptz5jY8nK>%S?TKXZxFDqmtsdi@1E)JAUVjV7vpNfWOU*E zvIiU9SAG2iS&Rv0p^Z-onv|nFfFghP;NYv|?zDyc>J<$N_#WGQnhj;#F5A4%BMPY~ ztvy2udPUiLfoq(2r%MkvtM>ZhTuEG-fdwb`x$$`?WzRRR#s&P4zh%ubNu5eZ{%8xA zP9#R}nrJi2!{wIqH-Xv~=q4#ijr6H0l>AaNooM{e--5_}1Mc2mDQMvKnf?MP<`Vq5 zOg=r_Za=lv!8GLuPw(gkn@7TiZt##-1ox$1n;`prv0sor+sAC+(Rt}G)Q=y=jLr># zgVqDQ@*m}`9-0th>wly9UfK>&)ZOmdI_K6n0y*xd(ro7|sxH92dW9M?KHUs{^=<#o z6=92}F}xZ%&ai;DE&4#=k;v-AT?Zi4+>-Fpw%|HtysU8AFTGThbHuf+G+=J)QkCSl zqNtN|YR%trs_gDdOvE7!NDE($aNuudk{vOSTK<*v-mGHBq3g$P$Zkoj-^KP*m9|{l z=eU98@8vczB~w=qKznSqdp49On{2jdQ3})htGYoHHeEK8Dpi>y-N1 zj{Nxk=%;(|Pyqtwq+&7)P~mgdn=6P@lr!LQlc{IJ878?r=7bzm+$9R|raT?gT;rtr zZV&Xn3!$MPA6}A4$&R}(Hgfrn5^d%TQosd|v8ICq7L>O_zPDuDHtAuU9on8qL*}(u z$p(4Y4y67hlu|y?i|-o+KSc!_+ZJUz-hRcyy-q(0%*@0|4E7Yb=6l-dA}075YMD7H z@^(^ncxwXqe2OOJ?xaZ+NIU3?`6M3A&)c?pOi470HePu7SE5;m49I)4k7J44h3meT z>M8HAzuV%WaH6($sdL9;_CzVEhi~{ zO@J&v2iH(OnF7f3p%-@!oz>GW$M}st0H9!&`n|hkyYSmO1~epP#@;2jjwqf-xR-a%&T7;TBiuMs`KgEqvl%+~<#__ZNjn9(t-y}C! zjL8b!UK`LZS2ET0TN(ufmqu@gV;qm?UQ#4)LV3JrhiH7Xv8O^5o_YXf?VSsyPwCZ) z&QtDE=;0hR`&nozfLnsTl!RCsosI}u26lN0JqG*Ge)~7QW$J58GtaZiYY*mckyG9mq%a7t!y+F4U4c z)2M#CaD^u{Q;#z8-a-=1+e5XNtbwhzduGpLXWX&1lt$hTy+9<2k-|yq<@LbIOjS8n zRr}JT+f2K(>vP{2#2irl{Igg`;jaXg+AjqGxv%&d$^_-?T8_P}NPGkzZl$c{hA1gJ z@O&=@lSj*R5=DsD9y*}<&pA#)VJ2ZA5=VSqYB~~Mw+EtmYef1Jc?`%;NE|wZ4$c`- z*wg&=qyTPE*&F}CF`h(G%AN!FD3b9LwyzNmBm)`Z%*MddrY20fHWU6h<1AzP5|CjDMFR2^uco6TSo5`(N&RKOy$RZx9v2~At9-xsKc{GBjL<}QBo5~OKc`IpIkgdajJ*5LDTjeRaSB-~kJ}W< zlx1!d^4!~ORQ8AGxVCJ@@5`vptSvqqU(1=F!$8s}*>$CVULj>DfMiN}9i;&@IePdB z&e!f_w-~YJqIvFKtppa;4t+^c*@5cJ+*8F}$_v$D>u!c-RP5uAV7Rvenz91iX+!lTmw z*zXqWt010${mh?PXY^_hNybcXt8wZCdgQJVIrJu*C-D%s&WX1!hsg6f*=P{Kr+Y*22 zAGkHLf!#97wKR*}iMN1HWs9{T%vabaMCzh#>ZN8EK8ji7y$HwAdjG$rWxDMolC*ZH z*`d);zD{@^cYX;y-Jt#(0sO0OSo1lWi@$p^qI6I;E1O5w zqF&HFp7CxlEfRGOhwiv8>q(T^YD=PkNxc_3Ll{x^Z0dd zg#YYn1yB$|2$_DU{lSLi`6glW14{6<2A_|l25MZEjLs>ontj+^N*g~4PGQXkA;=1o z8p#T;{x>meJH``J6ul+*ne8R-p zi|R#k+jD%!9h1$@b{C&KJLa8wZun9TkdZP^H8VRF%WW47T60WJlTs$j*@vJUljpSG z!)PN8Wf8Gal~(xuUS=TyWJo!C*~DDG+5uv#LlBtOiZS~O2wxRqKb}^IVN;FAR=3;3 zwzO334XaHAbtGp|qW0|=&ZNkz(Z@|%F>_jiA(d?tgKiu;Sl!cW`j9v+Ebvo{&)yq6 z)Vy9Bag%-B{HCttP|d@OAH%Q_d|h8vQ+?B}MISTgy;47fD!92`icjMYx48l)f{a6Ja-AFon;!6fC*&lUReEO7vqYc78mZe4Hf2+p z3-j){`;bxf0?{%~o=;>RaB^&{ZlB%&VI#lH*~)uAFaGMz)c8F$;i=O&EgX)~hGSO^z_&QR1L^IPD4%=!uw^~d#t zPm$!?0!w$4nF{h2Sqr*HM4)}~y!gSTGJjaKW^MhJzm4332s6oBNgu96ugQxEw7)h} z`X}hAr%?-}Xy)9+g7fouTcd3(m$$@ss|v>NON~o?`K~VcBUM);vIE0IwlCZ0&!Qpu zgTv>NznGO1jnU3@880DRn9u&8()B;a1C7XyQm=n#gouDu?&6nvr5_xxE*S*5xA4r) zFhBUqNw@JV@d7APvXQY@Vs}2B=h<7NHa}3$NQ`noJxLsttBVdzH9R!c?o5M{ixx#f z>q1T+KE$YmehpkhX7fA1$c3~Vfp)Maj@30XsODI8;k~mc6B;UEXtcFc*Qg8o&9RzK z=9F`+ijl!zTPgmWKfurp_L=yOiD=Y;!*+bWU#KXZ$%>A>y&gpVb zGg#bny3S8EieI|hWoLXG{+4n7lLFVcBJ;|8SEYGf#!5uh?T6LJ0)~{sCyMywM9~9N zgP)plA`deP48E3uwj}h7fykND7pnH>^=5}*7k

xy(6H63E4_YiIp&yz@(xI+IklHB^mP|IR8K}IhwZ(Z}-=K5MOVDZFtDyLIX!|qbb zv@_9%A=Ct|j7{D)DuGJZtehQBRgx7i`nfjr7ozI7n2vim`eVTEYhO%d8tvs9q~KfDC7m64fC+S&-Q* z(sy-|cG8X#59`gq9kfF>T4-QpN1^1HOTG&mOx~ZBd4S(+fjKK8KGVUu2i~bZGEnz$ zEXbB+F@79)9ywPTQi#bL`$06p4&}080}88;D)zRlk_uyq#wDUL1rxqe*R7EI(hd+&2ub+^k{HaUBPnOYU@ zvXAl(AjBI06ziHxMDuPEHvohZUxvaG)dAAIP?+F9az1MamwUHMr9KY%T*YjO=)ZoA z`;-~v7*cTly;33fo6&3Hmx(8EyKX=6gF2fqo4c+k1-D94u{XelNj-J=3#IW-hjHR1 zf96%`{fPfBbb`NbRq)`Gy-B2dFH;LL^Tf)H9Jbeek#k-8CfG%uvai+3Kqgxcb>Rq& z0^T|US9XjdfK1l)<5)t?EJ)KgvE#$TH1?&*BL#8CN+ktLus@$ZJ&qd7kAfONckeaRl)oLsPSNa<#maBJ$_S1A8KxfjJ>?} zc@0++v;-SI`u1bzP2EsFFk&-ms6Ato8+#T^wTbWvR)Re%Jj=hUAaOc!`OWx|;10a_ zUE942aX3G=UB?C&h~c@`u;)cA&rB_1195vD_1>m4M66P_-k?}sNEJ|U67{|M;n+HHmKBhl=m1B7 zbkI=wMd9SFX)say^U14`ZWK7gIAr$@9!gHk>Y7bB*585uN>L&=b}#h2nJJ-B;p858 z{FWxB_Kj`7X*0Rxzv>6cHmoW6iTxG*n!SqfM&vDg#?f;;6VIY4PAG5fe`{mTp>pqj z_FD0NEQr*5^xnjR;WwNz$sNqY((-SYQGyRM$M_I`B!ig=)k*1KZs7HMpt8~OhNSym z(4f>_vn+t`2!XU5crXnd<%dq#1gbPnXF>Te*$1cmp_xZfR|t(XgaR4@lnHC12I2;e z{fh|zpO-G6ygf=p*cgZ_cFQ>iJttW%?R5`Cl-2Trm1dVs0=2{k)sKAEL&YB6=|8Ok z3%%2{NJ%~j^R@w=m>#@oe4++Bv0gDKM(_M2iM=+zcsCtJ2*(D~aSDWBpTvWVzJ!|k zo9t%?v*!SmmA%C<;$(JCyjOL(ggUus02W+HoPN5lAFcmI>k7vZq>V8I#%OgyIm?@M zP~#Sf&X1YAkEVV6i^}eV0BTAt&`ngI#qdk zD^Oim)>=dI>HV{%4Hz4CNYh-LPWgA^{#QXgQsPaY0Px!I&hcRQ*>7t-_op#WaynD} zWXf!Y5%j77QfsKAktpzTx4th8zO5|j+f^E&p{t<=_Rlr;XtOU{K!(W&k{5nQ?j596BlPNjZ?C@T?akUaFTP zE^VrKA)dwI%qzrC&1?5w0r{*TKilVJ`8@sZjpU;T*8JCx{JKuid*I0{b4tKk zh^yax+xZUfi=CC z&M4lNS%Wi`j$)6Rq_c<48Vt6X6*|2i3?DU>%Z2tYAP!h=cNW|6avWzD{ighZQ%;Pl22e#V8qh>8%`-rd*3g4^-4{-@8U}F>U@%;P zI`ozOecW8PCoLPSt1Ev4Idp~Gxb_z9L-2>?Vj3JhzIs-IQ{_k2T-!(y8t^AD5m4`VG)qj#At{+?u#5Iq@O;Dg|FHDkVNE>W*D3-c0wO3#6%hdu5a}(UNUwqvsnVo_ z^qMS)6zND)5dzYrtMnSA3JRf0mCzHK5C{-L%A3#k_x`o}>~nYK&fS^WxwGe-9q|<~ zGymtHwFBggcjcT5n+=<0UO6TPNX!?g5( zZ3>7Ov!k^o63jbcPagU@;ZDA%#Of}ziyo+-jVRb(zs5_Z>#O0K#F<0SL*>L-9@Zcj#xhZ! zl$Ik85cj{jGN89n&DPh#GlptT%CnI&v>B9d#(at0e zye}O@?QE~@DrC-)2O81w^>D$w8x=n8k8^|g)GX&(xptuPJ&BY~lJdV!LisFfGGh`k zh3OEz9soFR{Kh9BPCd1(8Nc?ukZGe? z@EXD7Mz9nJ$=FLcc{xjYe{ok6n#zk|jAz2wg-aIBp5Ya1<~x&8aBq*k1@*B2d#1SOr5?&Lrv8)KiwJR)8mI^N*Q7$bkI0C@q%qt(x%OQVl9%eP>49868?{ zhhzp4gJCaI{*bTo!#n9n*EX748TJ|v7Zxb()r5OMs!zCS+D=t0z;FhsDO#T2eIli@ z&uIz9Q0t!uX)j(?9m8+z2@Oh-4t0$T;Bl2RSUnZW1Q4-Q$o8QN^asE2xf{;ZCPshW z07_y%8Kn{>_M+;fiHO~kL|`6k^t#~G`4QNi3?C{C@n!hs z;u+r5T$uAmEp0FwanAc5E=_z#LzFD!r3r^hb>0MvM;FZ2f1AesV#nE_z9Sz0OL z4H{y7VL6a&<`RYb_b z!BSlq&Mkb?Xn+iPOX>8KC4l-}<+_DfLN8Q{NDQ?eA0w-dQN?40sP7VTYn;c(R9GM` z6%}@J*ssk8;h`ZKb^}Kgb`}`f+2>g<5~a0sBt}Js6_(dPJD#uoH5ntCi~+xU+5Wap zV`74X>?%^(Or!XeK9Vk?S+@5@IPpm8@FuqNuiBr$bP19Fin5s zl>ZF#HB~i@*N}dI3Z~33mjt`=@rXULjWcipU*|H0qzktL77o@{I%$+=%;aR9L4R;N zx3SGJAXcVtM|H$#AUYn*6XYH7>y{X#+vx^}SDp1W2v39SMoxP{{ zcqI&*D7SeIG!&83RCp8PXK`J!2Z*1?;!=eqMNVAq1u!dDwQatG$86ZUOkek=)Z>M?^_X9zNyn*Ld zYt;Mv_e7J*;H>yJhrP9NB-DR_`Ez#IGnPNoMxuc_&weDvXC{Zr(c<5%uBfP!Stu=}^;Gt_jUEkIsafkka-bpkbyM6GWWbBR<`Mge zrv2Nc#f}|}D!_CHnHv0;PToL=i4G%}CK>Q7|Fy7}0q^wRabNYmx8pc5gbht}hzup_ z?jW(y4)PcF2>@pw1u6=~B^QDB!HoUA;A_M%$i+*WzYnqCAKkEv1Y;#G-!V#yY;wkZ z=fQ%U*mz29uSA+YlIUrOhNk*#@%zs$itKkDw``~FT>NfWL^*=eTqqS(y8(Bjp;k+&X1uCANA-tIp z>DTqV)4nr320k}_AaH(fL2C!D)lV+fd_MG+o11CD9IaRB<@+Ljbwx3b#CsVc1n^YO zz~^yQ>J&gPDy4t~e|#WI?JdOPBZHQuRW1qoWWVS!=6e~BaN3Qe+ut1{b&MAxFJS}x zey7FnFyNV@DP00ivAqZp0zX6U&!3>&4^Sq{Vbq~k05z`d%V}=tBm2Giiu< z|2;w!1HS*iBUQ?Y0t@C9C=hlnKQKZXVzeAe1<;{c663?-NU|!q#!5=A%W!7=nrzGF zB8^fFS$mfMslBEo{;cu8zLP^k6#p+=<1|F+LKGh00rs?aj`(6o;bTPVK?31xEM;n= zj$L)gQYA}Lcnw7gyw{Byzq2eGky01H(dEZ=OUT(W@zbxxTQz4xh-mJ_c&Fr(#sh?g z_3*c>9pNhJ5^%Me_`BU5t=wKQN-{_*F{e%bQRYers)PS;M_|q2>oKzQ2G}JHp*sTj zLud}`rd&o6JOBTZEzPz2my>|P_NK$TH>7MTPduWSm(E;QX_xZ{8&?Y1pW0q%7iYsL zuk$66GE@hpx`N0{+=fOc4n+XZ2{Lh?u)&9+cKKG&MPh+Q#T?(wJ+_&77kex}}BK`6e$xGXAGYqwJJ}uP()3+$yy{#~&52P8^-_q`==r zBO>&*5vW$_&JMQmL6o%m1i8By;6Hc{&~4kB_+9#~1PaqXcs{t(WW!58O`fhotTABm zRvEp+|5TOtTLI(Q#gSUGV+9aX2qsJ*^;ZNt8i8Cnf45u=Y9oKQw@-7bo+0KEt+u5> z`xb(tX>Seta!EUsNF`%sL$MRQzE3*;WHvn&blicehX482d5`qL^^c!l*?yIyGW1DH z$qZ2~@_^Qew}Q;SO+hodZv(X38e$7cm^=7aJG$n8g?Lj&f!yr+iAh}V^8GYeJD;R^ z-NHsV%GGXin&jN>`Qfr_#J5z~CEkcy3e^dg*`Cu5(g=4yg|Au~?xIWo62sj?;CA!* z$5x1%vDB$pqV<|y`&ZDESoO?aPlxd1%d`uNutO3{)zYcp*3aT&f^wH6P1tU!89iKL z2~DBnXCCh?nM#$OEc7m~N!)TwKn5yr_4`6QXZymx<@)#gRM;Fw5af<{HpLkDpEXo@Mx){v3j-FI7_;A|h&&2v_h;a@@b;$(}pXQ~%f+r@&C$ z)8QJ%pcP|+%w7Xc{gCeXAN|x~AzKpj_(r!};-Qy*F4d|KpqqRCf~g0h^4sycw#cs> zy8#l{iHMaxcql${e~p*5n!Z8P@KcKeNr2qT;oNk-eV5EFSRe>$c$jQygV@li0q##+-=5z87 z{=#fE+rIbh0Qc4AdsjKs#dp-A*1EexV)Z2(f5ggMYjYM zE)WQjj4H@?nl>v9KP3_GxeKXL&G*vPuBVn_1(9rkO%=6anMmw~a=9p8jtf|O&_}LE z0v0_C{sN2=VnOWxN~Hc>?cn}ya^+PU74B`(Wqvx0abV^*rxp551_pK3B~u;yS0J;U zq;zgqxeKqatGv-pdc=^zL%YSN^*!QUhAW*j^t!dz@MiTBmoLHy-E2la?xuQc)9{+@ zg(z)74?t$HD|Yzy)TpW1743JDEa&glT~olJ^|xxNZpDtyRD5W(V2vM!8*GgAw|+{I zNu+0?(w_!<-*}CFU&4Kn z2FNMB=;L?)dUEV-7s3x12Y^dvu8*((+$ae0q635%b8{9ay)1vW3#x{sqG@be zPFE?0#sV2Wn30$aE^5e_zsYrly z>$_={mc5^5O{?N(lBw|z#0jfGyt``vtW(Kk(K+zDW5rV1ILl4ztIssAKryKAN=dKn50m6?v_X@8+BE&1 zM51JUwC`;+Qv3kKV;BE^pCWh74?o( zmQL31$4R4XEG;C@slx3+h6h8^R+EqX=pu4`mL?7|ha)6pf!P;zEoYREVgkUicHVS( zzQO8vheh8Aum4B8#r(Y3PCZ#*?7;MMUh|__5g)tBSM^T<+wwd&Z@gdrFiyBwzGXJM z?N(bLw=|?)i7sewRgY@B`Nm(Of*O-3i)e7nd!+SI_||Bi{2VBvA0f$qC>wMEjLAa% zoI{Pa?@tUn*>l3*u4x@IaqtxNZ7Fdsfo(lj!qqkltO33^zvv~>g#8_H0LWj`D4@t6!-9qsZ;GYU4>fLwqP_q z8$FEAadb)K=u5W}4Vz7V_$=Zx?*%45yoe3|Tc@KoSmAI1g0eyo9@25AFsq=3pDgaf zwbit~Qjay)XuykT0}D{VKoDI1Hsomt=h=sD=Rlnm+`jI~7scQQO?X$8*eL7U8gnVZ z{nZH{4kE|urtc5)bcg%_7_D3LW3VD??de0_rPZ*M1)owLWT|sYob8fk)rL8*h?x9i zhxJ>lM|FL$^7WjlFDR!e>lOCLl5K%M48nIL))7%8sWEVTS{WFa{|i;WoB{};!#w}e z^C<7U*DO%&EJN|v$KEmn8(lO^C}>8|buL2niKVr(IX61+?;cb@nM17aW6oM+4Q1-f zm5CcC5qCYwT$jT^qbFHfh?(dNbGB9AgtRI5PfxoYl#jICvp;W07^DS<)L41vGDP>{ zoHzNH=r@*}(Zzt@)$P~N+SQrkTf{XQB0M?#)0E3=5$o+CYpsoTujlY;Ro|@ud+PE7 zA-~I+3)0h`!H*ao`j*d}MXN*d%6U-Fm#9 zKq7A1r}GSo94n-`gRRpP`P2fKvqVho%+X2@<#wVqePF=JR#2BMXCN}ryMo9s*EbVB zY-ad}Z3$&3;soC7&4H+gGj42mIL`@OE15|{xt7nw``3qT zlI0y{`$A>-H6hO5D2rL3xShU$Jho*uiZr4w%GnD=;nOB~5e{A%0f5*YzTxig;F%D7$Br zR8VK0?KBUzj}LA4GlL_$~%%1*vn<7gGL!}II9_BZ1riG|s~<#>N`33dt^ zDw!%#HY;d)xZZhHYeA85@0gp5Kh>v>w=#GfyAVSfECg=w8i0Rq^~jf&xq@%-ZYg~Q zWL~X@18-OgUz_5((96BJT%m)AGl&eZr35$Kgky~<*n z0*j=d?>LT&NQQW`W!{0QIu_!qr>}YM!L9>Uarm{D)tY$l%dt9|_V=>D`$?0{p@Ziq z8ZQhGTl7pOhR7wt#q7*4KsUUs?WfKlR`Ky2@Fb2mX zq@xt@uqe&*qwLp$K%^b^&EmyW78~B;f>k5@?T)a&+_P-J_rEUrZ-VIokRQ8XvT2Gs znQ(3I&{fbH{Tva*K5-aneo(=`VDah=&jR6oas*deA9z6nyDU*e>q9zXdd+{NGQhEb zv15w&yDO)3A8RARpR=4`gV#cnE77fqJfB_j$B^adRxvT`l zs$9x_Td;6sVSRrV9`NDB{1K(6a-mzVfzy5Xz92)|B)HSLHDyQ_PS)>l(M z*}r0}g9siuuh!enW2tZKyyXwS+GQ^eEhHI5Ej3cDhTU;3ve{jSzUZK5^bz}AOIdjh zUYOwzDj+<{MB9i1a@>c@gWq4l0#uv-=#w|=JozpT{Ost~Z0*edM{irbVXR^PCF?x% z{9!(uCuY(8mqk?K_*+7{DUHw53rQ=_RFwbpi)?C-w?5?Z%2*9XcN?cOrJ~LQn+xNc zvb38WO_w|jGLL9wWm1ug-;I6TNb$R(EnPv4nS)jfyqv#zfKeLvny9pWrtw2TmAfeq zy^_G`$y;eB#kTV|<#MR5{>)~)ta3Yz?uxBBgv-6mUr4y()>9vBQiC+c zEgl;8#;H%OB-`|CA3biN-CWM*4|{U6GJ0c{OGWARKiiAwmXM( zdY9khU*X@Y7areo@6bFd@lQSqrQpf_r9o}}mb&Fe#UIzAM`?Nvk+0}7c$kv|l#+EL?_?=nkVBMU z`mLO+f`qzEqlAij&IiBzc}wx<^ajUNBOCN^TPR(|J>Fsj0w+GY!)hm}D#5UL+wSrE zhg3IbubH#}X+}%;UcV;Txc|}3jDi>IEV2eJUn{5Sb7j3_U_yUka6Ogi(R|_YYgqoJ zR~Mhr8$2}(`W-A-%J%%tT?%SoVniZD*NxVH#p`D2-tY?UkDePh?NH~zO#I6cCD)tc zVoQ_7s+}C_B;^&>y=w{jqAdoER_mQs zp!FWha^NO1ejr|6#6)@~u&(JQm(#&k3-Xz$K-f2^+{2DnUDiJ(MW3k7V8oyzPWd1B zz_Ci~&lCNo_Ts~YLX*B#UwHN4i&?4&lX>YW^BfZ`Fp7S%xn6na< z81$t;1PfK(`^#|rY1p0k!B?Kyu(X_%OZ|$qY-##CDQTw$`ixPafcGJOLW82w!TWDN ziDRw3@GFChy|3v`DUh)=ENMRBFK%k7u|qMryzeF zD!a;9xmN7=)7e%a!GJe*Tist-;cWYeX*!8EaW4{Mfj3B3j9CthFT!s;#kpV z$mGW_muIVNjoQ`xceZB^u!6+Dz!+SIs9b1oyD|n=Le1U|>R?$qMJ&~+D(_t#Vwg4s z#4?YH15rNmMw7>m;*hTf*@8|=2RtcR;hV}fH%6h1_>8OZxT(zJ!;*|l*iLVGvdQ+_ z-j;rsf!&bV2b_<$TQ7p(OjBtp%KMhg;oZNB3U=0d6&G{f`1_}hD8JYM);5FW2Gk!9 zB8Cn+AkC5FOgh`29wX01+g;^GSBZ+Iy>3*1a#MD%o%ZPS>@YWrQN!w zMl&s}6RVO*n=JH9p=A^Jo7=&}u>bx2kA? z?sUphL~IC(Wy#!O`P@RMnu6kA7A&iZ_frryf-)TNA#vv+JA zVZ8~Kdfso~qS?=QF(p^c%2^p7npx*w!qjE4X53o13bm&H{3?!X?J$i_w>-!Gl8Kof z{zO*V>Z+Z!h`+aearUbqj~@~xmHn@-9~sazIKw$?kn?B9wphU1TBint<#6XWe`}s^ zx8D8q`0nTvE0nzWJNwXuTN$fvE*Zvwp8<|%W`QU1#Wxk|n-7`=(yzW2!8~}DZ;~#j zEIf4(pqqHmB#^$!E}$x;FfLG+`MPQs8~|E5y^GicBth}!Ql1TqR$V zp=_^%$GA3*tAJV%*?Ge*$dxke2h(}u2*#l=eln5!p zfIX-kkae8e8|_bWHVbM$dhqhU>+N}uC^k+{gKo6;D1|Rb>~)=AYUAM%84;tFjJb4y zJ66A6T(?zSBqrJu(lhlV`fBaG(H;Wm9^roJYqa_RdQu~7fl<$8Xlap=^Kcf(#PoRO zC#D(8`}tch+K0YLG-f_y8!S6#%%1Z4 zXmi4y_fNj3De|5QSKdEa=W|&Qo$j_B|HK;u)=6tYgVWn_kk8(2gHq{DA9TMuJHcA} zS}%W?_lO$d_nN;yhmwByWax@=2Y&U9xo<2YjisinjA;)}y(v{xJ{gZzFL=0ZLg)n^ z&NUyMER67o$-xZ|D%a-QM*0`6W^U<=7nkcVma-3MEKMvcxmTDEw8Je2cjUhHxINq) zq9#s8FV7ILJsh)X;7`VC>BBl_bsUVAzd9Dqg-~`qb++R*0JkZ5k;!;QB2|a)Ge>I*|Ab7JnZ{<&A zl86kLeYXU-k2*n-bG2^rI&7xJ0k{uJ@ZN$oa;ye$%w8sUQcS!P;Nj|obB@)z`Rim+ zJ((T*0E+pvG1-N4m7h~G7*`>h4Es?AuX7+hua7IJZ^&<2dfA87p#>5k?@OEk&H4UQ z{cT6aBk}X=@D0 zUj2b|CWd&=+8J!g4|(0>^;(T3o`$?Hv)M*tl&!Sk_gBfe3OZZC z;^E~x$F0oR9jQVva1nbhU5Mnsy2=y+m)%2rsPHaq-py<;x2YuZ+(*kM|P=AOt^en zJ;R~BLDf0=0|+`$BB6NYmOL`?C%ceg(7aI`eRQ)6AcFq%rdeT1EWs_Dsdi1|SpKZy zWw}NN-DMTR)U8#faf`owKd#CI{3{{ky!K61yub=&@BV=694n=G2QfM3_FAk}B zjCxAhTH$vvyXp!@OIQ_U1uc>4C|T@Z30`CLn^ZuRVSs#rPuf`i&X z+Kbp7w?WHqbC9RW-eyBM_eUq?5i>iV2tnUo$PciA|1@%s)UbVlmII{v4tl(}1 znLg{eQ&4Fc!MJoP*AEZzGH}%?yS6*MgKKCX_8P29;mNXGsNmGh>wpX#1Azk=fl5yV` zsi0}}DTycOK~ux>kjgEP8RXygLmYK2R+PEa_(o9Qe>2Sjb#y__>2Vscy(4-+#+gFR+XSK1ls26?Q z#oer%PjFnxG|pBHsF`}^K_g?mI4phUS|6?c@%a<6`%@s`qUPH$#4L~_7@n&{3Yp_d? z@xQlCdw>rcz#Ai{v4|fhT90TIei|q@Pta1Gm!S4ul`BHjBvnUFq+ZEBF;uY~2=7=+ z`LOh`k9^H-kTo~?OtN?UF%=H?7kPu%h;M2&ppT?+7j!It2?y!-hSUh&I1s-~+8SMI zi!}xfpD^NFEl`Mdz~g|TsFM74|L%SCd*jO<@lVNqZgrENK>O2_TCMK{cP)&;WV>ZM zM6+H6(d$jcr*#*sV(Ib$w?^F0Bd0M}el7A02l+ang%0>$Y_AbReKHffR44WIG;pka z>OJ+cLgg2xg&k%#uxGIGGZAfo-TBixw$2l|Qn)7)mz4}?hup`Zh+`T{;&wCe94e9^ z=W@U!JNTILOuRzBt}OPzfkvM)Qxn53?Be-G_Q-%|bZmT!lwPE?fpA9u7+vp(P0Dt2n&2X&#_qV5Dbpnxd6*hgH92JMN_f7y_s7H3ymFp0egU(V`&ywSC zFt-Fm#H){JfPzC6m+b?$@PSZs23Xhxa2*!Vd>=Op1Hh3eCuduc%6TC5nAUEc;N8;{`hc+ znrsm-@;b~_&)}e3st;VtM{;*7zmL~HnAdAIaD$~?kp2O9_RdXSWx$ZfjmZ)K1E$U` z0dS+ZMKN#WBSkY{#C;RMZG5DB28@MU0=U`%Xf1aD*13BPD16^81N7OBsR0@h4Tg!B z+kH0%j(6ndVTGdw#5GdL#L=}&$CUTRL_LGb`{^4oQP_VTx{rxpFDZVo+hOK7!TRkA zt~@9hz9}+mYViOY)F%g!?2QmrZjjIq6V)GvIp?TaMq4_qshWJ)etdEpynXIo%Uh8x z8Y1wNyY}DX6B%FJom+_D=pG|)zOMGg-2YV}E4`a%{{(tj1l$;l^HuA*;9#zI?b3u( zPz`hE`E-kFFZ}uu-IttXxPp1a$1x_6ubBrr?ND`cd({;k9DpVNjKlr2%XW?onO+If z1AAXz$R)wM96B%_wp9syl=TeGV6A4Wp2Ogv0%6Z_a$1JpHO1XeiYSxQg>)f}VP+hw zvKS`!yfImC`-!7r(P~tAQ(z3>){FU99fu(_#qCW`!DywX$P~!(kStFx=6TJLstVc2 zORfM~$_Ef%%aFE3B7#7lfdMHjFc~UW><|GdDFU_2mVk?jKn8n|&dIvTF_^)gH=c1M zpY|#5_EKP^m&g8CsJAO0{(A*DZ-VJ0lo%Jc_P2sz(6d&&H|E1$|4D|=Ca1XcC$OwC zAm3a>>WC9vd<5I}5r3x+@Mdr4C;--F}gsPmQ$ngrqp zcFuMXKX~d+J!b92yk~hWC|tHcrOv9A&~L%LnA!?Zj545DRn!UNeiE^j2dB^sju_Tl zN8;A@-!fp3OOHU9+BnU%aW>A0QwkqLCvtOd+L)IV$NJdnrE4R_w}GVlc<}_BC&1(w zF}_rGMuF~5#d}N7p6wOHVg5XWDRbbp!17b6*aM!0U&`DcV}&al|MneWP@#$$EStQ^kA%ubq9R@Ny~~B0&qr zV&a2q_B?$i%xpprRdQZD+SLQpqKs9zuKcvR8m)UFdNr0?E&Y18kjCf2I1T4-LTt|p zFS|UIaLLl3u2iwffV{nU`J#aNkYVBo4v?HY8r_p!2piH9*bFj5ZpjDWu}IV>(BH{` zeH(ekR*4lb;gWT-4u3uSGP&$14837U48@4=6Y`wfd}{z-pc8{^2Lt;*sOmzXn4g2- zPU11?tUqWJ^SvgZBlP^2h^Lg4^*KUh6t~sCmJjE7we2cfPEy9L9FLYCeZt!)*PD4p zY|V7n;*@4j+0KBgO#^lIlWhkPyZxc3+mrmfc1+;V4#LuL3}pZ90L1%JngtmgJnso{ zCCr6g9~37Z55VStQCz^voz=mRKSA4*oQTdf7a`I!rf)?!(;Cj&YxhXWfdjC&qnN{K zRW3NyTkzT^3@Ssl&xr7RxvxHh1z}96;E}|og%Fpg%e6oqJf!Gl`#*oc77D~O6IVhj zLKs;E?Bh|=bCx_hKKipW=a6em&`{rCN}-03@WEeWX87`X(F58#P$y}5g$yKsJ6J3^ zj4B(KLSjiv`^n%Pxfu&PV#FHa__@9HX>PNTHdW0TB?iPwNz(7&`Cp25ajQl!_+V)f zj>WU&oQoKG3W)h<3Iy>14HOIBMDWHa|F#MNDQRDwfEhfGmHtPco z4Y=dtoXd~G*$lidA@ak)9-&p0c$2&(Rx;!E&h*^J_F|yF!a%a2S*oWGAU1_RwWTIK z*Cv-`C&VwlFUTMmOw9y*SFRrAV33~8QyQEFEcVT7`+I$hl+IBEnVA@}@Jg%Enh5Z6 zEP?z0gIw4;%iNVMi$=RX+JFfxvWWnCM4Aq~U0&!+)-jnZ zgF}7)V}Ee13^b^?f&7P+U25D4BcZwBiL$l8fI7)%*q_7S={53*bZf~VC_|k@-vibl zoJsx~IGzYvrOk}W)FX%A4hOXRP&~c7Xfv%<(L-s&35)=_${-|h-;UqDw@QY@d%SW?F!i z-|c+h?L5SwesSY|tew@d9E6@Ba!IZ(?{Oe=Z#59P>ooXfy(aVk@Xa1FyxviQQXCit zKYmydIsZj(89caLv9`fMSUR=e@Qg0ek(n7s%o!?mj*=$gKHinRkKZ{45JzkmUn$pN z4r9nK;-L+^={C!uc5(16o)4`;Pkr>4G5GQjLipbK8y5Ir7doH~8|L;$GoD;iq4%Qs zlx??s3R7&fzdsJtUeB?8#?)GApO@~76hyuxE{`)2Aw!F>Kd;9%4&02o_k7DyM6-xS zx-*s(f(&ME0onYC=)xd6rXzYlxQja@t1-dZz~p^&#(qCAcV~^fd}r;n3wfwH=e4%j zWyL#le|3nfn72mp*0fnS@8}~!P=}2=i0o5+w^f1<3DkqMy5f255T{J7u+Iz^1!c=D zO7eJU!NlWgTI^qxMgg%qK9-h{yNQdfk@*IPRDSa{?YM+!dN&sd_M*b>eKeoj~Ph=DWBS(YJ-&-2|rKKEKo!^B{d7k?%L0q zamUhLjm$i|3zM|MARcE9ggpWO#2 zWr9zaZ%^MJXp4ty5r9ezs@2^S0uq1(zXm_!o`#Ro%yE3L*GSDdPgFKk?hF}Dg{0X? z=P1iC=M8HE7N7H!AKA*(bb>kj~&lpdDfDRh2(XGbknX1-}0vTq}=Kb^{qb zeyp54L!g}Rt^i+X;wLXPi8Okr4}D4`4ju#_E8laRqc~_;G4YhafjO6U~KBPiwc&jTARp+&cyJy3Z2-O5hoE!+8jFoyUgq^&tc9#fO{6YxX+m z%pSI=p3ufYNQvIoeY)Ge#nnz9kMf4^rVD)y3|7XYpDL`==~^nx^XKwDOC6klr9<cbkZ3^4ds+u&8 zt(yELZD`)}*^8*{mC<@-X5Co3)mZcPjPOs@2=`TI{=eo}-&p)&7Qea$%k0WWuB+}M z`UZPez*9ZC)YMyysOObpg7bg~Y z>f*Pj)^|npS+kmwyDP-G2<@{Y&fRXAwJbEluIw|D>)$@cCUf^7MW9GxJ}YDTn$EXxcja@=lG7_I1tJqpG(WHUu>xFDC1<15 zMi8FN8?R9JgwZ>v(OLsFXMP(j_X}<2?+An+uy_UPKqpe;rA_=Q&FjT1-v!i#u~1OrY<-mwZNZFgpCW)4S%Ju7_9nVcHa z+|rU{AuGM`GTFem5j4`qgZsv2BN7joDCTN-hamt0`GoJ-ud>#zc@9PH9sI_|1@`8Fwqd^ z1YIKIG8244y(GQ~mT`?&VbhOAmInc!xAPnGpyB6A53RJ%8_UtnngrZU?8Bu$%_8p1 zqo6a5igx2+CQSm$X&CrjRHo~}R`AW!aQCxmytJ0S7NciUvRy3Pjjtzj%hZBDJo^)f zBDf1YK#>JFIkdZD(w0S{zc?u@oq3P(F>^yVk?(!BD=pJ#&v>ALZ^=gsg?nu~WpZSp za3vr*^USk6ftT`E50XG^J=$QZ4n&NBMHCWjYl_q^84|880GB_fy4y_Hz50IVb1#$f zn!O3Gits?46^rQol9DBAc%a0W%+Ie7w3$>}>dgiY7b@ob@F4u$Y^uro=990if`4NZ z?52I53a{?hiy!gtY=qjCd3V7>>uPVB1g0)wcki}>Kl8g*-Ds=uOkys0K-f6p&Ow%A zXN>L(mianv3IluGC2AjNd4KI|ZR4mFxO*2vaA);mr@8iDE-9BB7QH#oBArG)hcCz0 z-4hUy(yl@GZl0dSWU~}-M}yHRJ0fPd){SO=HTgg4E%3=>Y_n+jQ2a98>01f1B0v6> zm69sR{{dQ<{_v$pe1z-Y$*KFe!}I>jnj;#q>N#dpivSwWD115+#%p@^?RWXjz=sA- zb@j#DQF_PSl9@YMI)~#}KKTtZstgu^ZJ)yMzDHuz%G5G@5$jAUVOKQOJ{oA_`b=`V zogDJIADOU|a!&95Q6by8iBtH;ALtW^d)QZb_biQyIzHU{8J>i5q&1n9xLE$OMQTXx zO!C>^_+h~CcD7M?6!FX7MGzN8)-qjX?+#xBgLs8Ct#SMaqPF7YB`s~=JPfOSj8xU7}DL*5HtsJ+^T;_{pWBQGdN}R6H<)I;@=!|nMstCyvZ z>HV{vt%k3hm0!3cymfc`vQ@fI-4EX4?=uFSeG}?{{EVU8-j@`{$LeW;A76cI)0(>S zw$AFZ;dp~Ve%oui+%dlR0OI?0i)7Jp7dEwWM6bUrSFm9nPyH_;S;UeSeif`5D*-eGpNH z+xO{aS$dv;%=zrICc?F6GKKr*1z9r={HMB*Fj%~~zHkmWi-`s56WSeQPPP9KbJtqk zt8C8;fc4{8(!AI9i|{IY+u}qP~7DdOjPH45Akt{*(2fXX0NZP{}*xtjr_gF zp4fDuY_^KpvNpx8_%%L)PY-L5xll6s#w7mB#=-L%dsnCqSl86GEi^tCiuM7?VjQvY z8TXmc{a)dJ_@u7irt+_I9u`Y%{NJQ=Hc^q!%d{9*Z1irgav0O$$PFbAV;R$NW_&-c z%tMuj^ZXkf?+`|QWQU&V{~YOMPvK!B7X9MS$OrfN%8X(3GgWjpC^poQ7qo`)fQ=rN zOzNONHd_|xoUt}SeAnnmAGE|w9{|gi>5-T-7#UlW--Ho=d7j!0=L0#ODH+&rxa1Ij z%8s-d#?Lg_mUxffbCU%fpJB5G`_sSUWG5b+u<^*t`QRTX8v23X<~?-2p7NUFL#EBh z!$Jpphs=SuQ#IfRMLH-q;ucz}vbj}U^nAKP(_BM+XyS>;bWJisPoJ8mx4cwyW= zq0Cr)R5^^*EmTH+RmP8qtz=>ibN>is;!~VIDjG3=i!scuKNjQsNVaW<@;LER|7GRs?vJkN?A&yl+{ z;Q52;=O!xS&+96e`SY5hdvG3CfioU=4~p+u#g5o#?D?kB(Uxi-TQ`k6uzPS~GpkQK zZl>uPdZ`-Yw8dHj8|#L&OOBOEpYaoqFHI2@zxAEC{2jF$e7^~!?j>96 zWWA^y>=NP(_dPbof3^YV4Ms+Ysa3XZ;}P@dG2|1}cI*S3H_e^c<$nURz=!?;DPorB delta 34416 zcmbTdXH-*N@GlIapcDb=(o_URO6W)pML%@0}nm zC`jnN_udjpLduQLf4%RAd%xUu&sxdMnLT^X{>_=$`<(2_Si-rMgmbZ$KxZh`f5&^8 z|Di46|3iJLw*xQDzc$5@ony7K+3%z=R^027?EIFt_pAa4z1+1IP$;^IH9$C)z*56CF5*lfeg~d(WCr)K2`=(Qh*_ z>7HooS>OZZFyH5ya;k=Od9RxuQBRvBKaQw+&ag1YYyDSiyyZN?LxtJW4Acw09kD3; zdYN`=4N9+2YdPAH<}(TV8YfIAynZEtQP^_G+s>ae@6sM`>$zFOQI6NoEG49WE1it< z!xjW8ce@%>&VB8MFk0n^7){yDG{bBKCwR|bKy!KN9Pb%zbGh3%@0l)zOsn}r-F6-w z3rv37R;yB(@OSX3IO*SgxtBHbdse$Kp}EJ~{_^fuc(?e*elLgNq0aBQS3M~NYlT1x zGxd*wQ<$xP3_xMdJOjRs*4p{B^92J>E-<$!T+T>GhR*-S`Ct6U0RKPt|1l}^IER#9 z?YNOtmqv!B09S?Ad`l7_Lm4xH17Z&Bg}@MlC%|m_XCUXM;n1dtuIGbGyOL)-^=JO^ zOuMr$eJ0w!ty&+up=Ms~c~4s(yHFVPKgJo=tnXv0k7{=GnO3E?slvLS(>jJ^1I0!# zi=p4fu6|IXxY@BCzVY9?!h>fG->6k4n(x7&wHWBF#-96YFZydS$5S>#P96(c`X*kz zmTSF-p-B}lK2%43D5Rxbb@vDo9OMf_w>nKbb9E8qB6BK34fuzL#sc*AA6Vt?MRf4A@G%6`k8g1nz%vuWo$GR&v}r@TqVn%B0?RccO1ZoeRxE&_X8^ z`uWHG@1Gu5&}mgp81vWaln@((jXk3a_#E}!p)ETgi+CL$Yd-0vO>#WDQKh(y_&-j_ zT*Rf@5psWVF#8wtqZHWyKuN@#2pV&qB7w%m zTzYo4MrP|>A4hGO-)bn&chGzGX>qjrF_7BR4%>sH#0Xgvqb~q%!@h*2Qk4d{RPqMOe59)m8^&H*TNQ52D!Ne#X`|Xc0Guw3`Sd?NeIO}?Iuk$^lO@SeDZpi5^isS;eDYIK< ztg~_*14MqUUH6E^#-E78T6?LjW&KKPbWK9f#wdk|k)6U-4WKyI$p)|Z?_Ec zZ4fw3LJqO(bYU&t3a#~inQjmhwB*N176a>gv+UVDmu%V3l^QHbX22Q(c>bN@ z2+Nth`=yw#9a+a;quVc(x9Ibk02T-vD~aG!9?tMuOAY8fj_kxH`GaHRJ#wxM2=(~% z=HAxi>=V3klOrF$8-s0Nf^QB9-h{(FIOGk)H_{&H;^!1|$=cd@utF}f`g&kF>5_qQ z2Cdrc%{O`*`3=Bh z^!J^{AC@U+RKD5=y|}bx(Fv2|k0xMddsT5OHv;XO%;-@+WA(23Eg(qH=Qut^mBz=j zv=yfiz3|A1E&r~$#CcQ6@rq+rPKV2(N5dBcmq+ddK1AaT5QU}|F%tWQM=pUsk6eg_ zN7~q(qs{ApcL~jXE2k>2H#@BVm`ld~ zFzM3bu)aR1{_UD!U&y_yeN_>)DsP&`ws{hc*BT@5j3;8Gl9>^v)ysJo=rl8GG}t>I zxH(U6FPvHy?XRlrGW%(!@IUq~se<_W>G;oWnBKa3T>pjZ>;@iqp)JRo1=M;Ze7{SI zYfY+s$ol#0$v48ZiMWVay+=w0gl3I7sPjx=VgRUn%VOoZj>PA=h#JXhCx2~wqfdJIuh*OV@SDLC@v2I}N;h#A@5ALNCjlDt z80fizNNW#3YD@gKT1wCZvTF{?NQH%%_9}wl*61u5wFfjwzMoY_;f*!6b1opkD3q+= zc(mGS?18DmGo%iWpUvggaP0elG8K0T^|uRg_hb~d6P)5wzZe)(E%{$a?H6lpX+HPx z;e}RfWg7Sw`v}8xRJ9GkFW`ybGyIESZawi#^)t-)7DXIBb>R37u(us zLm@}45soYSqiGI8t8Ajv_PoU*xO+~p7mXEVJGcg;hi2t#q0k6VJBz5BN=tEm z)~h)lE_65hoI9zKPA?0t13zxJeyE^lvF)E%wgfzV8iG|b9gip|IZb(Fm$l(PT;};l z;R{9$$rg`WD(KzA-b+aPTir-=QQktyf>B=t_ervFlvy&A2 zM>a#bREb(W2ay|wj{jt-J|(Q<8R2II>LrTy8vU}j1=Utra8E2xy2(7zS$b)It6FUJ z8w{|Sl}^IxR$;{cI8D~kq*fPWFEE6TkOH2h-}URt4YXh3z_F4Jlr1uf-pA|2O6m69 zx*3^@xpZl1EkLWyK^YM%Rr60&bv>82{N7`Qo8IHEaotK-SPFP8GVUu#rmV)F$yU!a3`YuQokCb-(%UkX)RRsIs&a&_0X zdQBt9|KX>`-YAT!UikWeXm12}yj~t9W<5+CGXfKxEaPkM+tl22%lH?$?v$VhaN451 z$;*FDQYC&1KK$48h0-+pFPNJ={v}1uzhnscr^95D3ev6U$G!Kv-O-)r%^9fMyJuii z@<}I6Cdd7H@QekgQ*6rkuhUj0PoM>D#c{Sy7^#0&nbG`AJ9xG2qm2_GQ`4DFb%7@l z@jU^0Mf$|zH1L}tj6`^1yaX6)t>5&QUp88Wd7&6M&}Ed zc_X+RZ0gW$ze*|sP@e80-lFl#V+^;i=a^Dg5Kq&rXb5Quv5dk1kX!G>Ut>m(;fht( zN~n_OuS~k}j{U)pb^Yh0M|zix!rMlpS0Lp=3016@1~1o&IIhc&-rP|LyJR?M7FicE zd;7b^SHH;z+(?x+>!~|?o58qm%~GZP44A`aDbN@LMg?XA_ov6$OF!EDp6m@#XtUB! z&i}|u{BeHav{5D%I64(O;|uc8ex<%pt<1IMMYqKV=yjpy3aFkvi$dBC#20XWKvsp6 z;E)&Hl_N(XFTVJDwtkqsDFOxOqg^#+Lufs0*GH4YC#1uWkwWj9*d0IRoqKSTS@b$X ze45>X=~rCxy3S6gzYW|J)a$k#>{rgdisrG~=jE$R5_!i0{N|2a6O(3JzUexhYcZZO zAJ6SX%$yeUetEHLM`$4ZUS@Ogb#6AO^F=s!T8A1{62ox)0kk!t=|^qyFOyQHz3!+j zm#gR~Ayqo#tl)Xa$5dK>0!J5K7FtQMT_CtT$zmFf5|g_iKrg&yZx!L-zEP00u-2=Q z4O3{{7XC@FJ$fV6+SaQM7;A}DZLcSlSh|8?heel`@-LMBU3}}7 zcR$-tN^`Q$Ec+KvLz064pl3!XAUmaEBxWFiC^@`KXYI#{jT_4 z>@vu{9Jd7+fqH#T+6cfHB-I0I9%xz| z>V5g!(A-L@a4MnrvScYD=9@HpHMd;lTDJZZ(Z=hA>+~CIwIcVIbleX=R~@!7m>m|u z1-=-o(pxYWz)1pFPvT7NFu6oxZ+k6HW$1^hX_jQV@w6E?;uin0=f(8Om(p>qOP)Hs z_y_BI9>EIHMzKM2U@IWuw@{R?DVdt-V})j#Z_Sfdgrq1ZK=u2ZFt7fx-lZ5S|c zS;UU#{_1*+f=m_VmTj-{cKMIAOI;f-lr(U><6xm5FVhK-94RlY8^JhKvlMia0V7Ny z(+n6L(Ow_k=1sk5CH7}UL%)PSL?_O*`T2S{zV4AYhv)3rn_{uDNu0 zqbs=m@M3#i%Xu5OipU6Y#=u`vyY2tvD@fT%X@`JODc79yHW3zGI*&qQWPr#sjgL)X z9yoNCH+QJ;;h%}0@AgO7K1n$7a4FrgDCibifySN8p7I)u9HNV#sAJS-P#o1F-j zniWT`)T!y#0D@*gP@B2~7wh{beig*Zis#%$l3uKp$=h0~sUxIvt0;nJdy!6KY;La; zdAFEzG#~i_+6!CBTdmZP1`3HizpfIT+Kbj2VmsKr6FS+Szu%5Y=C9>Q$XM5kO&um( zdc_2fvKef*zW>MYiyVB>hN56kS$S*+!3``oMS=w}t^VJG3O05nZw}MDK-N>g5!el~yEv>KT$8lC-na&MCM(qO=?Lt3PV|0k8h znGoi&N3m>IZ^U^EaVeQe7d@BId_Mlu9o#Zj=9G6{Ddg$baZFkgQ(>}EEN9J~>8L$f zpy;`XX0*-7_nN0Zw%5&hMOf>6KUS4JU4S%+xLq~YSr$*fhN}I{^_}&DkWd0TDe?C1 z=em^D5k&Io>vCR<>wxxafl)ztLQM5C_Tfjlp1SVSy}7TVU24hKBwt#QB~^4H+XHuh zUHmtNi`4}u?WRO_%Qg`LlW_Nj(bacP0ZEg>8{cOT*LoGB?0s`icT4(tW@$p60{Sdi zlkPh$6WtXLAnU>*;ZJLYFEUspA>+hfa=7v`){OkU^bx{nF(O$0V7CQR+@P+R>c){_ zs$m(W^5PI)spU;Xm2GXFe~k9`9>4`$N*;N3dJyvWq2%!+m*A!lVrP$m@Yauj}#^z zE9WpcF6;c=yp-t{d=hUS|F-V|{O2 z()yy1S%zBK55C)V2a4k_rhKkHnBdqQ;PZRO+E}x#^~{kgIf+JxR!!+8oRc{gEL;LI2tjC)b}K7ljH2k3Tj0i$8kfb*Md-zcgQBfPVdC zquHa26%h{zOi7N)w@5z(b@(=H2Y*od@wbRM{I|TO_uCTF15UGbH5C5h!Mi`A=)1UA ze(tQLKRfeMd7ob`6JVs4aNT~+05l~EZ4G|}QMr_K(pWoxNGN*G88BvWKf;G=I0V!% zW}BAD30hPRxX)0)P}^kMh8v%u%nVeOrv z9n>sFA(QS|vV;QRYqgJMF-kXaPG-@HPIcL?KXFMT7^1ejFjDLBr+M>HFg)C!J^;TR z)ZKQID1Q8PYi=|FkGVYTW>;pCE%tUTh4j&gX#Nh*{#{U?aWx1ST8-s0V9%VUa z4)W=r-RQj$t%E+;J#&4*3BVSC`x{G3rqe#Hm|J7Jxhe7j0+v%ln42$b;3oe$lkV;%jqAYa10DN}S(>*+rzhJE#XMqg+lMp`_R=Q+$*uJ|@{w7I2gF|w@ zpby}a*@#WmvLq*Hx#o8KUd5|sP!MX>UTy8^4WpV4l-6SlGCjiO-ho#+s!>KhiCanI zEXEu$r*Upx4IGyCY>F^JXhhv+k)GjWnTdm%B$%43l@<+JnOAe5zO0wqIU@>L(c3%>5JoQXLf69ZU%A~oue3f6Ph)}E7fvcRC> zgPE_-5lc)2?M#L>3H4^H`pdXm_kfQdVp1>{4pv~u7$ggpc>&=tkCxlFq*c2C3PXEd z-E7MyDz#_UPM*99BE6&`zX*b}2Ej8l0pK%b3DN|e5b?(?RIsj9bd#_8NGoD@9YjYY zmRNeKe(8~?Vejk7Fk4G1Vn`G>M%^i${X_F;|Jnf3;%{vMo21HLn@C$QsESJkr?gXs zdxDg3&k%S#ZV=GL;cD_dJ-N@$;isy(L_}lGyXiltS_0qbmIde*Pq8RvaYOP)z*!Fg zm&OiRMl?P7EsJ4XB}Xc7fymSzDJH?1C2PYm=#hON&=Scl^Q^|9r?Tv6+mZUu zViM%7FLnVo1I>lT4#ohZIAEzlUh?7l*%+<^C{GH~u>@*1G(D8L_WXsWb>18e7EAQpkLzQO3CcLK5*t3XqC4eR z?-<QrN}{hrDPz)MKo)5Gcv)l710 z&0oXy8lJZLaKJLQ`Vs1Cr33DgyF*~xemeZi{(S2jJ+aWRJ;--Q!78xWD>YJ8T$R(m-x(mLo=1GB%<{SgAKa@)gOlByQ%NvC>i zg43%BYv{rzLX+I&Yi@PR#icdH@-wA;5o9G0?hO|J+A(GPe%r=ewaWuo)O&A?hJZ?M zCip5gOLdL;r0$VhBA<=|Hyg-LIQ~rK-bZE^E~T!q_h{<6t@qz z5`kldb@T<|7!3vy$cXpU5c~sPV#2#!#U_&|Ug%V|UeNpIR!`y>w9n2$D>CBsX^Cl> z_9P|y*hA*2P4wyZWu?;Bq>0uEfA!_#c%Uw%!<>;5&$SbTEYsl<>ofQQ6ipZI`W~5) zMAKC9IN`Cj+Rb)9qm#Kk6*8M93PZhb{eujj$($3!j-AKv@z4_Cnaq?eAtsn=A!{x< zL=!xa38v&klTt2vf|htbi1d|~=-XdOKJ0uNBn`BpFH!rv=o^j2I33R&eQSn(n10w+SlWx)w^-yD zsX;(ylvoBY;#73ur*|hs?vbs7NOqDb;-8NBBMD@qZXi%%5pfdZ5`$3>aR42VjZW9x z)6ZUyq@v8NR{=t)Z57v<-EEQt-aqr?(7_VB@CX0fiIxP_6#R@BVZt{u;^mp}U|#H= z;<}sS`k3^(o9z0S{5ts}Y_&FtR+L1(TptNUPf%{cS|EFVU+5{B3p;z|0J;wCQhhV< ziUf2Z1(4ipH?sW59FHJkqVPf2)52{4LPyvM*e4^iPWwD4xaAtY3|F=&-gg3;J(0^9Ah5?)5~ob)o#P`7Bm zf_XqBU;q^~;WrK$yml&HA5>17m^vL9g>Dr2R7?C2{DXMc_o9Ot@SqVc9Hkf|aUTM# z95GSco5&H;dE3g{^DU~ib7h} z>0?%tZL|M30q}oqsDAB0OegIx0o`QBtuE~`dhA+$ccyD6 z2b8wOl85@1Xxqv5mvM;ekZ?~9OcMUrK6oxdhMipcjf_-S215LW`z#h7h^S0o)+GSJ zB$Q|7$=Y72Sl%m2Pyw=X7I0Vm>)tvFO5X|~o9m6b3z~D1m|Jgpg z&AP#f_~4(}m=l@^W{VhNq@YFRs&s)MRhQtec4f{{%*m9(Io+@fub!pUrW2BAfF8rE zS-KpV!KQjX#aL3q)Z}V;T ztrTn#_|nm#zvVCj-CIt2Gh7NuO`7UF=^cUyc#h;DEPS#;jV1{*SOH=}D_PhHHJCc)s*}%nyqy&_Yy%2v z1r0`znAgN-NU=a+WS8CHuO%Y9GZ?rD9EJg-Ld4_8#b5_)8%(vP>=o;*-}M1+46DaD)RwsApJ4^e_rD{P0Ubv$lmSc& zZ)jDmjgT|zzVFnIF{=AC%&5;mgnBX^oIAEhwG}oHY`H3kzqU;Go92t&`Uc%-&l$G` z$nX8pAxU?1Y=v5I?vMu48hmP8Gp-S^ZI9Gdn^XC56sM{oUk<0e%=q}<)zETh6dIa?NRD0V`R3 zvCb?b!H9Otb9mWVIM)v@a;)B#Xv@KtKFB3=phR88 zN=v$t1x9didUK&;)vfl!C>Wr=r^_d>lq{^Xi%^AyDQ+FOk&)a?VKv&`#`ciAR@|0LngHWd0M^o$SI!*jU$OG-p6zt{TGBqNh`xa}gWFjh zSfg94i;%aB!Hh=%ip@r7ZzRYV?dMNP&J()zJbu5(hRS}(Y^BDxfO;#VSamaFF6^5W zW3?4^nl~1EtO0L4$ryE>DDA-edp&uQSx1tE8E>_*Sj!(7aE1}fyNk7<@AHp<{5dUR z3Y=kK$Sn)6_d!fXPJPG4#E3{y)TSt2q$p~gA!y+&0xn!cmY;%$+5^ZCg48nI3^ZiX z=C(k{VwPuy)G&zThGhs3bX9_`5H!($^D+)tey*wh)K^;rUxz7?504g9@7!}+=$>A4 zv=dlaT52ZZ_;9ac1l|F-bYfB#ek@9Gye2ZKaKHg{xytgY^yH!+3mCoV!=*VCKj+-) zwb~>ejkNpzX!;fYdgW_ox1CE5e6Gzoe6nXeKfN&aMd9`E-7=xOVmg&*`Eif7p{r{2 zyM+i$+ktpKtg& zQn?CVh$WE}RHZsY5|j_iKWwU71=!qRQU21*NzP=k8W$!y|J{9=Wz)v(<4xFv0Nedpr?M1Q_m6S=-Kp%-R`+j0k}xr`qT3J1?bh%=l6~$QM8`f+q{g&CdZ|*7>L;*4-#7| z^~kf}dE3Xz`2$mRHBwoc5UYf^*aAz=z0c99TTBPxlTiDzl*uK&M;nlDnO4ped!(da zkUtPht3}2!Z_A3pHI}n`RWadcqjQ`wVdT85MnE>uxr(oZkeboh^#Wu#XE~|-0uN$b zy*FXbOBvP>%eUuE-e*r~WhDb^?ly9B{O$uQ`WDoxI7a-{D~&9(Q-6?xTK!}`T#0n( zeKc+fQXDrZ?R-ftiso6X)J#c;8{`QmO}u@jjyLYu1Iy>$>gq9KJRUq`xZ!$M{wuxK z5Adkq`x*^Y?<^&!u0z zG|NDBcp4jpWqT8CKix#poIsY*FHrZMmmVG!UJ#MgKhZ(@|W71~RGA$D(9J{bY z`$)v+TpYSv$|oaBGn;x&VcdWQ{`#FD3?Nzl^Rp)t#m_6O6KmYP;T1^7vXlxkYg}N> zuk_nz;z7-cf0HKq1DxN3#>)czs+mpNFRr|T;No!F&^s>w0w7^Dfs=Ii{dAiYf zo-HK*BRv!d#3BX0x0Vai)9qSf+?ez#_f;F62UU}8I^3W#shHQG5`j*UJk-7Mneh7$ zk8&t@kC^G6xB<()pLF$l9^bJGK};qyPzRKozXxII-Jg_FA>7XG&)mlfT*{1PGtKdd z{sj$b&4rxJZigKsW@~4Ba!&rm@1+Tg8C*aSEN7V#?B?!q*Dh=DWp!NK@pGFIas(Qb2 z4EbgC4YEL+7Ts}+$*1f?>`#&=Ef9|%Vp@H&FhogK-#1RmBQLrNxn?f>^+@n-@01&v zt1CR4W~T|F?M~8!pvPFgkTrskaxb8NH!hKL?F2SfBA+ZIY<&WlG_zNn|1wLZny#jt z@iqZf%23;AL)l~5)ans5?RWYs``p?e(|*45d*gk#JQ^3%9Btgw|NEx{!bmFDdB|=+ zVRjy5NPgVmc6N7_dYVO>xU7|-EE*<1H5>9~b9QZa($_~L04=LZpIpOi_~_17=lV~6 zR&qFm`goJ$1)4$}&h2Bm`5b+yg40v4egGPI2(QjZZ&i~!I1PRNxfL1lpIaAxRZM%S z_)ow@-%<7M<8SecCp6IsB{adcgP*W@Q+&t<7g(nr4D^;sH4WZ#phQ*a=ZkZ{UP$8i z)(?h!$&ba)T->M<2L;3K&-J}{l-yEGZTuj{5TkA@WuEWnODYla(;srN1FqfNLRM27 zZ^q=eKise!kw&dLT3+pS?Sx~OE!et@rUat^mQdlR*nXu^s)BJr&ld=4jWdi=&rRX9 z#^-o$RMV%ilZ3pOk_3{MT$P!If#55t{D&hlLA7!!3af55Qs%uGyxdq}Cq+f72OH(} zlc8IwZj6!gi4S#MwP~!gfQH5?4;`2V#}4){;|9l+9rcMOO=cBwF>Fbp*e+UrN`%%^ z=O~Y9#($W9YFAnOlyPJkHd;wx>Z+?$aT-{9P~k z*z8Vx9jWDvp#W4U;*YbEHbFL5Zk|4NH?%7VB1+RzGi+zqah7y8RT8 zvprE^T>Xrly0M8=yKwD0se)FcKz(-6X0@o6rRXzl33uWm3fkL6KBVO2CErzKgB#qEzLpmTgqGcVd{7B6xdA z)nVfou!=m~9D-fqzB$y!&sliiaTeM|Gby`Cr8=sQc6om(Cl3eodKf)OR?>)3inz+n zfjPKXGx32-nrism$+F4Y@|+dW_PASgl(ICgeRHLhqxU@*6V)q?Na6)be+|ZY zKN^kSF;N<5rQ={*q5hmW)^!VQcm*|@o9fSdsE#rVa9NzamC{*yeS`h|I5+kQy++X` zgd9~Ooo!A(8?8U;f%CTO5Q-`GGp`+>tNNPiwva8&K+e&2dDc2>(6 z`s7h{eA$0Qqv3Z=f%{-gRlD5-rS*hy`7%xJVpl3_EicuduyINy8ti!d#k;S+`eZ8z z#T=o!@#Dp`o}I^ZLei&z9?iAdX5afBPWMQHd}m`pf&JD%*l%)RGl4^Blic^Bbew$u zCc~U9)$KDoyZ*mN+vKRay;=$gV(J;(j_URiBKjHGIjH#tSb=sX@f?fnye?&^ERD48 zLH}VwAi;cpG#r0AihQ=y?q8wxS2`c4 z%Nrf&a)zel$j+&s*^plf57o|zf+6Ker(j84W}If`B0C#7;rW4>P9nMdmvuP_90#xm z6j1lqs4I}HOnQh89X?#V(;O>yEsfn&4r1ho9aur0X^w;PfweaF_o*bX9MvQqRYFB> zoiAAzWCK1>_j3yJ`nT-Wm0r?q;q}jmj#QhI?YOl?rp!adWW%@;41ZAL4Rr-tm$9oR!I9IzdOf>J4VA(x#pcvqD8-2lDB5(;@LLou zkea$x) zKcaEb_vzAhQ<+bi{_^IY;ZgijeqeP)z$Ujme zUGnO!)xCkWi{=555jnT~d$V)?h{N=6>iU$j2}TUeFs}A-e1BF3m*WxUtZo#^>1gxe z3YBT|bja5!p8#6xURvAQj36SfL~MUccx>CSok(Gi_!AzJST5oD`Tn4q748haJ!qE& zM}Hz5|9;+K3#ia^B1^a;2rg{WwjSiTdy&tE-Ik=x_Ek5hu0-I9*^a_=Cd|UQ%YEl$ zScSf$#wiL#C&IP_$txRnd|lCyMyWx)dc-rlAU} z=gxicVMU*7ZU90f_|D|Yr36SEr$+`FS`^WhL2xc2#qqzr> zE!SJN*^6SDjZTizIn(usJ&w?_9cZzkn3`0)e<57w41f7$oO@O6UkVE=_|jqf<|U;K zm>e^_5bk*R;YsYXs?r4dkNMqy9iUTGaSn50S9bOkeXXl%EfqL-oO!PCokYypi9w*; z49D^m;N>m4H+02^&3(AhORRE%ca|yGu_wZttPG_iS15jIak`=VFy<+ReXM?im1ggb zR8YVx8tsn(d-r3JWAjH-a-2);OhDx3S8iL@7LzMl;w^ItpI9PxIr!UvruCBNtPwjt zw>DTJe)tGq?^wit)4wRqZ+2fRtP^{vf6k92@}=vXXg7VWu#K`O{}kuaKe+=;q4%C*10NYE zf8uJc=^itkc79qKVO3&%LqF)*Lr?}~KpTpb>)Ya^^e>jDLVB;ye{)abxnJ#udW+?a ze;3v{l>J}B%}dw6x3~Rc1(H9xSAVwO-AsjaUsv6!zToET1HS2@eKXfmpFTjU0xKg` zS{LN(`iz>y=?84foQg&BYwPPyElXa8=>CRs@YuoYxmHscSC408r3WyKuAAS;A+ShX zCnOcR9*o05QW0ChxCsjJ=nZRUyj*fmzqoeo_y%r+BBGQm{-zL$o<~rpo%?E(&@wa#++q^{BkEDHzF#YPgG9HI=+qJRs zYx|Wf34(@#V7pc7v%ibi`(G25A8sG8T~71kW)=@!xfMC<`JgKN#u}KRx#g|rVs)<2DL(IQd#1)H@Y$}SG!|79N&Km*Mh$p-5SxqJsc5rfKfrrp${6Zk5AUe8b} z*dHb`vaA>}fiaHQOEeU)oyuc&}EPF-Rb1ER`z$3*|3hMF^FKBaRF=h;K37e}5EX3xG zekVP}59V;-{e&iQ7BTs-^vTM>XpCNo>j{zvkPj`f4)U30(`V?rL-}y7iF0Rr1K>1nK z(C^Es3H0`!m)AjXur~|%q@n>jsC!=)ir?=}h>RSOI&%%fNV+RoN

)p$l}F25aM> zOMCYH2*-XSnRNhZ({FU1A|_X5gK`XUk=~AE#V9)GX+h>dttFC8P?3>5B9YU+%Jg#| zn2mQ+_90GESbeOZKZ16L+dk?TDZdMfA{|mko*B>>4KW8HE>u^U{l*@At#M0&+^l}( z9fXkCB_l(2OhziP_spCk`Db=dc>M|i$q3Qr!P-cR!R{g2B%#JS<)1&MoFiEgZW_euXd@K*4hK1r)_x^pGHVwG$t70GyVvQqdXP)nzD1sF(coibJqI=6HhwuaL3y zUfkt?&6qRi>_ydCX$y0A?)e?xhucO$>SFfxnW| ziXEb*K>dV=1fm4yl;x38V3RcSMKgUS;z?J)JggfobS$qvCZUb3^Lo)|{)bzGvZ&9WrWyBD3q$lZnqB=7%BjJnsRp7}-psM++sJP4CS-<37hcrOw3=f z|G@9-IW&ibU;1nlNV@YXUvh0vZN4gIL2GPF-D@h0G|^1w#`Id8`#m9l&V&dD@8;+O zH?oQnKk?5%P7PV}0R5zTRRm_^82?N=U`8@e;g0U@$4fmbsn1F`^fZhzdTn_zbT_Ra-6{&u-ccuKY)K&FwbBwrow^UqTbScBTvNA2a0AT z>Yty1jGp>lai60R2LjRuSfE{~92@fjChVw3_7TDaTmM13+%Rhl^P8Ql$S)`;HMwVlMFK^%gHu-&y}|YbeHW2BK%S-+5@( zmD0n;fV8)EoFkiOm7Xx)elV9b{NpPV;pBw@&vV2kfDfR|A;#J}43-t_@*mU|qwL2NBt_b(=N$q%p)<>o&fPNnc}+O5?7 zZiQZO(Z)@Z*SJspRmhPjvmu0i|K25K0SsmeN85b*-ZF|TIWL9WNlu*;sV8_F*}^TS z!;B0NPH?e;inq)v&&jfp$Ia|`m&?%qMblS@MfJRID71qYY1Jz&=AM~je8cJ8!nXtYz zBF*%`^|1LnXj^7KMD`$dKL7>8R%J z-$=N%411KlHQy8gUhrNl*>d5_D7aS%yNBm;y&9$mjlTRkK3x*KjZ3Ciu!I$d_2Qau z)ap9tM@RwehbQb96QucLoc->UBz%3nQ@^%Rc~0FZx8}--&|WZmPwq@!1q|UPjnouq zS%jHEml!VY{suy@5ShM~lco0WFm6m$yRCui|5@}*)Cmc{fuh`QaTZ3si3_|qIQ}v0 zZgm~DuQ{?&h;A!>4{^#l&h@WGUt$jY|K}tLpaeY|y@RKNr`5*LOLcV|T=JK@7#lX^ zCicpv35=n-RP`NKp#6gWjWrYJe)u12J^`rP6T;z&i6SJ52i(<^kw^>&D_(+w+kog0 z0X4WH(=FwYcMWZsZHkd`{AoE?HLrrFA(YootjN0=u+-GV7g)*P?j605_r++81gi7e zAP#rdx(;Ks8&e&h8FMFo8&9Xx{hCUBzWrdWOb*y=V~BZ7cQs5O0&5eUuidf$cge0a z#1~QmZpb}e^|Os-#a@8^euG%`^(bW9zdOV3{xYc2G{o$62Ypp zcA`BL8vH#^Os=l*cwxXx|I*>c+7(=N>f;*M48#}lqqb_UVfXXr$gAZNM83l<+Q?VY z{7{T>#ENx}vWh1xIXa*cDp+@A1x1%`>+|h$?u4sGiMl2V__x`>M>E?{W9Ej9b zG&0G*z_^06!K5dq$d((`L$fH8!K&F(N9fXgOiOg$jZ32W@&pelj!u`X9uOR-z?4C&DLuF%Jn?PBYM0c*^s3#3)%TW`R7zYF%7?&9 z!YoRU1vM51WkF&lP-eJJvY=OE17=fVu4~>oJAey^J@+^&jvY1jK9^uIIkh7>9r>5D z9Q%2I81rq85P0i?{uuLM%Ng6kid7`Bv}NB?;>aX$k}DxxdxPPB)(dyPf?0{@`~#=e z-+A!pSX8GQ^9ksZ6MeqvdPAK^p$g)dEM$l|f;1PX5{<@*H{^3S7e<)*B2j@?gwX52 zSdz>LG31=CCPx&+RW;T@jRV4So3Y1l6a4km&f`>xo*;-d6uc)X_UE zMV?U^yyi6$U`8(3{_W+BB>+jPM9?^bY9T-v5(#yU-~vBRLnLht_F9rFL1jf)Kp|(6 zuf?Fe??&y9cD3_UW&!F5g5mfnuo%S+I+Q@RRsU$?IjtLj4CcY9uha};a)1L0+^Hh& zxXgLUs@Y?glq+TYW$=m%(pv#V+25-Zk?VeRyI;MM2xV?}JKTjwh7*O?Bw8@XM|Kee z`SITAMBJm{JUBUuN*%_3JaN$URTUsb5^scnxum6#?j)f?T-S`74S)s9?|6Qs`Z28) zJV?d|xZO#bQB+?Wddhk3z;nJ#(ft+@wG4D@F0N9A@hDny=W5r4*>@8MS+GR1|6nE@ z245#Sw-F-ArvV32BJW!ChMjfN{R$PAli@TKouq$V+wayX^cSy0SEj3cMN6KoG_3yB8`9?zEzfMR% z^a|(895J!mD}hz}hvVk^;EC@<@+0-*M?HC0%+5#m3vJi{a0KD@xCB=KBBcZ|mW}d4N}3Z304?kQCjmm1bb$BeE-6zEcV&R=&zR_jJR>14 znnx(`^(&0EvxQ%x{)I5FTG}ddJFRh(;*1qThV#HoC=XRFF!D@kIgdz!WCdBv%%B=> zV>eaDoS#CW8Fu5an^z6vcstHbef7lAK6a#!`v>n(IsARRjux&0rY?^O6|Z!FO@I>n zVPm}^iqJ`vWdIQ+Q9I}xH#59rN{N)@1pTZ2T$ha?(2n=MVa1o=z3Wv0+N)n{d0DXw ze?1Pc`BbcBaD%kjQPJVb3?M-^l*E6{oVpDdMv4W3?(CFW62CHH>m$A4<1!CP6T>mD zBX5OzasUk$-)Z`L%cB57=fA509k+2a`NXIT>xfND;^}RiQutM(J1YuyP3a&{la1Ix zjvrJR3s}RKW)e6epxon}5%y3;4}SeDpPS@!R&P;b0rA# z8ut3~9p1m@0zNGyd^JvQ+AZA*eUf%?Kz9cWYG4V9c>Nb{Ig{T>yBetHyxd@YCL1l$QMH4Z{9yzK+yYZ_IY9YsK z<$<=N_bzRg2#VAMYdXc6YexGJ8~C@R=mjlB7d00w1$P25Se;m`f{^5r72rhf60^gJ z0^>l`#yV>Rl=8o7ZbU%E$6=IKM#mfLjuC|4d5GZk(SU%-Jb>z|eMeWcJgxt-T`TM| z)K<)0FAL;xW6Y{P*=;fkQnWQZ=LB(r%%K~ zq10EdS{tcK6uzp)E?yP5+6MyNgAj#DY{+~boW=*}7YBn~-j1KzsOAT-xQ-J^t{kvz zl%mhH>Mz+v3VicSY|Rm0aW2!mJEHXWU63>MMDOJlA}Xy*%L|7>k?j}5=+x(IliZg1 z%umkHg?e`B#>L2p43{F}%P9#$`y51eiKTMl_|ZJ}&?FXCKj=^lylqn^#hCBa2lcNe zKM+2zEkqAWtZmoPar&Mn8ZO z+p{6sfkT>7!OPllFzC{~$DeTW>EeC;3nK`T75u#?=<`TWweyoM91Y38CtPdt%7KSB z#ufuSq9Rd>aDf4!w@l0_E-3e~As233u-~Tq-64wkY2ats&gv^I0kXQ1LFj;Un8~2X zF0&^}kR8>Bor-x+HMdMI>g9v8p{gQQk4H3~Q+NbGRmpnt-MKw|Lg3|{NtxK}jd#&@ z!iW4X@pW#Bq3H^SinI19kfnA19_?SF>zy&a6&tMsgGNB!>qR6EaW;?iJC#ozq=otti#bYQ!AS5Bv+UJn7{e6#kbUU#gqSrOBgWoIK z7N3Z{m;~@a)k&WTK}ojQP3p3_ph@XH4VM)$oiX|5^gi(EFH6x;ROs`{!K9n%sCGyU zh_JQGhi)mf9eD#QA^7LnZq;|OfC@k@)vp0x^egt=*a!nRu{W}}-A*pr*dN=Y+ij}7 zp?{=o4vd;RzC7`0X5PQJe^j@#xA5;=uFbi1-?S**kI0_5-Ir*)xKfkucfMb|Vo`%$ zzIC8uGY^;QZlu~*Kll-}BKj+fSKXubH~#)1xNa{LNKRC=90PAC*nb+dWqW#&aI6Ku zCt#J3%iMzdO$aV>?xZ?ebzI36e-V1R-!Cv5*Oe*^weLa#jA#As%87jq19lvf?gs%v zs6NE9JMp@$5KXb|mI+`xcu(ZCI?uz9=WH*?wFV+~R=?Vk-n!u|$XD29QiHw|9C-M7 zZF=ES_MQ5fZr1>FFgmmbZg!O!_+M@;+M2mo)JSri_(UBj2kjb#?2IsZ*EgnD&tWgo z1#k$_5(yksl)*24xt`UODG_tXi1B?bm%BJKyB@%;p~=b|k%qt-k{UWq{_X@0 z9KcJ^hAk%CKP5ULOdr$GZOIqCoxg*irCsh6*UpRAmP@2arRx!=q?t@(Mu8mX&f7OI z)lK_fmXfyJNk_R7O_vR0A~?t(JWTJuGsMy=Fv4%%{4_>(wa;rOy%oMjK}I=Aan_-N z^{RedZAWE*-~}!v1p$!%0}U3Y`hN>G@YrEHP?0My>e=1`pE7c|zMHH(N zxKuGk>c>lsQ*6Hkgf*=sWT1B`1$$JwMjH?Wv=9LV|8bqX8^B>E8>xqz^q=w4<{R-0I<)D-A@ zn#!EZ%%3WX6b&p<+1hF!5|hx0pCIBp61czzDrCFXTS^J}3FS}u>YfBXX7h}FOr8{G zcFXt9)v2DJ&~mcSyW3rrRM!))lWwj*q`1b)>PU9YS@CG#Y}0{D){QP$#43BRn08Yu zI=nA6Ys3DTqOOX~O^<9+S;RFDR-gY*f3aMn`9~uHT~`Spe`2KB^zy-Eq-8ep+R^E$ z+_g|WHqXc@-Z4dc|1hR(M6${)RoO3-un-8~QN<+twVHt>d*d3^<=Qxac~?)Iwx*OB zN|WttowsXEnQRq4@*C3S`JT@CoiU0o{$8K@ghBH%UH8k?@kbi#N@=JYJEl$DHy4hj zC{$=kVrU)jl;n$V-c{Pt@iTgS@-X}t=vAD-LUvl&ZK-Y6@=2beUOzu)-J0j2?6kWY z!0$ivrN(j*i-F&6U4P>B_7!*V5zl6+%j6H=?*-!+d8vl8`VC`DOF2ioYc} z)>k6^T6 z{(F?{echwNc-YDAarAEvxnNmg%iy)%FTkfCp^iV5viU9o9wa$yw>sLoM4>$gMU8&m z3igePbY@Wn8^|?b1Bsu>C*?EW8_<#-Q+z~FJo*5bzl>xk-_m)ApEJDgf1P$~i`&wGI79)# z-{)U_D9kTi+}GR8c>1*?EO$q{%qEL(WVvZ|;CLCWeY5^g^=5VwAxb3e%fPVrT*gz5 zs7L5BWI3NL?;pb?B_98$^^MQU(&W8>xpa~sRPf!ofFK5g-?3b`7ql$kIF`qV~r8AxLL;pReH%l{Cz)mV)AL5H2o!nDd*cdd{ z!-g{TOosE|GGwREEwWY2v6U!}+n-;%je9$lFvBBC%*GZL9fwazgEZLUhxJE*9d{mu zrXUof!*pf`-yWEn5$9qw;gjJfeQqJ&fOji=9}#kF*M%vXr{Eq5(F-5G*&{}<{^IcTNDnHmtv2U==j+Iqz#zQu zW+2=Cv$u_CIdhv88+FRyzNtDOip>;ZtgvnSgWFuT^rd>6(eLc{)JIpsCUJ0Z*9QtraDPU)Hp;%7A@ZEt-6S z&TQa+vZ?l+-U2FzBZHL6ZCcK30-|<@lfSK4peBK0fByWYKKq!3aBQ^zOp+QcLKhc= zxPAv~E#vG;cWz~OYw=#1liIYM?{c=$aKBFpf5QP6pBTk6;$;3@>Q_-&TnP{Kc3MgRykIcr= zwfh1?hK8OG5|c@Ew+;+G?vn8bSCjio`!N)~l9^{VM+SUaOf5DAa4w%xBDs@&KN6cs zm_C|>B=?Rrx8)oLzvlb z=!ek@ToOaAu}^#2Ex*lK;pB1gdb06-U${IaXKB=RRF1RKY1};@Nv&%+!t|bS;sZ*~ z9wrq;GZP-mH6EA?u+QO3UZXTuT(?R|QzrN$H{rqyh5zQu=l5XO8A;sUFuajjWNhGl z`@>rmu9YPed<|hi40PG{qnWJvaHuBGl2#9ucI!wB3Q131XY&hWuliyYTG_fa^x?Br z%ov;w$W68~Da=iJ>KK zrNh`ty!TxsMs~@RO9?`uWoZ)wS5`JD_0%GbM*rd~e*W>xprq2`N|RHQ%`64YX3E!S z#fPcEh51Rq!2L2RY}-f3oE0jG9YvJh^E64n(ylBQbmvUF3em?uChsa93uVmyQP_7! z-_6{-W}!#2wll|ehk^#}nj4hu5H4|Bahxi!B$sPmPI*u{$7u1faIlq(wkaqm};>G&?H%PypWDP$C51pD#h?`nohyk1g z`2+~Q7vQ4+RZ}7q;S15sN}<8ef?nJM0siNcKRreh;vk`PuT9&Sw}eh2upu zAzsss5vuVxv4~tSRj}!TXyj{zXwKk2@5a=srESf~8uX6qcZj#5{OY$F_4{&<>td~1 z?-6xFwboLA9#}G)Z^tzv#dik|$B+S`9l)%xZUzObK*f=KzIgw;>TDjRgtJ|zYgT)&HD`xw{|Nn5@+v6MZJqn?vpwJL_VM2!3|3W`c#~$EV6j_{KVssr z*RL}w+4>pGnAq&kvABOJtHrTm3pP6_*lnS}8>tfSDQ7}6rXsy{4aT7NlqUmU0tRbC zp|=*n6%i1mRN}O!bBo^n2UDmAYQpGtIPCSWrIv`?bTvT)*qn{9K!-BFIsj9zU|aLby%qT zp80u-nX8C!&gR)~-v4RvFo~H3^@RvLpMbm6RgULfD7b4(K*~UawsHce2RjqcOJ;1N z*^OMTnm3%W=&Nx&uG`A)N#~vD{rioSh82o$6RE@(f{)k5KPO3j4L5yxD5yFjkAJbS zm{)u#rF5+N$S6kWFJp+vxe3zyV=q(C=o7iz;ZDtyUn6DA z@inqs!zI?$GC#!wmveplWT~tc`DKfk7aIayH0-YLJ(1Z-SgS+AE07ER`^Zy;c~0fI zYkSC=YYAsN!Z(Zv7oAATHc}43R%I=vei%w3;Iv(#R*dkvV?>_vfC&iMcx1>@$`(z) z+*sTeGi)Aj$lZTbw2i4;Gu3XcS-Yv!j4@z2m*t8Dn&Xf?_G+MI+zvOH_Mh;0spict zE}BgZj{tpSo0-2yq6>Maios*E%L^|`>?LUx|F-*r4Z|1LMj*!ixTD#-Qz zXSe>6&Y4Vs9gv#~3%u=3{_;2uv|!~Cy1!${_ttA%H3d%5#cZmHj8;C z;4bSF_kngtqp-(A3WiT3oHxTBbJ5ebS7K!+A8s3)n1~cC-y*Bdc^4t6+vJ!?ljkw> zQKx|FU(;Kk^!AspJwU&Dgtd=i9y}u*sgnx}AY17m8E55rhrWIznVqyqH~Y!{`~U}? zO53gaz_uHq0FOUqsb=aup>G{w9qN?zo*#S)?N)`^Yr$LdZ83f1$5~BU*wDlr=US=1 zpN#LjP|$?B3=v=Hozd6wf1bB>)ag{N@e)LMga~@Kz+kfLD<>&Qx*ghxkHILjUn{iD; zX8SKgO0PXti##`aT19__=a65r%vlV#HS_eY>m*H0<|XvfPDQBG@ck>cR*BBnk1W_8 z)Up}ipH^k^U@UdfB6q=Y?> zekXZ4lGA8kL(NP7Q%-gS^V~JCAnMMK(WU8^kLuEVf;RltT$p>PevbR{)>QF4m9uvG zR&x1%(XqdHyv6B8XaK9zuEL+MlHC93CdR*X)3<(`bUZNP357%t-uY_jk>%7h6xufZkzJ6P}$)#IW?Pn9SaOGui*$b|OW0OhXkhz-}9 zOp~V7%TL3u4K1={6vqp7--&kEd0g}$^L8u`?DBZN!ony=4cj@39yDLfXL(gtnmr)T zy{&FkW{WXCe2a}+1af8z(>~URArb$y^uFU#p_US}w>pZ$NgZunM>FFc zxmr`&#jUAS>SYQ1u`>K%x?4`#^p1Pf9#v8RRH(xi z^ZM)Dj>N8&!M=ON*$kcbku~X8G@Y!KBvR@jmlU>3Ly)GaB4 zg%=~u&$c^V3X@Cmy|<3+1_br8#jLU$#v#*BrxaG6%+V{Mc?fP79ObBd>sOZ-sS5L` z)CmpPoP-kI2*1#sB~0{I)xp7;679iaD5c#i9o))-!JbaSx4xj^?d5ELbWV&>crNj` zP{ig*g^f{%n%DlG`6)^ezXFWIpWv19AkWvw!HPTctiGM}tLJ03HL6Mnw|-L3=)vDw zJy>bW8l(&~+3N?PertbIWUT|p5k=Dy+#4pIe$+^9VU7(`=GhT?9m5)HBjwOk6C;iR z3AgN@D9)b7^q`a7S@2qGy^kra9rjgSNqghe|F78f?XBgkVBot`UCk_F@!lNu z7@3q@@x@J|&!o5N-MvnwYoR1JT^X!(ohBR_s-&TI^ zjchlLZZS4{-b|Dtj%#_c1{*AM*{D4*ey*^+m_DjKK%M!wE!W&DK#g0X$nePnvP{KK z8u^nwQipw8c*oJG5I|kM+5=mwiaFjkT`d&}lsc?$*P-fFK77zY_kvgW&HldWc@CEA z@W#uI9w%Pf^98e8?3IS=43XV`PYY31t#9UiKU{6*ZE7~*p#ccpIy#NYGL@|l$y5=N zZ*b#jTd{NGEt7bc4YAwkK9nx_$~L#WQ|NA4Fp7$wYUUF+rf~;&Y@!{UEW>hHjI`cw zxl8|`cG59BWoZEh)TKX!m67jHL7@jf_w z#*omyVB)a1=y(Ir&icma5;%JQ)gqOxm7dq}LxGYU4_~M7p_7oGRO@qvW=G4cYHpfV z56YX-MT;h~wa;8_==$JhCK{G5!WXV0G4C&D3z{!u-cRnvZH7OaN$&0{*3#>7cGj%s zbZVrlHWZPKde+23^QwDY<>6;`jjhXyI>yi?Gm{F?%nP}BAT!o1$9C)cQ#7yJAIF@E zPN7cMIGy9bH=m7t3IOtF&wb9K#e{s`y05$I-JNma4=3FDW_VvGJD0&JAT^n{)0I*# zGWjk;4l;S}NzcqVMAqh_Yp4N(h#xCu^u;NBIy7krDLqXxAKQYZ|8f=1^2tsXiHRtz z@d{m!HiwAb1DN<<;zvb974hX}??=^-(LcZu2}CR!B&i*U--A_* zfi2q1S6IJ^;l#J1dB6-@EGNChFz!l>>*q1Mmn8|Q7!QX~)qWh2`y3}R=Yi^!ryfkU z^12c!>bBp7m;|DZgKQ11YYKomPjH$pYpah!-Z2BW(y1{LZQd`$Ed8tfj?07>c5`K( ztVEx`IIR0;+Yn7Pb0UjpIoF`csx!>nmTlqR)af7crJ{9^9W|;=joFYpn{T{mo8bf9zyFrhzKGi7i9?gK2jNO6>XVw7EPkbUSY|VDascc{P17s`>Ht zb6=q2)alKC=^a^*_Wa-v!#@lEv~T8I`qv?rx9=JF@6{s-p*$~b9@FDElYis7FV|)~ z?Dp1FZkX?sqIbY+e`p$71U(tSm$RuA7x^RP*4CsPO5c)y23&78)Eq3?WR+{4<$k?i zqpSXHbYO#GsoD#U`2#sA5J~)<-po4h<^#CsAKaViuiNcb=a2~MmPVWy-V zEV-m!AVe6wPi~)!k+h@lN6kK&3XS3vnUG$wXVY2I;D1qHH)g$M{a)Wy>U+r@Y1fp$ zJ4w1pi}poawxOPC6)it@24l@kr=rggx4bjQr*_p*JVs2u_X&1i{w@Vx9=ruHXCnZC zfEiEMl|QfCs+_4x(#1^$|C!w0krj%d{wcZA2F3q8voN>?c3Tf%p4%ngNJJ`{G>1(_HQhZ;s~5La==#N`W~? zi7zM1JL_Q)(JE9O~marx;>-)P=KT-;N}0)Z3SJ@)7yzPbom~K*_A2Avcw9~ zepE9g=#aU$IZz|Otiru2Eu;@A47A!)Q)0Yfu2U(3W@Te0)DkPR)Dnkjk^e08L2_E5 zQAhpkIZHf5AFRfl&n65}MkuaRyX@mm(!9p8S=#)GkX@RE)&@?lWxy8I7H=dFcB2G2 zc4qWHHPOG@Cpu>!qNGqev|VQb6~)^1=m&fYm<)+wqff>0uPMsp9EWw9pNT^qo`?QG zu&}C&FrQI7cN~v#x(_uNzb$M|q9w*`IOrtr*0{(zAoA>tY{!u`?ip>iZW&M9-DM}& z$eo*?dj(TZ^a57jj=sbZR+_oNrz)KUo4tiQOsc&Hmij3vB^L%Y^qrF)L!IAv@b{@n z(V0=8vgE*+@IBC>^F>8ys(@*OH*YeCH2V_ zH%3OF3|4sO)Dau|ZcoqJR7{v6ULZF5aaNSTLoM#H! zS}(JR2BKR=uG^20Ule6_uFn)U2`{K8yp^S&p=PUUAA&Qoi8znH8>E)XT)1^VA@8dx z(#EKw+My~@Ye{kPT|Z{^DpHx|nN8}-PH=`Nv2XjiB4m7Go55Z|f9T>)r3dFWOA}>% z4a?HEX--3wzM-$)PTbq-u-CKq#SDe|N ztl?&kmcb!uW_FJx%|)@VnauvXTE()DMJYC+8>=M0FBfpU8;i4_ox#?G&5m>(8$P$q zkF70g%kOr+Y>Qx-j&Fi-JKt`PEQ@4>p$}~ zwkFj}@hzZzmi5K8e}1Pb^vBlzz~MAVKg;Ke-g65=w>-BF7A)s%`_U-=tmuJ*r(6*5`X$%v7)s1&t!LD^x#$ z77@{9v2C)AVC|-l_0c@0g=N6 zAoI>6U77Y44t-Q>6tqfn{-)IEoj}<_F8M#~5M|lOi=^}KkEgOCFJLzg<~NeXfw!`j zZ%Me}msetp_di&MB+kQi`%0CgN#QlERW)c05(4HsvA&_jjVCp!zKfo)FoU57-2cYy z0hE8==;N-5s}6qPHCBknCaDMK{6Pi6lv(Bxx9d(LuE<7Q-RrLTA1bU~6ex#~oiUUe zA#v&z{o1+Q5z6ecSoq9E^o4>NEQJ$4%=WMrE{%c~hj-!npQf`lT(j0@MB4=`ZEQH8 zX+ApL*abz#r(_d+4-_~WL;HbG$#-@~$%rA>+J`Rt_px*J--*viLudx}nc8=-#-%TI zqqvlRh5a;g%?E?DA##%wa~Ps3s{eUhcgCI0YA_v<{yVW&;nX@w|Ff6O5ynvQ)9ZV^ z9U_JJoC~XKM9v#Vu0$;9k-MnR&*Ob2H_yvwg#mvK1tnvN6t+94ox6blt1lXmKZHJM z%jOTntZ~Q!ElkhN;ONjjhDdVaN{{QW6`K2yTajsiJk-WX<|C?j@aGfN21kODec%or zLSNJc;#~~5oQLq0`uN;A2GCN+;n_EUE*`>l>SIiIuE24`+=k4@of@e=;%oJg)rct# zBI#9zVjeFh=Zu^743kJB8gI*}-Tv zJ7Z7?If;4_Z^AkC_@n1Y4)5DL-)m@QFX{U`v+ruvw&Cr1k!!uL4GPfNQ5T!+8>cSi zvC5|G8{AX0M9D@|`cQ#@gW@HD#xd=%%r$t``d>#()CCc6DI?BDU8GC(fm?Y9>1-RY zMOtFmRf2Tie+5UQE=FYfxMyqO%Tu-Blf-p_<1tNy01B5@E`W0AfsHW=i&>PxvA!G! zM#c_f1W%&EZXkJh(A7k-yFh;Tms6~&N|3)4|5xaX@ErXb^iAqutn68e2cyyUN5;S9 z!i)e`{uKuiR=V@NQMprp_mjmi`vPg}Xls`hX|o%1PxiaPua{2Mu(C7}fBvVO)&Cx! zNxNR$Wg7Df|6%0H)7`P0K0_A~hLVx~n>EM_exG(VXX9k}AYqRx_{p#D*0s;ZK-)-y z$B)JaLrA{yZ`ORA$rV8LvLTHYec7=H8%;oG7I>zPOJGBeo%xz`CZjFJk)@zlmy_T* zsdyRND)wIi&e%$=lz@^hz;zyxwb$U^d(l~&uPiLn=f1%`DV<*m&YC@~w=M%Glf!;Z` z<~eP)y#_0FEIr%a)b#3{0^X7uzc9U8ayY&mNQ=(@XD~Qeaz>Ld=Xa|>EDPIkAOA9G z&i$S2YR;lZ=O652#f$}87w+A+%BLp181Jdm^al35u@2_U`H_yK%<)aP9xar?97X1a z*t<=sIiswL()e8eBSoo?)XFfr&R|6xek)QS_DC_2&IGoujJ+vQ!5>~syd#VMFY<|7A-x! za}jlnaZ$&cJ0i#4uup<#jfo|jjJO-d*V7jaKXsDZ??BJYI$_3c>#8m&Yx(%3#DnO-oF01c-Twz zhORLaqp6m~v%Fh<*WL1cj@Xs+#-b2A*~%+Z z-iOv=gtQ#sRR6pReRxnKLkK?KQ3_Z?kBV_&E=pVAt6%`$Iv{83=aI6T zuXbTkL(y}+ATBYuKmHROv&ng zHfjSfDt%1d57V6~VjfSDA-M0wgHy6Mx0h8p;H0r&`<&yQeAPZ9TwqVE1HE8w{)MU1 z+avBnY)^$zQb>RjjqGWjM|#>OBOEN(JJ!4 zO0lTK^nA2Ylhqo?HZ%y|(@P}M0s$I=wUW8doVyNh;k)Kofm!eBnojKb0L;0eyZN7( zJM0s={0n;LqDu~Zz#&}JCq>bh2S}A5JmmNY-X7)K zQ^6r5Af4=+N$2OKM6Y;eAE4G-kuc2z1oqJ%;s_%S6QDCRVRbwXafyp-F@3<$G+Vl3!-3qfEXBpLewht0^8;RtEgtY9xFA>8vqg6uf>_xgvjr>L;qf&! zvIqU}*z)II_9SDUy1vaw(gr7ZF779$&D$acf#~NZRsG$>n_LxRCGw;_hZEov z447yAZp)LODTxH#mnRAo;DAPQowCy9j85$CXX`N>GA#)VOoekR<`U(vC#3_qD6n8} z-+7%A{R!s0tL1T))JX&YEb05bUwfm35H}-ywtKQYrDa1xTp%~%6q{Q+?n;f zw9(-Odhy&-5SkP^v2%O(bi6WwhG=D){@v|RY~HARQyaF5Cu55EFceIO3%00I^4P;4l=vjjmsK+ZNiSTy4;og3$t7`adkM2m@!^UF-+6MTHPn zUTAvRt94)L{U?Tiz@52=++JW!b`nE3{Tdw^B^oGp-vV?r)|iX`P7z6gOW(SPtdg#S zhkmPbH|-=Dqe=eVE%1H@w&+uOAw5)k?~!yr@P{`EYL@Qu@A|#W<&1H#Z=a5ve#Gx2 zWu+hy4rhrM|K~k&N-qeLMT%(yf6vN<1z7+)xbxlp5y?%K*-c4 z>>|N|n1cTM{VJSm!D7sLi2#nIGm0&(+9OVTkLWz6y~BxRj^LL-i2 zUSP@n%iKMp4swTG*(=Re)gH$(o1FLFJ+BAdfbf9vfdrBBtU{_y;y+t7Ya1mGG(a!5&5GeHxITS z8{Y(U?=%WjM5F$U1Qrdu_scK70`%xDGXnGvCnOxG4_%n$IMI+_`+TIXTaX#yJ?Fp~ zIUQenV*bCMPgmB2^AFnF1s`gzl#A?-(uVo}dep0$XuLu@1NjXlpJ1FBG~j>}q5Syb zYM-xA^c@=^L3svWbPQa=Z9{dC6Zjkdaq;1n@2QCd?6t^LvO)IgjrU>gK;^cQvsHC( zmDTS=!lRHMsMV=N)@vSGZQr;b;Zw_}f{ng}1%6x+$0q0oap5St(78Tu4}VQ*{rSB= z2u~gtj1;>TdF+&Qshd7&K=*i6%VJP+Mv%O3^ztX=wF*u~1bZU9@9doiukvE6+hlxs#mE8@OfoI(##y69X%(5uCTZa+Z%{?*m&4H&kKHuhY@ZD4FC7O^<&?Z4NWCR1Djz#5 zoOUexF|)hPCRp+EX*2X*^N{riXI-HpYoWsUeTJ>PE=kY&ST|v8i&`k)HvBoig7UA2 z0cB((V@Zi$Rax-|Y}a(@LP2*~d3*>}-V{9TEL7EuX!dQ-=sMM3!vqnS**B@E0oDf` z>dftz^^JZ!uRbt+v*O8ZY*4N@_Gv+KKeKhU8@SWWo$urr0}a;D+G*3yK($Dm1PJga z9<4?fum+Ik;=Vcsi5zXV-e|b>1xz%UG&yegQFr1fM)p+iP+V3dhd_b*GHPJPnuB1y zJ91)DodOw1QqM8x1)`-#7OM(QT`JV|<3H%Q za`5z&!LuHa$lAT!KKt~2F>Tzq&MvX|T|ObEn%mA}*JLjm%z!_l!#*$IHdBLJqJG|2 znj-Z|9WgP{VyNO*nqrsleT#ArumAjY0|A*^ux<9aIA_X1Kwik7;p0zgOoA8*l{Pba z`ZiYaNVM10#RF<@DiiJ7-;^AG+EEhc#D&!Or^j$xz?nmLV%&P(oa@}@|6$>wiNX>l z1p+c;2nE(UcXFJUgc0Uy4vF6ih*BFekDsSbKjhD+<+Pe?fQH|}yZ`yF&iUsLi$fm5 zG!Cw^MCYIccBSLHpjpivzR@ylZEsCmab=Rn#6A@89ahEX^QHJ6LxH_U%{l!{!i9vR zQb9@$J=ef%Ed#J=$D@+-Smat~T@;}_6%hx2xA2O#t{d{QhlqZ`+h#kc_Hndr;QVp~ znW9lTCJsIXa8ER36q_^V=}i-n&x3}rj7OVb8O;MPSIG85E+&y8{GuKziw}ys6~yGe zzXYT;-gJQB3Z`v@wkh}sr{Zt^7@P7pQH{+@3HnWx_h#~y@I^OiYSsLJG}5mBM3qC!cX5hj+vX{W?wYU+DyJ=#ZUD%0Yehd62Erx+UWsk^?6?^WgoTo;5!x{^E{loWLolMUo4 z=mjZlLd-5Ia1YcOP-DGi2B{*3M~b5HSz11O53dAVmPDZ_W38+1N1auaxh_43d3oQk zo$5~4x0M2uK7<40kM``ym88&82ypCXBV2^!=-OS-^m5nG9&P6LF`Z-LQ+y6wj2tLD zgd6SF!1N9E5zD_!7Zr3OAA^*W5lPd>R6g=iZHGsv4mSV9;KnzCbhgzg&+p?8G4;?M zD##OE-FKfXC!$6Eo>B-4Dk_iw%KZTttxtWQU= z+YiGBe@KVhnc7`gt;W3|#Runi#Y5#^i{3qJnd%iueyJ3B@+JB1zQ}qUz^P+5NS2+s zK6#I7d(7CE`+>rnXUeL|&iBBDLT2*ZS^Iwq_bru;_Fhd1w% zoWG_GKOB0V?0BDV3q}%FR0PD8(Z5q|?;;1{hizrhbb6p|YlZd3LTUM-+e(v;Kl=B$ zp7P!6cDf_0IVJ78cPq$7Et+E#okDNhkesW&q`TdumU;`VX_Qj^hLW;nuV2^4(ZWIP zY0j^(>(d||CErfrlcsUdO5Y=qdkXuS>dx=%QD*4a^n0*ULETMs7!u&00E#v>IN@dc z^~P#tuSp&Cjy>twPIxC=V6Z8v$k{Cm&V|lkU2SV-f?!&UF$N(|XZ?De*Biey%#6g+ z%dZywGT@QXb2+Okcfqihxu_t@73FGrwf=2mPn1Db872<_A#MTO@=@4+CHbn{hGuir zyh|44%$DZx$=U({nEx@_n}7Dr>HNXZS1GK^{`VW?SYGqSeOCGMi{D@8yw{gAzGGL1 z`+UYzn6s)ndU!GxMdxDW*J-27f$EET8E`?Ca2(c^;UqRIK7aZot;Ml+XJsIF-lxu` zIdidIy1)8DO8Nf@?khxeg`Nkyv%f`WT8he+i4p`ULwXHROJ{ODj0m)(< zvGE!Anb7@S;rOJk-=gxba~>8;Z2aG#b2d?t&&#wJS8Vj|q;eS3;m8do4`UhAab|o! zs?0-`2lMHmD`WiR1jBNqMQ&&UV&JY~i(`k5v=8x$Ms$O~G-c)&&v zOD1*DADb;N=$x@OLVQ;N>67S$A%FQXwHwX{ay(Nqu-|aWA^wydX)}zU>9Q^H9>3=% z3pzf-W)1eIe^X^A9-Of8$jkZQA1fOAf#2o{I^RHfZSf(~X5`@`_$76T%?ngsM`Pkx z@v|WRs?Imi`5`*z27Ip0c|qQ%^XnJt{0nM(zOL;l8dwh0`FNF|){%`lczG zbVODn8vgtZmA@yxnc~NGT;s@tCvaBz6Aurt3E7UDi!@#sw~s3`Rv%UlV|8nlkzbYZ zBVsF=Si{^uLYep!=Z}g;%zt7Gw#g@|-8i0b{+Kd2A5soDdsMa+%KGXfNt`sC>?j70UPo z*zg~VabYCewnKTW_^JQ0@&VFenLUsZ`^|<8a{nWF!5-SYD3xn%9e?cnCCRn;n#;B0W+UPD_{rzhMj4)GM33jl-5c=y!Sr);mGS5GmCO8j zZP7hAr&i#M$KCzndq%M%_8EJ=g>6j(~g_zx`tk=#yD-U*1*QP zA?=c5WzuK-#N$gRi51`aPF((u+6}(ngi-gBt#$HQjsN?T8iy}`M|6*$%#?g<(@-v3 zn;zJpmj!Cu>VQUQh8T}+&eCypJRn6S?S8t2=n%zQ-u89M>{=msohKKXIgFSD&TR=uFPZcoX2Q90Np#2M~;Y>fY0 l1J3J=j1W_+Y}>{W=h0)x$E)qw2RLt|0B0q(>1Rhxb$B zAw(pEW)CZ}9MJra8QVGi;~85v+2@S+fg;PA^~`!l@s5+3aaIAef(uuK6o~^8AjC!@ zAUJd40B0^p;Tj?F5afb@@Mfv6s;j&DAA7OAn~;_KOWwa;U4LC&U0qfE+k5Zcy?bx3 zR4V_WxBYpIX#XbK$BnD1m z;3NhfGzR`b_2lpLL#3tie)_q*a_&j`*&L20Q_w#~w7=2&jrgfl<|=a^IOYUA7@ct| z$oZi5zcmw+84Y{o_J+n$txvE%+q=*9?h$4b=5|%anxz;xzAaQw5> zj0^{V_?2Iu)T#bm>hu(N==NYB)cz>bxgD08#PL`eAyfK{-?(eIj zKPDBCEL8Nz`>Gg>dy~P8@a|-5FzQ8%dl%_=cD%bi?%j&SvC-G}CND%pnXpg08j-Oc zQXSEtcRYP|Mg@D5cn3Tfh6lR%3>*gCZ-k61|BEC6np6K?$9E{&S;KWqeIbb)%vGuI zE-fK2j(-6mgpeNipws!m?oblIe$Z>7#sH2w132A~1OX1EgZ=dUhC&Sw)jgDZDDzP2 zp~OQqhA1gGeSkzCAkha%^Z^omfJ7f4(Fdru0fQ-ZET8A45%g{lD8gt44>kf=4`3d^ zEP!bMlK|EjL_TtQ85F$?ie3gqFN30&LD9>g=znFfwt=mn?8Q6M>hdZmNAa7|lHjsa zOHEoi)=PjmvO^Sc1|V?;L5nO!z=8tv3N#d`E08OYDUd24vyq2K3cI*QZZcB`S`wGEu4&ef&f=o!*wkcLI-7RfD=StPYcViBF^ zTz_v8=3zwVVMOO)MCV~d=V3(WVMOO)#O=h@?qEmO0%~_KMPETLS?J0N{L$)CU^_tk zO_bWk`@p)@Uq`^D5tc+)ZR0&8Nqq`=GdFN%;MBm0fhDGS>>`IZgAy~%PV4MbI6uu! z_vY}%6c)BY6QI2|;=?6OXTmaS1`H=X7k{NBK!~slXncle8&%_NIV{e_^ua z#(~`#Yy)$`gt>NXZ8V&=cSi$%Gx7{LS-k-&#KJl(Lv$yoQT=JCgzI zL31=7Y-3HGL9fq-t#qGGBoP6ULeK5gI$boVeAe&uhvUK4)NMO=$PjP#`!(H0*$hWbFwgRH zd%YblCkzEz>baSRau3x#)bP-JbbqKkc>&YGVV<&x1<7=^046Xp9In7f1DFLc4`AJn zlq@dV8$o71fC~W(mZZUwH1Psym^%$~r(y229%RF8X_zeyv!!9SEX_gh-raSjMg* z>{PyJBTCXfojRAWqFlCr?U1}fCBxSatx8-=wcs(LC5n+qOv@T`wBRwKrH~OVl8m^L zj~eImCl?28#&ElVhtW2G!GB$g0}^d}cqkeS2l$r5HzB?)@r{aaU3@d++Z*5TEOHW% zt_bM>kYvme-Rof1$ah!Gu4}%q7hF5|I%BI^spN$GLzWvvJa25h0X4S;P7Tmx(?yesVcRef#=;D4e}n4QC1(U$b9 zbxG@#oGDXjxu#*eZI$zN&sRcU8-2C(_0v~WUt?vvp-{3vpirDc!45?{6!!XtD{Qq5 zSJ)h$l<>5KXC*u@;q?;UP#liZ+ZY_f;dkHL7()21d<#Q3a+-U{mo7f2?o!9aK=d>C zFfL!L-`$7b`j;@yk$(^2vV~RK%N*kGC=H~O*Y7I`PJN`?R7^jY0GAF^IXV_Ng2%OE z%C>LJMt!Z4V7xxO5bALiw{K}&m4Z3+0jJYcOh4KHXHI)R4%ZOApDNeBg^Q$ZsS0!R ztcaZs<|Cgg59uBcj0F#24jd0YJL}u!C!bILLOe(FImgg^UVkz)pSujr=Ql(1IndC2 zo&+r}0ZIua0+djd@{JhJN_bkrlM-HIyi^vU(iGu&mNA4znNTki#4IyJ>@ox~%n-yf zLx@b%yQx_%_jJIW$J`BBB}T389lDCvbTRl)9TTWui3!xmcmiA^J6oMvskr*RVqieBp|xU!|v+UG+4xuf}XSHGf{nY_+GE&Gt02-JV8SMMJ>T z%$9@Zx`{}RX~tk{!Rsi>h%bhxxrOcY#F`K2BH6kZc z$Klt6>4<>h0+r+?7dgtg;HGIxlW;SjOHA!39> z#0H0m2@Vkp;0t#_(FNmsTYyCYoPvus=orrzB1JeVfIykMJ_R0KtCZK4>z1PA^fo2r z2o0;JEs!LH`S=s1>g?d+tq6ZaHb}6MKPIbk`G0P)7^4e7U4`aPc`=)}9cyymS0Zi} z>q@eSo97Xlq(I?B`YIGlCP^fjm$)SxSPx=|O^Qv(>#?SW&WZ1W5i5zU%jaWmtS4bT z-VF&0dlT4&fmuRh1JighX}t23*XC8F>~8fCIkq(+EXAU8S82B4&2o#fIr|HtFrlEl~@T!VX2cTqp5CRNGa!+iKu-c`j4y6k_z(3Q^3)DQ&i+}Hj z1r7I4;UNN!h5VBKq*|2}_R?N_LdnNf{aa@-^c0Ujs5UeHek=W*o%A;ri5B-&Qm2IC zkg6X|u^-Yh$qf=auh4G$W#j03&M68p&v_MpXHO4S90$e3IH53brRX_%5Q}daR$<^u zaovi2)39PO!zk_c56DVy=)q#^_v4%lVw;+_jvc<$P34#(EyNVqdeI z9Xlqn%`hrOr0wh0y?3m(r~*b~qLURN_?u*Xil13SFBuc!QXQ$T>1cY#v11sO;!9Tb zm#ykZ>mQhf`9zeMqPpKM)BesR@;VEaMyCWmh-aZ7`U|3D0QL~=u^CA zqgSbT7auZMf!~DaIr~>}f$bS_Et`t|T>OU%i zi%zF`E?xf2^Fso!493Hq-R%MO8jiNBy=m16KatdGXP&H1 z$9to#-gMBfKHuBf8&q!(r#GvU;pYd{EUiv=tG9a7El1eBQJwb2+kJTy5B6PlT-au2Y*j=Iwkg*kI-CXPSS{suM)NL`ll+DGxWZCrL%Hv^K80#Wx2Dq zxqR(nXSv&5yKsJUy>t0|tGT}1-dw-1xw5u?b-gvq< zsjjWfAzOWseMJJgrH5N|@Njl)59e>HgFj4*B?srmzuB285nuT^l7CI^|0BWoJSEJ^L|S_ zgowmpe6WafK=VKL6><1ycXs7f{G8d?M$u|D@s8J{tY?#%*+f8O!G$YALP8M-5W=2?+Fx4#^?y}WS65eeS9SlB+`fJL z_PZL5#((K;|6U{Be~5SVcNBx8HSln(fsfFh{WtB|!~9Xx#y5^N8vmm9M}J2#I9dZo zYv5=N9Ib(ST?7B5dh!qYqtep&5dA&5a^`XR+Zc`}Q}91YyuZ`?&G>6Hjx~;b_>diN zW1NhavhvK|$bUm3$sRpmo9 z+#LTbww>c(b@yR)R10qK@H!XHoZjOMF;6)r=Al2nvVZ-Ia=E>5H&Y~;`ySSvT)R5m zqrADViOn&YaJn$DIo{XAVBDV!_9*X6t_((fH@Nqbjc3O@TjTz9r;dq!dUx^#$CM5? zX;vK@;~~?I2fO3xvwKW%G)Z=c2hH%n7Vm+B!2McCyZXOK65s{%9|-aQahx^MAk-I< z$epFCm4Du~Atb>eC@dkQ2j1wke=s|g1TY`;nr|_ItDRnHL<)IPvJ}_v7Q4f)v zP2@}>%S0B5%oCXZ1p6JD%fJctJ2aDl6YO`wXsCH>9XqLeX|X?ghHM!yYr%{Kr3Hlr zxqk(j1=`P<{v`FoK>J~!{V>pe7-&BXv>yiA4}&lhmwJP384I|*!4&5TddWanRuGS+ z9xd!ZNW6*DTK^zK*EiR&;BtpDhg$3JCrvu1P&7+J7lzIaof%rzG`C&g<;|q5nRa*S z^b^=W?QZYd@ahyEcEA&&vpV9|HAklnTOAdyKrw0d@@ zph$jhawW8bxI5Sa3d)4Sacp%ooOX6bg8;jO=WLIQ!|Bug(H7#({cl}gJ{yd(njjv- z`Q7cw0RG@`G#+eWOx;0$lO0>>J(Wo!0wswElq4chl88Xb-UB5wPL%9DA|#GP$ba4- zLiPp`vNwp3y+MTR4I*SM5Fu-U2-$m3!JdPLytD9@3_>Xy8H92o?@(Gccq7H2xGuKw zZ&&{9An39Lg~H;5e5r?+gjI*;6vqw(D?J=^dN`o+Uca$991pHc!?g1W8S3rL&6ZB1 zOh({l=x6!4-TpS06Q&X`kJ2JiWq+h*A~hSSIk%}Idmh~(V3D(c0ZDhQL}qX@ZLTE9 z6Imp(OyrDhDOp^s&nBI@M9wENd6Fkj@+>OksqZ}Xou|I@nWUR~%TsT8>Mc*b6{)u( z^;V?biqu=dy>VHR+R0-pxMSu@xf3q?z+9<(!ew`uTk`UxCBa=WONyZ=vVXJ8-BTAu zfrr2flBSqj@@V$2?xMhBWCi0^$v!YwYP0ATVR>={SZX{hQ|L>M04s>I(wrl}T(c6& zIV=&MWmw0KBF$8}U{{o+ecE*)VYytkf9;UGLnR~D4y{UDOSRxJ&=SSKscBhbi55Ht zS_&Cxkz^1`K5AUdpIjXDFn@;I4I+$V0|b0(2}GvH9&U;QMj+ymBOXHHu_PW;;?X4@ zX5#TC9(Wcw3CO7k=>U{u%n{w|;MORfuG+nD_`+Op?cnQ-t!lNBlkyLFZh%IZ4*?os z1{z@o8es++VFnsu26OAR!iNlioJ_SPk_)b&r^A3P^!5N?4+h}?Y=0|!DD3-HeLfN( zM5!=`fQ6zh=~wHL)+yOjrqXgv!*ts!7wcZEgt0cpY8mTitf;ZZ%5=k^WPebpIH!W0 zig+sQGwY$Swbnyn3plIcyoQS!E^BzEhG!KcP>r+=n0hOBm+fz3kU?L+uV8WNBd1M8`nd#H zPM9juw!m0C;W(yZ`$lhcu2mMC*M|o}XI#bhEeBWSpbvc@>9!T=M;l<4boP^Q4dMH# zO6^;uNZOXGG#{Q7*zVvy^0~^8?(x7`$gs?T@!+$wzS-bkiGLwu1f`}xn|P|yQV&#e zGa=M+MdSzhz;>__c|6{Qa>n&p&T5~yLpo9B zy({Ou)Z59}P7FiF%FzW5VG`D$cQE&Z$^FQ49$Pe(bAKR0$ZDG2{oMPTdw+93xZHb~ zvxjjsUbpc67VJgT_1zTS`+~cPy6j;X3mc6R9!f-h@(VAtYsjwyAD*IS#fPV0zhjTJ zA#wn)!TB>fmIcZc0bNTYI$cDXt{#bQ_ds)7O_>-meh7nr?MU8cHeFYuK0eR`VDiJU z$U#YbQGY6_FG5Lv5lZrkQ1VxVk{%+IjRA+yFFKW)2?{ZidzM8hag!`1ZUQNF5lD%f zKuX*MQsO3%5;uXAxCx}hO&}#T0^)&GeRu}%k-|Y^VBz5EV2U71Qv?~N2r^6&WSAnz zFh!7IiXb35#Z1!yM_t3o%+RM)h0dqS#^nJt7Jm=_Dquhw?P6P-(%}vy?ln|2;?LU3 zqmO#=Zen=x{$hCXj$?T79%Oj&u4H)eK4p0E&IO*oPAVr|H^E6aT$zM~Wfl^aX-HV+ zAz=wr?TU>q%!OW7rD4drFbr84h9PblhWKR|;+SEGXNKWi)9>77^!$BD<3lqVzP78z zXn(19gFb6>s)AMg=_sfH@p+-9OE%Ufz1_F0^?L<)W2|>5Qm=^z=2lS%CRK^WPaI! z8m#l&;FkzvDSX^hj(&)iIY*=JCEO&)ntxgeKaAOR!=K>nx?zUcKrGa%w6UB$jbx2u zP@$B>K}DXKuE;YJCQh>lvOl7dnZw94vlw}lE_7xi&n!RFf$X=aWTqE9o))v*BCj?A z)-xlBdNqex&p2!xvHP5dF8e%Mstt)PnIU0H(r02_3|z-zD4@f|tj;l`{tKVNxI@}!k~&q{h$^0JkD-b!v-$-I z-AcA?8|Rx5G%9E9n>M^RthGlhaBbYqasdCOp+42mt)mx=3)QDphu+?umX(+$wF&;R zHT@N%SDE&W>PuGbt5(ge_>om}i~KQTu0p0$Tz$)0Tek*oT1n4JUa=BGH-G#tS+i|^ zVDzfj?CMol@UA{;P=#B9K9v%9SAVcWK)%)E zWBbkYz;shve0P>yw&DGa1v_t1+`en$Ms!-R_#apFsg%IGvfp(pR{?)!^eRI)s!P`N zpDg$s>FJuS9;0ECkiGB*oPV{$fM3~>YKJi7v&&&j|IUubrNP5kJ760Z_}^L&+~B@O z=9Ez#(DcKp_Cp$GTsyzEvwfUaRgA%pvC8SeAS;)zRfQ!J6NIWC^jY$4D>3wecfD(a ze61ZXcl>My3@SgpI!-!ovY1hf4nuAHXqGqPhLAvXD5o|ljCpH%Zcpx=J7js zbRsA5$&XWCa(Ux)zHxE6ySlM_`FwY|*IPYzc4Mvk ze}3k!!)mGAw}PK&X@Df&TmA>L9}d<5 D$iB}` diff --git a/cpld/db/RAM2E.(1).cnf.cdb b/cpld/db/RAM2E.(1).cnf.cdb index 0b992e0b8bad6223d8034372f6e6ad5a55335712..8882e094468f2c9f150651d9747d71ea4c81f63a 100755 GIT binary patch delta 776 zcmV+j1NZ#v3GE4xTYqo^00000004jj00000008X-00000004La>{!W;(?Ae)SO*Y@ z<$$;$fw*vCIUsTB1LDS!v*n2$7|kS(>_j6jjk1u)y5or$6z{}R7S2+nOWF7j7JG7Q3>1!{X*zL8+&-8J{LNb_mfGsn*6t;^87bP3J-E+%7} zx^i3qxJ^7aM!o5s(vk=SW5bhHBp@)bqyPa}R!b3p0PsbC_2(bx_i|&@FHQ1KZjfd2L2V!b z&N{>L8FAc9%f!b47TxCs?GfbV^A~ZlH<=EG@hBf6wE@k?HYEi5=%VDp=8^y8Ai&Il5 zzAY#c#1v{;dJVoP)}N)EjpQ6o^$CtB)>%z6ufvm}0v~@#de+a+A9MS<_H4&q&-X<+ z-H!KnitMqIyueS?8NVac@!Q}x7s#V+nz({$7@$$Qy$gKc7&-wTb^$tTBks+cYHtea z@m8r^yFYgJrtocT^UeCUs?@rW`sgz!YA*{%=FDx@x0mTi>oag-;B3kNLTS}Eb+iQe z48_b1i7+ek8?aGi!~T$s=aNlNl?Tun@>+QV^Lhhd6n~AeF&aNmtN8PMtTJbu{{XXU G1AzgYE{L@N delta 801 zcmV++1K#}Y3F`@vTYqi?00000004jj00000008R*00000004La>{v^0(?Ae*coq%?hK+&FT!+}MGtjU73ODlX)Y@(YllAjW?OnLihB6l(wZLnKk&)^H-CDn&+>wH0g{yc{XIET zI6btQW$1J_w-Cl~+oISPu`nseuLl#0=mKZzsgpXBZ?;9j6?&c2)Adr%%4D3`e7mI1 zx)tMomM1}QZi`V_yegAn5dJ(+JJazS31;41b5F~(n1(%b>}=k;49!cI(7f+*GP0># zCwhRBQ5{v)jDJT3DnT{ZYQym%*Ff90Jzip-Or7=0$}Ev~EodRMvn1>c`qYDaxrjZTaHC-TR8Rq!so z`xEBAOs^^tt)vGg;)(bH|HPev@Y#Gp4QVrU;5LnDe1AtuI`H<6dZ1FPE~{bjMGe4S zl5t4|0>DgK5`kcBc+!dl1O}EAAOOp1DFP4xz6`Mb`~&@7ZjAb+N&d+VvP?dx4J5!> zXIMTXj+<$j_&C6#2fUy?hP-_KB2M-v)4?##i#&_7r{%L2UED8*BiM6IBN(20ysI#* zY2-BrMSr?sN=W6Z!VBW+ca?v z)i6M#bbA;0&@prZKI#H=)<)c$x76Mg)Z?vExpsf->`md@+UA?}ZB?mtA@$K`PSjo& zj?9_ctZy&VvDRnc#K75-|Ao@3Z|Z0X@)?Sm8xmpWH({g5hW#NM&n26lDi5GDaE!F5&#d58zzFS_GOo;L-Vkou^j0-; z(ymb_6NP$?Tz^|T)vr;fbPD}gjoMh)b*_!wIxCnzR@w^lq-}+;E2rRQl@%Be{nw>u z6LYK?%pLR6ZPPPQ=~Q8<)8(N~^^1@mwk>egeC>eEihguGmT}t_I=0ZYh28;t7GC(@ zIVx`g-Sr=B@%!|EhvCzlXk=|fv47g0?EFlW%t?(;Rcm9Yn?R{< zFL;-{G@>Ku; delta 523 zcmV+m0`&cx2b>3xTYpOe00000000OA00000005i=00000004La#8t^o!!Qta*@eW3 zBNCs0x^Uu@6E}{WqqI$-qD?9%0r97N0tfEwg!k+?wS!euR!dGiZ)To7OPsPSOM$VA z`G)mRO>%PVVZMU*ujUK(dd6&F-wx*K@ktm>@?ta%lQfCL_6(Sc4Elqr-9Hpc~LcGCLpT4ou^rmtrV=6hl8nETb%T zh`C>y4dNtn;7!0YnV!jL>fmd@`$cwbz!k`X95_Z=)Mr-kDqv7MrsS3R%uC^hO>dPW zC+r$^B2lR4$bYr9ll>ZXQm4?5*{F>pJI}STn`Z^{VTG+QPuLcPojC zcaF-hkgcmjW7${}M8t5aw*K^J54Z#@dx{kcmvd@LW`9HDQ>Dxp>ReK)D>4^Q3uC8C zWK`;dnhPkSvD4);DpfKHU4OP0U%7O&Yu0T7y(Z9Z0v!VPm^U~8Uh3`)ZmR__5g7E# z0{;ed=5X269i|l`P&f9H0z1L@1$R6t202_d{zNNgOT&Vq!w)Xs0RRC1|9AmhQMpdT NKoA`V0<%^EMFA93?L7bh diff --git a/cpld/db/RAM2E.(2).cnf.cdb b/cpld/db/RAM2E.(2).cnf.cdb index 2b5e8b54fe30ba3c4bb460c2b65102a11be7b611..093c6b97986c0a081e57de4624a83be51e8ab30a 100755 GIT binary patch delta 684 zcmV;d0#p6&3GNAyc>)tLk$V|`Hp!+9ZJKJ+2sov0#uM}f5U+rQ1PBDB%lFwk>)Fk! z#DxneQ`sKd^UaSlJ9dkrC{EF?8)JTAjBS8blw2}q34bf)$`ZyC=;ZhVBbP6-16@W- z^X}fRn|WNxRx7_l_XhhFgf=vtW%~*Q(|o+sKdcZj@MWMnlkpn|CNKtn%(#11+&q$a z^q<7t%X_1Vk2}_N9$POQ+j)G?@^qX*ji;E%ky>Un?mFOk90xYrPaQngai;S@KHARH zp~JI_ah>@5@Sv75)NvJU(jO+(XMj(n_*@iIelys!XwFb^pIo2-RG9#etOf@0z^#Gx z7IpH^gA6*HOIFY6ww{xJ7Z*dSW3g$dd5CpjWNZWr(U9;Q+dP!BP#F!$7#C~F+yrX# zZG4Q2DtG5}@fzg#LpRd)Z#%hi&xgjOohL|^$G$%hBnC#|Cm)7OJR!UXT^jk>#! z+y*;dQJRi0IVxbfqScBE4A(Z_LMl7nFfVT+1Y@2(*?Ro+;c(D5o9*=@z!%)&1QsXo zKc4{Vm{dI5jPo{s7G4eVENK>{qbo0Eedh$)w31 St?}_Bx?)D^_yw~m1H}Q5+*^78 delta 684 zcmV;d0#p6&3GNAyc>>fhk$V|`vVU!8w_9bq0#50h@dSMV#48{n0Rlm3_&z%mPqI;2 z7cQWk%J$fvZ+^U!v0D^Haf)``81oZjYy+&K{E{(C_**GgmN1?`C&wQcxqOiw=rUT8 z_4an%%;QS7TKOHipYB%>+R${C?JE#WvdPZyutLPZmx1a|CvO~>z!)%p+ ze-d{;>yM{C?pW7(Z2fR-=ka}zC6fWvc#4S}sb$8Ko&%o8abO4giG#;F&U8M=#@ksk za(H$zt`naf9@J8XIEsJ{LvKZw7l7%^520lM57pDih$5)xaPgxHYie zqE7yKkU@uY$?7@X)^qZI;$ld3EH(`_53vr6jE!I+8WNskn}>21Dx)D8<6v@iV zNZ*l|AJy5Z@5t(yFL-hGwe^$m-C4+35t~PkmgkLDQcZv9Cbw#T`cIWz?dJ^$u9{`@ zt*i*F0;owjraA3t9v7j-!y_l2b&D4x9tT!rnFr)0A0A+zw2n4PUZ-h?3Etfs_jVt- z4R*YuG#z1bRKRpas}&a*u5G@B)Zlo-yu67JjCuBC>+#cvqjYFC+v`VwFSx}CEKcBm zJ^|D*sd%;-=WQ&1yh^h{r&*Lb)q~GN><3gcu$EI+))(){1=;}Hu1P)Gy|`ti1k2_~ zReU$Ug}z;vJfb~rMiHuDjqYI9uBf{mp0pk^ql`8E46=I9mwUZ3!`I}wEB{QA-(go0 z?;oCUNnbGqYgyOXWX`LFP3F9=*c=HS`;KJYY50Vn5(4fTvc!qCjslbQBiW#Zn7XY&<1H}Q+#Zxr^ diff --git a/cpld/db/RAM2E.(2).cnf.hdb b/cpld/db/RAM2E.(2).cnf.hdb index 5fc8aeb3692526016c5ab12b675e4ef19b88496a..77fea6daaf8895249267aa7dc943cad7c4a47363 100755 GIT binary patch delta 603 zcmV-h0;K))2l5AyQ-3@O00000005!_00000000OA00000008m>00000004La#8t^| z+dvGJyQ9mY*9Ofe6zH`loqEf$XF*x_>LN6vPEh42rY?fxN_ig%b%OFIqRu-=sS~(` zI>9p@@Aw(XLx zP66ll7Ee|ilplZc>Bz6$0$7&ITgw$jhrrLD8o`WT=f4nGL>oD_ggs2DT_axvr^*u{ pN%XLW6xqmGbsK5ci8p;5)vzPzO`NrNz!;xCUcCGK2eY68Q~?P+7V!W8 delta 605 zcmV-j0;2u$2lNM!Q-3=N00000005){00000000OA00000008s@00000004La#8tUY z12GWYaEqg$1O%S|qNPejgD9z^%>hIZf;NQXU-=9qZo+#r9v{gjfRMnF6VIFH8PDPM zU@#bD7#o-$SpU_ePY(Khhu^=NZ}9bsS%Kd*%uarLUF#or_J6Qwx4Ej-&%2GYU6NKn zc)|ZqXO>m6%C9!h!gF3U&d;9V9%~rHP_)^;NYFWG@}hToe3ig6fb0FCT zS(MoY+U980HNCYhC093w0jU%c6TybT)J15NIzcr@aq1#Cu9UZtq)t%&M5*%z($ooD zk~+aN2J)|C3*K+qMXNS^y=pG+y#Hee=-th+T5Zhrv3g`_5lY@?X_6qIR!d&PT9`_T z8-$3b?QSY5m6_0LEIWg)e^((62dpiK8?6giXVvuH@_+8IzqM@~M=m5e8mg{%Ub5s2 ztS44EHr diff --git a/cpld/db/RAM2E.asm.qmsg b/cpld/db/RAM2E.asm.qmsg index b30f19f..4deffde 100755 --- a/cpld/db/RAM2E.asm.qmsg +++ b/cpld/db/RAM2E.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600301681638 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600301681638 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 20:14:41 2020 " "Processing started: Wed Sep 16 20:14:41 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600301681638 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1600301681638 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1600301681638 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1600301681798 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1600301681798 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4524 " "Peak virtual memory: 4524 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301681918 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:41 2020 " "Processing ended: Wed Sep 16 20:14:41 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301681918 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301681918 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301681918 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1600301681918 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1611862639806 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1611862639807 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 28 14:37:19 2021 " "Processing started: Thu Jan 28 14:37:19 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1611862639807 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1611862639807 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1611862639807 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1611862640167 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1611862640175 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4515 " "Peak virtual memory: 4515 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1611862640491 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 28 14:37:20 2021 " "Processing ended: Thu Jan 28 14:37:20 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1611862640491 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1611862640491 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1611862640491 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1611862640491 ""} diff --git a/cpld/db/RAM2E.asm.rdb b/cpld/db/RAM2E.asm.rdb index 9584e4f81841afd44a7f09fd0f568fe8b627e6eb..41717f2f45c6549bf0f157fbfd0a2d2bc516116a 100755 GIT binary patch delta 1115 zcmV-h1f=`s3i%3{eTE z8$}d$fO5YGBtRe@&I3XTBKFz|4MaTDwVfL^N$YKec&Wy_v(7eqap#i6ec^utC46Vc zj(1Z#s1Xu8Fw*Xvb9T;m&dj;YUR+#U{E4SltKGpVJR4ltXR&GJ^ z97=zbp5H;^`4s>k;`1c{4Q!eUHMt7HJmajqg4b_Q=I161AQ-E52bMp=T(T^UiL;S@ z4buDVL7!KZ$ERRcUd3x>zHs4x!M|`GE-W0v&p^&YxGOWMgpncb#EH}#n+1X76oRS? z(+bxe; zUaRSr7e;WR8iz?giBvlA>zE4Lj{O9apO4oQc`6g?WhOS0<*VPo>K&2B$+T-Q-*5j+ z`}+=!c^5^;zlW)J)NgHie$(?h-_BRLAUpm|Oas>voPb^L%1GG6&?Ax9sq5@35`r|^ zmZ2a|$A57mI9Hq&NAWO<7BD|T*2@IiCXIy>NrJ7;(@ta|`Ee@mTd56GXie14L^63M z6@^wY|AIA6rNgP^GX2He7VC(>kdosZ4jXo0fp-TqIok~}ye zom+(pigE>qvnU=})F{J*MQJ(Xe2wg9Mk;LaMSltJrB?d}kAsYqd}?JDOel}Y9LsBf zIr>eH`g^}HM6GtoKYL#ApX1$)Pva0Eg}Za?;WVMRVNCP4M1BBi+nSs#+5sGx9ZC7z z%QUCmKn92$l6e>#uo#tdt}xu%II)(WSsA@de+H`1;5m0EAWC2dfi@!27deK-WB*KBE128C3FIX;yM(r{{xXu?cALhNgWg?wd&q% zH&2Gaf5lw6Zp-x7h|GSrKA31DQ#w-lNQtz#1ZH@G+nl_)1#A!1l~NW7~22< delta 1096 zcmV-O1h@P73g!xsQ-8Az000000052z000000027y00000003qM00000004La>{i`x z8$}Sefl|IvkpO{sm4Q#iaA7Kk5xT zejW#%tT1_Deh%3b%WvmdxW*`sy6eUB!t8o9kEGUOD%VEy2M{Z5Z3~^WpCx+A>1EqW zMjBxXZCAd+;1#|P@UV!fML*)MJ(*MYHuapH?$*v$7k`tUW4D(|aDN(CM?Z)}TK1b5 zO5K+H1j9JXTd_QqF%44_nc4c?H?ezHBvCxC5ezo!|3pU?jF+v;Ed4%)?NM*bIUaW% z$K77;vL3bcTNpf>|CYg)4`e8c*wB%Pi@D`&D!fk`HOi2mC3zGJ4h$>BPCN|5ONj5m z8>Rv^l7DicL>%L!%NP@BK;tNp4-2Uc&rpY`pNe?)Tq+6*#q>+sIFb(Mnyd3KmbzF* z_=c3sGZ<{xfJ>~a+0EW}A*bME_FIw#e=Ear;l`Q&lyp`oq)V777@UUDv_L{KOi<>L zRnBk5z0^pBBfiYxvosptpj*gL$!CR3{TXE;nST>`1u%QR>(FSQa~SP{e*U7QKgV|v zokjsbN^_UklX*OGb?BCx8neTmn-fzD7 zzWL_ey?giWcI0i1bHVBV<0y$cVE-EXp7r@9T;gp0kHDnB)uE<60qy&MQ?Q@*!Jh{F zpW?tvhO|3D>QaKq=|FWeih2c7lQ;nz(^7Z`yWGMN*axIVbVp|3s~T4|KCK$}>KkfK z!Zb(GyU>k0(|@=;Se9*3x;-qgX5lMT9L5bA8JQ`116)0j8OyNevwR)Mu)_n}CEh(O zF(ENqS+Kf@^oo&de!p)-SV^#a-k?)Is``b|Eqpd?aQvKlYiq%_CE|L#aKyWlG#s!S zoei_ZE)WkR=^TL{E(Q;z4TBvcivFRPOn2(;2l^#?M1Occnl^kq{)T|130t6Ebcdkz zG|6%Hdw8?y`Z_LUL^u4#>?+74KBpWhe?j9ZK03r;^YDEFE5Kq+U}6;5EO4>_J9xmo zFp#MS>}+9Rr{CkM#Et>@MFl4il~uH;fhyrGptn;;YwG(+4YIv}ztrZiW+b*zX}+|y zNsBeOWPdBrp3iKq-D1A<0Gcff?8JV9oBqLG_=duYL1Z@J1v2WyzXjJznEUCQ#@8fP zRPp2b44y2?q|eJX#9?{S7X@Tldat?}?*A}fGk@#+5!vJtf6LE1Ol}cr^&C!2TMcJ{ z!!a53dsxW?Qp5$Km5yVEz1NW4&KB%v z4snNKhW#Cbxr(=pnR*d^*{HHZMq3~nkM%-^*)AD0nM!Sb$MM4bT>!2Tjy&}c- z86P*4V@ie3C4$W7Eyla;GZJ`yu0V{?)3&PX{cCQ888^^ofs=6ztkT!I8Y5=qSu#=3S29l{^C@`Q)>S|q^iGrm)$7uYl zu@zH7^DIu9_>En1 zTteuwCzpAGP7%EtE6Cv_Tf)YEe@}=)EM!7%hfulRiyD>+Pr`z{ZF5jAm{JwOS7${AfG0?lB(2@B4ClHgu^MPb8%+;kGM>pLB@qaV}WRnu_ z!^PC$v75&`1=;xIe#_^VNH6`GiP*Bsl_9L@=AX&f4!PVoAYUs_FEvV71#Z_Yet1Te zGHrRguP)axcWtLO?GkP^j+@sC;nah4EGOz-==->D`wvqKJR8s&gMWY5M)fIa911)2#h4D9D}oaI!JiiTAB zgvAF3;9q&OyIpp3UO?$-HtzRwhZdghZwFbnjs5P2nGuRN7=})rm2?`XiuJUja1wa^ zXLOFRX(Q1$b{XF|FzAh1BzAS(>}OWUZRTQb`{-Qm3>32nDz~+SAUgBYr_52Z|rwfRQf}h zUac6#BAhJf9Oh0KO6y(&_*;`_iAVdGTw;uN-VApTaq^g7BGS%GxyKx8TVi0_7&~AY zC3=Da-23$w17iNf0{)_C1?M|ggkDjctDTSI4W$50xSN3o|_yrh#9f zC|N=-!qj=%@0T4R+$XGXthp~*bXq*JGAA~n2PE$UmAc&9$`NmjeRc@jng>l>F_@a? zcatn#w#$^}%nFrHLvQMFjbdKWUi%-Y7- zJrOneMIjA829+NkMq8@uwK?A@3BOaI&9A7M#nd_FYj5L}#4^{#YXiTo%yHo6*~#LR zfUUE*I6N5d%;Tt25BGO@%d#4=t`b8LQ49{@TYoG|S?1%?Asu-0Oy|ieKHBVk=bT9R zqV{kZ0r%s?yQG^a8nY|*yu}=H%5k)FTGd}QQ{^a=h zYd)v=I4g`DCVbvv4w)qPgcVr0aO#AIE%q7W&i9wtQwfZK*GYaeJMiyf5knNBfOoKu zxqmJyd9D5Lr**~X}?=pb{qU?J$;f!bl)fUma;sNXr+-Dqxa=&*ubLc)M zTI$Kq_lv5J#vTk4tsz>T3l>eU-s5ui&^t#Ab3r9J6~x*DcvpC07vVy{w%8Z*D>ETYtI=00000002|~00000008|800000004La)L2by8^;y?X1rW3 z+1gyOmgpKyFqA1$$ssU$3IZe;QL!OAXiT|oPHtnT1p)k@Smu?KlwF9)ssSpH5?l-&oaeq)dIrjm>nYV9t=9~97 zZ+Y+Dy?gtR(gw$Z!~e%#61T zR)xQ=V1|I(A?ga+^$}&^wcz)&KpP=Bv=H4WVB*!+m48$1pw*G_9$@x-fa;MTPV~El zH{BpP-{$i-ttgtTxNYIVvtH&z&+WxC!ZS;L*cx+gRq1SD&iv9xAf32m(4H6OGQuaj zxKp0SqeZLN1iKXX>3y2^x>W(1K;&VyG%?xCcj7`vwjgOB<06-$apMxOp9fZr9DI-y z(<2%lrGH*NnUdm7XWxhYR}S6-?i`SKdH~%j%#4GTr4xRedi3^U)puTAaWd!m-e3c* z%NRXyQk^Z*3fw(}dS2s-*~ZRUR{d<_K!r+OH^6Rz&ovwvxE;~otwrngF+DWqHHV8P zi>L+Mr2h5~Bjwy1-wO5VMVM-cwJ6p37mQb~n}5)K*!@d5J%G<@9_BK3-=l0Vaw!LL zA*%*ka02Sh&7oIn_%n-$mx^QOWX~));W|`Mo1xLSu`A*>Tp+>zmr3fpi*i}$RtM8n zqi3r^R>yPz*)Jj7=wW3yt>B7&jUIFemj{Jj({8^Y!gf7ULt3_c3+Z7#6H+;};it4( zTYqC!G&H^DlZ(FjMaNsMIfq(hd1uPUKa>X-n8suA)gsPGr@>fgPvdqW7;GO&)|ZrX zCrO)-sP|yR!SOu0IT%L9^(im60oUxIPmhULs=%$#?Q!>W$X#Rife!jh4wt6SIA|AP zN~7}W;bbv7^$y*q_w-@F&cl#c}N*H z&6b|djy5@tENM2%h|2769BtEsv74+4XW_-C;62jyGZPXOi9pd?4Lwfaw#fv~OPrA~ z#T=dzs_An@1($oh$b5E88mcPbGs(8uaY*b(nJ;b~#5*~eU_a`iD)II({HTJykbezE zR6GFs1^R^^H7%n-53M3k655k&k;VOBf6oNTk%L90f1{XTor#&8PX{^bULeFsj~+oZ z2Hli|YkdZB!NJ@BI!%}}jIz?R`_2`uY5o_xaV0(gKCI=;j6}cI2-~m2e8h;CSgm={ zhKI^qj9k=j79H_;#w_G}ex&5}+J6`}w6qzTmqJ1o{}!d=WwPflj%F^wnfa|$FG%X^ z6aoQNors#5GAfY4cK3bDrT*mR{W9aM-J10j>T;A7c=6_bqh!%g3 zItkMs97gy9DWG)X%}V|SSZ7vR)}{2PZ7pAE`PlXKPDmxES9CT zJ8a5!Rf2b6offQ=jN{;_1y0)R?i(+2yl@dpk2OYyLbONlL!$buA){l^w+!oxi zX7H2mijQl+=L?ic=N<;#dEN^GH>R|iV=QwenXH_29F7ywRh)datl)c5D~Ea%8d@P@ zDyLuQLQga^ozXW&eSaId7qN1dclsU&tpO-FvdhJaaDpQ?t33?6sj6IS$hlTJDK>na zQww;qETRF)J73wVavCZ=hWt4$Hk5%9!_Bvt`Alws@-q%CG=sVO>KPs(EmACYT5on9 zW(wX+-VY>B?yvji`#hLL;8rfLLz|Kb15f_-d);1J7Ne4hT7MTr|01uw?zR4uAI#)0 zeHHd>2paa&vhW@$f19}%b8q6)DVckpFHH$~7mTC`?<=y3f#XHlH^I!zSk0_4Ee+M@ zzhI316FLdbC$-H?EgCII>A_o3q~SY;$BbiD%xXbi8c&dF1X|-}G^J!dVn7pS{tDp4vW2zfd|DaN-*D zT(yyWi7aH|p~(BG@r^)u*F$_!hj+CKxDZj<*0uX5dT+b{@l4$qmZ&_yYH6=%XPz}b zVAVUE`G50ncpDABUqG-{VN`p;Ix={pf`g3zt0(lVh`0mxZI<{C!4AcpoG3d$i!v** z_D3eLkJ!>G>Sa!G65fgfK22p-KFxR|XCKzth+R^-q;a5cH88^Z8FFR}jhgn_)q%L+ zVT~0`Ni;TCIo1pUU)VyFuQAFA(oArdbP+y|?0=(&&XW*yirtFIZJy-oI4e(dpA5Fg%Lz+0&@@@*Gr@li z9E_490Q+}>6?Ig+M|(dzKVY&KYy}vzrg~%&PEGhTbrtZO(kRV|bvB+{YW4~CPqH-I z7#4VRVh^Sk@Nb?hkkWuQD9YbcKZ8OVoqw6U;Aoc>9cA3|vF2cFU54C)w}();%QR4 zE#W_b`~o}va}j4fxejX+@LYE|bJotZjj>_B!?)?b00030|9AmnVqj#DU|?Wa@sn-} EH^%-xfB*mh diff --git a/cpld/db/RAM2E.cmp.cdb b/cpld/db/RAM2E.cmp.cdb index c63d6f9a9b1ada0d6e4a077abc509f99a88923c6..422acc9b89b31239513b7f870f8e2788940e9446 100755 GIT binary patch literal 45002 zcmeEtWm6nXur87yAwYl^w-78qa1XLXaED-vLvVM3ErbBUAz08Q!Gp`Bp@jKQrE`Q*TLH6rIw|&(@VjZx+*UXY^+|&e|GTxC)wur zSP7D(dl(!BfWVk47TqvmSo zO_BBKPvaGFN$JD}D036t$4@uYH!8*kI#X9J{OA zC4Nltl&C3?F)&l6YGLSt-ZZ?(xFETAMTThxmZ#}vFWP4VzG&L{T?uRIylEyl_ds@T zQMrs>5{L(Nxz+eK+B8TuR*S^D)x*Xgor79kIGkcujwSZMObA$KwKEugTA#=aNJ5w~ zrLe3OOLT5rl=vSBdIVl850wm^O&*W_^gT@9x`{AvvmUvO*~|@1Tgn%|d?o23jTm{% zzV!%NYJRnxm(FbGPU;lZ2(xb#%^EBqSa6SdjIrqGxgb9OdBsf!(5eZWFOQSmvI*{( zgH(GjGiGcT4VkUm1~WnLvbV%f>R}ygBu~bDZ4P-a*0)PM@P$#l#=hS9TZ)c#Q`#shQKf z|K4Ep`G);;UL%x@0m|crXN~DUomBX;uKZ}%py8~HffRz=r#Dh=0s~V*+CxgM|AM5& zWg*lEJN3s6jYH_}V;OU`Y?it|k|lw-$TToJSR(H#VHnQkBG4GT1%-=|2h&2~j43ed zKbW6!B^dnd%f)Ht>V{v54~aWbY&#prdvMxS|7Ea21M#Qy>O6o=jlu)iV`mh3Gz7H{ zuif)!COz8)jdbPuUm{D?;lf?dXA_XJU0i?@#kQSjKrV~5@gP)d`f&($)~{L*qcGxZ ztc2IkkH;l0CBiGB7W?P9&0beSsgL`XFOD9hxXvc8(N653WR$GuRYtt{!(*R$PGUjr zrQRU)QTK}jsT=>uHJWB}2kv`%a6rFO64#LiMlKJNPTQ*99{68BMId@N4xEZX6qsH43YU}g60H<3EQ4NX#ae+TG$GM;{f+~uxJdr0!3oHEb3l7c#jW&1U zsj0HJw^y*mQN@6p>Vu1e`p(Pi+dt0j2jUzL?-0E~>Zmmhgd!3?;Ve1KzoqQPCVWoY-$? zx!Y|z^U-)jqG-bXRmPFqLl3O8Q;VsK$-#iBn)wTmRc0Mfx6?U!eL^{Xef`e-u;?oO zG(2eEZ5g^@{wqipy^;n!)E4W?g9LoOV-KEgm^Xgx=Wj(jAeTtJKBKu~nG?-FF;{^9 zMI;gm3zqB>K+pbjdgTpEmv7YtF0a^iB5Vh4dmRB24;op>z7;jkElfSiQN_4#E>{bu}ZKKAH-J8v$;2 zZq@H<)0T7tVsBM1sOeo2lpktCzF>ZIbuq0PqYDpicl_7+hd9J`+=j|P60$PpD%DZi z%rnt9K_HdHM;bogmBtb@sTZb-{1EY> z6~oiP7QDly#~(M-xBb`Fa*0UPZM;7ERmjvs%93=v-SqY2e-BP-*C|3qs%H+5{trl` zR;1fa%&v=F$Te~r-Y9z|@Gt_O+K^@9sy=}Fv&j@F2f4XD_HAgY-@0(FPv0g$Fa8<- zE+4(lEPp4q7P{;!yFzmeD86o+Iwl5PW8ZE)#7u40nnFLD8MC^GN$u;u91ysh@`ZF) zR}cfAwLzaJ@ATk_S+!5;-u!ZxcPC}#)r}$9mE~jtJZ#q7aquQIMmyJa&-U5U#|(R= z$fuipPA*C}@g5#X7b>sBKD>Z!3D!P0bFqBUFhY{X_($To>*!BnG-8cPT(f>8m|89x@KO=|S2hx2e0S|f`aAP0hzZwDMTs$Zt>hK~n%vR9# z!FHaSVhn@74f(W63dpSRePSt-zlC_mi^Xt{nTdrsCD*c>+L;2WCOx5Sr7wbR zUaGXaPmxtF`tNhDOA|OQae{5_YsD*dq+r^=M_R1V4_sp&QdPFpy~Cd4EdXJy4r%q4 z=j_Qi_ZUNnw#*o}L2BQ9x#`HM!YgN7o2J&Ni@13TSA-;~ahj<~tLG2@cmy<@+~X|3 zoh*%KT>Ch*${lh?t!H$H;6Aw(BsH6PrATVY95Fnhi81qM@ zdrPCz#fAxOGbD}tdVP8Rlqp0H5e&il4ji-%c`YU8zmye++TMbu=>{^HsS%bit$&a~ z*4$Blp<(C+PV~v^-F&%q&x~?am)>=GKX2`r@u+h67D8n3UP_X5NyXmGlq^;GZ7iQ4 z{(87@>G;a^re{oUfVjcXL@?No)5#aT&_yN4>P^4T=ZIxe>?d=d3tQ{GYplsXg639q=9 zjp2s!d$4&rVoo0zK{*wC_5|M@OJeowRZqx#W&`-4lPR1$p0edNP|Qg?nOfD^Ijeqq zrPDphtruB)mNC~eRWMX;`XYIKx_PhXkq;O*+Nm;fm1(yyZ)Yq&9Q1i8L{)i=nP~#` zz-poKl%BKTyeVedP9xn9TxMISY07AFpR=pMdsjPWrkj-Fev6J;F{ zI{w8$`}Bt;V}`bT`r8tD1;!O@RXIMGb;C{^e0|Yq+voOydA20+*F=E&EZXw>e_j4) z#c^0Pu^<_J{dXrQSl-o-+dKNg39^;2;x%#x!9*L3HjgjkiPt45W4|eI-M!-(It>82Vx9$FhYG@+GEdU?=W4ob0XVXe{37$ zyGg2uG@E}&ZJ-MG)sk?>^my!uF^uDiRBC#LUd*~mebh9jDLi1XZK9TVUisJc@EK+@ z?X6~6yXkqT$Sg>hvhS2UdMh^AJ`?=htkK0b!)qsBQZ7MCn#W{yDZ&g#B4}Z^B58WH zY}oQgz=a36Va37bhv#W#a)J%`pr2B<<%XK`my2lfH4-tgT<)Y_QGSh7O(Gs^QwIc4 zA-3dinPT;{F&+UNGH;Fyczad2Lw~T0FUkSLfL|qpxEmqMH!I>8x2-p1POlqdsdRLa(^5hXzXs>X|P(zF~4o{UDR-Y z0mIcq4|F$fGFa836J8VZSzXt1y=dGN3#@MD@|<;%8an&3=W9pgI(i$EeZRub*aYHk z%KoRM?VrFqVO6Hn=C$h8bJrf1Ju{E`kB*FaTh#_W4|5%ZO%YM-DE-)TmjWtF`!ldM z^r$)Z?#*W)9i5f?rXc$uhg#`!;j|&~hJ2AFV`J6?1nY=~q}8fEMC#5sTito0Mz#vy zH4fic@yj)j(9UFBopxQNN1b(-DKC?uo?xyt^~!z0$lY)>?_6}vUV+#_xkgUYc2`I7-Am*BK`g!bAaV)Qjo96RItly|xL&EC4 zBwx95%AwJRK#yg~4m-V$7V-k7e=6(C*4vtXMkSo40fs`*dhKh;yRk@2F*51_^H|n2=}TsJDQ^?I{Vfo`>r`DbHOR-@Uzp3{3m{uPa>M_1**RZ zRUQGea<8)$2QTKNg|Ml9IfET?NT($>)OUiE)8@B(kS?_rL+^_OH;By|C&~}*U&cp? zOnA`p?KjC}8&DlI-EuRXR@E+ydjB3nl`Qx@g~^c=bdq)GyOJF=VVLKfR(~K-?{9%6 z5oQKAD%FV&LJeW}3vBEG!G8iOSKe1=2NY$D3(E&Q`2Tk{+GTvO@aEr8X~5aq`)a^H z{`*g}VGE=Ciso*^NX9uQ)wyzK(-5^-pNHQae@e!;XA#1c{AG5=2rs%@yH-As>8)Ay zKr8aM*~4`~KUi8M*g5-H%X<}A&79GHRQ_i{mUsJR*6c{Hfls^zVNh+!9?(%3z&P{1 z+B&dkq3zGNJ!VpM=9R3x@y1G&sA;qrw9E|AGN*5F_+%s|!}48>)6c~`TF$DizCG^V8$(`K!BQ$T@7Tkx<87 zxBw7Vc}@x(t(tM8VwW{Se7VI9b^Xw#SaANLSb(nVAw-L4blciQ35Cs=m`a$v+3p8$ z77fN5!Dc86*-3L4ezXFmEHw#%kjk@aS9__J8>>EfYz=^(sq+MK={xYk|Ki}HZ?$WS?V^5#!1-(zN|51i0nojCOkxIQBJcR@rkI>QNkJ^ljyp6K&pwYNcI0 zm4gWxr00XGWUkI}9vxyaU{u*#rCd)%Qs$h9GddzQMSDBFl0RoUz`QD@rbVrVAx5Q^0Ccb~xbb{zs zs%idPf|Er3mmEHTw*@>3v2vN30hb<<4U{v`H8Te zG;t}*gWN?YS7Jo>9&u_d%-6}k$M*ATEk~D36!3Pf2}q`uzKe{szEyI`JR&r(PBIfN|KsQG>3wA;TXS5zq^F&H)0@p-9yOIE zi_{w1ipW+LaZY-lt}|v0UnZBgUq~7+B-75s>(@7aiyh@S&!QURTBF2w*yc~pGV}hq z$=FLpc;&a;!K1*2m~(3*o1)gJ|EMABbul+)6LplL zqmjr;79=|3Q1tzY_2+p*deJ9thR<|c=(Z1>^L^=UT-E7Fc~;ywhFP>D@%4WAR<2NM zj1Il)h#&7XG^h$zFLZlQ%>PFmZN> zo@Wd!<8=XmlRfg_Th9f9O6(?%Hjn)md^4N-GAc7#dOrV=D`xTXUnHVu>vU4+)2HQ36gtV*ktQF{CqUD)ANFYODe4*CI#iva= z&3o2E>)c=GSP|EvT83`_QO%h=+41{`$ppF?R`U(gOmpBkyae|$serxW^i<49i;J-$ zRz#cZg*BNsUvDrh1D@UR;)Z5-Y`H1sys)(IV-Wl`2+L%Pvz3LwA(c0cXpYRfFTv*$ zNXqX}MricV?rgT9>!9*F#_~34)*zyzl8Gc@LebJiScl!RW3~5o=KO{zuRZaHXFK>q zgKs3EchK95iC<#D_5H%FN=cVy$5+{XL+IXi2oKEBw6yLB;Be)|FfgBGj;zNE7Ps;C( z$+Rw=jPQOL`ffA1NSl2ysV{(9IAjZEwm5 z=%v^D2^{lJngJR&N+8<}ZUN;6-3CeP?f29u)_lO(RrFxLRQK(an=BY_AeHxaN%)fX zx7c&NY*@^!Vl-44BxPz-xMXt!^_A5&-1Wmh4$MN{uIqb{zQe?_ zYd9&&-P_$}(A6LFoBZ9UyF8h1&OgP9P;F>;XS%*J!kA0EsC>h5=FAg694=~Swg9Uz ztB6dd=}d^?ttAu|D>FOoN{yS+dGsIEz>+vEyxWV}CPeBytywf=q;(}EP zA5!j8e;ir=dGd>4nf8`nXU52ll=SEm_gQZ}hzP5;W%5z=obKq+7eUcq_nQ5NuS!$5 zUaDP7H|A`2HineX{C#m*LmM><&{1#J%-&wJ%bBa&4<30Nn{YZJncS&7s2K+{Tu@M7 zOf*0CRCD%F@VaqO9uHpx5m-@&5M)cK0&_X@jE*P1@?@Q!Xo5#OGJ3T6zGQ4vvoKb^ zBOE3)wIr`3IpUsV3)U=H2~ueet^jhy7H8W|MdJ`SS&Nd>8*l}_*Qw_*;5sB|Ytws% z_gPj@xlJI{Kga#;O=hdk1Y#gf&cxgcPaTNFc6R?K*{^V#60z7> zi^P=q9b(7A!7b%}>yP`nx*`eFahpcsRcqeRawm}hP!Iz%)^gkw>ny_jxQ((*@H1iA zz4&Vw+9O}{?LNxTMsxypVZS!Sje?RtA~>cXmV~GjZ^-#k-+zLM{vp?`)8HQYi>0Ik znwzOATQF`~2&skqos{93@UuIv<0fg1v%sGd8Rg};Wf&X7525PL##U!xxtB#Fikrk$ zAlZ||WX7mjriG9^aWL*>T!oKchSZX&sJQazT=@B_QXns{ z>3w2FaODBy$!6|8cD4Wo>0~MM(Ex4`?`wpmRlMd1zWE@!=-tqMl^$>r^D(V@488N- z{z~l(L-7}?rdIupJj1;q#5mDnI_xdj{8YHc)e zIw2DIi8Vg2rsaG6pwRal@i24m$g`7#A5Ja5-i`iQxz@)2bY`#nXgJvl!%2OGG{y-2rfW0dto5)UwS~7C7%q?aovp&h zzteX{ST6Yv!ciiv{>~n8CvOhRs-2sw@6N^5cY}6wOG%Fw9C_G&NT=qc$(_ixhreK_ z8mcel8ks2MpOetAixk}yPI}g6-?5h|gk=eu#FO5C7MD6cCKLK_s0)g$R=u(hE&`Qa z9iV>Dn|cc?z7yK)v}h2y^GauTyEE@0Y50UT_O|hg9)T*=NN*67mr!JVO|bdO*D7I(zef9)g*% zElwsAW`sueN5ZCKE(NG^i*p?_OV{`ozwWW?1JjpR;BM2DC6XP3=?Ap$Ax81ie|pLw z)5$>2ZyYM{@hD^NoA_KxS;+no%OCKUW$CfXZMm`e%FGfLo{FwC@?V@Ap*H-Kq=U~t z8o(7q&w9d|QokG%X5@B)7z{n><2E!!*-@3V6i(e)RM(`95^h07{W|SlN)PS^(qhx* zxHkq*T|(<}9xEb{Af9B9>ZGE9gvvvGs|(&^YVPv|d5nfcr`8dLZ^!7jYs=9&f%Wy7 z@sQZmK+7G2h5*O>SqV*7Qe@yPdZ#=j(c%UD!EpQ}6y+2;Q-o6Oj{im$uZeF`xicJl zYiC4#)EQ9q>p-1)BBnP6mlkF)(bE9)qw=3(?eh^b^A;g+Qi^JeSgE{aFnVQ}d7#{qgI)KAB=9*g z^vEO+SdPC=$4uvPSP@}I8D3XTtnVW0=;^BTsOi|4I(LRELO0WDJ1=26Bn(9AMH;1H z(%IMRU{t&e81`Mk2XJ!5bNbXcbF&VQ;_EwWSET1({bTXyhZ5tDs4upN)}@sZDY<)BzDI{IkNUwa27cWRnec!uNJCGRk!)~O4rE%`YjM2iVz z7|O6&o@VD^D?iD0D28zIe&SEnw=1xKie@NFeIRleMVHT1trMT5H2^)G%3LlCR+itk zr~%u>+sa%(W>zc2BINrQX$==?i+3z(RG+MQQtoUjk8F}Jy`vklOB)Qi`sdBq7OSUp zR<1hV{{7|xR6;0rT2g@ek|UXPnf z@!Vo+G}fI@!&4sjxFt3;qwMS^`E*s69t16SzqwyfYZP)8;qE=_Ogmr%M=-xpA)|q{ z^p8UF2sH}E-R5Bqc$(2Xg#2>L5#Q9?ZADzd#=#C(Y}lfmiabe1IQjP2cd!pF%MQ

YUzNg)67Vc?glL0vqDFI0@ZN#T2YG*~Rb$a*B)q&+%s$niKeGX(BV#YpXgGpu^%{ zU8?6L%-3Y-2Tt7RRYXgT<}pg6`crfC+wE01nfRTKkd1<5h$D;9S8O9=FkBosY{+@GQLh8|DHdk@3hZ28Gch*3{Nk46Oa=xI|*6-0$d6R z{XqDA)HaJD-|I>683I(0Mgh$m8XR%Z%M9&6C5pY9^O@rZw$ zB(`yvuu<&}Fe&1(u4=!)mECAVvv$LNeiDyk5Sx~4#{Wyj>dPN{wS8{xJPU0;Ep+x64!XYCJWC%+796DnX{=( zH&y(`e!B7P;gr?u`||1egoTe@n$$tyq{A^U3?H)lNXM+q*tf98+a{D|js(q%W zQ(fSe#_5an`P#X;AEpUt7e{41ey@s-707<5ey@PNbALU^0)nCSJ!eZ43SwqcAv*yCT_Kr&u_F8~eEaLp=(h z<>KVsVRR%85u)BfQ$`dBgQ9Kwqq*=j5uMIir6NuP1=D)YpgAH-%8o}1UpC(B)N!nD z5XaBDzQwT?SbqI>&N&DTT~)H0&VGG=Wgb^ji*=nb#n9djRnK!3$S&bAm*a@zH^ExQ zYJ+&$nH<}(^;nv@bNDXHUEedh`b`5VhIA{8{zM8XMQD0}Cl}-fcK&D4jHWB%kn)tsa!d25s zubhDkm{&p$p8k~5f;x2P(jOoE8%jS@R&NgAnbs|Zg%W<#Uwp?ZI9|y92@Qd82&G)O zBguNOKlggSMb!LQtwPOya3SNHSNSnL)I~i2Qvg5Cbn0>u2R>TMlwbmv#aVBpv0L2; z>8=pQMw;>Aux3qa7r71XPF2;L50we1nbMG5j4Cy=yVLz}4NM?oSm|v-^ci;LXGF&2 z!Kv!Qy3Y*=8?)j+D0bH;|5*OKm!C3wA@Y^j{eb@+beN=pFUS|SgXOS*!FD571` zJiAjzM0;iJlg<>r?z(I@cBa@|Ni?Tx#CgN8#l5x0*X4iSjTW|%@Df|-mb&+jX_vgv zjOqKc-=hAsTpNfOe|@3(pK>4;RT5jWijx5=Oijz#B=(#nON;M?T;f%I2QYlz>Io1$ zLY|=OR>%`~t*zeOx)QR~?GdE$^+x%gFIT*#GvNxBbLY%;=y!c{yILeW+RaF1D(C`UmlKsIehmsZB5XNq*6n58y;B zv;o}$6?H%49~Pa!Z9Z#Y;FHH@uf;(GP(? zU<%Bd41@arr5qC6+p84|idP-qMT(( zZj(a8u%QQo#kNVfK{L*>;oA2sTgl7diMaNw?^PDU##6b0{;!e71!2>`$eENsMnB(o zWU5Fh6)~1g3oUmp*jwzcz};5oblfTnd~)mK`M%Mr<6ED-Uw=s}QrFkSF5G0aQk6j* zUYCcqrBnYf8;8L|jS8$JiK#2lDqDM)+Gztu@p?Uq)!Hp62@s;~C!)4Ih{P#psc($S z{-$4prFX~Bq@PPTbZ2}>U{5tQ6Y2B#ce1dQwtU$QcGc~>X>kJT=I1xM72?Zi*`>^_ z!5YFY9Zh!nPDL$kB|wUk_=;RSr}sH>SnIF*UAl9Z|AMCkm~7eZ>Rpqm@0;}9rk6W? z8B69({%XWdLN_ewQH+yN?&pkF_1u+FwVL-U%UYN^9~_%ljCYbl-E+2IlpP#0>^yZ& zWa4Axfq&nxEYpcg7gCw@f&K&+5A)Gjduf2i#p_CepCkqQ(!X&?zzCjbvpV-ec!kFD zx*703gDqKokU4Y|6$?Y$F8T9(sr9|??_vWwx`5pAEu+1L5AU33LAWVi@F=+UL6=+KB4m~?4lMrq&!4K+zEYf`=-8l z@Stg~Q(fHyx8Bqaqz@h79lo_&^(>P0N`-Nk4lx2fea7XObb-#jpB>9YT*q{ML6$U0 z9YtodFmasZcn|v3w>vV1#GCHG*ygn+HdjSQHVYZDY}Z|^jn5}L`XNtS%kD}%+A6$H*E<@^ngvwjYS1ZX`!Zy6Hp9u;a02L2n%jnENS?^I0QHyJ z8}~0>;Cjjz!Hj+0p-eQuLpY;}|H2l9eIx55ypS>pZg?j?1JW2rScX2pG>Ob4H23U8 z@-~0N66xa2A>S2{jy#R85WiWSD<4aIb_nM%=5*uSkuUnhZ~p#HlVe(OEUF#3Qs#5) zEdF7c#(TxVO&UPg(ekn)T6o31Gr>;8C%m{47b^iO>sqy}WvsyL@WAa0QQ%3tnlE<7 zidahvp;Pa|!OUpyodysTY|&S!3^U_R*hYJ3x4w(=vS$en9AqiNh*ZdM9=5aF#z9aSFB4~wOMtkOV+y%@qtyw{6*&&;YbH{@q#tjcRT+RW;k<9Y;4$`(S1Y-1d{ zJW;%-U)l02`y>Pij7eqX?@Wyd3mX)c3Nte4o* zp>_=bk90Wzq8XEOwWwbz9iaNa za1k2cgjR)!6+;{XWlm}~lE(-h8)T1aOkbK2UpF-W`>=LhoPqJL43;XVRbsxdCn*dT z^bR-F2Jp=iob(JOia*@*M&B{z8fzLnwr6xQJNm3Y!pYAMt$44~7Bz=N<|)ePR_X|VWxa4i2-h5O(6?``{bo;!mQO!HUj zi8-Ypdc7dz7vHxVd zt327iVfp~|CH2zvuJ(x5+ED+1IF_xqEUI$yY1^$hMWjzYfv$X~IPC$Yk4MDW@Vn5X z{0)XI2dB~?*%|5J`0&ss@Ap?%Suyv|1_o(=lD$#%mnya04w%5u>5|C@lcA4yo6s#U zS-F)qpC>v=*4FA==1KanZc5BuxW<#(F58j|lVRjeuf-j`?2F0%9zhin$p4kp9$LQTgw1;I>q*%rB+Wl3sn?=)ihIHlzkC z1YaQE_Ga!+&wA;PfRRULT}?|+?TLCJRGcb_>S*IYXm|!h z6ih>LuG;;)aZe4aj z71OO^<>c_F9ZadQBiGRIBj@)G^^6+p#QKCR62Fzyqn%%B(jMF+#KDxvQ}-=!R#qmI zY9Oj|eHu=KpJs)7XtCg+gmI+qy1_of$Va0dsuXLU-%VG#Y_WETB{Dm^2;D3}1+^z2^KQ7t~i z)M-{AuG!M()|`|i=JY;!BxCu4qR8z+xBzKb>Km@+jBpA`bcfw0U&f&fctDr^N)Ft* z(u+nzqmrS!%z4X%F~DrO6WrW>eX*1^!r#uH3X}lZTskPYv`pk@XrHibl@HQo+_$JI zItDHrf&@HyL>6I`H}bsuLe88zt_DySqMc*1yIpNNpsHig$5=SCnNZ3SqW_G9>Zp1P zDc-TaAg0o#1o%!HQgE9lM7^{yVorzg9eCu3=f7%t6cqO7sk}@Y1!#gXrXSIxt!Yod zazC|qt7Ib4Zna%0gUo&1ht?TS|76n#26|?OaQ?$XaAVp=Ft!l>9n8}`M*Mt?HN(X| zoS(i+pxdiQi%V=~ZSVl`Mcgh>l6-ul?`+}`s!p=)f&{?3*FU82K=ZbRZwgWyT9 zJFI-cU|ZVx4$$@yGoIEp=QiKPlLk0i_OEDug_#X8h`Em4&L}5jQg)TG2MF1rFwVk|ItABr0uRkoTpV`uj{LG8#e)~dB9lM@ z@UC)IJy}>imxZeGI$dQ=l^Ib0zRrKcpvXbL){q`lxWD2HH-eb>n#P(!_zXh#Vc0m2$Et<8^eeaEWPAys!L1NOE}d1@%i=+2^w84@P+fh0o6-ePji9FfU+#MD9tQdtsIXpzfvkF2Gxk z9i?YcUw7gq5#QIuLW6IBcvF)f_n)rR(A&oKyT0OuY|>A{`sI+EbX5k*Ur-#tRiYpy zrg%H{d0aZHFt2@60o~~!9qU2cNf=HZj?O62P)C+~J1zX4J#U!;?qtWH{J_8IZydIE zxt{Hc;${9H?P-IPt`e%7^hr1dhPL9i?>;97&(-5CWQ0bQ#t6kccf!GJsu3-p!SUMW zc8D=d6jVd@?MDQu{o&)MzLb|=K&qD!tY?kD!Q`t|l7!g*7SB8u59S$6Y?wZ@RbrLF zrTCLo+PhRK+Dvhf3lzM*IOvf~s)iLA_0vX%*ZDt&4m!tO#YMON`uUmRCNw0-kIr>t zdU@hu+wW-xi}yu#V0Y~o?| z^QlfQQ5!Gw0`T`!^k`{AC!@AS6RUvl)c=@u_@7x9Si;|7U%Y?5fITEF`a60}<4NZy z-DSlFwCH8iumpJsZK=$w7fin`i$d=xx-n_titaAj-QYK&{4_<|N>2TW*1f{S@B*i6 zY)k~6M4kf$FQo54~Eo?`aH9G&yxC_(`v$8dp_r* zP}Al!pzD7VlB=bBlMW^T6FR8GUyOPX+)2}94(p&=5x2vaE+kh5`|~=nHt%(T`&)8% zTRe}#Xf=PFHsk7vd8P!^ZcNEu7{C7=?}-dUMAWZS^SryCPH#s8jFKMi=+Q9AWLUz%X&V)!^aCt~MYZQ!<& zILS~(``$j$$BEdy{XPNA#J_MHzDkoDqvZO&A;4GQ-n=ycY{=3m#h8W z!O6$O^?KkDy!~yxb6g8anE60u(iHC&BFI>Rzi}ZH|5_@K_GFOt#b!Tg5&e_DoD#h& z-@V@QMb{PxP+g31h-I1h7*n}RHeSrvsdF+o-GmJ{(i|d%IajQbcFk$O)uEoeh?UtA zGhLnBXWpE_J^jKkuKX_LijU`0D36iwvr9W{FrjA~BhZX)tyhTmVNh!2*yPWEFb%|@#CxN|D`m8P7 zeT#)oBH!a_vogs#<<m9<~yWTYZh*L^{*3U;uCRF2_oGWVKn^fZm zvBpOcXi1qQN-P;}zT5m3NA$NT>EbsH%b3|OcmIU1TC&gJiU*rkTYXp<^@OYB&|Mks z6MRTjO=5@>z?@qR%wpBsEL$xPaBp6mS*XOr5mI{q&uXPZlt728n`5SB(lmtOki_rKmRaGsfYX70IX&YU?#@|%4Buy-}KuDz*6J>JE> zmMrNp*q-SEY&n^%5KkUncgbsMu%-AS`rspWU>lwRPL`($-a)bCgDT7T1Hr%Zi@rlR ziA>A%Q6_)BQv-7r34uxl@NK!qWZPGyA^3tGa+4<$c!rFIVff?iLFklYsd3{)T_f9U zN{ATSqk{Uj9&>Xd>R_}+OPtoJFVshE3rB@{Q%YaA$J_V9rkXk{*P~C-YiFUD*BAK6 zz#pkXYNU6xXyS0!!vzc#G%s||DU+gx)# z$op&<%zJP?G=K~4w(r%a05Uwj31VPWbKyJRq@?caDM2sm%h#oNn{^A%EiNPQY2~q2 zuW>$)2@cm1zA0ZXAhvJ--krc>-gWQWq`H}O3-F{|@1 zyH*Oj2A}x%>~g9#Lpv_l}whjS5;K9^vH}l6u{j}aQD2a)4B?ujy4J(RHP4LAFQoZ zQ@4P?$dSncQ_fTL6AEKenU=vVGXS;|#N- zDwf}jNz8a?A&QYP5mh{<)V=5X?FnK(z<09*3fuKks?0I^Lt0+`*%Hr@ci=L|1JECg z^QO*=Zt*bM85@+u+HdlA8h>aPgz+r>WQwe@TUW%g^c6QBqwFvdStwHv>yq2%XzL)l z{mq5~DTVn=x zkTsD)T9S9qsAzdd>Z7(%>Et-G16}%9`@UkaBL3aEa@IznEPGoe2KA)oU9W%8;iGUl z6^R{f80DT4fO3#3o~^^IFbIf{Zpf;5T|CxE&^Bjx#Jt~&It{tjxCgiwPPEqhKWpY5 zJUPfLuu=(kFiH50eP`2cC3H#})JXuHEP4mvuaD&~KqCw{uejZD-4~*316dXI-O-SC zRRXEqwS6EH(1chkD!XL#P#Q#h81otN(qnZwZOC)7TcC^%X%}*2)PmGPhF;MkGY0>P zwJqi8j2HOO!9^`Sztn3x#3R9w8LQL-w&xz%(SqI4tNqhMr7WT$-K;W5A6yITqm}WH zJD{eGZZqp*BMUpMiy0ZLZAo^yXWmMOfRfCg!s|@G^!#Y!=Lmm*Oe^2CZ#rllQf)na zPYFLun6L9^iu~O`VOcyTHNBIWm$aGHg#KuN4z=j60a$c85)gfs7w8GCkRN)_fR5pf z>|2dVQrFJMpDw(SBoW8&($`GM zm3Nape#iFfPuRO3ERUM3LhFR|XQE1oN{EU{v#-VFgzIvVvSh}r zmI2Wch%9ow8ahc+byRRL3-Wx^ff4;L5t2I*{0Nt15;~*L*|V0OTKNlq0HYeFpAk-V z9<(*4wSKhPMXSN+K77M*){tV;6<}U50h2N7I|`?pI#i&I<@e&aJz)F=lY{;1dF;=2 zv7OR}U@XdVIJ%IVeDFN>`aB9vFstYyKm}rYb=rMcq9P4)2T>D7%YILmR(814V3M>- zk=VNyt?r2)cQw`NjP7K47D75L*}ZehKD`szeSH`n#1b5vIN~`}^fEFi@K)G>E&uB; zDU>u(#py?yG2S%{8V-L8W!5{w#n<_90Rf%l<^+$DPUpqF_R4%|(nJA9Pw3T^yy(Yd zyl_eapev29pNt_2*{L$Bi0X|^LB81G%x2y=uifCJ4{kZT^{SoMj0;syuqisDoxUbQ z3}N`mA5o%Iwf~BsN6wx^s^EO-c2reD0GGi~3;KBr{|wrI?v;%A7ZLg&yIJq1hcCEnB&56vYWj@W?ftCa0pI&)S@8l*G&BbUU@ z>l=D)*6kpzT}@W$8eN6XIy+i56jQU`;y-Qc2N{l7wH8X&nOml}G^xao9KT->Ag?>U zCs`d7RWv^m>|s0f5eA(K@~qpmGcnt&lsKvi zy$7-&DldF^j$L$O;XMoT^wY{yBJtpiO)>49xV~qt_$nOLs8lQE0*CQgNzbjNT zk4$zKkkDOxhlRy2QW>%5x*n2DVnFK{gMg1Bh`k@KtsO+HdD4T)uX0PmBx%q4YDgk= zDR8d;L4>(nFZStEkqX^0gLXY)BUS^ICuky@1}3K!Q*9Fj2F<=BA74bBNQ!;9)15AO zjT-DUJtkO+lME4LwZiagMs1TGHE?6fI6FGhK@WF&xh3Hxv8*~_lfj#!=sZx&={qa* zhd13uO26mW3MirC-P!Xc(iU~0lEY^PMWk=KLAus|Wzmj?SRCuFJ5!K9=v3<@Lv23R z6fjF3a#UP+CYU_)CX?WkOH|qF6YX>!6@MQOytK%IGE8r@ZjyqnSRE~oK77c#dVS4M zL2CW(s~Gcje)ruoPCC#L!+Gw64&V;IJD-HxnujDG1C>RcFbje*Pj^w0ASv-~2SdVj z;>lY^cvsrC-(mQhA>yQ&CC@OsRPnoau-%Mw9qvxLEIYQJqrY>|Z2@L);?-S;gdGYK zHC;`6kesdy$#AAXO{crC)6OKd1NC3AI%>lKZ>)Sr_5gpYsrgc&9td%b$<{q{HGZ96 z@QLr}yJ~6^K_>`I9Oct9T35EKbBJ8+ch4@m;PYcA+$QpU#CpEq+VZ5ABe?siZ=qZg z{ggr*J;hmu;gCYVXlsBFSvOz!JA14R358CywX(2L(Q-WID{b^pz7nPP`W24xB&SO` z(u(mVf&h_xg|2BZpBUg%d{hY`F<&$0-6oxRBULrjiwr5oHY~)$xk;cUu^D6P3d*Au z1`UCGzr>s{+~!dn0v?Lqdf7_9BeS||jul|QBDm>ssiW90|J|La-M9omRw$96yL7r* z?$Ij6JIjH)tol=(>)1Wr$C&0Kh&$ysDdgCS`zb5XA5!0XDa2km#@}_?@X2Lpz8!~G*-3~ZdqDT&Z46+c5tyh2u$5G^D!x+n=o`R z3^S#FBJNz@hsfE7P$p)gLjgMv?xv3>c8tFZn-*(Fx2@2S`Q*Baf!MBfU?)$RagSVAG-Sa^&F-MQ z$XxtO(H%%vviQ~(=ve`;mI)fv?=na-7@C7;<KeD)|{Eo2nl&tX|KFJpOx4r}sY96UQ@8;iLqVvsECH3Kc|p z3|F$G3E)b_yh-~oTbB}5F+CK14{mPOHzi14Uzj<~iH)R!xfRNp*z#19BZ*2fZAIFLS(E^MAb+Lu^@OLLxS^mbNi;8Dz1u_NI{q0lx*d z_lJcqp)7AdVO_TUMH*O16T?>oUwX<=N)%YqcsA!LA z4jIU-K${lE9nL${W>yWSS#{GTp51L6rzCb5kat2dIrt$`ho59Tq-3%Z`O>PuW+LYuU}C)Qtt2EWRLk zn`KYpRj!m{9*i>Y@ymUwctK@&M}CR2nG6_X2`pajml}x;V9G2=VRtg84bVCdt3KR zf2H)VLEcQgvIUHKws zb^Q6sC`0H&xvY_ZIxyb@ro{CoD0nV{uJw|J^5n`hD(=ci`PI@pcJFx|)@}MsRIVuE zjL%QyTD{*-r-!a5!^{Hr@BAKU_FtSd&K{}2H4mgW)kuLKxa*Mp9Choqg(CHYehFh) z@4GNW&-?d@x!`?;rV!wKfc@y6i?!|@u4E|j;cvV{FO?K4?fE~*&SRTGK}y~b<@0*A z5FW&ZRXqvga&gs?7D>k4l7u+kLxEh(VmRBS+J-oy_Z{|H?B!y(2i9&;HBy`M$b{uB%)Hir)lj{^Ou)bbn(Vxi_h)b|CY<*v& zvO~I|am+vI^5BmoZ?C7UFaBK&JzSFork$DFMJk<+eYN|{I25<73h)M+I>d~n z51|^~Io#&&6f6VoW=e z-WgZwwMjvGcZoyi(a5Mr&q$#kHfrPs=~S#L_#xVP(7;7nE`#L zhQf~SB0gply9*{YJK@zhQZk9!^6GwkxerWDd8BZ7msm+YV0aD?c6u|X<9Ypp>`b60 zvu{AY&^vLbkG+kQ@s#`Fu5h%qgqCa)&HwCns1WJ}Q(h)9>I=WE++Eht^PBlJ)h+$8 zk5XbCaq@XzjoAtC=(Wv8d|e%+`Ug9FDh9Q&+T*W7w;}PDAa}JmT~$Q@9u)-OUtC5e zN$-97fwoSbCXj$Sk-XLHe#Doeb!;Zb>2kO2`~B+Yb@A_k+;$#%wW_qO^@z>Y9+%6I zg%yHqRLvSvr1V1^pH~wPqFqkmp)I@%Bx05bV@*%_7mOI~MU>=7<23UOP7!v>^!jtB zr@R~b)14j)O$)f?J793rygeDAF_TQ$nmnPZqde1f^+n@c^sah1I_FPOI#P~Q|9 z?)RE#AryCG^Kp9lcqm2mE~)Ud3692%lCZJghN*9`y8}6j@$5LU^QR-57Ux(txakC5 zCq)c+6d|d~3DF;_Vz}RW>hZ5 z?m*!go_FuY5{})NM?=r{JDN(zJ-1 zxng}|LNd;?jF02CiG1Pe^w+!%clsur9+)jvJkTRPB9x$~6g}$0&=FW_3@yYqz5C4? z6c5{cV1UJQTYa%k^&z{-m>f9|X@G=L|K)DfHTokC!U_C{7lg9TIBb(pk>Dpp3F9UMU!`3O zHmg2$xI5w}tboHGx}stoWmVk1@Iz%It#R!;Z};`Psmsh%HJBk&l>*5_uqhXVxg8y9 z%%TPcPS5BdXDrGj7xb51NbymBiBP?(>#(Orm18`eQkp1w0fr&lJWDYL@M$293%L~Y zi(+9nLqKL;MzNHMPW_`JFv0wqh;qi>vAZBX;4_{PUV36zJVe$7J7O_`JF>gfyAvbn zLs+j2b?2}j8IqB7R6{|6AAMPP=gsbI>_nu8vI}VEHP|ja%JC{YHe!J4C24U|JAl75 znq~3F)UMApm͵`q4Vr4?XyDU^m2k&jmnQdRj^@Y=y-3ddeXIJBzQDBc|NX+~cF z3%aF5(mAuw7(uk`I(M_EA-}0}Cxj{Z1(WX4;p0y)qVD8Z&{i4lg)Q8@PP(0fa3SB+ zK>e1JTU+bdx89RV@cqe#3{3PGtng{mW6`qi;8B}wbJ~9&ZR(6T^9b+ay**Ri%(?}} zdj>RC(DSFVj_FlrMMu+}H_a1pq6LT{(zI0tQw(Szqf$rvOiH3znR5xQ5$f;LUtZ`@ zMbMp?`Sg6g4MI#tX3TXy0a7$iit{@Z^9VNL`KRs-3W&=d&Z$@iJ^=6J~Y#BO&g2rF5=3F0*jKRKKK3w`?W%=l#hqV*zEm)A;;Cj93A| zpA@8+aVmHgY)X5GmO15y^^#D0bk5o#mztbszc{9G<+iaC!A2@J1OsjS`rwa&F)n4U zB3$rse??r&EG8vWqgAB3X?442HxbREMPL%(OUK+z9HHdXp`i+x~U#r|mdTY}BV2o78 z#^<6WEukvuI+a7B(k$UfQs$2McF}yDI(FgQuOGa&uNR9Wh^T05u(a^ud4EWl(?bcg z2Gds0Am(pYuswGdM+&tFvGxLgwhZGXav(xs9oalt^0tYTXyUwI#T2dub!+crgbtXI zis+7?4LsID1(RYhCdHE?$Yq@hB82Yi--wZ_pP$}eJYp`;rE)*Rd|=o;xoVh+@;|8% zqj!R0wv0EY+ZP;cX=1NJI3m@OId|}(ww6f)jN@Y`|iTtg>;F`g73O8 z7|@2mVy+1U-svnM@mBEEEEAui@dAgE*rz&zFu-UMulFQq>Fsaxgx{OCM@dfdMIAJi zs>yf>>19>85=ITuZIYYuQFjx!P)YTuE#bmv$-N%2C}zm|j*#+dO3ksi9nf_;=ICWH=A zz#GyoU{WaRFGFU7WpYElHJ&OWCOQL9VBN59AL8|by#gnRc=QXJOz!5`Hw8o&MxJAQ zsp^sGG2^$ANhJ4cZ9Zopw z9b+yf+LzUck*n2Rt~vY3sWiYIZ=kpL zu4y;UXxn-*{gP5&u%ek3M@K&Ah463Xw4kE+olfZ=JZW@zsJ(B`pBDejM}0u^kY;pr z7a&{@2`{e=yaQVcSzbqdsDvqHVWTY`x)qLI$|lrbko;?yD9XIT8a;oZD@67H6h2<^oaOtiM>xJN?2WF_3pPC`9FzJ81EDnw`W*sLv z(;P$wa#V9?`3PQ0W1(WMrFq7nCN*wO`Pu!Q zTK2m=K`TE)5`r=klCz%S62lLx#Hg(>*(%ReqEz_c^CNLiX~3&pf}UXGq^(do)gH3Z zX|~Gnsb|QRn6t!Z6;IjxDc{ATby6VwcEk3tyWJ)Hn{)VsH=w0vxa z@2#r(ITE(HqjeEAWfE59R7;?|hQSC-BnSGQtUuZlY&xRXX_3L9kuRZBsm5@@5xj?b zYl<>y>1AaJ27=@Um}j0Yf}E_OqfU0`VBjDA)F!-gUDHV#^6M5(J3BkD4&t!vdL=ysE6ns#=s-RR>=6j4E@ds)+)T>pLw+c>HsR_QNB$LWZwV zE=|9}Mgr6J*x6-6e~Hi`F>SGQxl=Ks-0;hh_%rwb1Q6MS)VS0B~R|1K5v0gaDQTB=aZ>} zkAI0UCWQ?*rzroxb*^8c4a!;gTN+dyDnqxXf@k9Ev^XXIk=wm0UB;Me*5^MB#q8Zt z_gq)rP*6rVMh;d3h&B`PRuGdFbG|svWhyv-9t|ZvN2~RFe3ho-IQw`3Z|qoBS;G>| z4Mhtu?6LiV=pt&#Z?MDPDXpQkPxM(8HfM!U-%ul4^C3z!Uy&AXc6?>J92(AS1KAav zkU^Z>Bp1*Z6=%I(6x}^6Xwi%!h5%dJEXGEYlW;zjK2RW8*%pQ#?u*we>Ub-H;Y9osV*5A1((C@yjA5s7I_=*9c_GiNf?c*pEyotKH12Y|UhCiY zk#oo!8z(a){+!0L7#a3T_WjRnQA&m6$>lGWS8MEuT8r#!Vcnnqi3V+3~LJgxp~y)8}B6h3Yx8u(#W zUO8*>cn!>(nVQEJNu~jU5<($fze*z8k}%v8tPWONso0GczT_vFX20JgnQ}6(|5I3P z7&An;IBBT#v#Eg`oP5?_usAiB9MW_qnUat?S|$6X&)!sNb`yoDONL2}bN{k)Q6f~{ z!u|Y<8zwoE$Mbc%{+!E9ual71$lQ-_JTH7_6wmw9BDr|TU_`b3N8wtE!N>=@p56lg zJRSych4##~q#rPEVhT@fp&QknK_Ko?q2x9}UFz@Lln@9ADbWFV@d5cOOXk z-HlcNKP7*jG*Ys>=6W6~+I1P4VNDQH9UXn!r6Em_^l2&&(|V)6V%EWC!MgdOqAy@6 z$Y;-mG<$I2*SI8&^z(m4)sK%>n;OB-4~@L@yed8U+E)ZUuirX;`1IX#&r#|i4m;qv zbXS_o9uISC{Wt%$8avg+$r@{?4KFG84TGLk|4FGsBh###%Zx*ysHJS$U`3&y)sJ79 zO9|HP@3P(PeTV0ll~6vA8u4uqe5B%Xi2&7KQBcnj5cvBmXR7syK<#a){jNiKkNMG1iSWRcz zJ^ztDHeLY;0F07*L|@lO_w{g^y;veioj)UlGAN$dZav%m^*5l<>H^m+hMRW|(n~RP z7w&$X<5C*4N1D5tmFminb&FvSmtr*ZuBK_>69n=E>d-$|WOH(*>W!T>jG_uLQ8Es_ zAH;YT$^=7h{JZ-4>b+}Rvj=E!hp3N@jr4v$aV-KQ2uQ5UuQT2X_T>n=vmrea9gbBQnadmrd z2kOWvi32TQuZ7*9D=Afg)NX^UcufqSj9FO!U^gnZ(wr|EQ1-_jio~k&V~!~Qa~``D z)(-Wu|LOwE(~1HQZE+>GB%#(UJ{`Q>I!G5+Fad4@yX9RJb(uAmcPooDlx0?j-U@cA zryk;PYZxzs>|`lwdypLvu_YxHPy4_vQIvwFGiuBS!x7}o{zXhbvizG;BO_t^{g-iK zk%*x)7*(VEZ{iXUG>YXVVIZCZBZ0htoey+M?jwYXk70_|R^x@W5>!iadN3cN5+1~e z-?Q8;{4ycQF>?Zc)fmY*4QY0_M02fyfUouK*8JfBrU=RgnV;fdgIf4hG6lpBA? zw?M}vF#`FyIpSSs_c7*PRl*af()m2Gh=G(#=}^Coi^oEt-@P?Rh*uc6npZ~r^x$f5 zN_#O6Z@te>&1z3RHWFVItT;{OHU}!sPzF*HHbRC=hMA%o5?djt+odP3>Hx=)F}_no zs;KRn0Zi zsUrqvw*nPc_a{Zg_6J(A{*-}J7)WEP?rqfxZk#~E0BSMes3%*Yy2!zI8|y^l#LA)( zlbmmlRe_<$OW2BnSA36uP8`4+PgxA)eKl6Iibr$BC^;9Vy&n zzX19{H*d<}+KO5y8jXryA#i6d8#C(PckScEzA6rIF^{Vmhi^)}3Hbi-HSK5n7eb1} zLr>~BIh@~QT7^;-yUS?!u*;Bc{ZvsR78M01VRYAtKIvmXGvjG+`aR1j0iJwqW-Agt z*HxuLY>QRvMqdG~;blHx;RH+eH61^h{2R$LX0_osrtkJJCI*6@3*i~hh@vq4de%li$0dE9@l`de`ofu>_s@`S}pv%CgSSIlLG&%)eqGD`* zRW?Z#L4y1VgTQwQ!uuqGy;mw#j9IDj#rtpF?IkS)3^OUnzs|%Zt;B;gF1VFk`-qiZ zv)R5qS5@lwj|g)Y5%LfzDjW4-XHID>sTul0p!lC+Ea(Uss|MFAXKIx&>fz#^zRDV> z6-y=0gFNhl|034|L=(nnXKBbH$WAkcOjoG{54C6PgxmSrtBcnxYo^iyD0(nUdNgz78Zx85;@Ku5+-6`_$hWd32t&F z!ezN0@*@*rs05wAg*c@TFOaY>tfsCAVMcbBC;2a6NZi@231)y|2?V}JaMB3_5siR|IpT>eZbfP5|LgxbKO^gOXMK zoSuow?3TQLx;ZXiWw!q!j2Td~SMyAHS@3`IDP^bG!;t>H^8s^0G{wK?5NNV)&Ch+k z>Apod$|-~DeS;<>+t;+E?lc( z&HA*}O_!>;!6dja!t^eOrf2IHYK;maG>}Ezmt^*Yq(Bu6>6w?TDUg|8bBC>%`VDH< zWW#FL?C$OHoJoQ^Zal9{L%(}O{l0Yo#-qLeSRlkw8bJ1`h2pgq_8Sw|ucn<6H!?IV zFT^meWx7f)n|kuN+$}KY46#}xa**nL!yb6p>S%}>ITuu9VSpT)%2$7rJ5FMoSJ}4{ z)?{erTT*tKhURWtAN#SUtEeMlEakgAg1HhrS#BTe{236<w znVkK-s5TLHnc})qo0FV6`Y3u<@O|RB{e)={qcGs>&ewk;#XRinLnyW}LVAv8=}gVs z7QHk1^MLxS(|x({J5y?aT|i_bb*~6d0FeHez(!ZN|E+W{zL$8XT~2Qa1GSrwr~fx3 zh|ya)*n%q=0%MpidavSi&ZYeMC<0Q=qUfqW-|KMC<(iUL;5Syrzl9Yi1^7{bJ?foj zlO$a~wvlr7S495C@-nbO7~{GvN4)So=RDoSo!Zq|r-l zY?Zph9jXX13pti}Oplgg0=$|x?qmjE)0+w6r@nCwM?g+(dY1i6ZHxsMyzZB4mpJ1` z*Ba=*-~^ql`%1zFdedao8mudns{{T>2}HhHsa;adYg)(<)4i@mJYpYL!7++F$4E?i zXBhhQ{8znh)NJ0SDfO6-%r4YZ6QiaH4at@vKEvpPzk1If5xd0Z{o7)9?hb8`HvDiwS6E?vO?pF{q{OLUuS7}P|0RN(7rjH;~YAz_Pe1w@`DNs z8ppN@arA*kPcYU6Mb*9Ls@}Z;d(r_*-?;KFf+ac<1jXr}xoNO$_8uLk%tbnw$LwFZyU zm<#~jb*eV6sxgP4d8$Gbc-|JKM1cp>#kMN?D_He~PgHcbtm&x&CskQ0H4ZdzZ+`Xp zMPJ5_^o_u9-4B0%cDch1b52iN(nA8rY`gW@oorgd;6fR)%1QlP*~+WMQIJa4RaEe+ zS0^y-7gD>mwCFp!beOS*DhG-h+Y}I9%R^ed^EK z^TuS^e%EKe86fPO0MXri++4LLEu*f{oEf(5A@(`VlnPG!LkaF}p4tB5URlmztYfyE z@iMe|f?%B@b+kuQM%-L|HuU!d!CPu^Wp*sfH0;qghv<`nV=sd1{iurOiLYw~X@cW8 zYAqSRHEt5*zCZ6B82$H>7BjtpArbTI_-Q74AF!QWn-$<2y4yMYezTv_#MyDwOv6O8 zJWz3+A{KRj9VafxD|WFTUE#PE22oLCM+UfU}dH7%rrjW2O>hW=SO5;WD zekxm|<>VoXuNt6M$Ls42#Mm`Om2J9@ddYvt41$v+T{<)_G^mNj_5?*`OlYojY;Z8Y z!*~iKZ;zI5u6}=~X(Qr_gIE$SqyzEN)lbkT4@|SPkYZ%KqBLi-Ip7;VpZI|YaQ5Sq zv9d8et*xXa{OO*}zSu8_o30 z^aZ*EI-Bk!8H<%Lz;e@TA%Fb`N3GXQD{~RgA6XL!h|}Zij@>|BvKWh6Z}cD|B8t&d zK|erjLw)cYcpR+}!|{0i0?YdonDB+UDDKl8{zkTu7H?;{CU}>$?c89WP{?n}6k>EBLi4}#6FM$@CO}kUTf>p}Cqcu$`JSI{am%JN z&I=Cra1y)NVw}o0n0`^lin1WkVUTFTrEI;?JkNCIa4AN?h}NzvAdj$Xh}+IMPSoi= zhN#my=7r-{O>iDJN^As|Gn^w^yj)@~LGIHK8wohH$PCh)Zw%2I;d2C)-sH6v*{Gpu zYxJ+Z5|~``CXfCmt~*q#cP1fO-A~;3NeFP!B=}VK7XD+a^LxE)4Zkm)!8|afn!V+8 z(x~?@4~&|iFZKI}e))DCrZ3LR|LbKsSzFpegNXQf)7iVT#O{Se_($3VG|iRcBBkAf zd7IR&LdBqb5|V6Ms*h3CrH|$Xts5Um>b%@hjc?jjP923Kwk=ti#q0wM$EABbL)7l3 zU(~mw$H?GH5(q0fhainBuI#s0*wvF(sb~n+&_uYv8K1L)br$i9#2!`I)f-&|fwk-I zisfczyD@2yIUz#4*&NlS=@j~!!V1hB`cfk84L;bCRc-tm5i$kmbVsKpu~r9=7hJK= zbKxO$bpQ7rtU_wp*QwF@vWVczwJFGoHW-BV&?_a=Hh*swzF{|!rL!x$r54>|M@dnvNm=3 z?BPZi-t@O*o|tM#G}nHQ77#1p6PP=T<$;!y4*xOoBf8p=)OvyzNtUcBQVgNUlnQ*- zKX;q*`Dy-lU2h+jxD&kW0AJJqs4olghP_u@3I=HDH9CMq<3D`ez=C-ac~ib5)9>Mt zUz3iI9=%oj7`-ZJxaI&VZF@CGD-CecXMPWSK_Gm1k^`Az4=^tV6ZpHfjdZgxWD>IV z+*reqShXEPRcpkF45_pVm+|~lbdVR{o`87NbB$PFlT#O6QTn*zMt5K1MhD-f2Vd7< z*;8hKEb0M)F!I7k7RE}C$hgK7aj;?D!Z<2RwWUPS9X|qlGsSynHaitq%6IBR z0V4_4SOXx6we3^mmLX2Nw2N7#vk%VV(`*8~oL zSbv7MZX^}p5w!rBY1TMmnk+DKMMkYu9HQdD=+P$pzSK|LKJ^A|5=LNrKoaZJxPU>m z4DNumrCk@ets&jOfM3?|DfN;ua+|~mAuAE!BB!Pa1LxTw02_8{=z;c+VEO>3(M1?w z@s4Kzi$zZ4;>{~Sv>=d_#d!iW7f9#R&7Z0V=ZQl5Ux;*#q!NtbCUmD*%jh0vFC?UF z={}=@v?_@7_GhVA?ghWSUNhe@U+JiAc!hXq?E#(Swv3SL_L|dPwm_dy1qUD9oEd!b zXO-=JUlD*r>dm9`nl&3$;XJ6(nU-Xor2d3sMuu(T+W(MPM?+Nyk)taN9If~@P6czj zN5?ae)?xW6$>_G`i4p6Mr}q{KTT!Py zhxX}rV{cw5R5@IMx4(4s&;q2u?Ml_cy&dmhtE4S|O?5(>32+|n4YsBVUufi%UI{s< zAalRufCa;b*c7pl|Gago3Ecv`GidFWffmP5#aaa?gwU{A2Rf%Vh;!pNow5t8wl!RY z8b~rj>~g_VY_MkYPVt^1xB8dL33uFe?b2Hto1X%Ny2_NL=MIG-?%l+kSP_;5LO`lL za&r~wK{i44-pKy|d7P+~W2vdg&;yhpKTd#-?Fixz|A^oW{+bYmo?h1R7IZKXwB)6r z3fZjjs`?91G*9nLT>cNph4mN&U}cgDR=U6Sq}(-5t;0%63AoS|)h?BpRg5R6YcI1@ ztqwYDw$eDcboUhF#RH)E1+uc!d{FA9les_E8v@$qf`GURUlD+%9xcT#4iz4qD>-wB zBq>{>tAw!zwT*9IMD=eoJ(@)J(cU|>bM6T4*fl1jBo#!CpgkyoSpEhHThf4n@Z+tJ z<4XTo-_2Op7Asr7!{gVEYw`Hltqbe>7~4Pow77k?>K3`_*<5_BjzRDoh;_N&3@wtd zx@Y+y61H?yD=;z*lHm>wxM3i4ieB-h* zWnQc+kV?3~LS9$f6$b7tp58`x;IOEX9YI!yOieT zZ)>=0CgedE{Q3NIy_e;a7oWlD9G99pep`MLhrUX>!H@lA9mS~jzB5Hb&IX3buambd zPBrMMfrCy{wPvl6!(mLrRYuf@5;}D|!%*B>h$DdghpjN{O48SKdto`^)@aFRFp1wk z9vb%Y7DKfH3wl?2M+tgz2A+pXKX(;WdU%g8)k+^b0ePT}<$~3W+(Cz%v+Ug7RQ$Q; zrB@(fV4p&1amA3sUkm}8kis?3HI`~)SW9cE8xp=sy!xi7kjs>o#sRI*!i!)Al~J-v zybIpM{X)La<&^cC;svbsFw>_KjPzg)jJ1vA=$->!;g;m7 zy*9#I2IH&dU;0tTf_Lv(Y23!~-j1qrZ?hi2QcG#w@nxsx z0B0_UPGbY-i6^c-@C;VHb2sIuD|>|cwXJZtxtqYmXyPZk&M#o3;1z7FsDvr*eS zA8wy)*$jC$1%fGh)rvJz5mJ<&K?1Jl1$R(z{Eagc{Y~~3MD6H z!IGQK0c!>JQTsW^u9DjqAXyR@$fSO@X|F^T!wlM6UZt_q%nWABSaeH(6u-!Y35YOd!;eWI;3(ST1QPBPU|H$t~ zd)w-S?*h}!?YWekfy0a^g+W^er&tv{Wo}nsRZZS&w|K~3W~z0`a1;Iah1M02%6pIb zVBn7lRDlqHs&67;I)HKG_iyQ|tjG z!Dzisorw9z+4%WKpdr(=)gu2Vo>Mn04 zW{&r^C(CtxRV(Crr`Vy!=#Zyig0x>F{^vcmTU22zrU`qNfA#`^F^#E~sgs78jMnyn z8DH0w!`I8MUsUBQwSsnW&yrI38O+$Y_~P!kkt^qm#bHRU+oH@T4-jkbo=JnZqTC1p z0e?6VWlbOApcy*mu^JBi|SK7wE-WBgG>aV}NOCrU=c?4WlV5i|S)qN}y& z1&C6gAeNl0eX8A;|MGOZNwNr@*;J>Amy?Qr9mST_n{Al00g+Wvhrdr|A@VzWDtT0v zrjZR_ttW`L%@lGurkgqj7oIRAsyvEph&abK;)!kP_Uh?e&yuu?=?L!d9h*)*x{61L zn8bovdyinTYbtfpx+?VVKwMxg5dbyj=Q6f8|D&6knh{(c&PGy?4ZlHZjQ}0pigLPq zrt6Bn@b?MU5=t@l%g>crq&agC;?7Zn-?zb*FQ_pzjQ{0$r|*OdWMasNr$Z~WOQpJsIQc=?MP%-Z(bi^hqkBq|R4!04#8ch-*Csz z2?9JLgDQomVyQYAEU2_~B3+EmVGX1uIjuF zT_x{QQ<-(v*+2R!`ajFG=#PVrG>KuvQGCu(p&zv1ujBJ*T|o8HL*1~y8Kd*a^pbp- zegE`ACz+#LlJ2kkZ`cO$>%X*ZEn?eOgayU9>s=!TQG!_hZ( zr_PoC>*&hEq58jneN>WAC}mAql58Pa##CgD5oO6TDcNPsGG0cXVQrLLta!ys3=oF9y+y)Hcon15F&XXPfj zw?-IA;7-+u-QFXSYXH8*nhOm?|KHnyv%kRR%wf34%yi(xvAlw( zJRTb9Gsu>_d!Ao1HKF9h8Bjn7KsFfL8eUMcdAC>hjnucOWj*IymZQPykMQKM54zAv za8NBB+dTVFKoZb{$ZJlvcN#mv&n#7~&MRcJcr$`$G(Itx%Urq{yQ0}1zIm#ih1W!~ z))HC~;{zWjb)-ul&PVnzc7iT0te)wfnGwB}SVnvFR;F7utBLTO+aD?O%R{f>YN_Xc zqlyQ+l3-$8nrueQ7qva%MR{FThsyTHYWOT37nk&E*U0-_%Qxt;ul=WQT-zVS1QZ*d z!C%S*T0n{8KyEb&1r~JXl3g;@r&*pwRoQNzHJQ<2@eZ{zFWKcW$oF;q5}@H3u5Y!; zMon)vmCoTdhF9L&|2X3{T^}_a;T`t+1K*&IlYgU1P2LdZC7;|CAkn?VlOF>lPH=bp zm{;eg$G4b7Jez-^_gOeb_4gs4!1k)6de6~|%z*b3uY8ca#sQwl*Qkh%E1)Y3zdv2? zDhOdh#+(uN9>}6*dcqp+0D%CwnUQp4nq}8v8bE6C(=Wh^*x&7iTCGjDSmv+czbPFi zkS>o$Kn1>A-%?W#JFQa}q~%{?igwjCfsY$3wd8iKA)DhSI>@&T^Y3a?MP<2r|5Zpx z@gai-WLndYT)d0p)wC+obDv8o80}uRU$F#`EzIuTkR`eO5Rb~tmbhu}@m;EvT1-kP zFA~Y;r;nV<{$VRaf3HF>jGmT$-4qu@ki$KD)u0LM7F(F8k+nSQ_50yH=^NsV$Yr)}xuu!-)Km?j5FPQUtQx?#N8<-s=mW^%Oj!L3abu6-_3V5>&7YF`tlCYogDV}@Yv1} zQsCB;Gza(>Z8g60+tE3T^$+69PQ+Ed{2jl^QFPQZH!sI!S1Jb`gzw5tq=m%BC12D2-c{YW z7vQpc;CS<$6e_G+EoAWif*N;#%;mrZgZkj(kQ--iT-~?G%P{ae_UW53M!5m{KK$*H z^*prp_e^3FI@-dK((DpC*o4sk$(h{s9r5_$bx@FzuI49!I?oTf?*DD)p8e)n$f0#P zQuYg!|F=ngSZ3PDO2pu~%s^1Rl!S;^k(~0nMuX+AHFLQ@pkJTk1Lsox5r3eIx3pQN zYHwDF2{*`|c4NN4X_bff!6#I<--G#!6$(W%3IF1HcsmwuP2V*#`_9uXqN$m)$Uxu~ z?^4BThSNHCMgO}N0zjx&&x)vbXE)62H<-1PP+9p_f=NoViknA2gQ_q7r+ zfD(r37`dY13dt)gC1N1?bjrArvea$&AhQl)XFx=+aly^*_G0!V@8<7mfqaZ`$;E%i zh0W>IADbL%jBoT>0UYL3uBQ}XgZJh|C4Db&R9mK2P=tkzXscF=`dZ!_E_FC?aD`x`AFezoP#Hp6&ueK(Gpr-gUCuH}r;Pv2X2B)H6 z@v&6@BGUpsk;4b2Dd2iXALqpHS7M$U$k$g!YwdB(o6z#_*0!Om0$}EcuViKWa}Uh1 z+_ozXGq!vcpUV!lU^hGEd9ut(K65wWNVdws8-QumVhN@`dY1lD_0Itr1cSAl7RtM8ijEs>( z;Y@FEA=0uXR;8-?qbVvcW+Y^aK7Gx5Dxp@s|0a9?9wkFbGf`aSuTgoM-ur`t&)UHB zg%9_sK`RmM6bP+b1&2M4<4f|msHXKq%~!3Y2T|2@j1K-#azuLV*WscX{_ZcfHFsrm zP%pKbP-(eY_+Jf-F5jXaKrpD8%t%kO>R;v%-WXpIgZ|h)IRhVxdOYQqya4rx*>$4N z`(w!~?pk2TQ&*Y%P{dO{)xzwrpB5HhWb$3KWN}=*Du$CqctkwvCU&uHceY&bLFT;Y zocN~|OaIeTAAe9^I||*MHOD;u?4x#^d2G96QdRV?aq^Rw$T@dF{A0VV#XnPHVpb|@ z^|Ci5B{aM>-#$*~gQC_BUIpD`ccIe4HH!#dxb1xmV?}y0H!U^Cm{flhZ_l40snJ!J zr5&>2;xMi_BSFDe@7trq&?k5%YhtMSz2nxOFj#A?5>`X9lPb&I&N=B3(apN`}e;8kd z4LW-Q(C8#t0&k0nXWusE)lf)Jz##U!7#5Cw$f%IlNqQuL%_do3_1enuET`OsmVn7h zvqbQocosfmp{J0s_(f`LAT3+8=Dbp&zr?#&NDgGHz24I-ln?Ogk2b+1&16Vog)!tA zbeWWh5qt__j~%!*^fEy}+M|_#up705H9I9|t1J-@%42f@8LK-RE5B@X<^$cSkzjXm z8&q$9dsrmZ0kDi{ZVRuE*q5QaH;0HQuD(&!y&@q?EMH4N`qoE45<1`_yO7)8XF34+8Zmx*dC$(Zc3f zN0Fc7KYV!Hf^L?VtMa8e5XKmUUVg_yB*CT?{fH3w08z^lSX%x*>XM?8of~UC2KJ8O zP3;{nIQ+S1F+x8^aoKQ#zN=hef?`qq;~Y&-Sp`agi#$u+G2*T1JPPk98|*Q9_rS;E zS?P0~fy=n3MGwsgZt>z!(n|QpJ-|Z>hjC{nCd548GAmR32Ak)V&w0aNjtlp%DwMCZ zU>C5+(6u#(KqeAR;7H3>hlP0jZQo5fQn(e=)8_3|y*;|xQW-S(eLQ}pWkgk*M5JpD zM*>9L7+V!9Esu6WtaXfS{nQzu@lhIT6{zJ=zYx=%!K;7$9DP`JYXsQuw0e0M$itwr zh@3PJL;i-F5LklfFlzFU3YQlIs$bVcZ(@nIToN<&+E);rxK;I)_L7}_E*_ZM= zLI&(}h#O)kCZo_+xo?MxRU*6Apg{UfwQp zMRzK>(VbGCvR3H?xct)hgN>|A4CvwhBz1fi#4b#)ovB|3FNLqAeWk>Mr|x_!H)K88 z029xR98&-fudSK75%}$uv0vxLeW4(K9o=Z!DVyf&iGeP}h_|Yp}~Fp-T7B zQRwitQQ89p;>PT)LS&D?&S9!wc;BxX`B8gj=3&|FuqEr8;z3qu#Dhnb1DsXO5+71p zxqmIb*ge2;XT6qKY53r;BysTp_hn$2VBR^A6CydiaFvll0`}~k4)Xf+6Wef%#fT7g zjPF?grkiKe@z;(Y*9#hk$UlT&hQsa4ixGYwtJcjv3FbReBbmhzo zc)v*N<}WL~;R$_nkOtj6%> z))*X>DPa}1AEwqH4@>Z^AU6sSw2Q%9-&T5moC)I1F070=lAG5}g%b9L@$V6 zt^X4akJbL5#N@%rAJ1cN1pw_dgd7hU6{M1?rh5-8AF(>5->5UoUCji5u64!khZZ z6EB8JO30R}EU*D}<&9NnkgHIk#$B#iM)vdt3oxRCn%k`)16C_a_2&4}L zW|a0#O8H87!7BVp_6%xtff=Rj)G3_wI@Q-3H$@14y5Myf?l{zjB&{$VR^BE!Ct>&= z0|x%7u})7S__h`MFde&bnn!)&DZ$i(pF>F#nV2yl0AMTQkT-EclT08PNA$n-f#Ub+ zIc<)gU81UodKb82&Ri3Ao`n&KQO;%dOku$H#<~*n_Xpg$c!>sJeXv|2Q#scj7hYii zbjWreZjg~!TMfC;D1eE!nmPu+UyHEgn6A_aVfQg;<$k1#KiNLIcc0Gz)$rnkmE$mZ+x31T09C05>nU=!utk0ArS~6*$5324<9J)Z;JzKb}dilY(-Fh zL;@>KUY>6nJ5>u@)%T6u-PgRW9K&odTz(h&LrG8}X!ij+hiR#dIFB}qyA8tFb*2Lh z=`%`Zv~9{Kayw+zu>uYbvJhZv#av6!Ds5y5|z zMYnyuJpjQMz)E0azE}a4hH!wLtq-fFzp6qQ-S{28^Uxj@d5**}ansfV2hP3zbi99e zq2B*@xWVA$jpDVJB(V-eNV^#(GiL)cOmi&HL0!qs=9r53ayx0ASshGx8KE`6DvE)^ zr+sCx#oKgff8Gs0!moW-a?;=R=L7ADvz{Am7boPnu=rD5_V& z!47H%uj0gO4&f9RGiCT!hAM&YjkPY;dnJNtT*C$9WLaYKyR04$96YBsG13oy#cY)n z#cc4MZ7kk-rqrY3vYk##&Z~`3&bL{EBltm`i%G`h$tI}Rw?-ymC$nXi}4r8nSS+$ zQp|Bat`GzlvoU0yf>mGxzZ8eVD`iIC4gr9_Rgm5aks^z_9O@ zYg4Gl%Q?yUo@p;)<87b>a!=PORJd}iwUhdOL=XgmdJ%aJO8?)OH>Be-U>w*Rc3Zm)59Yl9>P*>Sc@me=J&Jomtz%xI)u39m1k@{- z5`Nn6dp);qVQtDWg*Kp%OcEKm4GZr9<-dkcB%-4xek{SsraC^DREZKY&Ol}Tnr-Ls zq-Opq2h8=z`_Og6Qg{ruO_Dw;_Agrf`IKRn11@Ii{lhove>HuFrav#1hKw&BF9

    UOuI0m(?hyp9+DGYX~OVXM7B%w&G}yu;mP~o>rYRAsRjZO=$JP zf0 z{e;j)LSd&6VCxZ{AYwkKr`vxi?*%)ajzzs72+AzS8Z;Ho$EdL;$jhLWL7wP4jQ$J%}ou3_Z(I()!y|t*4_JdW4AhI3cvpXICqEh?Zte3P{&&s zH?ssOZ$5X)7nG~V$KX95O)3dKvdroV!!S5&dW~1mXQxODgtaOrugf(WQ)wxSD`>wG1jrQzQ0C7>!hMk_jPl ziB_4Db_wZ($j9`xxM?vU+4Tt?mmF4}m(%K*gr}^dt80yutqn0jd*9XrXxWU_vqSBu zMBhsCpknV3a;JNFbe}HL7MfWfw!zU>ZPWT>xCJ@TxVZ$}-?$j)$NZiPE3H=?va%c0 zzdW$|5HZ=j-}`%jy@*&+K!3So_<2MEd=72aCQNX`C`?J*#$F<1vJP*IGzJ4h^;Wj* zHZ&q`Pmb}B@@pUX`a0tx(AY8u@X+aC_}>v#UjJ8om4XAlH-sye;Hl3kF>y>8H1lYA zMNa4+Lvc=AnX@azcJ^wE?HWJefQ&DAtv%7dU$_LiSKrWk0NGdK4b*|4(m=^c^^nqqK-FQts?7)5GaNqQ z*ZEz*@Lj7~Zjw^AZ>#K1%!(JyN_aqFQ>kpu6Y^}0jql$6aJV#37>sJuF6JB7@}YC9 zW9S!gvRur#B10ZGVtXjkubcjAXnukwz|S^q+LYIY*Gr;#b_J#Lp{S6$LXG#@4ow;l z*FQ!fY$tY;UuP2N&<>F7wtyUFsFI0T_IiE&zbCK zAKtgl(%>};x)fsMI3Ew)CfNE?U-5AANSF{g0OqN@SyHI-cCE&E!jo;EbUxIDSC9O^ z0e{Ziqns?D+Xz5@BGC&1f(R>dC##4Z{kfm#Joh)RYJn{4STxJ_xl$;B!AI)u5}(Jk zp?#B}8diWqFIHkzCz2W&;&Gt+>|N#GtejrMm-741v5xu()i7DjiL!9jSZg_q~zd85eHpS~pKNKO4}i-F=I`ur|~$5d0R8j?x5e zPf&X@F)dPBon1?m-m*MXBE24dGqZvGWoK%pV@jiZZab_sn(Z^j*1M?hx=aYy(sc2@ zcFZkQ8_aU(j~A2z9DQH^^PZc~gDllNI}z*_%q0>kbUHA>y`SlHgDy6u4OCc(hw2#W z+*{Fs&pDhq00<5h(vfp}&wxkghq6N?UQ6!?k$djO5B8R3l*-Qs?!vToyz=t8{MEUg zKbdA^V!dT^_f*#;E!YW%(mXOTD%`WpY^4`+G@jtZ-=4bl^)b*15xqYvH#g1!VI)|X z=mk59Nx1cL246JmzwTi*LXU|hpOo9!5%Y_4>sY_m2|4SJFgqv{#EjL)>+)2Z*Gw?v z?x6J+9j?67BNX+f@7t(fn{K!vwSPtYIPhoZm=U#;-tmaJH^e+k;Q#cRHLTy_Ds&*8 zsnhrdIWa+fqN~$9q*y7i+k6?lQ^oDJ?PfyYlmRFvJF1Zq_9Md%^gHxO%kkv|x$KF2 zS7c(_J!%yfWDxR6S*P>`@HlRAKe%ian+H2_>3%tZ*EndMWymHEA46Z2?^Q1-sZR?C zpp(32_}I)f6If0rqCAq7~R8HZ^|PX)O#tXIbSK0BVeK9u@u zu4lOYDA1pab6_lf_I;Zjb5biY=a)!@KIk>=l7_^HEP z`D6hl!dtzvQvEH#LTwo z`$PR8$}!{HnJC{$MAxyzOh-iv!mI(UVw6~@IPAWq%@{M?L5NTIx+Cdvct^p~SuFC- zBbkL7i`rh4%uP4>XI{Z!RiT)N6hkgI;`DN<)wBQwW~Di@63 zL(LeDuJ`#;rXB9=hI9{8#U>eo6kX#cufzqBNjv?kIW~!Y7O3~iy~Pi4{jHqaHuK>d z`jxIE)+h04-wDQ39IMG!$NG-8Va-uDX(sJG^I;^0CUWBvdN$%?|NYO4mL(-hO#c37 z4-uoQ@e<1P$-^KVUxW;kqO(X&)kTZz0h@fjp)_Wl6h*^L)*5qXfAZskom+>nOK_{; zxiW&EI&fTu!34QWtE~3ToS7hy1o5y`-oYhxgmXHAE#?H~K&q%HiRn<*t%_ z0diJUq4hRVpZyBFqIRq}vHc*gvDbn?!$O3_wG0XLczj?E+o@J7QAb;uAFW%Il>&7! z*1^uA43Zq$@f82bBUK8zgHB6vjNWBF`3A?G)}SE~v3SP|a4X{SFJsK)oi;^TBi-8j zI7J=A^TWeGMudFFqbrHMhDm;UE!kI}4%k5FPP?W4R%5d_74@Xt6&f2AR~jLBc-__v zF-mjjPmS6RJ>(}B;cjicwo_fRR^v9Yjn3f^y_VL;I^@sZ|21^+Y#iu2>pFd`ERBJ# zXU$fITz`df-yM*Qrr9=P6xYl7D@b1ahIVZ+j}a2tAN`hW7-3~awmL?XLIIk-=9|b_ zrmWxcuS@$y^KZFqMk1Lf``dX zr@n^@6ryf+Hq3scR1hcpn83!c^_TfVHcUrM3vp~L`CZsahvJQ41R7r}5 z_7xMXre!D_%om+$4|TBfuyfkvb@p$wLQ-1RlSByPUpQ=N_;AHLr()tHTo-(&WngXP za?IybiL9S!e19yQhkkGL1$GVLr^k4ev6^VAgh~&Bvh3@sdXug}^s>Kn!K!DJ{w}mU z=xmI#vTMp+u12*Qx6u#r^h&7@ZhAUiHFSFu+E19ndB@J9TXo!lzb?m>`1&Fx!HHvg zSvuO+JNVTx_Fs=ghiU^~*4tm%e}hS&242ANK``Q9RfC)-Li$A{IJv1}hLuR)FEJE# z2E<`Nh%q=uHr;2`b;$|omKk9)!~y91oDiYS$u_&SOpMO8u!i}RxM$%I{H>DXmtj4g zeuHhSpiS*?cq7u+9k||hr;(W}4DQrCq-YrT3n#JrhMXc&ro3XHt>4?4pB1B_*8C%W zsa0FI;hT)zLrIsPWQvv73^98DD5lEhN%l5yVan?KQv}Gv3}Y$=+5&(pT=Q3cR1Cg7 z`dn{WqR+=p&ST<}LX-#=U~e}!r9p)uD}PauJ;0^=Jcg_`;JX`lR>L-X9riy&ZIFOo zmq&hT!5*fNtKciOHbVXYz}|Lln-xFEldS=F7Uv^AyzXUVp-rOu+w!@8UIP%%I}20t zg*Isebi2gdKSX*>6-toH>W;m}&vPb_D+G3t7wS5Mj~)Fq4AcxuBoJNr} z<_53q_t}8~_35M7+?h(e-_~}1Fp%m(Sqxi_7nn)()TbR6Ya;zH8tT91eK@$cEqRc# z&X2-MLxKr`8HQhZVeHgN*YUOh-ZqA~lxLG9WB*Wu99?}h$xO1^AC_n!XAdI})6pcA zVkOd9SFAOlk9F&Cp1gMrg^sisAzrANQhHyzD}!<@RqN+mmo96SLj8HSccMKR-$^FK zT@3u>Y)X49G*Ii~{ib|wEBxSPocOlC`JrENtI&V=btH2I9aXK)o9&Xw;*_M1RC0xU za7RCuyR$ID7;)WJJb^?^OosOQPr4=MzW9FqC|8Y`cqr==aU}`Zy;q=w?P2)LiGO7K ztwnUzOcWE^7P}b-N8K}XBd2d22r_P$7|p)x=@S3d>1(FDPpoc(>GarSfw1}eFn-Y9 zpv3Q+Cwc^Cv)vzY8n{)2eF(>r;%B+IxL*PGk%voP=e_9Ptq$eGE^as!yJCGtATDR{ z>#`zIS+TPeb3*FjXYOr^b&Ujh`Vwe(r$&Nl)31e7RA>613ivY5orZB0QmWm)7r>e8 zv$dX~MY}%np0O(lNdoL(uGRh3>Hpd=P_e<(UYm?I>sNta&0g-bNae!}D@{94 zTH=m*QB~;@Ws$*(#gv-6eQoP3+0Bp3qk9;`WX^wjS)Vep<^NF7NG5=TV;?i_%8t!!^m%kF*?=C>0x~ zp|ht!{n(VDT`vYk|A~LZalN~k;R8|m8BXYH#e#u*rz7PZ|L#h!$Ass z0Iht&H=NOnGU4n`{587nM(29Qmd_uNO71e(hiik+jq#9%V&H$+7}n`mtoPu_iSTSIh*mzK>aEkhEW=KLdBmgW~RkqRsDgo zBIB7*)cNuav4-dueE!iydp6q_5<7*d4--N)=d^!X{u%~tpS^PX@K)^ z;S|qqX{prH!S6jWl@6u%ba%b5!88aXPnX+YV%LO@dBIhB#Or$j6z&T2%6U1+0d`hO zdBUT}vN^`SSgg?FQIE~s1snUH zeQq*K9CCfh^5nhbGY=@&-^IKe6u-GU|LaV#p77NJzYLN-zSwNA>!w} zJ=+f@XJwGh8jxbHx}D=ZOq_?Ut%mK@0v^5?o;3Rg)UNUQX1`hc@uVxMxt>eWugly_ zx@?p_3!gDjzcJ5mT`}cqvdxv11-Y9xclV*YoTkiPlVEjIw4v=uTb^qD5>m;aRqlgj z){Cz$xLNEPi=})3S;vySe2Fdpt))!A-D{Hb$@lm0pSlRqKLhZ-dy^^p zY_!S_mENTM(Hou`z;i7VQhxP6Vi*ZT`zU*TOuiuYt~1haEcx;S5XU9!WGj0=ZuE(u zYJ>5aj04I!K$7^QU${444XpZ81Pxa1p6vVY1%9okcKO}~`cCxmwubXz<@GuL{;eF- z^Zt4Jc@eU%yoh&9;emCtq{XGvb7O9a-UZV>9fqPomJ;!AQ7XSRst2l=)}yb_Th+Z= zw7L`Od|lxt&v<#pM%MQ??s5jjS4;jruJHJCFOxFU5yJg>a;R^?lGGq`QzUz$G;;LU zl00FeBgF8Xx4kla(BV3F6hW)`!&^prm{3&#-c};nK>x$XD=ub$B>cH`?QbCh%WY>h zrTFE=FP=oytBq=LP`UkN<8JhR!afl;GABF*Q*S+X zh&q4rq3p|Sr>5qZ%bY)}NTdfXbb!{kEt9N zSJ(%mPT!q@0-b)TNBsP!LDhWcJf;5~{-D*W4}LjebmM&00M1sZg*$wpx9?hz=1+?| zyoD~(^=;m{MFXQRsQZ8uke?RX7WXV)mM+iZr>uF-YHz&we8#GIWjMiv+==!7X1J1H tJ${gWl<4nfR9_^So2k$^SQ~{{uG6LYDvl literal 46058 zcmeEtg;N_&@GosC6faPqxH~Oc+}))VE5(WzhoXT53Y6l-oj`GS`(j0d2X}Y(1Og;^ z{k`{ByqVwJ%PqN26=Bs zXB!3qK>-FnVP1X#UI9LSK?Z$WPaj8XTLxV#YbOSN27Pq~BipYGN;Zz)|E+8Z#r(Go z21aJ>|0Ng(SpSb6H~c^9_v|$2140MQz-jJplo}cVihlUUoi)=dB() zgIdWf!!`e*!vW7x(V>L|*oK48F9nEE=>(Lnz zBD;>>=CCC@myu(IFB1FGuB5pXw2-r}9hZ{3WX;TREB3AJxXw;HSrd(k@Qa&u2? zM{V1<<4)hZV=ZwYy?VV2X=T*5k5dr0k_e5cRfc$E-FN6cp3hQTas3e49`Ko;hsQ1p zm5Ycs^Y#**oGrqg`>a=@9Ae!3cyxxK$J;@~aa-XqpD%{?qB(2SS}VTIQdjLW&BWc+ z)90G)lB;%BN)M2;otr;Cv8Vjf$JR@|H-C0?<6g6eK_EE;%v~;pWGyW>ui5t<4}Llw zm@P#J_3lW1HgtQG%$773ydU4(onot$aEW%%=D5F~EFvDSe`tL#Eh0hIQzh-Tks_=N zSzcb>Ts`Qi?5dn_r;guPS%2{R!rUL(zcC_Wx8b&!AG@^4CP>vQZP2;4TG~zrr{zgsT8PP z(Vq+3P=tkrA{_}9k+dT2#3*Q!;)P-R`dg@oY^FLW|R+{p%0ODb+5rr z=_pJYrF-Qhc=puqI(AUC-A`C|$T=PcZPZ;~v~ac#hc#nqyxkLA^P6}O zVSd1?mB%}=294RJEM^5Z|IrANAv&F_?9`HJKKNW$cc}hIS;-GqI#U4lwGY>DUy)tg zgZL7ncbftpLS6dcYjqSm`69yHjpz#2>)$d#E>BB9IcLMgT;#@tb$IK z8N`1yURQov>T17wRA6vpMtOzOLr9}#wtX?rsE0=%%4$u9PjvFpzueklU9K;+$mc~8 zWom*15mAjM*UM?J7G-!I`afnF7%LHXGVd5s;f5LF;jPDMR#Ock=1BoW-Xuc(TILk3 zbkFuNS0?kyeh6yAI$nKwqKzu)T082#mAcsc=#wf5`0g8M1LOltNpC$R9E^bsb^Ozr zEBmisgLgW&^g$C5y*KV%CcE*k(WAf2Ifz#D(XT;@mNiTd4%-?$GJ7tA8;srO{S;@E zaS;D&P0l|L8%#m+{-Wrn8_CCh)TaKk!9Tyc<{;O4D8DX^bw>2W*u^lYK2Pc>0`YDi z>P5bzf?nd^%9$$}LMsdM=5`aFs`&T${o1ny?DH0=Jh@9JMr`Cf$pITfQkVeglKmx` zhM7G?NYgO4+v{6^NUcS~+4x}pg;RfB$!RfOkN;EV(T4LVNz1_(p6lM-S?rRy0gXUUtfs#*f@We5{NcIrWSjyvmmnbvF z?!1AQmBQp-CEZNFNA!qybfqTLcky287_B7B8xIOZF09?tl3kuuKJ^nVC}dt)&TkzcK3B1h0w+Nd%K|4+y(p~Ck;A&WbQXNNmZG+8eQP^ zNhG4}s-U~;U7dyCgLeri0c13&lJ%lK$hSsiQ)|UPy7g zVgk@d#Xt9V;60|g##=ZUu8~-XNCp`?8*=boL@fFzNR3B0(@%7B5V_@=JlesCV9^)8 zVTf|>+ur+OVn&{S*?2Qha-@Lj zulxFRwz@6WFS7K@8Zmav>E?S=GsT3oL%O*9+E_wp8C@4$6?FN0VXN8Fl|G5?ce8Pu zCR(75q+bCy#!6qcXF+>W}9QL(UdU*-e_e5^Nn=)QPeWVkx1!Lbknh1D_2)~IHl{Q8Lf5-1UR1bn-CdV@r zS!B~7-c9=(<_5;AM@j*BC5ZHXKEi~4J-#H+c848dVoEbV4pDVuGjw3=tiOu9?nf}k z+}Uk^=%8~6(?OF$aO10Lh*J-0&iARW8>g30lXHIjIg4HG*Aa+W78x zxm{MEA3FIu0?E0*p(#0mM`fs5{)?`ssEZ8-IT?5;$a2 zEXh+GpF{dnD3Lt3p%8=S6$|~K_y$pq6#}U^YV8|`rRi3|q(`Tp&=K3a*Q4-uCF|pt z$t`~Da`)O^M}dLeC#^&++cd7~5?hI=VW$MvRI|XVB65qbOM4U|6;*hL-L+TQ##l9Q zzE|qD5hH{KG>z5t#_!9W*Tu7p#HvR;3_ukZZU*nmThvmx%fIODMa|zub5S&{?;BKC zc$%f096y?+L?63++>7Ee`qcIZhWs<4RMq02a#H@qXz#xVpwZr+fUJK5BV-2E4nf_e zo_Z%P=6nBfEf};_cWoH7m9DcHRF`@xrEq`V;4S|$8<1txRz2eVZ}$t|{}ubcPX6DJ z`u_kxEXO1eWdC9prHCtyNN$VOBNb$IS+^Y{WO!`ZfTD+v?2PUgm~8ODx@A>pSTD>w zSlO898=($VUe0&>PQ%Px~D|IQpXqAWf084zy##fCBLt=)yRHv&H zXtE8xJYC$R?~Ff%qWpCycGK?bY_8h2Cty(KaY~3Y`kq`}~&e>nuysWP|4^ z-P928F{Sp2HBl0w3k5((nw?I=nrNehj?HJ|Z=d?qyK&s)D^?uGXl&N)OxPxg^DmM+ zyrO0_>!v)SaJpI^gu!IQb^Wf(yF-QTe33bY zPkznW(n1~mp|d=2+=xS4(>$5q1;jZ0*>I(_2JEhK? zSKB>HWG!Mu)s>5N*kv!uSFt}^%wkjfUyftZbyS348}VM|`AamKzOCW%`H#Ea)TQ`Z zakHn)Q*zPb1X6-+O9PMw;u5WWxKrhx^%?kTuE~Pn&&zgEE44^Rqi!yUIY~vgDCh^>pHsWVf zETrGQrrxU^Q8@l*k0%uVBI z%||yvG7e;IIIQ^bbeU1;EsclCSaE6)rq+XQV$4sW2<%n3t|MJ9-@v@mf6yh)weDLu z`C2?)>sje)*J1Iwmyh~gM(JBzZyDy_c6cfKTUh_`PIImq2wmJozi_4IXz8O;Tw2fB zhC5mwQNM8I=5Fz(cBh`^bXDb%R==(f%6>7hkLXrnX0dnmBtSRWS;Ohx9DuHFa0VH2 zCBDqQo)39w#8{F6!LD~A8=zM61=T=uDwK+)IV5d#jow*P+EA@Z>{>l+D@rP{tdd^|7vBq${pxIEP^) zHP^(l?~UyzygaYH zIh{f^vA6&ybmN5ILx}NWE>#k0L@P!l+y2Y>l}aAYzkmRSG!lK&26p!NHOQ8D*2kWX zCF8wZ{mIqRP94*Pq#6@Ub(Z)2#_e!ux2``M)Fzvm^(hlz;Jf^))I0ZdMK)79CsEn6 z-0%6q180jI*Z2T|WSv}zWXPK>?-F)lg4Ud>RcRMKa_9o5Yt-ohr3B`x_D5G=@0kk? z?~&>JjXT0Zv5!SDDizPeoIXgXJfQ+ zU&jq&;q<*aD1FY-l0V@brF^-kKCjc3EBIz4iMpY@>TXli3`$wu#z$AivjCfV`19q? zG6@KpKM~_rlJln!2zo{^wRp9v%rY}?7ehm8q9fV2B^GUGDi#TJ!LO^Js@QM!YmjOC zct#iZcQ8MuUa!8>qyEOdyIH2rk1t35{l8l$E^#*YRAcsD!>i>dCGYLlU)xW-KZl9VuC;(Pt>ZqU`T3O3eW$r(K8N zZez5MYzf)oXlB_iNhzanxYq^&#de|2XplR^aEIxdxqa zMx9anITGI>+wRXlN;gb#raA$fj5tP`^pD=Ox1kW`qfai#qz2Ldi-Y84+Z(K%lWy_7 zi+LFI2=_@!6SiC~M`N+X*{NK%wB4alyZ1@yN}{*dOTslYe>a+t~ikHfT(>dJ&EUg*~y)x`%Vd!nkGp18V}v}73^7T1Y^LOi4fu1 zYMI}?HcYtF@0*7uKhjB1r(;_fWjoR;hMe&K{VM*?z#CE2pG6UaFi zO|mzDu&073d0Dr=Fix&1IsBBZQ%tHfh^Ugw-l*~betB~sR4@>7hSM+&^GjFVVT`+3 z5u$T-dwt;R%SHE{GtZSyO)9{!#sYVHOL@*I7Rv*u({hUoJ2L5Xb`grZIr&s0^I`HN zQ~L;(g0*;m(XCImTO?P$fJJcoUy**m0AfDy&A9<=+HB{l#Q_+YaSUt03dmXD?39jv zB0IK*6yZABT6fyLzg!3}UzjMTuy>cO%0knX5N(q0&1`scfr0CV>y2_5sPPk8C4Cay!QS*-n5T%=+XH7wR+29J;e_q8IiLvum(o^3?A$BFXEi0AZ zT0|#w^xMy7876d2K1s%mO>xf--wK`l%UL0PhA*$&nQph|1{KRGm}d=Hw(wfI*9pET z&~3roM6=6XI0!syy{HezTzIZE%{#J7VOOwON%0%%KU`sS=V}_dh8cm&#D`S|NVVFRRywD?(8tr$H?mX z(|vvm?)I7%`bIHgdF~y_t*R~A`4_*BKAHmlCkSW3ei2Fi0&8JKK`$ud*OOhpajApD zrp5ah{nZ@IJCL9MG`+n_a+J>$OZqyxC19=Op19+@af~fgN;n|F;Y@nK zj_!h?iau5frH!G>qw!Jz^ijSZ#E7mr?Y0Tx|0rPf?HCP2qDweXI zW>v#&o{`6#w*zev9Rsg7&ErKwL94Aib=A0OBp>_ zqfNr^-^nl=0m(nTYMVZ z`HDq?Kj$YIH}fzBsIzYoAG|7{J8yTA+6u#q(u5L-EqeUVjO{D#LOQyL)$6#?KTj?- z1mtNSbFhw9mqZt(<2~`YcZ51sgk`RF^Z9p{OO$fK*jXNR0dVJ6Dbi(5uvLIO0dRz+ zXBx{vm5#1^>DU@NY*Xhbi#d8kPiV^TVp$qaKrY^CffSkGJZMl3RFhlH7Zl8*<`a z%}69O?Y=qr$VirFa8&8awH%5w*b3CAuHuy_C>U5uL7}jsiD6)dd)b0PV zmMxXVc?JT0l9QWn@c%~RT*CoeAk+R+74vS%+>*w5Loi#@X=b=I;_ zv6u-j-w7q_xkJV96WsYU0ni3^ zorJ~d#$PV(vX2Re4v14`1M0F&;(znY#5zG>;GHW*QucEVng}n;0=kRHjnZT_Ca3qd zUY0wHzwk~*MI)Py6KX~o2YcXBeZw|5fRKM-0(BRV(IylxRsc-}G3goYz;Mhn8zRpA8FlPrl7m&5H#&CWvl)=APP8_{|!2SA1zu z0w2hKsd5Ze{N~%VJ~iC#B_?=z%IP`Hr?J^?@A_t0A>fXC6)H}yIz1uvM-u6pt&i$B zlOr&Jde&EK6B(3bvKBV`%#|Jx_}Zo#54Ow0lN!o=kvve>Yp3`VfRjriFvkR`oW z)fT=yD@v*M>d<;=g8FP!R1KV8ZD{xL;%fD~xFNR|$zbLj&Pyk=F5V=?eLf_Uw%L|E ziETE6lq65LfPB5uP+7_MMBm-9|LmkZt$PYMr1n)1SoB{PA@8jLJj$x>KD%K?PHnyw zjCuark-^Io)~VJXn%Ni3TX8J)83`|E8>r_}Tirlct*&~sU$v4Z?J_&1I+bN?*X2-( z2^E(tZ8+B`46SsXS4Pm*yPmj%9et9v9Ur`)P1J+;ESsqB{eBcc z8|Ql-UBc1Xz-l@F!Th_oJ@nW?LLLncG}qiFqoKl`L{+q!XE(59Rr0?#;Y+`<8IkQp zxV|e59>ApOZaG8}YET_4w!RB^F)G7C+ycTs!_MbjRj|*m1?Pl{#1Cg3tQL%zRZk5A zl`m@JnlosSI1%U6*|opX@eVr3#kinf5bIAe*O0#%%^SKa;HoFJ9Xl83j&~z{1wycs zIYwh!SbwP40gJ_=?#qBm@nj}uYGJCRwe!St4O#|+G8RKfz>KDzy02~GkQZUJBj90| z-3I^RxrM6gMqI9@J%hELj_F&8l*O~kHhwrFwDxFqCT46i^a2#M7BKJX1cLTPxMoIUCpRcJ7hm?Sl~^^|N&{%EEc4u3f7@21-K14^&F~ zN=-fy)k*|d2*J$jPo*lqu6li2-PGut@ZMg((2MI=y;Km@MD1Im7}VW|z@>lja9E_Y z8HraXL$6rLL$8Q7DUEnZ>zp{!ZL8Z&bL{q$U8H_+5sHi8AeDp40*|vO8Y)`?M|bSh zO~yvjGPu^uB zM%X(Kr-AGB>N`12z2_URWNx`n&ZLS&i_IZTQuRgDMV;~d=;zCBSac2fw1RAol7IN; zo_!6oN{d{Y!&A;46|y_P`T8wF7RBDQe!Ii7NjYf`VveWK)1i$x;lZe9xvZ*rOyd6(k0Y41ayd=d;X}0CC%H^@BlvZSeRXj0JdAe+70%sb!y=lh-|qI+fQ9}rO=y; z{FhQ8%-c*3GGI97v<&MI&hp(_%JNLQmpnSVKq>@*zl$>K=~!ZTG}9 z>?$3|j|LeqY`n~auKQ`@1#l^b#Tb9NO8nT{6;gsFPZCFm-S9j-_X`nhY;|>(>l|h2 z`iCzbdfGwDv^DW}I_BfP08@I=Ldc^ZooS|_D5l^~u;u4eK6{fc0Vtj_f_H!;vwbKC z&`vQb9Yhc~h*Hng=^=^Vdcr@`4?80JG)+j;5LSh%4C|u({MGChN5}(yzPsa>22}bR zCu^#jGKh(7KTQ|%&KbJ+d}zs+!T$sKydZsb>;wIWlHVxtJe{TBIh~> zvwrgFy?gSek+Ime6toz$XBn#WFtAFd_7Z;aF?rg|WDIuqPL5zc@njj1FZkuqPrI|u zi~HLJUycc+Hz3(Z%<0LLZTN&CnWbBwiAFEiXhcGeDIk%~T)rkmJUA_FYW~y305W}D ziPX0!fH$ss>(@G&o{V9EFT;H|g(5`*+seg9cLKZH7Q8>~jbm=FJB`7<8W;(-Zv!Cn z!7f$AKg};Nd`u6stUNA|G}l#857fjzc$`7?x354|_iksjcRj3?>{;|vSQ~k+3tkbO z%x0+_O%}mx+~4Q}xRN(+PikOwK^|wPi*$m_7KhzIwn2m78f?>gpq-hL{RPoccg3|u z@lpJeo8Hg`^-e@WGw#<-)o~zsWSG~^uffhOk>xa{ZA%^diD1mG1&yO1s|hA{Meu=L zqOlZQIv(?&D8e=|n8I(9ea@*?6OQor<#!5@#@AIXn1xt(iDm~l^JG`k>mwvEl#jV$rh}zD5cyrscEd*sb>^M z_&+zrv=PP4C}bN3e0EDr$CO$kB81O>))%W&*^#1kOVVLTP+A!JL8le@OYj{VCl4EE zyn>_ux+Z5sM(yNm)~MRqJU(;xb6p`7(=q+YU~RDs>1MYmg5M+G6BU1CF3>{Ad`)$bW9*$({F?fPd3!LMG9xmF zt+x{^1v+>G_<>MdTt5|*4gJQmd@xrN{hA$_j+PS7MPe%R?1F6ha?RL1Tg_5H3BVbL z!N{YH{9C92()AgV`}u>$@Zw3=JlQWP-S`?Vu@O%xzXtn{knY~eu!Qct&vpuPb7WU& zqI<8Gmw|gSSf7COV`)4Ega(iOH!pc~L~Fn6mjPpH%zs~S!Ju{J8*#^=p-f%#(?Buh z15(iB{V|3RRA!|tkRL6Pg6bFnqx^}lqtcsptO62saO+#0am(o!#56TTWHp zMuFun)1NXqS@e^W%;Ddg4Zh9pdVHz4bopdnbEzIua2sBZ1Nbj?`ZiI0%V+MS`ik@D zkNI4zfz{yH@ukS46su5{MGe3H>_Gsbt6{Jz74#CO&Nt`$L?~JtGJ^yHyTb=6hm7@dtR@k*==ZTHBXq=54;IL|av%k>6 z67<}K4#Pf|4$qNrDyEWF*ed>~x%NiML0kNfAhHn3;q5n_A~{;9JlLs+)!-jxU)iia z=8Ky6=E??w@k}mT;j}_R*I$;Lx6+til7iY18U}-pnE590OEgE+0z9uh1aQ*#{44)Q z6><7w(-|k8)Ao)7XYm;YjCN^voD+}SVMxhIU=Qjb*yPwWmJs;ZGx)ee6?2Eyd$t|e zo;KZ`%9ZCXnL)QKcwks|p? z+^TknSF&7LE>(sgk^tA&oH1VW#MOcZ+u48M){kOBI%iPaH_8tY zzcaigBbHa&ZE=~9mPOszRJFRO51-Hkb%QldiRaAYL$rT!VC+HH$Mi$?4<7U1qy}=8 zA_19jU*E86BBoBrO@s<~(GaM;iYtR;jezVw`Zv>_YkYTR|)EW5r;biDcpRPx}}hCe?o%KQnh&3=jhC0%9zF6*x0G)4?JnJn3V zUPa_ix2{mU-fw*r`{1ivW5&dog-AgIH?8=aqM6>9#Y)y(4+KR8<=#m_@g5{yx@tz; zj7%xWZvCT^iM(M@rf_%He|JhuFFkuCkR4`)zS701lJs=8I@TAax~xU4+v_Sdpn}vW z=J30U$$lh_BJP6U64vGJrz#n9=I~l%=tD!}daj)%OD6$hBC#@Ka@t~Rb>Hjz(8X+$ zG9F+-V~;w7wDwxBKOl~f5Y|#rw4`6kdtyBCCccfnspLBbc{8>Q_HTgH+nnA2X&8{G zdWUT~SMebW>z2sqA-W>y=5WT4_vLM0ZY#&`28Ptip+aid!VkL*;5Qk>kHAFt+dcqq zoF8w9NWDP(+Nbo-B!eg0R=@9*61e%1s8_|67k|l*?P0!{*Ws`gPGs8)B=5_h-&eMt zR|VV;6LVe5C=wVE667$6678&}ugXwddh0)OQA6rqH3SOwr+8$kV}~wV7VCaK1H0OF zR_NZ+zpklh+O8|+EksgHn-M!ykYAGo6|JKb3pnGHXW-imRBkwpNaGds`@`7$r~O#{ zGGW}DL?iT%dC2ue)x5OwUP+V1)W820tVIW{ytB(Jp=d^rO6w)V>-NVIc=Ml*w)X4z zn|+yNP=vC6hRCqa(tIBVgJK|G~fYCS|b8eIZ-OSOd-)7uH}v)qZ1W)6VT~ zqV!zJb@#hD(3xrBCA{&Gk_m|VLNmdsz@#WwCH3JCH?1*&pG3%2v>lKc>` zURvvqO2=w|u;U$qOK#Z-4|lZL{U1Dk#4O0LQ}eJ1K{11p_G#)o0Vwgw0e3K;t;&uMTfh%9M#vUYH?bg$-b4+G%JiM znsRo1^Y!L2f!jY=%A%)4$SwS~k@|CylOe6RT|e?;&Dk1ZX1LbJq(nd#=g;iuxM&vE zt}ovI`62AGoLtjYL;~z)qWi#8qV*2iHX)Bjj&$e zu5^c|TVGtAVJ>W$|2(kRjZ|RL2{S(|OYi@Ti~G)bPuTCr=gTLYad1R$hvdQiLwR-e zRiN)Unu4qnd$s0F<>kq<=(3`HWz)BLv^J}TnH$lAL|N_AdHE#<+P-B00X(~-6`;@d z%gJIj>t#Uy+|70(jXl2~be6NX$?UR()7?dh?kxY&q~;p%WN(hY0hjDAByf!BC;t`x zwy4QDs_!|DFlDvQ_WSlFK;6EO=@92&vjr6?fN2nKa#w`U?%A%p4x(hAU-~a+w@>g#;E?ejR1G$QkH*9>MC-6~^w#|F?a-sFrQrNjG{mXvt8|~0 zg#S-w2nKB*CqeQJtltW7nr&7wqhN(>A7W}{-$hkUg&gm81)~#>8#I_TGHY5}9IRKA zi^dWeUY_xYi`F0BX-ZC6{l>*UXKb>W8gdu;WEUxT$0~ju^Ckk35S_|;?%MiX_uZyp1uyTFsi*m#M`i^ey%*AvM&Lv6WoWP?{*~?UeH}yY(h~YHvUD3P%9E zP2#l~If3xXYKLXBnvNccX?x@8*J%&8pf4uL=OZj;JuQ-xque*!)GyQ!K5vVHQ~)y8 z)B8D%V%Ah!oAcA@Ec_=``8qP;t(DP1Kj!qXYa5ybx4*m{0}#ywXwC-$9-bESas_m| z24)ir-L4bR9^ZzgK5u#*k!yT>`R>jkw;*ih#Cha=`KNTar4y7$1w}AY8C@I)mYT z-amE1wWo-;ptY^B#wXa!^3I&s78>tDcEmO6QEG;H{hc7{OYFsWltV2zO!f+qvY>ZE zUwnTB2s8>E>5-2{O1ga-D(0|OFfzt=Yu%v`d$nRBr+JuZ{IJh)f$&;od_T&yY#%o# zX(Z%(ANrZAIjqyf$(r5z;V@YGJfkTz_OY?Y;KWf~hPQYLC0P~!_yq*RTqnK_e~J%g z_v@Y0hzj@C zVqQA_Llzvt7yU#9@Gt()#|(1#N~GDTI9Um|UMwmm%lyWMPW8uyte=xHSh7_Pyrkct z;T%b7Fk|$+{||ews)Q!9OAgkOiv`R^6QpHKweIT{O(hMe&d)72#}1b66!ZFxyixwX zN_x#&-2Hi1jY;Zt%wo`1Spl&aT&H+UO{R?fE|>s1C>qIjKEuH7@iglV4y&(2ech9J z&Tds`!ZP+o@=;7JZ-Zh}F2J`ODL%PwhaYy*F``usSMmPYb=6I$X+m+w()9sG;D`Sa zO>*E=c{CGIC{uOJZt)^%$|HG1@k@c5V0k*K|BuE4xxg93S7&(xXg!F1YnfBv>!9_0XpB}ex_$|^S7cjD2a2N=ETzz0V*xJ4KwGUI7WnUj%^WmNH zNEJk#fPCg~;V)}bQZQATa##o*xXdF-_2+)ui=nC__&X|`39dsl2n#e}8KBSi1`f&- z2mJuA%%Qlkud+%u6sWrEfY3f*P#&~51h`Uux|`pziZK@tk9bFOV)r8!F~>nuyzm&v z?58)GrFRAebsWriIx#xdYEcWvti(ZhZhG$)+cajSX+?*V=ue(^^&h+`zF*V2w4&ZFNEbqT6w=62`T3dY!0c2>LPR> z@WwuY>G7NyEUyGOKJq(slR5N?9zA}Nlx9k=SV>{8`p&;>?LAdhdFCI~oZei5-`^8= zq4}p6h}D{{Xc!=p`h_5rwUYj#>Xl)nKk=&u+;tej`=ocfv+Q=Vf`@t^`vQW9hf72P$waOyEjSz;#;fxPuKfun4Wx z38Itb#TzkM!rpa0}9=)R6dhPt)Kf6m+hn!K6zmQ^=&KehR^`qmg%Tj#`i)m-Nt zF;oM?hhfJx?-j>YQc!nj(dEzXtd}vy_b%xXKY$u242cf!=*$P8&I51XS9s^UX$xap z(96qqD>XmJS!M1dts3G7JOdaH^1uXZGztSfYtwXnX3Cnba~;2SOYt4vS#={z29fn# zSdV8LvPmvOiOagn;Xi=UI9Ba*ODU{L@hP!QQl0&a7^}n77EK6ItNxb7Ef2vW9@liz zq+O;aOu<)RrXsAdm>BJE9}54H1TrNf{MP?6Vq*eDw6@z}yJg-PbUJ<}oYP@0md>g& ze3N0!)yn3Xcy2_V{JNbwixNp&j%S*g@7CjK+paTV)c-luy7|u^OOUe2%%n=r?MU2I-X$Zl>|IH9p@LiZa&F~( zuN1DuVbzPd&zQlLeG_YQ49#Z}6Ubm1i}$@xW*CvTrF+}#^PpubkI!F=g1h? z9rz4QCp=N9(77UbZ}FX-{oYpa#43AO0bkYc&pbpBv1g_5No-6ZaF}|EvS-182#-0P zIInX&r-oRQDZjCJoWAo7j2LA!UUGwtICLH_iK&m)g`zDxW&1}J-^xi*TD|qwg&V0Y z8KwU4E@c&&8`+ZLn4NX1Z-5(g6lJB}Oy>GWArQM#Q?SiV{%+wOl^uwmHK-n=H<5Pc5GiJFOB%QsDsWu0w6@%V>B; zYZ7lqZaK_jwJJYzgZV`;eZ)J#uQ)@DRu4QMLhaZFX&a=5!#(bNn+)}M>)qb>F9-hV zba-J9x_%z+5uGvTXL+%1o(!y!|V5bEr;DpbsZtYkaScrORzCgyvxct2bOT1lj zKZLQLG0#z_y>t~-e=ej=qEMMF3?9Ph{rda4nww_p53QS{ANT4*unG=gVCTzFTYj z*zyzW16D%7JQQOnbu!A6z)(cS`t6?>rAJ-h@>|Qhykq)D3JV|%23HhBtQ_B?(s>T&lXRLyHfDx=v%|^LC9H z#SUrN#e%cL)QT%!AuaNV_tOr8zDYq_$LrY3raC`Q#dmz!o!si%TE}|;o)c_3-WPvT z|H$5}w82RSeiS!J$kDEZJm``(u$7VIIa81(yD7n^UTBUJ0!wh+?j;xojx!y zd_|pxo|Ha~QE$Oc;zl+eq%zD&c9D@Ecvl2J-1f(j&?;)ZPk8Iy{?d1^S5=nj=Gv_S zP8lMcALvK5)&n>v#+T+P1Zm{SxDeEusvlYWB0Ubx;r%ae2c-r1_ZCh0{rkW2L$`9I zYuI#{wWbP~qI8 zx_PZFBSAlk{S`XTt7q}aO+Bss0?2Q-3|?qRzZq(wv%#*)Wrse?^sv@%2lVULc zN8@a%t-n&Q81ODO%6t<~7}#@XyfNBsy?-h;sxd;FNEmS@f{^^*z=X3ETJFi$o}?6t zxCaHYUhFR)%xH(Yo2CyAo>FqJb{&8CdrJ5aLOBuBAsdn?rG$s3bs3uCrwp~%$%RLw z9m}K(ZhSB5G+#f)>r9Vf0+#UOZ|CfCQI;v_M63*4iye4)LUzf{L}9LoE&{%9WZR5E(M z)qBxN&maJJ;_T;k?ZUbaa<1n1jmELM09hkT74C_6QXO$Ff?cMD2o}Vi;fxh*)cB!U>-;#!u)VC&39WhU`C1053@7PW9r=9VJj>oB(+UYr=z2yLs z^y*@(M?L95OwQ`-!R#^|v9Uk+v)q7naeJPy_#pqE838IVBJeLn)^tnFklY34#px}N}uC&oS}sQqpST0X!}pxk5;!)e_`8~j$g zzF!fH_h8HUsDitie-pkiQjj236|aZw3OH;MNZ>0Cc$|_E2korAwTKcpihP~Ox{oe8 zmT~+GdXNQCtGV&C8n&PtAHSTbJdTy1B(T4KGQ3NA=q^QX)LiQg@<}_I2CvE*It*9H zd_4Jz?kav*tG?#fx4?MJdA78O>EE~FPMZ>6`=UrofZB5bdpUQ(lIM*V?%z|ST$0;3 z1L}IWuf3-04Z@xVr=TB&&{oN;Y08C%*U);D{0!Vj?|nc;ok}PoAaI2I(FXZ_{6(E6 zr~gU~@J9;un||M1V6!L1s2g)p5KgFteC=W}VYFIhEAQ>`ZzTFo4*j3WcW>@|ePS@H zb4}gg2QLeUVx1rGnd5t}(g%CYV+BOXe8#4^9O_ZPK}RCkZofP4=HagI7`)g;@9?x* zO#2~T?_bFRo!Z(OL z>j+%J?(SE!zOt*nUzkll@kjVi)VP>O!a;4rvyHn;b~M~$~Nqlnj^on8igw@{^r`jYOC-l}gHHd!MG@pb{P z1S=0%8ooI_UGQV2veqrnsW2ICF^xS=ngNnENPPDAuojv3s(;CWFNJ`&J~qh>kZ(G= z|K00|{Y3;42vh(D?uP28U7?e&jAD;=jyD}jGE3jktHyu?cvTvp5uZ-B-kF_UVhlG^SPQ!h& zmC)yqRq3t)o%QR&P2y=V6@(%H@ce0;FVdI9m&*u8e9B7`IYJ}eRN{4-x^|Hm4pOxzoUBWccl4xSnewGb{@H)vW_i#| zBY|FKl|Y}KshtUjeKJzuLOF?pJDBz1RJPUapBootN_gUh$-y$u(XTdg`34WJyy2At z2jIWNB8fCSFPyhT?IWcwuu(C8!D~A$A>!vEFF;kv%#3$Gn7dWStU157Bw4nA1>N)c zC^uubNgc&wp?95U1Fp!wIf%>77@12J_Dv^+WzY}$F6u)~39|~pp4yrv(v70;o%(?Z zF3OW8%yV(@K#bMP;;0=YK^q+zuIURwhOB%^{Cn`wDu#Q$B*r~Aw`BZQd%b*Mx5=A4!YIIl@y<}IDKCib zWs@^o*}%$3-rv(vfZ*WOBYpJQ;G;oe5NEvm7it=Gt|!Zrrh6b?(|g9REpvP;Pi!e^ z|12^SIr~{b?fYI?;c!ngIt9oz|Mm6duvyel?f+rvy5r$`-Y#pE)pzyIS}i)!JF62l zi0C~;)I?`>qW4}yL_{Y_gtdAvAxeZ*qD1uGU*F&7{eR}(nP;9obDne0%o1%CZJkaTEX`=%oPE|J(#NToa zGR>?VVoa_Y|07*RrigEZOr4B)%J+#%GN( z@Q-r%vR!}c!~c3#&(#yX22aFnfW?czZ(9TPYYt7#Bj&u97+M`aB%lcjED<5OhuB1! z;oXAVey(psr@c^(BEdwaU%Er4mf>vV_?{9z22@|Zw@jxT;Nai=mJbmPm7=MX#rm^g zfM*-uxbkJR`Doi~FuoaQ)>H+ltsqRtVzVcv5N+%guQ(Ms1MV5LdY5rgU7Oe4qdIH< zRVS#dWZEy{w1QGE1p6*y6+2j|AL@)Xc(P^4>M%gZGGvJnnuSp2dQvK4|Bk6$DvF!%fjW>B|5a}Nsea*krR3Xq#yg!46G%6j2bnOk7f zPaLZIetF^|!n85qiH)Khji_HNaD*b|A;P3T)DNm#!Uo%rsj_4krlG!T@#vi2&gMe(qMEwCjeajNR36Dn9of_C8y zOpgA+?dwnE-Whs_VKwI`30NUG%)yYuIef>o1z?J;xA^k9t9iay3tzBE<}P*dgPXj~M}f?T=Gi;KVbQb2v$lQR4Ju{$$RPGGsu$ z+9|Q-MY0M-!&{3;EM7XGZK7Q;22QMq2j^on@e|7Mw<3Z%?4T4y^6P)Qkn&mtZ;M`h zXJ<~yv}l#aqI%_naf>1}EBj4!$PL_wo7x;Zr({xADyOcZ#h-DFqE8}qFKOr4JD_Pa zxb_Ql*Y|{bs#pYZqcQj;2!6R{uZ(zcefZatZVyppQBuPsJ#vL3BY~F>!{O%#Pb!V- zD#M}bt9#Uq$ctP>cFnZ9O~JgaW$Dd`KhIn~Dd+d9KbBt-wPy{JP)*bUmjgf3o^2r0 zZMtAo44C@mNPPNXiPMSuqMW^%6z0{IN4(x`d)i^DmJs05^G#Q?nH}lET>lbBL=@Rx z=D_xqH-6|QsL<@>&L*nKGX7X7gvcU^>*4IKaGrVxBSd4B5$S;+>CHG4S_`=Bm3`2s zI^VP`VVH5h+)@*3J5Uw6@ux{|yi*gBxS6UzTGAOFmdSj=8@QTGGMYG*3O$k2^%)Db z1d6j&XXKtuPGcq}JY958KWkljs>fqh=l+i!et-+p@#nQq?;>gMNNTsIr!gf4&L$)j#6kQZG-?r`aXKEewvXmZ+-F>bejERgtNT^m}CDg zj+?gj!=*hoQpzyPUfRs=25@L@xS17XM{o;5GL25zHy@Ra+$Zm`4@P!wzW#d1V>f7# z6vr2+$Qh`(X!H8{U_S)~wa^RHmd8{%mCZp3z4?;ww7uoFyO_6x@Z_JQNqf4%L#JFB zI8|av(&pe-A-iv6hkd0-PJG+PzQp(V+PnHiJtNlyjts_1S#bb!(df}6J3CTyqfkNv zPP6+Voqt_ocEOZ;_Wh~{c(-(uZ9_l$sH6ZPdjx?tvl}Kn!P^N42mDp zsI|cikCdPxr+2Koba=_0^c#@duUXf}KU!{yRkS`rKc83Nh9i5|;H80^u6GE0S;9B! z7Og-sR1_AXRKS-mAk|5}qquh&fAQmRO(Ehq(I9wnbO!fNhRwZGkfW0wuMV>=~y)d{*RtSso`$HEMOa`b0LCthGZl#yF{ zca*}jcIBgu7fURi(jGfJu|tmr2L0pZI3UceUCZA+^9AAv^0zM$`RawNihHJ9fWEV~ zr~j$1DjunxjGW&b*x#(rVD8aO4sBcTZX*?vE2{76orlytXwtL}c5vPV69>LRG68?j zF$;Tr-SL&(HV8MZ{1c%P%$3)}gUfhVO1=I~bk29#MDO$~nfZCQZxBD)EHd>i!&Kyh zK!NWcvL^NY0n7AaT}{Yi9%lB?dVL*ds#RCB1ryqJOQiYDC=&cNXg-j zq{O20m5QsC>-~&2w7~75x+H1s-}-SIk$4_tiFVZ=%kYgcdl8v8+{HcQ{4`a zZSz570eN4_4$lC!M?=6gGvD_SmzL4zY{K5adwMi`-oLoVc77MPwH9(~_>p8tk6}Xl zA!WI+<733d#Wa|STsIM`Q%)^pSey?pF(jgS>+t(?@nb3KxGOB6q^M65J^eq_G zEt4=l;f}uTvdl_R@b<$KYT`26FO7Sxs&p|cdX+ULO}`-JKwR7k=KpVMa$|xarv~63EEfqXKXc zD_Lo;ZdiZZC)-9>Y6SY!PKJ(dyAGKaBhCDtz|5n$#0mOx_^;6ar?M; z0`J%`Ywaa&drA(te#k>C&T@(7BKdAG4GImR#<4x+m=ETk#a3;q<`i%(w>%@%QXHRM z7BuA+n6T=I$vm&n8~dsu?~@&*b~J%qgq^SRl|t4lyCz&p(ifrZ$T=X-12_W zE(8`1VT|T=lM| zU>aRhpGe;mA;fOMP?Db4bvYWG6Wu{xz@s z8dJ~q!2i^88U}ygyX;ro$d9H9Kh9V-0<4frF8VaA;E=@4_Gvo2xpw6)U)j94g;t$o zOY&mbzA07w&AnkIm+4HSTb-zjc)yk#37!i=yoYsRV+RpG z@#_sxf>uA`@ovEA%k-zf2lDM29euVW|G>}-tYH3(H{3bPBY(B za0N1TR;kW+jYHWcf`_Rk`2~0<`2R?}uin(dzffoo4UOP<3{XhY%IwgW2((LRq-X~{ zq$b%^3zjczta!fWW1y9itaGyjq&wys^{UUhD4k-RiAs`(1jdoL*Hf^S^*8IEu-97m zJ^MnTzWrg*z%?Rivh&;nHZ+QyaU&%qQtk+3zsb#FR)2Q3Os4)3aQ_#d34+`UI8hAm z62k2d{bh0V@aISwwIlDyP%F`FNAsSsyB^}UxZBGAHJ36wnj5>D+>H?zWQ=u7tCJxD zwXT&+06t47`+oQH^cs1|oSs#f}{S2ZCupeVYf0sFUy7<=@o@uiD0YAv*~+CvM$GWax{? zjpKs`K!u8m!nw$h5B3TjT*EMStP4=^Ue}rNmnHlu=VV=ONa&> zfjUV(B>Zz(oCSw<5T)`|y1%HUx^)aK`u>+ny!JXW?e3?_bH8gMooRofMQM~laX5dd zc)q)m1lVGoKK5Nk)vFyY9l*)EjEyjPloSig+$$G1<@c~!0MkmHN8zUj@Fgu9qz3mk ziMhZ;1r|u|oj9)MOAgzhe^ogX1zlxDZp?w-AiUGC1{x-N5Fur$gm@jd;xNZxsi7Xu zQngEJ^ujDgsFz6bN1`O>qbt?P67!zhp@(mo+=7jOmF)F1g8Ms`w5tPbcGZsVW#KZO zl#x)8GlXVnJ*+YFpqVp>22!Fnim6lu2ijK;z8!O;+N7?v*>@_5)@q* z_sJIxz7MRUq(<6%s_MN_Bv=pHy(C+PJst41F&*aBVVb~DIsDrUPjn9k$E<0kU(pH4 z;BFJKzQ=yA+U8vPD8VI3$@v8$lHZo|qgt!M@3#pZ!r0ElMYR{L2fld^KJ->Yv&CL! z8sW*mFVpCWFOO(ioxG{h{9&19Rp3VcPp-V##Eu9v?0i>!ykB6{)vN>ixj(%4M2kR46(Tn2@EQxgA596*m461?J=_?IS{v3?h}|x`(M8`P7#qdA=)n zICcXgOw9oK=Kp(K+s|`El&KlUB~|gM`>=Z8Q5M9K9@FfaWoFH6Qtw+RU7V93-l40( zVniGz7UlzapkK6VQ#LmF1w>2!Q=bHKK`OG2fhFH(_2CPHku8Fw^O9=yp5Dc%GxD<3 zA}*Jo7tg!oN)4=6Ce-Q#au0BH)n&p(E7577fo_1omCplZi3r4=pr~S+9SyC{iSM zBJzP24{9uBs(6kD(Exv}^N&*%Pi6&E3~@7iu|%-cd8Mu%FaG>j6dFfa!5zdbQBPfd zM}Ujp_>na6Q6H1W{uQ$AT|a-^8iTbXH>6Qvu|D@o=fcmB#~tr5 zsOPjIQ;uUQa3tWZD48tYB~|GDMrGgEXv%ykL5?WlG_24ekIZW`Li!Q48?4~SEJ8{| z`sOYc#sdu`^`$uOjTE}l{l1uewHNuvm>rcf)H&QTra6f7GE{2oSyPeK@O%@A0Dm;B zhXs3pFYd|~V=M2B3FF?FzjEFgXMVDf(`4+IIr;}c7AG~%d`J%+ovAkN77=3}OY%Z* zo8ZN_isvSxksJ921;lSOuLMnD2T6KK4?v{U6r*0i?sPJ|rXAZkRSPJ&Rdta?;J_0o zD;!Xy2&?VV(QB00!P2}+720}6qEM71gIiLE40lH@0)M;rUg1vzDx7UXK!Y}V3H z!S_AN&3aD7;cGH|D=nI&ya`0r>0Y))fM6x}dCT%U1i@A4NNh$fo-bmas>p`>2K%yY zabI2M&`_rg# zpG^qPt#rm;&`N2&p%iA45xFFER;5^2S3Ha^&Lov`lX_L*UX`a!JAwbNc*6l`=MBYw zNgRdjro!^*PuRm-<=MrN?@0-gK~5O+G9K~5<6Jafe`7to{-7=1P>Ut$Eaq+t=EcEy zR>-&!0w?J7st(jsRlWt`A!^}?BcQTgfM7R`9xSuW3!N7MUtl(5;44XF3)_#4p%!2&Z2tDUCWnVCu) zTj+;_7l3F}QqO9)P%=v#zlk@iB0|&`&1MQAv3Gb>boZFvGqS+an5GddJ_)sA_C3#G zF>YQ*ybcX>>bx<<5R%d5byir4ig95J(LLa7E!@v_DBvWu1x2~{Hf9tx8=dIQ8s zpWdyL+59KB35H#iAF-Koh%g~&9r2oqM@b{r-4y(~$>Um~t8~Z$w&-;#^x)Q~#0yi1 zmPz=-u&g5{^nc!dTDo8Lzd<9w0 zS2~1{qy6!jMvD8J$sCyKhlk90cZtbv1FYejcWMJU$Zy4g9bKCg!)B|hy{+FcsJN6- zNz9U+Idc0JLQC;*zUTBM7pvuTKO{?&S_HQ(Q3K)^ zuE}YJc}eLs_U&yL|6vb?C7DHyjJ5~KZQiUhr$H8xOvo!YqjrjhS%1;p2%*}V*@I^< z5kfa%(&n1kCLgH&bx?Dj5UIuTtX{I++S5n-F%kSpK^UMc5fe8o{T_Uie)??x$j}q` zzIkvV_ZR&NkeZ9}Je5Qw*N}l?H^|QKP|ziCw?5?PT_HF-US0MVbGB>n1?9h?b%+n$|B0Ql$TCx`AvJ=WvR`7LP?pi6 z5mJ38fi}QBtW>xHguF@hGD3d(MxQ+iNbkEB#yq(Q%aMLB*(m(hvAbqAGKJT~?_d%xE+N)&~y^W`!_!flLqYwk2r4 zc1L3t25IcMVGiVdU$O}q53c-%RI3;x`vr8Tmne)sxtvbAWP_fwq9zXAQ|#WNYpdLc zGCqURfwsW5;TH8&Zlo(izQ_Irb)xpbbA)=;@y+Y9H|_4C%HL)>CO2I;ux?pRC>+PJ zQX>sj{qk}IrjBUli*{9;>G|5-KSmt+y7V*QngqYyuV z_%r%#>j9e;k|mCWkd&*}PItvNdjwB1(=5Ye4lr&7kan;)C*J#*w+?-Bi|cWt{22G| zkqY7Vu7m2;Y+htgdWqd{l-bP{>F_KPmLaQh9vwQo0WnNDt<^FcNk)=IC5+mg!7C04 zi0Z=$B6`TRxQ;-8>F^i=ZCf)R?5Nc5l$!h#fV>!5^`&%rFh?gE9`e&81jss*^(kEh z`gvS-UN5eD1$TP0d%{y4(UvtyN9sk5LEk4=rQc-|b|Skl?u8I@DtjCl64YMVxYIeA zCO5B^h$MI-_3%pErH{{>ijiAC->JL|Kj94y((m(2Nh3qNGNOplMb_rYvgTbLx#+G3 z+%*)AQoh8nA!k?T#painG6Q|{)6X8fB}UU@I%uz=j>wQMv}p}+yaDSm>qOn)NE7jU z_HyQiJ3RdKfOc}e^e!CwCi+}Yr4lptM){4bYB7y^JTj7HK;kd1Tbz}F2%!g#2-bq8 z&06FE@ctZ;##o{r_Moj@4I`~rkx?y?Po$p$W_1C!E*%XMSy^R*a5}wljJbHJ>8WY08^-T}wJ3hgbG!7%!lU;Z$tUJy7pvq`sJE z&?bRZA@swE4VT&NTOoAAn`{{ORlDd)ybon2?!7Ztr@J)Ry?}%>s75*4QZW(f(?Kak zM!p;~W<#ZIjc;j&L zRKKGf>(iLPC^DvjV1EH$Vk0e?aUZnN4+`jK+wMOd+Xqd{w^0tPG# zgZ`?bDq2`Es}@*8?+Ad6=-IKVj)N?<9V--9`D3OJFl&{6zh)zM2E)1FRGR|9b72Pr z!*oE!Hlp+*tUxDcw)zt}t1TZ)T+BC|++lz}JuG7BUvM^rdH*_#yS9lp1+mg^{wqYT zZcmYUJ6#kO|7Zb8Ze%on5qqb2FM5$F=Gxb&+GB81`sS?35tHnXC-O+HhB$hDJFY5R zE7E|x8)ly{QC2jOFfDeaER>fPeThX13Zz13acZanLJrbdU*=cP*J=y0jkokJ{*DUc ziU4a$w^Cew{9vfN4_lz7f16HTrx8qdC^qcgQT1%VbmH+|P(={uz^sDbT|5kRL_9fl zw5T3;v&%Wx&^u0pk2->&-BKPUN*7E^DJ&}f!<{4Z$IvK+@UDI5PPYtoJTsSmt^#Xg zBzG`km?J)h6irOUXAwT4c?7t@FKV4s*XpB*TmsvwFNc8KsELmFIM!0Em-iL6H+9be zYc325SDp4_S5if5V)-n@!*s#{W!@s{5$mqS$P_0AH8pkKr~};mMqOICgS6t+J0#VR zZZLNGtAytU43Wp?NI2aEja_CitI@R>+LJ@;(@n_M(P9eKLHf(YKh6-xjsst6mp=bS z%$h}T3^ctc45sHEi4x!C zE1jR6K|!o`XM_XM-6`)feZjQ1-!Zd&O3S4^)MGaA7q3i^{OSYX`UhM@2+^elV&v>S z(l0uZ*5fw)OgMCf@UoOf-69I+hCoem*-zb>TGb?X2U=9a>U9<(Hf4~3nB;g8(+1!i z8chEE$?0*vvgEH_#_hrE@7SgjE!V$Q13n{uHTnF;yy zdrERj+&`=0qB+sl!Oh>Dcq3*%8zaVvXW#Z%qv(Z;T!m*AV^ ze^9@JrW;4yxiXk+wnB&UVshAo(*>oJ{D{4;u`KecJcv{a%X`xHf}^Bc^M{igHGfgww)w z=w!D3h@m}6VPVbP!6f^F>aiU0p3gH(!|0?N&k^f9zJ$)kBOW%6Vt(OV|CnpVMCtQW z)OPHa6lOhq&TCrd=UQ9Pn`}y%!g?B|3J5lex(PM_SBsE)ac0 z*bhoBKRp?fS>^5w8l~+=k72H+Nt0F5VkNyRu1F8{nWOJ!N40R~uFSOW!(_kxGE{?a zsTw20#9sg}amzeOv+m;PuTORp|e6VU z;cS&E2*fTWvw8U%aQsGP<;Kdzw92VRORBrEd0plCOZ)H#RzU&fa9O<><9m)Cx<8n^ z52F?O#k^~nX*hiBEnK>knS#BE>nhAHok&43gH zcP_R&;YhRKB~{PH@a@c$K7ql6AUdWzhw`tppD)VY)m7!G`O7@8htx{fd_-Rt<4KkI zL}*!(;eL-xJM2mZ3KDxhusYS$E*Slyp=UGZ^?MYJ)&fsdP-#AQ92{pg-*ZEqvo{CoW=d?Yi7@8AjbHS61dJ|Iw zLyQ~4Jl#_@XEGH|qcmND@zFDnfmd#9KC0S{IN~-2nKEftPsEsAakU4Fbe#ugS`qhG zsXor_isuMl{A=eH4}gHGS|cwqC;Wv!A4wO!`OBg_yeQOgn=VNeSr=A;p)|UNk9YFN?rK8Inz%TUylS z99o1rOP&Nppu`TZpQc^Y?eU*#JPV{(uT#it!WM(h8f5Bey5DUHERXz4ZWQV8&S@&K zbVE^+rd6Gbd(Yqgt)K^49N>o5jWl|31gv%19xR^V^j2%whYkZCT6mC4^+V1frk-QU z7t2`um^ZygBHGAbbD4#{Z`jOa*?DBeq%HjGXDFNgEb;N%FE&#mDwxfWj7+k>x-Zhb zs=^9@3I#03?Y)BWQx@9hyIycy8;sP6d_tKKL0@}}X9=WrhnGjqkztAUk!Zw>*b2k( z-1-HgEbZ{BsA9!ktW+ihfx>>OM89-C!me~ol(M&6b-*WtwxwcjcsB34N&5%S*}&GE z!L|LTU~7taJgLNs>Nq@&DQuqGy#lfSN46tlB*;M!7G)M(Jur|x!Ak^vsZhqB#`h^y=5vBPj^@*=+~5qE(I z;MWxCk=^k2P@y`cDEWwg>nRvq!IFh0;I`0xLX>kCzzypMm!+@}CIze&_F|E{0o}p^ zS+kGBc)KDp3SOFfO(713;sdC)a|KXD^1F`*x**&dd5KtqY2FF_wlgd2xd-VdDh z*GeeK(>=U5B8y`F{A4xbO*4y@puzlk#EH1HnAv`w7?3IPZy1ZBgEU`k452eM*y!kC z;7clh{o8I*vL{fdjt;!-U^segv+D-1esC4) zc1Ar9oXKYy%*oH)riBv`VXhwO$Td&6lfNaRW$q;)5}fKU#UK(SwtQt3``o#JC08qx zTu2nYy$4k)&UqllT$H3`d)f=T+z=rkI$Eh3vR0%GYbzxHcavC7ktY8RDXSbLeJUSA zMGFN%p=}GltO3fsQC8y>1i1o0z2~u~rb;sZD0qc{xqeDH3W`eZ?_U+gAG3|DJ0?ZS2ocmqOI{v}lRV5N9@BWHH(Ob-zqh!bcbJebg;UQqy_L-g zsOK@qoXQD%AEQZ1^d`oFR15+Hg}wJYxvkpm2x@Py`sUEqb2pP~`Te>1*=eO$;p54Q z<4&?@9HJ!fsz+f=OIx0hYT{D5V9%I|)H%+}0)AFY9U!G4VFFz)Uc3;1r+gGO9E-lG zA7Ks~f@D>+=sAqCO227iRG?Eql&8NikpOS;yE(~6#J|^s4yVUptb4rV1N-&}$)M<3 z+hPwb&?i+};0{PDAvBvu55Rxi6hjs|DG7PHwGH`*L9B{A5Bb0E54ar+~diTP^AWK z(v(Ytfij%Lphz{{iK8JpnugHJ2DdtQFyF&($_GdGnT8{~o#W|7Cd zJmwaA>a5iJj!Ho(2QN9;B%RO=_O*x&YTBQGXR&J9KbbsE0MCW-f~~9GuS$bo*%`_l zuLp*4eBh#a-~mq+Myk~6%}l_4l43rk0gLH~J~gCQip5i#_G9VRQaO#;yV@@YFnVCG zuo*dNEb7Rq>bCpE6x(0Gvg=Gh`(e@iMM8mQd87kXb4?*4J?wC&{-JTJpSZsG11ubm-8B z4@LMxN9FaOQ^)`S-WI1g?u9WUOXHr=u?6<~L{+E`cC2(NGPDip8`v(@(9#2tF_&(3h=9c<@FC+$AOZ7v*$?{MC zH*z1F=#nE{f-%dkS7Hs{V%7l3`c+5j^T)C~ zGrA=70&DJbQfpnqQ^wGeUI=)UB#Pw>K0{2jXxDa{(vT}Jm(=xmq5Kx-G1fZ@_>y9s zun4ge{RdaI-jcQCy4rK1WcTvZgcV-1IdNpim#MCXSX^okb5GYztO$et_X@#Zw4e??b&P2i zgsb^^+5PmbR&d=7qEo-Bkr7U@dQpYVh^LfO6up?xI(|s#Q*0}0g)buhk3LY}=+w4d zU+-f1;N}~>7)JzZv<6n`y}L|&g|BnDJ~%X5UQM5L$Rai~NA!mU)zLlI zLS#L~LC#{0h}qi!(>)hyQ4hi2E!&c4KuF6}_l$3-zF>@UkCNETW{dI$Q=Q#uwK&mj!C1L!_?s+*{t-Qfj<&&{1t%m1^r=E zV6ecpGdL8YNkh3jn4jKXygJct?NRfrvvLgsd{t-V`lIXRKO%yDNu{!^q|X<>jkiK2;I1bK+%I-WaLXO4YU9#KH_2|`~tySw{) zIh8)0%FL8jJj0A1BN6{&%k+T+dpM}h{>R1F1CJzz;khxAqNz$ca@?5gF%tFbx5tim zn*HOqh`6{BBt2rvuUPBr*qdnt7Fm7VMlR9f{4GX*8q&j+Gi9>St`(jPwwA^EzU-z66`#chVU@1Hzt~C31*khr!6z1?cS%>(r1hSx3P8 zGe~z`CT)Lw%go=3X@AH}hLTs9HzNbFB=@p+xA z{D#ecn?D3HO&MUM|8h|^0_B?c8}HJZ?$U_~qXQ=n$+}M;ve&n}V@fEXD@=%y5vQL~ z6Z&7LC94~QEV#gfE&$pW^vnRa!>mOdHgh7VE-F*wxNAweI}A0y8SC%mr^!_XD!(dW zF!tW-dmkw0jAV5Ph-&g{ueY*H)%hXLSVC%yK{3E4oFO8zlO`ncqnifJ>o%Q(ua!Fb z16b8K!>%r6!3)u{kb?P6SRsH>ef^XSUWY13@q%VjlGD%%(F7ku}3n;SWcF2b~Q;LIBa4Sxrpt#2s%dqiK&?nDLJtu>V zI%!s(`AK~oh1vtV^yi%w+TJ>(efuyT*tLcMy3#ljF6f9JSHZxkwJX<+(`;`y^lD6| zldR&j(^#GqN>pCa--zDBjTzjEqyxRP7Uj1}Zniwe5h45D?VibZMwwsGLJsW^o4@)^ z4tc{Xyey>^=6x_qFo)MEwZ&;w$AKJH3sUO^T4ut5e;K=7u<^B$D-r|qsN!kdxa>tr zHi-7xoV?qhI|#82_-j`C=ne&9h))cgTU}eXJ154wlz0G)=LzI-B0n~xmV6Um8iv%= zwJ*^{`K0(_iOKY<$1wO-Tlr$)Qd|GBwYM$Sntr?qPU2qKto^w2TCWkn&)YQxO_w&Y+V9}tuH3ySt{P0^a`#4vt^?nz_CBI7F2FM)lNoP zZ`sfPIB^d3JIk$cH?@+8_ocWdBnFSL2A(lw4s+4i+VtBMpgeq^ z=q2c@9%V6DWw%a@N_VS=bo{SNme!#|M>)Ig6JDa&bLsX--)@1&#p;elf~YG<&CTou zwe7XFuggaFwP+Hb47;pkV!QRf)Oex&i32kMN4g{m*nxS`kA#5A|9En_wPzn?{v^ft zSor908KH$RV?YI(;9-7^1Si%+V16Q$=}2RZ93(YUdAkD&p9HunpG+`@Ljum8MNT6U+~MHu-Feqq@kFFc+!eXa52YVPB3QwdZs227T`7*tr$#LsJjJrYUUKl zQs_1H2jV28$Omz0>7*ySf0D&-*_<8nqANHJ@12`%D1`bKSwsIWfPJ?H1XJp;gR*u7jREfmSQ{zc19@J7rc5x$3SCfmgtgrQGoW9$~H4ZB0I}eE^;N$Mmzj_K)Zz z;n-vHgowY<`?GWkt9+9iP*98#sW8iNomxIr%@ASOkP$Gbq77C zC6k6NMa}T^m>hOM!o4Q1+{;&yVi?*gy~`cX;OOHgacNeucm+SZ{h*tabm1&E|AN%q zV19993u6@nm&cOOXUQ|ay-l(IV}YA%Pw{DN;n_B5NqDPcwpYbxOza+0=SRaL*s9X{ z%Q(}^&P2SXQmH5ZTLH%ITX|c_fKU_YqZ?aXr#Ej}8Rv=gee|_1#%?&+y8mWoo%3QK zvz7y}Ar!0oOS2QS9xI-BQ-V)=^pAf>sf6p591BV@7FflkAy^wo79~6xLsNH5e8!@m z8j^{sJnbZ2e{Wr=cZ}DJbk8<-UA%fkcv?vRvM=U3i1SRkReB}`1I&~uL9r~4g#-PZKH5fd_xAHzoo-%lZeM^#0Jp zNQX}V=Jk!Ho9FJ>pc}ji<9Ix3!Hu>0=^(@pt^x^`*qE}}WrSdg3PxpycKO?7_sU+x z7v{CbhFgDS+J5;R2#0DSOJswzFZ2_Zs)k>+7~%r>MHb{uR38RRSC^4s#r*L4n2xo3 zJsIo2oj?gXmhheNtDp6w7h_1T4DQ3ho07aPAf1|Q#*!*D>7w#VO#RXV*dGW zjNcT6q+PLjW|*v_&3ZQRz$hYWMQFln81HYN*yTetOpxKV)v}fSCdGfeV~ik6ZPanr z9~)eh{O3enFGlyBD1Wim5S?efwMz&RML1!wp%p3VHm~sHSZ9Mmh8ts?+JaEVy1+e35r@G6Mr+# z`0#}ea(h$pO;b0LLt25btX4yXRbZLW@OcVw@VFIj*W1-2)8=p2msXV@?}4AY_zK!I zKT%1y#g~yw0mt}54)`7$+FQO)BMq)P<1V#bw)X0|H1bz{;XQ@{emODbdOOuUPajUW z44j!DQUPN4cRb}`#wYZ3FT@*U6HuSV`EOP^FfW}tTczrJye7qPmLzxhB(tso{fAnY zc>G~~3wqiaI#BXj?EJa$C)b!($soGs$3Alo&AwH%w?TdRcz1jYe18WM)S2+ajehz< z4QE~uKUPfYrT$+#phCpe*q%h7lekONaYspt(5-}w+$8U}%0G^rn=p6|FNj`N_j1?9 z&o;u$PgLyF=WQb2urU_2lM%~WmZY9%DG^AHJdiP-fr?(O*3IkQK+6vMwI=)K8r-x!7Jj%J8Y?&nTeu+An804wlbT43y-Uk7Zbr zt%c)&p2abaG-muGUiK+_CUC_6jz4>}=s)6&<13SyF`^}hP2O32Na2_L%^Pwcp+oth zZ6_Qxza-ES(-akxvCp2R12hkaSNpW2k9DSmiqSm+)Wd;qNgY~Yti&Y+zeBh#HwQzw zVqTY%oZwlCX4dA>?Q=9UvI|l$dc1t$>7`7ot)jZF6$=47mxMQ-7@v3s*e@T}dM*xA z4R&$tUGcGFhP#%V{Kfw1+0h;URHyFglQdbv^~Y^xjD(Qr%zf+@<0*|GYgnO?;Ge0n zp&JbAQIf}s7Jlovv@3VRw|Z7I%N8aQcTqI?)y8C_7I*R1Dk?Jy`z%=Tv6>wxaqunl zpUye}|LmJ?>Sq;TrV%{Bi;b~mvekrd=cE6Va55NE$c|37z!`E5M<<%VOhFy;U_L!Y znHIfSN(6h$K4C($iHH_%9_znW_Ud0s^qhKGb7SD8`OarXKg2(%M>o#?$115&dOCi4 zT>$_$pvfcg$z~6Y^$a*WfOPr;AHfU1+bFI+cDj}lEuM1nst~E1Ic)o%SQ3(=yz@5= zq2T11ZqwJmHKTO|2Pj9h+Su9Gqp~X7Vm^d<3X?*nwLfj!AN8JEQxhj(=qJ&P=mY>@ zq+-^Lak9NQRm>0{L z|0ysE`+LDKt^9Rad#F4l_ zI{zQpG7hT~!e}<0ltSP_eJPu#ASkYfr8s>VAw^lX2YGMLifoEahI=@Yz#k2(uXgb8+ zOR=o@O{~`B*fz+!TS$E}$3v~yZitMUn9*^b*QK#{w1W_0Bq{jjMb|$AKd(r})2i?y zvcV+3urUVoD47uH^i@1?PAeZbfo)rE^hD8X%!-!6?YT3CEn%=RZNVTE9qW$drV9e||eXe^mCc(WIo0)$Ozcm$`z- zBjsQsPG3xR0OnG#y#3Dt zg0ed=u5}UQOdTBARIdxiruUuS(ZGCln3>F%UKT%og~Z(ip%J=|mI0^zLArla^jNnn z`f*HE)y%7BkLMp#5Mb&uVaE%Q2Fw@03>)LdyiEgtM2O`VtNoX%9o_yb0pS@mLULa{ zH?dKdH%U%?;gSE7*`Q#_csYaO2JxpFa0Hg^BQQWb_@7WPudSyIBa7hbE%H4L*NlXxgIRq2at!UXxqmk!=;Y6%i#U zDOQ(>ClxZ%o>(04Bno>tz-`jn>`4xXA>(iQcoNdI36dEDi*Fvs`)>*b45OB$vpLeY za5f`C(m@XrXpldL$A2iwbfrb3@}y%)*Zv`V0&L0bM-f)-0Nh? z2^}koU|hlgo@Swt*ITL2bW%;Q-v9J+n-o}3Zg(>j3Ejck?xW|V29N2Tym+}8`+pr> zcT^Kk7ga$KQBXiBf(TMn5EPIOQ4whZO0NkBh)M?uB_xq12+~3mDN!UKCG_5+p+oS-y{5JlViq5HY zt_Sm19*s*5oqx7V4}HoUxBdA<2j;tPKiu}mfgDw@RWUsMR!-h1qjLIcTO3ryfY~mr zKWXLZP28nR?3eWBq6Q|t-*|;pKMn7T;Vqk2xltZf^;YE9qfk`pYQSCIE6xJ^f~a`a z+r6K7dHHLfXA0lv6O_=q_2VgX_NfjpF#W_aK8BYozWV*;@5+_`&yK!Ocr%q*%Ok+B zvU8Q6WM6oki%XpMUi$43#8IC|@#6wyT9lrS+d`S)q4X?Q}*b*8q%9RA)87 zp*>vNGfn50%}+&vXCct*d}no(IM4kT+I)#RzdAinan1=J2tUH!T9yv~zZA*&;wdD| zniDanKwmuSf001fGWs^h!TS6&uu`W)*n!}B#$JKsW#6zmRWrnOy#C?uQ@ILxfzP6? z4kN}oTfQG8n3S0%UE>;%H7d!aV!Y=%U8{g9kFdY`5*dq&x?8=2!(yBQ(h2!i5vS&6 zvVXW=K6J+x70 zp4t}t9|K*on!6(}%so0a(6>TA=~}b*UE=$XpzGJC-WPc>0AU{HJS|c;`}jY++zRFN zyid6{Izn;m)?{`Fexvp%3UAW08X0m=eqfiIweDeS$c>dRFZlU~YQuJ)x+yE#?WbC= z>W*ecy|Ml>%{#nuvQG~~qk!i=KhvkNX)%$X2THji>IA+ee$T_&G}i9}Cknbk){Q**N_Y z3nuN4V;4=;`1gCdzcBGdLhN}x zE24jCL#)+$+a|^qtue}X&MCYvH7yCx&(s)ey73^>6%<|R8)rP(QpjQw&2wS5=+<85 z0RS6paR*b--RR46Tde6tA~=m7RNy=C5+GCS)nlZ1k5Eu=5$UVA_(S(wjQCeh4b6=9 z^?-064$`-T>n(*5y-qn9XK;ltPz=-int`qU$x0%V)X;jaoR&cS+iF z^s3Hg-<^}HKE;S{Xxy2CoV4^pWoq*16!!)D`1)+g?XF5(%nz#nz9Z? zh6K`i_zFt9Sq3%9e+A$JJ#!?#=5+BVK|6EM+*OmnGoNU~>6QasPh|L>yDX#Xhjr9rs1i4c7?bGS` zw>|#dVv$nun$SF#fJ|kUi{ zd|rU^Y#nNBlAM_l@tv8BEmxMnGq|-oLTq2h0?)b>k0s4MUPbOz=lw1%*kk!)EMaWT(!5yp4VlWqXQ2D3q3@O%KS)H>j2!g3 zh51ZlaPT(Cl1SLJw840H*zEAdH+z@k(6E0 zV7WmUS&E2v(d7U}lGPN4jqmYTszezUa76Gd&a(mqgF9Nh6g<0c=qULu3BQ zZn!NPeVjICCc9xUe$RG9iXtsKVR%O{wl5SpFWS-Ervyxyo|Sa z$Wj~)1`kVEdk11jh>2LmOUKqvvNQ))EodX)4T?hN$ZAiU1A$K(#yn@;1=H2+44}Ea zwr+;s44Q-qXLQV4t3$8-CeD>~D=hcgX8ZB4ECb zORq*~+&z1Y!??U0)2^}1a#nG__2 zSRosIn~FHC&L+D$YxPIOMC-9t4hNGg>c!>ouJqK*@l`n@<_XhRD0|mPz(t67x`zO9 zJYxO!jOF%A=t^z2ZaiN?epH|!^96(eqLLaEYJO=jl0Xr^{g8`U_$Z;x=1LdSb-}O{ z)caGExB2;%v;k@E^cF>vdpK?CwePERXzID(wZ&Gn(2%E{mP4B8a5a5?^R~Ic7oq+B zkoiRJH~fL88>H#Ni)3i;HnhRIlGv#zYH?6^Ssk7_o{-0RJ3MjN}6XFJ9i z=3V~#r6Mc|@xyV;BN{$)57AQS4OL?{PZB9KmoCn7?o*G1Xl~^j`-jKn8wVwq{kd%b z-}&!AKR(N(ozdj{oNc?^geqMXYaw=k=VpWS@cV*CHJIl*8E>(6+56+0@8d&2KWBNdM>)8EB!&kIOV+fTt&b{ zZ5G2|@eF#S6aHG(ZsV9K?P7udOjvZ3#zo6q7U##8Pd>4$Ev?WlVe;Yaowd!#!!eeG zVp8a3D6eI`1{zkYDp7)`WWjjmeeoSJVUpsm7(-7(iXT zK$#ANVCAWz%+$pcemuM+yx1jiv0GrE64ogaj~>|_KSAwqBH@G9%&f7&e~y;hqES|6 z88HHI_?c|wIe4$|UXCK8P_G|TGG>Agy!a~eU+c*lw3Hsl!UUg)n+{Kf&N*FsHggK` zQ2N0`;iN3<_TdgmpKQtN3 zxo2sqK3tSlI_*>$s4MojpyD4W**MEuG!Tm4?_{OKg)?V`=9vi%?8ZDPlzOo#b<`?~ zTo7l5YB~HphHV_1A{>%Mb}K8?t(KkX-k_Bvv0ZXY&*>Pto$UC*xDqV9{j9YM2lzmA z*vvUNbOh6sJ-L}+mpGV1&W4=ei>b@C$T_<9&scruR?<1*)dabt4_c~P}ZgKskqS!OuW>uu|8@ zHkCJL#mP;cVbMARchr8#8eBlC>g7=ou34oU4X1oSd(>Y773i=#Y(5-BK6ZEctrWY& zE0hcBG2Eg7Uv~EWI!!oM1&a@cCs?kd`GuU6xFU}n+fDrXKJeRFH|oMPcXf4v=WRzg0H zYpd4Poz2XpS?4En7NVrk3xTR)fdIYZ6ap=EY1Y)%XtF3~rwiXNz63Sd88ho@%))Wq zSkOO$vIJrc*J||<{zC`463DEahVYoxb@c4L6v;BEAtoH%(@M`%zzCN1BLYr$x zjAa-0z6?LYinqi0rXXEp0f;;%yW>bU=2L-}fN|@4k;09;qLxK(jZ>T)x)%cdj+eKx zXVHTh!@zQUy33R~`~j_=1kX+s$5hY0lQujb$PTo9z#^J}@qN#=#ZJBtOt%q5CT8>f7b|vWeFTIA98E5ZR zxQ_H6`F~#J2h_bwq0N<T06$x4wyGN_KC~i7^X)a~#qbR8Hl&Zw_0W&@Rbl1Ysd(&~lDPqdkko z+DQLn^k@)yswZwJh`IkE_NAsG9|xl(F0UcW3CzMF(`pV0V(BK@nl?G)`m9c3&zHWb$|26pnu1xop(7h?x`4j^#@HfiytW#4=)%|4Q|bcz1`d=) z*&Lis7lZhD4Dk43aa{YGUzcviyr^j1zgJXkC?wjvGFn5FM9radQDIR; zz*=1OM0$zG``Vs=T}tfKtFsf;2I#0=7ZS@%60Ahg+ktaaUn&mHVl#jKbm2Q4A_gHP ztvmiWy1ka)UGS#WhO;HS5|)K<*-K3&G}lfo&$cHSQ^!2!F#p;c&vGEk{98GRSA|at zqV0wiQIDI>fy}Xi7b%ElmadOPZoHxcN!h9qMW;nA3EU-U%LS%uY-hc(FQN8Lvp&(KY=wU|)!z)acZ}z9*#l+f>=lD8c<}A939zMTgFaXfVSWD8W^E zxnl7*j7xmR=t{AZrCtkHu6|wq)KkDl?a-0yMkVX*$?j>@L;cw1p2X?T|MF`>t6kP! zU_x4fD?yb^HWsf)Y+^Fq{!u>77jd{rttB zWP=n*O`RpH(-u z&ou&S_gp~M3|rz+ZpQ4mE45aV)QW}L3?3JTZ)Bpvgn?zd6hylkY{MxY*XV8WC?I70 z?R8AvyPi3Y^NP-$LAbz3ueD2Rs|PyBh{@Wq;x=;SV)92q-5Zj|yWPeHZQ4F7qMIr1zW)g~0b?*CrKC zuw+y?d6>{W^>g(t6NHGkS+NK03pmnF7e|H`XtSejXX^|zKAd_OC}zP4c7DyD3PK5;7e-HwlH zb`jX0du%C{U~f?OXuG7D>M*;v!-eaUDROtRZlu7{yme`@oGtAyRoEO-_0ticZMrB# z@c8OaTsM(ccz@=gd=KqZ+F_t$(9yO;kzHOqUg*|SBEJH;;cKM+Hc9O`?zfl-z@b>+ z96&1$%E)snC4W+CdCAd|i?1)lTWl+^g0RH=qgxS`wFrHssh#gmq)kM-qLbzIUl~nJ za2bOj!DLw0Pp47}UU$vzMbfI@OW2B$w&7>}wJS;obS|a)8p(uNE$|$uAzkPztiJhB zZa0^>tG}z>zdFHVGrjxg@_$IlVGyOP`c0SW`Bsz3jiRHB-)(9*V3g<5oN!Xwnp`8E zHr^cgGwfoGP>u0$f1C;b$t}&bNig^~`h5M3)h|s=fR+rhV!arnQO{>ngwQO0EXH6@ ztajZo;m6c!(?hs+Dt4pyrS&k)P9z5__|pE3q9Y?#S867rd8N~Yx>}pJ-;%POxh6<% z^&aC0Y2cKZ4Ag?`{SeIBuue*wrk+{rRJR}Vb4nx7cAX-tJ$DF7sK0aAZNmF^*=@iU z6NQNGrTD2B86zUc7tjR5ijhL7Ugayz+`@2)>H~PLAxFQve&V@~>HnHw;YxBJ*(`r| zuwMWwo^67Ia)W3>4G|I&wm9Gz$C&TR=mXWc??L<5^YW`*JMYBOiyQ2h#WF{2tgQh+ zw1O2+l)bxM*{(4^QYP4BB8#(RM7lx!d7Zz}{EAt>N)k22lgG-IsZ2ta4y!4kAk9uB z=TqHN_aAzGSk%}U(@XrmcoOF>FPC>dfZxCh$I!L3_m?w04{l{jRlJY-=Gxh_o35<2LA-s9?`iNJeKA+Hgmx4o_bkJ=p@F{gpvitH-6~! zb`a>c)dV3%uW9SL(etMwm=Txh)Lc;wcOsL@@mKv(BZk9Xr6icXQ-v5cf%$)+LtGF@n+ z5T~ZA{@vvyH6#3&U!fA4x%Ez3zV5Xd_p~yUH@VQ%b9dBwy9r3#VgI&fu|N^&WK}3l zUd1G+Z8A;MQSxyCq_6vg=5xEl1>T~nOH>+6GnE77-A21Nc5fCE)AC{=;^1>rQ_2o@ zqRNHZMRS?l?q>quZ+U3cGj(GJkaeRoVe&&0XWudVMThf|Cxi_7@b@ulslSx#oHFIO zqMq8jZ%!5@a_n6PtC{Te$SA~TfN(;==RKc;c>y1%XTV!?MfiA*NntG)n!R4>2qXI& zm@lnSv{8R?r_HqAPtv_~G&n-0vQ!SD-!!oo`Pcjp8|2b{mhhwKqz6VzV59P)?OJ4@tV^j8%ina2UZFK=-kF(`w{d4Tx9_MvL{N$qp z<$2#bfsQ|fOIEY7XTwX+IjZik;w&SAin}|x#@;ps{E~9=pEls%2EKC`bA(>Ob&Bm2K-YpqIZ2+T*HmU9XQ*TG zr~3z&%zkiLTX(@Hj%nZG6pR-piF!8=^oa-K0=fj`T~))^1~$p#0YQnq6a$jl#C`(Bpy2aDH`=xUgpQ>%v@!ID*GZm^m8TJe5uxEMu&1+QL*pug)t2!(wYMga@ zPNsg6?M5x(xDHU4RaVn~qe64FXWsw}W6dXtbRL8ZGwg03(UQU!{RfCD-e@GVZ)!luh z9tQ5359E5omt*AP#vY`mLNM$eytjn(z-cSqW223C>Cdp=E%L6vJG;M;#_InXdudO` zT|?&!^)B5Sn{vF4H&*XAePfS4YF&9bm!3cSJ@Arh9eeu<6p_s@;oH^(2=I(`-OP}% z{lqgpJ_$t*(bKEbXi9irQ{S*fi*|=dQ-**;r`O@5LJl#3eIIumG^92U3eg1+pv5b( z7J2)T1T$4{JpDZ`!}?&51H<3?UFeuzIn{pdi7qByf6U#v)zk1_=WfTaXg_Qj=|=lteR81>A15ro+6{Q9_o-_N$-3 z=_k9Xw6rp_(|$QHIdrj41qWcagdBcVQ_D7YvmGOvt!b~pRXa!Hv7U&Y{J4MGjawaa zNeZkeSeRqUUjkik+QS!k^ci;G=KxX|Dk<~!SOI2csf%*ZVEVlKmJrcE6eXEr4{XXz znPVxZJ)=|ag7kfki2D>KU!5Uu9e^3jeT|F6NK>H|t}&GFAOAD^J9a817O^hVcvsLr z%{moaC3fhjJi}=lkJ6Zg80BqP5H=33jjgPX%}L9QWuwM~z?nlIvSvEvRm=Rk<}A*) z`nqKFm&;XNO+4*kS>&GOvG*hBnn)bvqRHY=N2wkBBJPKQZk#XWM@G~2v`PhB8`#LW zu~B>`LCtFmTN&2;%&Us3=AcpV=9sUaPch_x7xt45T@S~@b?X+hn#?gC3_aMdn{4;` z^j`6+Xsg_&G!tWb*@Hj)W7_|Uca6XDQ<9=i`$O_+QJ9=Qf{r6GUzaRZ-5c#7_1cHQ zTCV=6{lcs|*q5dnyJ69i)1&)4!CClA>TcLMnezwjk5wD?eE>P5P66u1P!^`S$wf;%<|_g)j3Hb#02aVy zxI1cJd>yB2DUIPlMk>kVBr`TL$xgzHrHIaiwVKx4F}=oqZRLhuFZ%{Q7&dvchq4|| zeSU}!#JVRxPa3b8CUQ*IeqPIOu-{Al3OliJUA#Tqo|t;#?>wz3$0P}>X`)8Xuuom{ z)Dqx2&3-|Hp@s10a3B&mWz0R-zA(J+IghiQ5aa>{E0>8%;mRfW;nj_GI_vnl%hxvf z?6h29eRIzNLu@9)go>bH1@UggG_8JcbrWZv3gFR)g`4qW#P^Do&;A3+kskX0{7v1Fv#IUtISVw7uLn z7C%(i^eu%e;XCB(1A20pLO2r_`y_WQxa#KelarB3OtlT(rsuD}noMGzTJZm4#X{83 z!Ntkc_~bMV9b@a3D3LhH$&lu%462#-?~y#2>y>F697&OAG0322zG$P93~gWVZ!z2k zzCR^Tbr~~*u$x!#lqe5xI;ME_xNN;bCwraPkXL3wn3vr!O5@u!{HH;2s4}5Aj76I#0v#mQ24b)V+4^*7GYu8zcSAR6vv7Der))e=VO7>`7=~*54=xX#?DrQY*f#HQDXmZ>?zVU{N5Xm$3p)jt66i{9xe-Jy`49;qCAX>>j_bFT@c7XR_-$4ud7;bCx=U}bR%qR@lzr?Y3~z{9iVL$v1wXynl5eL=(r)a6QIxwc{bCz+J`1d(*3p9jDosCQ8aS#0(wI^Dhg9*D^qAqDCt18zR}R&(}ID zPtC0foecB+yN2c}%Q7=*2xLFZzhEzI^H9lxcghL*Xlm+vN_?nzIFnhwZxr3n)M75S zjntSVWq1##@8hD214(oG6EZA0PG>Y7t2j5WBk#>1|IcIngFh`)$_93Ug(pznv#;F;6gl1_1Tx^6U}q#9@>+UwC*mDazqrsHC8WZKU?uWVRRUcJRzlQ zS2r|mjk|CCp5TrP0ot_WUP?LyMlI*~I#M}@V+-3{t$vkA zf4fF!qaHO!EM`l|>NU;W9fjLkdZ7jFfNwI6l8mPoZf8Yo0ddNvi5uti^y?=1?wBkr zf7gwxJO3!9Luc+xLspJLU>`2XfMQ@GN_ui^m#2E(KM;FqEwTy2xso2;;frHOz(M%QM;-Z)`0QOiSK4j{i9;2s!ejE<&+evtJnFt$x!)fi?TjE}O0CO`QG5 zc>|-^NhlV!`Zvy?nImI?u@FUv)_1F->Vy2;b^Qn|DO`eW`TRUvzFJ!z;;Z?EIH7fMk%OENJBL z_od$MA0~{{jw>Yakc7WsT`G^Bi}11O?%HHDNU!cc4a)C2jv5zGBWGjlFYr5N9jg!7 zA@qFtc#`kXvBI+{#M>f|2{u${G8^=IUHTf^$Dj;0aNfvx&on*d;L=g?0aPuv3?=ZO zra^V!$R%CFCYBxnM_C)&G{9!Y6{?ctTQc?AeWBsTYnW`ZJfMrX+;Rc(a5L%FclQ(F z=;A2AvJP%g2gc8h8fklHAJ0dr>9Viq9~!P`YkLiel^r-Te7vyLL@+hhHA0S?!$1j# zymjE|ZP*S%iRUW3JH7MNO4(%~~6_&W8*S zU5{x`cg8x|D^J9CY?iAeWqgWl)83)aqUylL+Ey|iylt|CHrml^a{F-<6TWz4v$MML ze*}0a;(K4gp9fBR#8iM2UB;;>aJu4FTEPLioQbg!|D(ok85_x+IF3}brVqq#gClb6 z;K?hi!%1qDKdNgbJ!SsZ0qsnqpNT>0jcQXwc%Mj?DjOoVAtEig;YruztmY%V?#3L1 z$e0GbH^Pj8-UkJ9#IJ_YwpXg(9!I+<&!l~O{nPGhCq&0YiCh_Y$ts)^eyHCIy)*W3 z?xnyk(?hN|)C|OAr`E#-mM>6l<$!m3`bQyWT^@HPUO&;)mL}6uybfvHaSEPd*W+Hw zyA^>j@NGzI%9OK4nX6fun80|dg<+Q_V5wYO4R|FG4HM{nQukyJq8zweD6%qs8gQ+n zq`p9K=)^#$*Y71yEd#^Veb1?i8nq81(?QRHtlHBGAN6*A5kLh(khbx=g@0cl@^`YE z5HNs`Lh{mgp>ZJ+_gIOG-a#*+<<>a5tC9wV!T(9&7J^3Wq}TktTwRhau#?A%VjNk) zx9$4XG#h9U3*H6L;P`(`O0wUmPwy64z=yRPR~2!C?pP@ zqZGd=qkvpxL?V*P4|cznTK2oQ+@;((pwy440@k9@n)@Q-ED+dccQVJMchzYdDYubx z+LTGE1oFl7$TE0>q1zd^5ngYGu&W58?!dn|375)~=5%@Y-X1tjJirO7ez(OU~PHg+ntJD-;dqrS>2Z(3yi$gTqB5e^)-wg`v+-5=L3`W0;LISIi zMdnmz4qh1{=HbHVEXWmtf(r-%1LymzAW;jAS`QqSze)u+I!F|NV=M-p`uXhJ7v(vyl zD>m=as@YgkwC=cf!`Z?iTrE}Eo&Jv*Vz?i()nELpYoi>0zGj3P3FSr!qWofLYzNPN zU)I~WMm3ot;Emk+^pTI>X8u5P13!+@Xrl4dxtb32RXC46U@RPD_Ox@Tp}l48*(X&m zG5Y3BdR&6kCMiU6+httB{``b~&l6jgzf*sHU;OXFjoNGU&O--iCAjl&5qN%Ad4dxz zB237tV{#dPN!imFmzAgo>mLiZvRq$nc}@x7IP})*8 zUY&6FNZmXqt_&Y0(URdEiQhhawa((jsG5C0pCmi0?aCGNFZon``UkH5`5iK#?< z`G_nq7<(cqh#fr9LMa;`%mJ#EiT*|oiUwU=vVHep{i-9bj&`xo~xm&1r(~+l64hAFObhxR(8?Kj^Vbi(QXaj~j8c;nYgvz^|^5ow4FK$4E zQV(#_tiiOvl5mxxI{D^`@!7`-7qqr-hen1D+~9_6+n+5^yc7K`iah_Aw|X1f2(=klVyj76_9kA&Pj4i0UDm}$cVa&lCrGEt`RR#a~O z*TBC58|kuJqZ~QUu*R{U!o!oU$EBnOWB;aJ8FH5A<_WTnW7Uw>Qv1Gm)%>)v=F6(J z$vaia@gkoYS_Mw0IUIj0d&)g~%Q9)#9apvIcIHtwag=l+lVyj8b20UOcps`)P@DHF z_p=dZ(B@=o;bW`x#DM#`ZvF_LOSirTp>s~H4EG`5+!6X_TN;&j>Vj&dwI-PR-ldzC zkF(u>yH{}zwDLc0RHiK6u(s8B6>1}_AB&njVE{uB7bebj5XO@v21BJb*Dnc%yZ@N4 z-hYM&LoqRz3wM6z0u7a$ocnAOE#Rm5>ppWh{)2kO$M>}fHq{cvJeduWAw}lCj7q%Gm6X-DiyF z=i;&+M|DBDosNST7l#%-IK_lRx2{o7m6)HIOYc?dDA+v3sn1NWH^+x1m=T4Emwo%dmp(kUeHUh+V>Pd=@k)@UfWkIMM?+Wg3Zv1g*L u$ANC2D(&$kH|iJWX9jd>#ES<7y-hKKS~rE4N`E>_cT#flU6%Pjp8f}pP^CEl diff --git a/cpld/db/RAM2E.cmp.hdb b/cpld/db/RAM2E.cmp.hdb index b262b4cc9e5463182e1de9bbb8ffb00b92f14334..7dcb3ab1dd201e4c7c60c24e944179e7af8dc65f 100755 GIT binary patch delta 16726 zcmZ5`byOZb&~Jg_UfiL$I}~?!cXxM}EyW&+7N@ur_u}sE?(S0D<+;4~`{TRk?%A`y zNzQCC$;f6iS&oAUi-U+$10voaKK*y;i2PspgoyS(2qXPJ=nZie0G~lDk>*9c75Y=3 z*qc>Ec#3k2FTtEGOCT*RD~*ttgI!wvhoBt3ACc3xLk00t|%>fpHx#4xKsD=xMOYg zy4VOHiGkZD`zGlZ#`{bX+Q)=;N{H$FEr#dYKj@24(1pzB!3u4>CX4W7A?KX>*PKF< zS1fO0)X=N^Xwu;{@AAi zNM6=l@)kAW(GsyB6wrORI)?HvifwVB@|O}eh^g;7$t20<4vgCNQ}XP_*W;1tk-TM* z zt#XKRG!>hr*g5BxH^rslea*Mc7{1p)TvMq{N*i6>=E*beXs|B}0Q9S-&>ag@SYc(} ze-3-^_B|PxogRP0I!&}muo~;CrAT_kFDD`>SK#*1VVY%7qQE(2bLVpmlNw=p^^`}l z33I$jWy45!eXh7*S)!}?)z~=D!L{Ok!fZq3G}xz}i{5K&K2oP7Y0$!`wqOCI4D-*j zrTS7T6k0UPq-*c^+nA1vM9HKtU8eDy$*}WNaeYhR*2a(v6icX~<#v^qkCNdgki#of z)Ju+4%-BxBP2;My&*)C#-n%w>|pDhwK^xB!LuSM%|fCzrRFB z^tGsE2Ud9sg-mLl!|axE?g?J=dYYEzxPk)*Z(Jc2FTtToev zp^c+Rg$!K2i6*)hcZ{SjWrALjW=eWn-E1gPMib`t_S~~qS@%Jj0rL#b$AML880WyJ zuG58#YLnBIS!!;DVxS#j167Ofb7KU%0cYBsa-vYWlz_vRI=Sq$_}%#P{2E0y%M+`O zq-5=0vz=Nt^2zYKd5a@Y`@J;Y^K0de%hj4+R!6ERHuokY4!$%_kGd|Skc^0R3{$nj z{~vK_{I?4yKgF2jdu+Q|xUsG2>|VwzJpk=*_)3Q(oj| z=h0c9x1x!r!1|y$tp)`)cx6dC{zS|4Qgs1k2NXnQiy^*i2F>(ZeXCV`j1GR_wfS-lJa0pLG_Eb}A1cab-$;|gwM;%&TgQxaWGX(-92Ifp&z&@QQ)g0VI68IiFd z_7SikA@juQhE@!`JRNP&n4Wa~IR6&(v@&z&45XRPdM25r zx9C4m$K3V7cdH36j(Q}nMY0O-+8F08XpLYpiURn>GVub$ANbkHh z|8D0>xPfJ67Egr-FJ@Wr+s96V`7kD#DunKUX-_H=xO1mvBQM(>*Z~4eR!tsO@UWfR zJ-}6FLCY934OPi+l?iM7V)^p=M~KW^e-Gl2q|k&nP`P1J5CEqD-vGDQp=*17i;{o} z!c7gC$=Ei*xM!=srpdmfDQ?+2L2H7GkAZiLt&`FVMLHxGNI*AXkl9P%d$fF7BxV%~uQg}2^GceN8F(tn%^M`!-#fM=u{36$o(yyK@ zCV55a!2CRupJe^clC&QeQR1^>)!&d1A7ZLgs8b`@U~nI7&v$`J$z^szM(L&$2sG;W z#WUAXtEFL@?;Jf%DAkhLP)7rRmr3+vEeCuV7j*UE&2tA_Z5odZ6yR zyaZih(R08gnUN2u1>2bJl+}Dk{)?K`-lh6DBzYn?r|yL70x46_&x5_Bp4v z0G&EFhFKVf@mFS33_+7*akb2eM#?jSfe4r6_VTvKNfA$@ zo>mo#<-!23=om?IP=FUKJ7k2sJq;d^JhRUi-PcI>CLfalFGS1#$fG`s$RG}SG8@4d z{%Bp&mioBupUFV(rlPB}(Z!0*&|%As52lfQXZ$4kOFh-TTLBooZL-kYZY{x-Va1qg zyhMJ?s3F$u;U(*NSP7{!<7mf<=jU8SfK;E{#?#s>oAHp^8xUD{cr<{vTPYyCQ6be*%~%o5R>wp6Bi>lU68M zWpo~+0Ky3Wd;ZxCd*@r?`)zLDHS|+yhI)1YvefmHT!Pxj!0R9Tm~rvI_qIM)S95(G z1Yi$Wsyf5}Ns^w`{ZNSo|pICFiCvCB1t%>)Rg&_v~gy-F<*|s!?v@@+5WOA`WI@~>+j)t z>o`zqx1HruqX$kSLLyhI&lWAz%=xXOI^gwhY;|9-Z&Da{emzR%2Jq3cCj9;b2*
    ?Y$R^*Y-3qzf zJMDm9$1V{w_ALzupVN|Pm6YM%`(90=-CQVc%xVH-w3C&#FDs1LDjA@i2@chsQ|5&`>r<8>3Nq=VnobtK1C3p4Pqp1T@5@|;}WGR7wVGy9)kc!XS|q!ol? zQmWB586&?ImbcUtw_*36t?q*oGGs5Q4`R1J6_672TX|xHTuNv-y8Z+(Zx*%rA`~jM zv*y_S_Fv53xGy?}m%#8N=2R)mlgd<3`w?0M?!Sa+uTnZ!*TE$~$m7HP^*qE&=q)e` zwI-N*zY7B)`a@M_jHF5m(z3hl7b*{?^XYXzom7YUn67o=K*kvbYNnqIDlm*K(Oawh z$1J_)F7QI;ZMfPvHy^-H52qjPz(L7eLYg%WC>&pHoHzaIt*%3+(qqnR;@L zSN4bSTwq==R!W0I_itW^jYfNxM&W7MJgJ7#rgXb6=IqFCc@BfguoB&sUqw|^s7ZQ( zJHq*z68bm_cMV>d`q^cGw~HSm)4mv|pXPC6X{V(EhlG<^w=z)TJM1Zjnf8co+`}hS z#x3u#jxCX3s{x5Xunkb z8_Z}qJ}_=K*~Mk?4^L!);3mBL(xvnC3|Ws#Yjvz_(LpC1ubY)@V9$z$Ei0r>Z{YX2 z-W9K?3FOb=abtjjAKj)gWL$4i6J3~~1-hK)d@K%oE+oi~eii93hVhs{ht;q!c&C5T zh3WnmrP*6Py5=*=v&; zO$+~4W>^?a=j%B-EX{CBF(vIuvb4ugj}{(~U7`Q=kqvWNwaMvxAlt5HU%(=b1xJ11gv@ z_?j+%+PeX{jcE-zs=^6p&^rRcC{l_Iym6EmbiT`1Uu)Acx!cK44u%}7zb|Zj?J(O3 z1|yv*;FQ(hR$ZFrp*X2T!ItGDGT$HX`{sy-4oI zT-i03Wl*V2z2k|_`RY$8Rc`k};@<}3UA@F?Sv>(;zUp!Y9Mc17&Lyd@71U8`d|kh6 z6e&Kw3QW%igviXWSX_kma20uTURqtUNEzuY_<{eDhi6yHXp%H*hRS~Z{VBm*V$|Q` ztHEHO_Y?ZARC`JsEAy`O$UYCDQ;6p!FF%6r73HWrZ(1CSP>M67p}h@Zf@NU(xQ-uh z0T&0rHypLWQo3`=-M%X;7GzS#;v5X!DG_AiXbYq-jYZUu7CR_PmiiHF3c1;0&-x&twIy55;~9RkSH8VBR=5_w<3G|-Xe z=g^S?lM3E{bYCnJ!+@=CSRSoDp#}q*z%`3AL|>jhC@lez^!_qFD_)Q^_?W{t9Wv#) zXih5f;UQJbKN?-~D&M0{YfE<}IKSl{L0_wFlh0vS*nexxX_c5T(8v>nIyQ}R6*%by zLM`tg+;9bx;R{|d-Qn$S~yiq z-mK-Nnbl1)T`pMKjIuYW2&KsSY9huP+hRtRU*#3C+RT~Lc>YNK=&ni(?-mmB#(gE< z=-nde{TNB{Ez9Fz+DEM`1r#%46T3?(K=Exma5CAsJ$ys!pv2L?UT|Vh>vRXns3M%b ztuy$EChp4i-%V6f#<{s3hCRU9j!|x8C5U3?zJ(WHletgZHD6vqsime9{KhM%XI;HH zgyLL}UO=fuAb_v$uxIUD?~>m=FNsWT8biuMgj`8TD$#W#yH}_ z29T{R__%d{_vVbQ4<{S^Q{-w{%d~fI=3BPzC|&{U<$eHPkVO}EEHH6GI;zX{a}?!5&o4SXr|BSn-w`} z8yydao~;<$*M5QGydXFy=ptYEho_<&7MR0f)ByyLI~-r=nc<%Ej5gW}I*j%e(h>UR zmiW=@Lm|(ptqYetY(;F?_9Rttwl(%zlVGqZ4G=_|xP8fzhUG7h@|7+2D*w3`E_^+A zRQgV5iiNGzuF+kJ)w9>f%MXP?T#V3WYww!x6V#mw?_xhQprWeh?ym7Gvxjdwc6fJJ zG7pgB4TrW2+SgNDor|@i={3lI)ATXnM47a=D@SoOvj!0H` zYAo$4wk#~?*EzE;($c~2ilt*+R9if=Bi?}T<ZvII%7_8dZrFc?AV3mVTm&J zt>tLMF1Orkm*2^ftZFmJO93ac@yT*RCf7?|elQM@pF43rYK47Uz&)`QvLv>v#|wZ&^UpEYt^&2KUn)gML873AGL(RT0;y7BD!@GWK5DZB=e@VVRP zy3HQuy1FuMHtrYp1I;U+D+CVGV`Lq3u2P+O+YCvq2su66*8h=EOJWVo2?5PtFD}`K zP!^w!Gu*wUD&5VZ51YGJ_11>DWmN!=?PD!!A6!n8sU6l*-nLBoqLT7X*%do?6Z^LA z)#fi5GENWKvL%RMpmuU!r*C3f_g9( zi#a6H$(f6Oq8Cz@g`Kj}b_dYY+{he9EfU@d&$|hcvS$?=b)L(9?8;ddvY1$5cwhCw zw0gwP7dTE$OThRT%J#{z%r4W|$K@8sj+5#d411VEZ`ep_e#N6}7UyzoZ)e!Ia;|c3 znEqUvf3f6h+}tkYsbrGr9=Wp{S=}yX-+VB<=@v>J=$5wfoGsr&Ve&dY@!SS z=SEA>>9&>C-onkqK)f+mUD0umkgt*b=FEq5Pb_1Ssy;fim2{W(8%gJ>79sN5wFN;z zgKR^oNDR7T%8}K5DT*y8tg}!AC+1+?I?Otb@9c_`&af1$$_>nbHD&BLe`!9x*o>p# zdC>S*I*n?31}szEg>B&MiY-jmk;$%@$Ibnbxwt^L7UqI7DYn~BW#3F&f3aMfGUO!) zYQFqu={f8nUu(SBK3&P=b|$xj8ZGlhxi`ef20PN7hii**x;mWA1ae#4P+>+sqxfE? zD=$yX8b-yLh9cgHjRiPNT(gzX6B)cLagzGvo&PV#`tbqJ92sbH?>p{aOS@Jg=}*%X zFbYdsu%m7EpSep-w|w^{9Qh-kMA%0ru3rarjnxN6YbF06HmaVgICkWd6H>cZ?FER`g>e~ z$FOFGWU|!DrMV3yC$b-QgNx{`1Y}ZL*r-CQRI>M}sL2nGozIP zmw+w%)juhajP7(D`~qs7%wCZr~+rYQpjXOn8q?$F$Y&p4hBkovBXv4PzL zmSn`oI2ni=+c;#{t!432N52yeaY(dR<2}8upn0DmCkOKd#E9O;Sop~z9tm#5%W%S6 z=rv*NmdRW)l8G#bP+mWAgC$-99)*rfHyI8-B?hJDvx)Mf9xtTI5A3y9XTCZ8v!P>9 z*vStaPjy^QFvKMU7@zy3-kLw17zxhRlQ#I zGTjg>r(+LEKTV^4|H=C_EJ9>z7{6NU|NIY<`Qg`1im7A`v|hP;e0hYvFopBM*fG^ z_?oj1JO0?+%WAakskyDY6`ShTbX6=iR<$6wQ2}Ul6=#?6ueBjHNGb9&TAPF22 zMIZN3$OvvJFf!DB`z-+SOB~T=BL5B{djHNIX1{9{*8vzNUmND$2!F@DF_v=C$Al*< z!`i0=lNt=r{UW|0$xHireP_>Nu1Pne^6EmSmz3HzB5Z8ReO)K}50)Ux?H9dYkU%$D znbF(d6mwl-Hap_$+&<*mY3mk%`S=;(=H$KNIRm-+uX-8%>-;>ecyPO^B&uv7kF(Ng zEv90C1^?R^fih}vyyX`j{v4L=DIj5Axy&WzGnDJYESr2gy155s9B^bhD&3s_!GwQiQ zD_|b%Hj#ekno^$sr}2$RG7#z{kQB%%IL1y8s7ikAvAGavb zyh$2B<6M3ts_+6ob0PNZq!O5**a>l*{WXC02!~XG#GCH1Bkb|-Z_iA{PU8Jd;b-=o zS?-RK*+cR-ivquHFM*vh6~&cC_hR-&z9+S+?5ns_Rq&`w9U_fBip|3EQB{Ae^32* z;4Z%Sz7sf;z&HX^%dsSA7GV$a6ic0l5w)|wir$u;E+pG9V2h-l#qT#i~(#^jj#ZbgqvYW_htTgBvgT|Iq-&Op zS{Q{GcVLs?mQafE?b@9@mS&(EKx6KojQpntp8N{KMCD)vU4%2%ir$iHC zP)P@v8>n{36A1Dp<7N{M@BWp+GNc_$Nq&B0VV6E^p`LjwnQ2QF0g;4dpMPt@E>J`| zvxC_IhqO|BJDdia5;gdC$2h}?sALeK&V;G7k4KE zv7kT5Iutexda0XmY9RMpj>4q^odshNgCb#u^>Lj)RK%0dw$6z#i3ElJ1}q*I=FNhX0j_yYpNUQL70p?fcaj5}S)ceM*jV3|Gr z;tmI38pAqcQh|#ue)MoBLPEsQ<3leuUgIxNiO|rpEEg1Tz7d+9uozs&S)C zpZ;rB%wyTNrSO#9Z&1fxq*cy6V-}fEs%H1p zU_R2j5a!&ahI8CKWhJ|@&+uFEqdt{!o-^%{1mB*6NFpC$LC1}Id@w$RJOH_D@8K^~ z1KMucSH9~^8ZhgQ7Ww-Z(~VS+3%};6`7qiX!-T$JBC!NA_>LbD~v|~hDD?YUQMnr$+piU z2%n!Ut>T)-7yEhtbRpGt+2W$Ut11_N@e{0I*I>8Yw4|VA4ffRwdX4eo3bGB-)$YRS-vzOWM~O} zugY3#a(H=GC@Z=If6`QPcYvr*%-lZ!#6=_fNnrXzQr8REn1%MHQdqk^Yn0UG4mx*+ ztS7_{>8y%+)2G73t-SKxwx1&=LJ_H3u-z+kxN9t3*LNfkTlp5<7 zYdKqPgji&r-`|4Gs^JV!e~C#yUEG&yeYIdOlQTViW&`WhcaNvkT~KiV-BzdMnQw8I z>-s(1Rb5i#wa6Ww@{Jjcx5G^I>5lChk3LBW`p1|rL9b`zMnW3Dj4T&x8~%mEum|O&Ae;wcaZBug2rug}kFP6dF*|^0%M|+%d9$L$Pkxt8Y z5Q~z0Rsr$eNv&7zqruCZ{d;dzM#f%ej**^z*DZxIz$@sl;J-wPX*&dmTnZAB)$ZDY zwxM)puT%8{_P;90M=f4QYy{`4IOduU;ODo*TV^|u>HCp!v`*t1z_v+4FK1s_*=VLl zW?D9pr#P1<@5uHrUNrRI;5>df85WGy)*e5Fw_L|Ye+Bj5{ah`S2{=)$@6z%#lpmSX zFb-n=^^_3bTq>X9YjMW8aQIiRIHNx4u11OJY2>-4yjG}oRq|= zm%Z0}9RN#wy9BWsVK=k-NacbQ{diERk*r@;H^SCR0le=t$d%boE>rRx7VDitZze6i zHC+6ow-|1E6U?Tksbi*fTW!%;sEs_oVa#4?^zUhJc>4Yuam_;JMb}~k($rzLHgc6Z zaF`q6!|pcB|EgUCa}f(XF*H?`2NI0jk6vW61!nwN>JU#cJbVj(ky2``c%U-ady18u z7a7N}0DP0L#wC~Sk5sAtYG`hHS>^zqHE!?UxDgXIs)bXc)CW!b|39 z6jyo>xT%)+dKnoAZfhtKC`2DpQ*7o_~#+++U zNNEwQm`-WoRPYnpFU+E4vi}8p(}mL0rOGJ&zGN|npKI4I@a`<_aXELwuGcak&guBn ziwMy-d6;2WZp6{OfjKQ9*0X_(-{|J;IdA-nKnhQQ;IHF<`OX1yawqhHr?N^)=GXfT zc^~}?X4mDO5nhJH-y3KY$1)Z&MFcBbpOwq(f+=Vy^j_y2YP`m?@$%h-rZM)_+HcAETsZ1JKNO) zi{C0-**lwGs#*e=_N$|x@`E`8mE2;0s*~%Yvg~xA<(=R+3CY?1Z2d*YgpdJvV{z5U z0LVIzypi&FKN#?`_SdWeTAptR7%k2_`*;A$XRHUG5|tsa=y|rIPoa_9J^XR@u-5~4 z>`ICEg*pM;Aq;N1Lp4!N#lZV<@&(Te zRyO5uwvlA|3Q3y78_CgiGfDDUM8Kd=;K<1Ojge=d)3-?@9Usu9LC3`s*th77?G1Sh#H1sg{9*UWj-TVsHxC#&0;vzLHCRFOEi#t-dN{Z+QH$X0JH}101Hw|}1 zh8YPG#Ks0?xl{{J^ibL*e~S7X8(C#~N$aF9JyaZ^G;6tNTdTZ>=o8M;^;PXxO#mSh z?KPT@3&I@EqjMI`qx@@UR1aPnSU8c)f;C?iUE|lg3_5gr;G`rbZ);Z`G5se!y1L%v z?u$LP0=U_gL>6WUa{#n!FPghT1vfx!Q!g2&s<>F3hY#vm=CEf~bI8RO$~CURfkxhQ zsOU`g5y_Rki~U*Ic2(8t6}x*QkaD347NuSIlE*sr-k%r!!!uOEkIXu|+Im!^btW(R z`@Kvg7t%@*!wQ;pkxt#i_lEAgcEq|>#uYP>ml_E^gt~Nw6@Y&#&~!TH^Z6{c16o}f z!-`Cffy1=nrxh!Pl~2~$_14rs?s*~`km@G&>i*;ebm!d#d;XMofwTT#l@if2nP!4= z7|Sz2SwUo6!ODr&uA>(rsI|_9Jg12C#Io*euztKKzrw>lC(1dmwmu9B12eTjO{6_< zqD*n=)HMb*0Lyvp&~<+qR{C=s>aCq19a<$`{H7)vtZ{za%SPTe=CrTm8GKr?V_Z2E ze(A(MSD$(x&TEIOi`A}E6MnIZ^klOpskbf@eo2+kgI}@0c7V1vz&`h#f?-&(64tYv z7KGL9lz4%(ZpS{C%em98tNMQLAL+?xJy~yU1bx1Y4V?2%{j0NnRGPhdU|6}zc}tPt zgQ#m|STPgs6-t|Yn(xk8x1P>|S@B|A@t+E`nnwA2juPofW8GO}9sWJchayso*V?$Oz~P1-9=z9__5#5LAOxi)8Ao=@eGZ~lLwi{Qj55*Z2j&Q zGR6EI+->GjFQQvLSl=+OcVn|rziJN^dN^yiZN}n_8K5O!eeR3F>Jb?FsaQfTIOJUdUgb{&c$N{NCd^ zQLg?%|NL3Bbg4WlMbrw1>+>K6C!0Xe`jqINUnRWX*iSjm+(E-pRp+(p!tL}8XgsG? zuS_E!H2lN~5-k^q*tjnU6^v+)z&fsK@?}8fWiQ-Go(`R-xT=h1BrqfP)zt6&(o;ZC zwI8AMLgdHXZDvEEi2wq-W6+<7bcj#_0_fb(zuG43`7DMg4SXjFm|xSuL~F{VH0LQl z_%Nv&I{$_eJ7OR-8X-ojfz8EEuBh}^4{p8reJD)B|IvibaF)_&tXrQ*F`)U^NL!6_ zYd(xxlO=AemW7|A{{vF0qpPHPRQ6^ug`@38)yJ_6voU({d_~c$N;wQfGKdTw2h90%N}Gv8nJ1z4vf- z_zPx}kEzW$&7F+c4N|!14cd={Sj5OCk)iD@<;M|cXdh{JV?clRV=KKIC(@k=&%_Az zVN{;92Rl7NSC=g@eeSX*V9->|^fLz^eout}rFNu`b3~Wr9o(d7`0&GBK!Rq*SxMvBR4tSe9Q!eB;ykY|m-UnID6FpF z)OAoYYMsBa(KSS6xNfdRP%<>JH+E{{=F6evi(8TH`fm)XhAb{l>UcdxOYqr#>yrE5 zt7ifIsk)dGuAKFzZBh0I`&8cgH_9;?*i&Hb+=TJBNWzxkVMh={w_b z5O1NY!cbi<^DP(l)+}^{=bGG!KuNZry?S7o`tJ;jxm{Vq2cRjP1_fk+u9XElG%kynmbH|ltcfHJFbFHyZ?Yn_((U!&*LpO zA%Z4u{WH}5!Waw+FCnnjA%ASLkAUcEj`P31fJMy%7VTgBR;gcX4yvk_&54ONA03~5 z$WWG;*tn(cLm3C4r)wY_`F+Q*sbbTtX;`mnEYKmEqw6N;CZ-<#(B{ zuGK6!vM0izpwL}zwpz#@OP-D8`SaE9SK%o$39ezg^Do+*>dZ;*W`JfScLp{sB3>j9 z+x>UEs=5}X`X7A0vfP%kxu$A$zh~Ip4 zdZGL%wpp)q?mk(S%p_$*y7{J@y66FT91i)@(K;h#g(}(1b)6CtJ{-;Iva)zFFOAZg zvbxsj_LkCPjgzU8azIv~t|{IylKyyJXV@@WwtbzZz7$U^iSDd;Z|b8(qIp{ejSY8Y zDa!DF#T+5{N#>k%Hk-wB%j-$z9$K}wUXT+xIW7S@ZL4)s?e>x_f zG6$>d3G|owIM|YV`*a+ks`WWYvKx$&;Ono3d8;`7{D{*Gt50FJSgJDV&N{}Guvp@C zwL*MhC}EfZ*#BIh+AeLU{Vfn9N?+Fz+vIiCTPkoXG7FzP{Uyj|PuQQ86UK_(RG7TP zB0in={j#*hs{c`1QbJZ9*UG04?)4O++bHq)D}u)LkLoz`+%h=>vJ)GVAr+IMX_Fz= z?}^+;`^tzpJ#Fn2Y7a=L6k_7({Aecc6~v&pTI6F_z+n;|(MLgf=*ff(2PX6q*(+sT zm}{Gu0x~X`)s>Jj=Hr9`?`P2WxZO{=H_QgC#hy9r2MqIYk;m7<;v`vzL47(Bhkm4Z zUww&4VTcNh1?28S1q|}p(NnI`uf1DInzI(-|$A(L6!9=egyAlqG zE3zKi8ln>!r_4qGxxHf-lYigd;i<`|nL=%(PHdx5#YZAb461i@rP_=3d-I-KcR!%c#}t@-5}%BkK|KF$JT3 z0|PLc_l?nau_(9RR^61sH;fxu8&@n%jmbwHym?p07x=onyW3>$8EK!hNl@!lzL9nq z0mNT2Wny4#bFYx^@N2KI?(wbt2L<-<+Pp>^wPC|x2eeR;Vq>H3eirVKKGYJj+&;=nd+!%n31Ppj3A8zhATLAqkI6h7bgU6t-;(e~32thV(7795@(j zMfO{-5tkog35Q@ySlP)tOH^d`I3Ol61wXn%-3n_LX zwZ}15m_!@mCbgOuHk1rDoGjcIAnobR{tO3uYz<6qb!iWi2GmL=TfeCF#1(~+NrjL( zm<+YC>aH38}b%xR&L)^8nsnt_c~c8)6XB-T9bLEKb2L3e39#UVbR4vE@6P#aHi>8++~yJ|}&Z)7kx z!_m$s9<9geQ)>v^5Jpd2Z*Sa(I7*Nq7%G8s+S&)hpQ?G@+0W612@%CzUW%-m9QrSp zuHQF;{y@D2IG90=%2G{m#n!TlpRy>O?r@*a3W$ma$J_|ZQ-ZS5!`fua;sw5tKWbca z;{O5!My$vU3B>hrHTMurhEn+BzNsCJ{^wa8U&8b;!t@bAX6~T(C#_ht+;c5~kH=z9 z+-Xl-6_je_IXAy(NW&sj&uD>rtYUFm>nfNrmLUin1N*h~1)bF2*za_}qfBYDLz3l? zt`&l1q7GxV(Sd2}^K51oKJ=b*#x(Iyz(3#Yy(b@9AjSWSFp3(&SVB zgbdRYW>=1y2?BObey|4-2KMzW%U;@d<=rc_WYpW|l#oH#`Lrr@qT|25d7N}UqG;17 z;$2LUKSY^6VtQm)-HVe%2W(uCu*1gsU_jKy^n336_ElE*d%fgymFMiu10EM0~ zgd>2aGM(~?)@z6v7M*&_v93k@n5WsnnAGmG>T@r+p#NJpjN{{*-F@7K=+K^Z&-iFe zU~LhZqS~|$n$PE2C~}97;HN}r*SkK-wRTE~tIIma)zQYBYw2AOBC6MAuyG5eJa$Mt z?w}Gibd|yJ%LlW18+p(ucjCJr@Xm|WOpesdh}2ApWRr7|9VKbX##_^AMQE3@oe)0` zYwwPX(r||qg@CbVxe!eQS9co`SIP8+)vSRi6N7@yF3!_^HXf-KTSiO6l%o7bct?KZ zSo-=ir_V%MdZWEwd(54s$k58C(y|sLLIpAfouVp(=*IquwTZ+0jBN&G0IJpy8ScXM z3jMo9FuB|%#fUce@tY$<43ESQZ*-I;?2ESOyB8J`F$k}hLEo^sd}uLxm>Mr1*(z|N z8{Sk*3>ua{Kd6Zx^q4}rgFp(&)k=l*q>Fn^E<8fuFQz>-ZZZT8BWr{d)xdwE$5fVY zLXL3lI~SgEBwBv43tR33yzD>$VuOj~?`pW$DJ*+cCPPfZBNda>El@FCUKeYkV}^Z% zq%`7f^WpkTW6+=7{&;x6{rFXrje%zM{mp|Cr4=6!6)ME6;cQ`uoZqx>@75ai^GK@m zH_{BxZ1y%E+iRb&Qd6=c8{w<9#xIlmNHGk0$W>Q@4xSv<8UHl1fF1qiajN>uEd8a@20#Ylc7>$ z0cu$EqR;5e2=uO{Kp0ZxC6lHR5*#cyG3uG#bR?|RvsAeF;a>dfE$myV_=lOs&6^C3 z_Qb&pdpE~OC{H?9fmyRTv0UuvcNR4I6g2xXVF;@ntLcxN`i`+e9X=@{L#_o)++_^4 zwLu<4A>jHu405LKl$Wxv!?E&Z{6Sx)Kfv>fU&%wy43+u|AiLV3)i7$0dPWazKx)jG zsYzkB_e7&P@DRW;Az%MQKtHrbUv8b1Z9}_~Wi%DhbP~LyW9(VEz)EUciAFOu+?9mm zG(43A=}vf%i!Ff(fXAF#NrJCMpgh2oHr$c~dkBFG8$MND; zIHZ;YaluUo&>q722<+F?{Ajr&MFMCa=TUp&%6j7_t)+)&Udz5GX0LS~mq^t;>3wkB zG=4A~fNzDxB{rc#=r6k8liq@#XvN^3$&~G9Uok$jHa1?HDQQ3g^I>W^9D99XiB#SDZx}QJ{Dn7Cm zCPe-sD!7aORsE?P_Up-02JF`Ye+aaVb#2Zm?!cXs*Zat+!$=buBS?z9bfbHdkQt*Z z6Ch+^(R&UZ-{=&By!2Vic;scf%rQiH-%g~@L-=#X&8f){cTb#C7};Vt*(QQm2QsMF zv4>7cxCV;M9%v#U^Sz9442i430)4lb^g=|RZ)0NEizWss?>qb_li0^q8_n^1|Z=>5gplrBgegO8z?8*+vkEE&louAfVBvn$EU=7=46;&!+>ZH6V@l+Ezoau>H75?^U4^q(e$a(P-^fmHdElj4`>GmF@d7Gdgj;k zhM4=aUY}2UJ*2LN$B@lLHo(6(D(*t9s?BN_HgJbxMi3A|aai$M%S|8aDDpdcLQsY znz2S|`(vqQRO4=acRAz?RPs;!L%oLg`I&FE$1;>k5JDo!TlK)E444v9VWKbUWGm5q zor=-7hPgV+2%)i+glU%#%ZqBY%wep{M(WqK_tQt{M}ds=DJ}NuKcdOnVG~`lVf(!0 z34Gq-Oe%qIY&{RuC@lQfz`j=1vf9DdI^rBoDTI2)EP!_WwdcO|Yfl5f4!4^7`xh&Q z@6rS-pYKw;AV&Q+PoVycb`+w<;o$qyrjWZZopc%==*^+`z`dr^{3z~P-cJ7;Df{f# z7y3|kEtSBW$*2Ye*=3AU=TR;r)Qk90XKpk?pJTQNa8W*{ajY6SnL!|!g<{*Oz`r0m zL><6vx|)K%a2`j;z% zHvTqR=whRNw+56JHaMt+X%j;xcKGkN%neaIIV^x@|e8s>6x9lP=+TCYUy$yR!WJF@7p))wm)K zY&ayOaJZ?KUx~HVOz4gA@@(~|c+}YWSLMp>JN_Ns22kn}TZuzGmhr}-Q1&_~v3~mf z?bCG~)VaxG4a_a-kHF;Q2)}sNu>NxBti8>jEy-ewt1MtQwO#DK)XCk!?MH+e2J(M& zneU+k=uXayCv4Y%)YO%3%FdzB5ljK??3D9HM9n8P4^`}&T0%EPcl4tu8@T~~BTfvp zKz9Ga4q($Ja7fu#S!u*9o1N>{a<`T7$-AI~wz=-j{kEH@v&47V@&2j?)asnt@k)1_ z3$8v}m)^abz_ml2pKM}L7-f=N8EnM1u9pArO*i&B81#36u6>RDl^(cvkftTwmFAjpSq;2PhKr`xoK8K?LY*$27Oi@}?G3i# zJ)0_e;QW^2_?l1^9%A&hN?a9h<9LZ=)9k#|jn^nF2d#CqY~b~Qr@~L}FCY2;00030 P|9AnUS9@%;vO%W?r%@1( delta 16853 zcmZ6xbx>Zv6E})m(c*5!io3f@ao6HdtWewz`rz)a#ob+syBBzHcXxmL{k?bQ-nr+G z>?b)%HoMu~NiqwHgj8y~t-yk9W`zl2LM<70-|6i&j^M9#3NttXxlNa5i< zU%$4spOsa5IqDusP;d63D~iyKwfPSpCjd&PWM%w zLwko4h=Kmz(M{n>OdEdR7pG_fK*k1XjDb=G7-SVI)*5_<(lTg@niRe%D!AHnmL#Gi zY&8HDed;(~OWL=Whdow+3@2ogf|@vIaJ)x;{!95TdVy1XN#6uE(1DI%%&&tH8Q+AjK98N)H!RMYa%>P^L5IO(?B_(CC(fpTPE0{qmoVeycYOGJZ#F^?)Ar?P zv?$*Ym4=(HwYgj5bD3+gx8~fnzeIpRgVdQw`@}%6(3XlGISZbl)@o>n)QaV;+tExR z)muCFihmcyOU(~oF0k1#+g*l$DV!{~hgPS#xfE!tzIL1)Pe1Y*k~g-LC=XVcm0DjX(6S@EOVLhfHZ>`m4mDaMf2 zgTtnsTro2PMT8`ngoe25Ozf$3OY>SF>*R%IztigH)o;;)cK+~VJS@Jv8MU=*wnJWE z`1CuC`W~+bue}bd4`|5s8sL04YUOW^V5>(Zm~iv$XQTMfavnXc1RXTVr(YiPbJU{k zw`q*LOv^$9CmiNQzWWB&VVnZgO!Vy6-r7b6Ap0Sy?9w?Vv^|t=VnPoP(_X9vY0+v? z$Tg@MpPO;IL1Yf<+b53yA3)>!M$1n|2e6#cc&&P)M*`%R7Ibeo^>ZWBX_ zZ&Ti7yTN|>@&F2;v(hIbt+H%^#SO-q5a4F#+Wf9eNGPlNCWmY|nob>!XO+(-$|0n= z`3FrN2uvq=CzV;sw{uqbGa)jykt6^zhOoWsI9#NSqbN!9Rr&+rLX?pz7q8Pdj6yya z1Bhl07a(KKKJZ$7z9Gq=n|B<4tiCBo{jtBk%2J0YV^$C|TZy0~jAZ`3;%{I}j}Qc~zk6ukme^w6g2gS-UT(q=F!mR?%L- zq4qO7qnd&ifLoDj3^uZOevK_mcAE2E?_0&IQwk$e)Y8%EPl)4=UH-KBPL~}#1 zcOaPtcI)&m>D6)F_>~`F6fG9I)(P#1)v54yoP&4equWHiH#FXx`@WV^;8hS>8i>@i z1G8@E<@Bn;)WuIz5vdog8L{m1e1=g#@+(S})Eg@2wX{t31TA=2iAJgsnpbb7nu<(w)U~2-Zyjf3Vyle; z;n6ce#U+oaM8bFN@A4H1rDDpjMPI@W7WX!E{7Ih))fGdQON5iWg^#+WR~%l@UN*Fw zdha(jUcKghc`qU;o;ThMfZlhpAwc`?s8Rokx|4n%?hn$f;ZgUjFE+TF{mOP{Yw||v z_#^*J;T+{4rX=7UF99yerguH}!_X2i&ePWUHV#~aGv9J1e(1^>$4 zEL~mZ(d7LtRvY=|G%+(D4A7BS*X+|u6JjOawla?~Y&FT}-(}APH$Fa-*Gs72F2F5F zXLHf+2Ps8k=QH~-JSbrb=~ygkX(lhpynW5-@!g&5*Sda>KQm`d+KW~3mR*VHx}~K` z7gjLmH8c5<{isuWR`?}PJK0*ZBE~M|jcjG|MLcXG)l+6}=LZ+-h=Hf9HoAZi%`TUgUD7WuGIi_sWCbhZR{wzAX zdBxqYkcn@+tRs)CfK_tO0lLgG?kF>zHK{P{qY4*wBWSe$)x5o4lSY$_n0y~?tf#9z z3*TRYnkz9`brSRD~9^rbJJzJ`_g}Lf@nUuX$%bkk>6KnuY}F2J->C@j~9Du&eUpgQd5;cHiH^ zF1sn1#IJG`;q#R~(yj4R?ao>)d+;wIE;pCAIkPdO`Pz_?qNQe71ECtNwf@zakGo)Q z@c^uyrI9wYp)1bjcR8UPWPF$+1z#tJd@`fPsODdU4KZaoQ(pvD)P(PZkwS*Lu|Al4 zgg5tNN>~A{!o(e`oUfK)Q@*p*4T3DU- z$uH#SR}d7l1WF&jDPowu6GRExAZbj#Z{4ur>zV^5?{!i_>3yuTem<^szBT8XClTs| za?vJ4WvhpWWjQ!`s7C5{EBCTIv>&ZKEst~=&or4j-^hk|W|I`_W*J8<*N_p5N9a_? zja1$BOKF8I4uYzP!?}%-Lc;Yywh5L?ajfHmJuF^TS))S0n}tR?YuT4)Q)w{r>Z8L zBm}&V=65h3)6+NOv@}}(r{%fyFI=rA9;#ET zNf!xs8x1c~rXNFe32FKk!s2PjiNAaj?uthHJ=}xrp=5Q!}lqS~E~x(1-HwSVf`ShHb`KkPs;TVJ)5Al`f!e zzZdS`q>Jrnz_G9bzI%Pvf9sRiwQ-~)EnBXa>*jppw8^LQT;U6mTaa%e%pdMYgU4Kd zN?YP-wDZFHwvW5*$qOqJ4#W@YeH@vayd_T@Y5fE)|UnQUb$#rtd6xLg$uQ-Hs=_K@$SC#wT#&2!Hhz|E3BfOATVix{hf# zn-UsI(<+Ftw&F(y4N;yY*O;$7e}%FVZ}xMs%p#l(NN4$JXP&Y3r}noGW%=NkvvS8H z@63QuopS}?>@qdm`<~s^HS=CuJM+Fr;=!mJ%NkB{k#-jywGU9G7>4*u)xGqv`RYcP zU}ZGK$y*wN6T$|9t(`z`I7s_yR-;g2>B*;^ro8uN?%vpk5(TjcP5Ev&AjiiP@ky_S zOxV!+V{>pxzGZV}WWSgaM3mWs|F>t=PmW6u%cE2Q!u}MxV{VD`3gV1oE763{EoXjx zl{VOX{ey}5;(+bvLB$GJj*Xp>g&jAQO&i%bR9Ll{?+SCRKc1H&zx338E_j2!*87Pi z84uFXw|2OUcXOVYZB#qpkuZw-!`5f63x&6%+-sE?N}}67LG_I5$HkOxH}SyH$r-*L zwc|__X6CLN8HrdciwAoY#*?xeC0AKH7TQ-yQo@SC*AGyW2Rk)NpMNP7yc60jZGEY+ zOwOnoSM=n;=u0@Y+Q2tpj?AZ_PCVtdh;JuUji_bU^*1+fMQ4{T>lK2s7BtSBL5)`U zMS)@R{&VJH+v>(i*|@J4H2qc1#|^fkzo!+kU<=niOQ5_lT-6#oqLYBPT>JIUvj#(% z)<8a7*&$G&C20w*;XGfSU*P}s^?mm=;vTN-l0UaTya}#slc#K}p>j{-Cx|s#v3PH! zX0T=YQdhCuy)5PvO0|*Xonu~E2`>|t(m$|ur>EkhU-I=w^rrzeAAKwLH%)a?+_LcW z9kHu_fWQ!p#H+u@TdK<_uyRXXEbyRAwSdeIpxkE+L*BdLlghKkdw4PED3#VbXk+&( ze3)_1 zay||2Kn!pHBAzjpu&%ThY}Ic;o-&*29+!ca&N(jBe)!4PrnBCy2lJ4}9-=e!iwAGu zA37c*Ul&#++J-)m4RQY{aSBDN@FU)SGo2>yD);8yMepwC?nCj|a6*b`*RYnIQQrnEyXHph)vZ3@Rz`9PHNt{d7^$o#rFHxru zT7;syPK`4Ky$e#&a9V`i1p0RKKd;S;A1&RiQ3I>~hQJwAVxqL^G7~rhjrJT?fbcqE zHHc0<7qXT{$NqCv6h|tKql4V6FSQj5iYmT>mpa@WQBrS%lsQW$7-gURdyzi}hdmGd zO6$xl5uCxfV$-RUTiwjwxmm&3+KAN4+Q`D_wMTFASq`4NDD6y(j?s*kwL^aSO2*;w zJ#|}KXIPc}|5F9-slg5oPX_eaM2-nQSr;Z*ZzhV-{n6X3F<-R}%T28toOkYBY>FqZ zqd4?Dr$8tzrp!K3p|qOa+aaH-8#5-2Uh3@pZYB+x`l&6kiZgC=noZA&Ccj!S4d*={ z?s~lGE!t-^tmhV;{)=WsP!nt{jgDTVBwDmK0mfV7%j^J{-(61Qr;$btxPpY3-)ko= zDO-QI3T2R*o>lp#Yo-fQz~n3&XYdaVFIX^e}mL!Q4j z$M0ih0RwGGhFbUQUo~*9lGqjLno`f!Z8fI#ZjZoY>G~!}P}%I2k;U*a(bf9l@PDOf94ArT0`H#)h=_u{Yd*((QZMzJ z91KA#H=%1o()<>=K+XTWhn16FL80aU#A0dAl7m|br77O9y)AEiWo4J9-yjE@z19NJ zf&LZ9DY3T3Vy9a3TPv>mf|vpeLwyro_=Bg3tFv?4Z-+YY;dI|`r^w$;$hpT66Jkt6 zN4boj>+Y&b-JFN(2zSxXbdH#Yx!Ji@;XqmQ;LW~!ON0G3rl;@vJt1W)Z^NnS=DrM) zjqAHZ&S*V7=aw%r-$HqqcZfd|w17QsuG$(9F8|A#r|Dxx-roJXj1F5#6Wh~e-+|te z6EpI8>0Mg7l|J?vPe7k!44FIoLW|%@zz#3C<6dAm7bC&{K4`Jo1LZ3x8}j7~SGDY( zeVjVt&NN`psf!O>qUu7MRanSmCT?2WpUBkw+|m8hnY=r06>$C){9AF+M9k{OwUPtq zNRGkJTU$|aI_c9c(v-G*cnU(|J zc>b9CtCH;d(M)RwV(LerVJs(g!-RsRacgRv+$LApB{C( zx2-m#nODdfHR?1_oFacVO9jL}Z9RZ0WQO-s?|>QF6XQdrfUe(8u$q!p|If>aCfobJ^n-pK1VUrGDo z+bjk=gjdmsN-VO}?D%;$mXnCSYRUSbUUXPz$a#2351c67XB(GPC(OYDdWB*#zw9?% zB)Im7EXgS@66bmyceAa`tDQ!b(db_uDC@v`H8wXn28GdSG0h9aSa$Dra5~V{M2?=U z+(oZ*(vC$MF)7AHce-*+InILn*=gM*Ax?B^RMNFJWxL&633I)LKNL>p{MV-~6T*uX zGo-T|=Y!D+c3fFTD2O3|Uz&oT^k15U++Paa=p{|wpZPbu?qhv(s+g1^X=?OC(-N?2 zCM;0)JE7uiSlEnOyj#$06RE@**cC`Y(0>ws&%kVe424^*)v&ghNw>YZ!lNNX8Tw(W zS1e|6Q6ZTh%-lV|5!@Lo)O?PrL7dm*7Jqw-t0*~16 z5uKWGqonB#Ov_<&k%sj$zX+u6jY2GL3HH%UW?rr4ho$+a#q5`Nf;l!qllu~G@GkO# z+|?})NflGoI$~7BGs4{D#1v-3i@w$P=6;(E0)65aK>2Ch!`Tyj{=@H6U?n6IawA+z zjS=okU~#q#ows(RiQtiPNU;#L_frp-|eF@`n8U9}r<>KS=l|BPSct%$f&;8PGtq{5# z^XtH}=d-(>jSVx?_fO>1!2+-9$Xa4eg7<-f?s;Y6<6g2ZXa1c~zf%}r*1g=6=DPU$ z56D%tTrrI%HZoj)fD!xXtB&C_F(31oy~YE0CIr!lP1eOLzOn~pT%^&wgZQ2XM2Srd z<5%jupUzC7CXwJJDiKwjH!Gx84 zm&BX3Lk1-gT+?})!tdA}0y+5W@^LJ8oICrgaBiU)zSFmXQm>oFsntLE%YQOnT8{Is zy3dpEOWTmz3b~Tm2LA5h_y`#ct%YIF^!paNfd&PQc!k23#|A26b7B@$%&~?sdtL$J zr86n>wKFsGwa*s@Ul)zA#(Li6#WT_(j3UlK7~keZN*mo ztyv}z)DwlF&zTb=fSCH03>r&rXk(%qemM|207<8&Wm^(yneD-NY^*%1AO@KC2Y;EI zB*>S0G!^sxW?T|!3Q!-wAEBV#m0&xFGkMc-!(5(D{z*}t{4{;5NVF=s1(0Wk+^Y6> zi@c{DMlVu!q2K#*j<3pi)96Qj_@v-)4Pna&!>~C7kCT5BCc2VVxya+W)RwvB@@vlZ zz3#ve)+gBEO*oWFWPcSd#0TpA^)co=ro_FmqeIBvtcm&)x?wrg8@*7XxhcCbT)GK^ z{_UL(`+oBLT%-PI;cMHKUrBIWqEXa7HWjHeUiI+5m#hzA@R!h?FE1K0+4>bQ1&fQ?8Z6D zaDM(=2!!3LY`(y4GegRQUHIMz3cG`EaYc(Ay!DEirJikM9s({r`?Yd28!irSC1tvi ztV`NnzH-1`Gr_F(T(oKV~wJH#W8yN8A|?&u+A;)uT^!sjszVYnfJ*ie3yE7*Kg zlZh*V!W__!J&`Txhw(7eEy{`)%k@Wapzl52?giu{9b%oEV*mHT7VYB~tRm)`SJmmN zp(~uiksIZ22Y6=LAC;*GjG;?{q%f1Fr+U}kaIEI~0UTGE8L0=n5x;D?uR~3UkgwQY zGktTO-Edfc0<6|AKO*nX=AJL;+CR`&P}q+wFWLH!xg)Tn-ks-=_b%x8gO07A)f%rj zKE0cH%RZq4=q7g&q}jhFH|p+)5>m8iK=ALTnD(M{r|H zmI+@wRHK>C$`?a&$g@teWgd#Vepm&i__R?dR5vN4%P`Zj|4L{tPf<h;>lVZ3tW{5$rgnh&n8x*G42SIY9w!~es_OE__gfX$-^hUbEGclyi4o`h2 zcd45jf*bYNxbExeK)3=Zg-}`ZzdurH^LvUO1E{R;!?Iqiqwb_)4B z>-N-U3;Iz6hEb3^0c*Y$){u+LM!*gXLebPaOap1JeL`sKqafi`JH}1f&JUuBoVO=B zA~l)nftipUx6R(>k%ABC=Lie{mzCS)FZl%QN(_3!1zf-I^qUhykO~QRY|GOGaO>uR zAOH0yL*^%;EJlgGTyMn`0O1Ii@(rt>JMl7?2ccH#|X3|QaJ=wSUQE)keLzd z%NKn>1_T#5Qa;ScY=9mS`agnGedW7$;w;l|xbr!@@#+31eXi*5Cg1RPy6>Y$HwsSW zrZypxwpydzaPx-YxrZKWkF$6M7>OQwtGsmsKiM+%_y%+2AF4p$>2L?QmJ}r%eEDZ2 zSA8n3^d|Ne6yfjC(&dVz47;n*ZCz1yRBe$<%DKR$fVVSBcI>!?2bLi8+x0ga1+)xHop+U?da(d^tx3R@eH3jgv4 zU>g&&_Vy*jA_hny2ZB<8wL&&EQX}qOn3Vw`6-J%MS<`$E_;IaC#Srd1*syaH8-8xv zRQ-;^#$Q+4uCK1njE3!nUUdatXV2$U@_fk2`)1!?t%y#V73@~JT+Rkd&sK2kS8Cqz zoCm7b1R}0j{!QX?l3bzJ;A?lX+vZ|m>8hkyWpkmuvess=vJww8Rk7o`T`Q&_S@|PX z_-RFl?PqXjBhJ*EU6K87a8=VlLe^R3$;w}*_35^}w{iBxN~7+)#<%hF`vC*OWQ8XD z(-lphP4UEUGaN{yusjYst@9oa=QM#meb|wlBQo5|Q|QV$Z%$3SCN;1F23ZkY3_9H{ zm3AZB=OgDRK$d~cVzrH(!F(%TIOFp48d4~dYEGc&a?SZ}VdKf7LCs~rM22#of4e|* zx#VH!*oeUI)E%~<^ISbf2-cN5OsZ|TbQ7?FlsZ=X*t@Fg{m-)fE&2rBcJq%)u-mqG zHaRMLNCj&EL%T^1A5iO$%Hm)oF}6^O_Q`o}L}3 z*?fGHfd|C%1PNBaIrL`l0mmD}NA*@$pqzZ*gDE-qI@259$=LJZSvQcb_50?!RJJQK zu|HXIt*(wu$W3R~ErsV~M^Oi>vu+wk?)s{hm_dFtqT|V_S zsD;Z%^@ft{M;(ZEKL+)0s>>MTO;lZ7|NgNjz|VzE(R9pkEWtRsOZ{s@EIjg`aJn>) z`;wy!^ciVD3H`?sZGg6Zb&Fm4{LrUs6T;ES2-&nYq{xwqz>*6~s$UvuOn0M8HnxFN z_IXZ!HUAC?weHfN4EqDqKBaj#;5`7` z=PSW6TX+Rzny#{=OLG%!b{RzmiQODNVlRONgqe`(roWFczvU?7SWU*EOva563>D#lYrAOHKicm7UKZ_^+&5>jeGfaa;t=lWy) z+o=6sMn5aZc1F9Vj#K9>!`Ny3BM`B|G*KsLnX;Q%U|)&rt!4PI($!v_NM(8x((z!S2w|Rd+>JeOCG} z8zI}=JnC3=P)l=TU0w4psFijl1N<&BZO-&;#blPe+-9qgk~9&V&7M$d=pQ!GAE-UP zcYd=pe!S9b4tlviz|iHf0qz;v;s06*4|mG7ZxAxd5036+dLf$c(9#h)gBj?&h&33N z*cs-|EMIUo!l+ywtBvCCOBZtmxOTjJ?@rSmmyIWF`lNhf9S%?2h(CEIk1*`WkNO_l z8nM~O{AwZ>D0=esnXw4V9?KHS8Fqe9Y3VYjy2H+K`CUxI_T;3e0!*>xD1nt-QQhri zUznKZhj7$VBy#FMbud_FbqP)0;8s&zzb^8eOzl2kJ@Zc)Wm1+U{))bxNZ3V7vV7*$ z1O}%Z`2OcVI)KH;9=mOKJ5c`&Z%GRjo#ha|kNf_j6t3S`rxTukw`fhcoW4^|(LnX_wPjgO22k`)m`+N1y2svl(KRWo_OZUWVq^ehpGDkAySxt$x>4``N#53j`O_|^~an4yWpzJbF(RyOsiZST=|=cvd-0Rt32}gZWuVU`s}@+%!|Y6=kl^WIjsZ zy+;HW3|I%}Ofc83!Ri9)j4Q$w#!48dwHgX=Es)fM08cT1S#y1Q^HBm^TP68n8|WFp zHzF_jl7tXv@;G*|R$96Zd$~T#`HawK^f;BI4RZ`&X;D4X86i0E*NA(>=|Ki?u?YV{ zz7JdjMuaQAl{n7XO>UOS3={lWGY-l}7N^iqekW#wb%ZO1)#JsVxu28sA4P!ZitWrg zDi|l?UUPb~-pT>aE<@6b(u$t}d?L!fk#B$m9%BG+_}?Q%xFT9r*I8A=oslwv@pIo2 zB>9OOVssnkL|!Z-?!BjlEN1@t-8)7!5i}@iH)!O#=ro*&64qE5!PvD*wtsH$jkw32 z_GAQWp`-{4YD02st8;N}2eo z$$xmYvwkaKah69oqLTAQ=G)joz1x{hlD$5#d*ImC?O{9)S$K%$;Q$@!$tg3qX1(~V zQvuTX*Fi>0Y>&L*`a8O|$xz#_8GBP)d1N~zgeq2yFN=pNEvoMD?I`4uLP}&+Y z7_VAL$aHyrk0MaCqFCCiLB&WRt(S%ZBTu|4m1SQ}QR(fVjRz9Ts?5GS&?))7|6^HD zgET>jM11G1a%Nz=24t3?_Id~+`4ZU6M=(NvR5D$(1P@|sx512kvQn>5y9qb2!+eJQ z#QQkae9aIXXK)A-yUC#n@`ZgxBPlOOnGF!EYP17qD&17AnGn(nSLS$UPtZe#niMg~6}C$J@x3{c5kS!m75a15+(gM)ou zIwfmsNTQr2-nZfx@6rFR&|mG|?dahm5&iK~#rp})~iF=i-Unxe=>nl7cjlW52Ed`eK zu^;bPPF#ddxHwQ&C@ZYBV+40h_XGCdo3ximj3t&#wtlI*a|AKs-2bU5qF*BC>!2O00NkCj`-OAbdj8=EQq4m)?#sA9<6}V)m`QD8-9&>-7xBzmYsa|va zJKrH=&ztsEpK@w4H!*mE_EU6Dplrp@bqDlmVzu7!IPxzp8x5TuGYq-3HM24wQsN{O zV6Ng{*CKKxN7n(_)Bt~+I?72t2kM$$`5UH$`vvUCT>6mcdtR&JgY%HZS7`4T_DVKv zZThSpcvUuUKlfd=?yD7+>NN^A)yDV#FR@|C;XGTZGi|qWk{jialTDMOqno3nGMD>Z zs8F7mSeg{i)@e1AL=OjmBMp|(3QkiE{@9W=m>Wpe$HkXcrXdAYU=zeOielE`Scw&N zQ=5Tp#EROf8Af<9I8G)ppMxf_<#R3}ztwP@VUpxxBp3PQe{lYpZJ0WKTNqf^DjffD zN+LPhAS;`;r>a_{A~#x*63&yV#l5EFmU?{F8zKkPsc^j$pGQ;$LbKrT{pQ^mp6noeDLYiS-X83*r^-950HeiW;@ujSvn4V6W%0KYyUe z?~kj{;%+`|h#Vu0)R0RbAXgtJG1Y^!^qNOmE3VnOw^up-0sS z9#2VB7Y5fU1pm(GK`+tJE5BwRrDgYN_7JbYT2Mh;&?z09oaG{*Ikjc4WS;A)Pd7~B zYcEh0c4a8b*V3X2IWJQ;)v|UY^m5UdE1%C((@FBQlBEJVlhtR-3*tM$U+-M?N;M|% z%G8a${`D>i`cbWET6$d+2&U(C_WDgeuPLjkM|HOH)y36~N@p#p0&F1rLY1VvmUOyc zVExlst6t*w`gxgI*g#T6TT46@j@A!TEh;a@Dh?}L4RMVhJNc?-6EMnrm;}n4m0#~% zyh<6=j7tGp=&yD;X_Pn`*Xfc;&ozBE48AVmV-r_uDU}>FQg<^cHt{cOg~X`HDS0N6 zCb`r?Q0P_4bNd-km^pr`qj)+^q>q!IW`o=lrNs^vTw{O)1uI^x>Bjq6r zxB2Pj=v8X2qt&#ftufES7-T}}+Tvnk1(>Q*DlTLdXC|`DJx|T37&G<4{vgL}>BydL zIP_P^G^TuIDrUq_yl`!8^Vs>>L?9wU5D_kj2u;Q#&=9H-;_LW|JiE)(l?aK7pzZ&<7BOjVD!^%8O03qT$BQW7lv|ZW_u|#G+*2w0f83- zo$sSgBk%__pXsEkoiVEcN$6o;gg`7$`JoBg7jcPdxc(U{l__&$^2HGHkNqlX+LsIa zpy@j=(S88RpN>Ip(Hrzq{9JhB1Az+d=nj}Umsr;Pb~Cfu>-j$Q=m*5NLKFE5eH6e` zM7nd6p|TU+p?|<4lw}*44nX; zp#(a!_jH}jsZOS031*vE4E!x$Wsg%?V2n~V25&}r)(gT|)<2_3l+2;XcrXi5G61`2 zend!l<%7?9o`bqlFDcaor}%dG!#VVll~YiCrtF}k0?ILIFAxY0l+yhDL>1!Kh-F~J zN3of0hh;Phy5Q$a6PZ94ffiHXsL@4uOJDA6uW;>LpoYRky zUc>C}C&|pUsK{uMO327DF_CxSMZ58jRz5Od8N2|cBmUq0ItHdBGN91Qt@lHjT~-BY z&8TX9&h=StzM6Dsho{5gGUJQXKSRA)jS-WB+yl^Rh+Ptf4%s~i<0q_}8NlHk-lg%K zC-Nhommmn&Z|kyu8@i9<@$s-nHucZoOXr}kicfM_+mFr`rathqTxGf5kSEK$FmonK zRgb`XJj*VT$mmp1MnLGq){mk9u_lk8{$-YZgYQ}q16HdT6$igXf^Z}cY!n=(tN=|P zAs0xN*M36g=#ZMr+sQy?XdqTMg(1=qHM9V8yJAoaLdBu=Mb4nI(+qRaQ-d>4Eb5b> z7`tS_5sMiOSvF@ey0fG9^agakCP@*RCmg&ICWVviD`v#;CO1T+unh+D?plCq3NzBM zo$+uEgrjo@dL2JQ&}XPJ0Vs4nADb~OH{{GM6#})TGmOK)uir_CHGzXomfcvET^2M6 z_eV^KEi&S>3w$Cxdi+AA+u_f4!e5a+RPmgg@n%1R7!bsx5yk&spCSbbP$Bb@BmZ-W z*JZsZ$G=fy0%AdP3=bk~MXu{OOB<#XRGOInw%UKY8;_Z^j62~ zk--2|qxOIsWl$CWYA8?n35rOI1w8rgH+%B5$ioTIem#K&1ab!y@pT+f2RrgpE<8oW zb+;h8aT0JXA`u~kgrH<5{^kQ+KE5+9+gfrmMIxGC)eg~X6h^;#h7mtsV}% z=dUo|X}94A{m=Qf%0_dm;HQTlVv6yvgvvY*k5ho(1B9Qjp5;uLm&&P=pvodsGrE5Z z8bWqkGC6`1Q!61}Qzjlr-3Qhu}hz(4fZ7a1XEalOg9uYZ~UFXFzwIXA>$n(JBgnH~~PuQL!*ECtE? z*|GsT*1^W8<&Z~oiYf;pwl5LtMuM#5@ls~2&cD}JC0?Ec7SH*sLNAoFeofU*pIG*1 zkN^t$4*_5BsK4Py{AFP--zU!ewU-L;h^PcTI%GQoDw2o1_OKpj;Q~N^E$BxC+kSa2 zbZ&YZeUEmB7W06t_-OxSNC!CR!-*7o2j? z$!dh8ds_x(O)`z3A70+6yrdlBaKgM&&fa+A9FzIcC}N~szZaUDPd$d$;;eSq_Et{% z=V^#D?$Ez{{sEGfqiw)m-D4C+AMie%S;ZdkBbt)MOp}HpTz7ayIR=p34;=bpH-gEV zLFC*aeflvkROQ)Z@uJ zP5}}Y#Iw=%y6K{SDUZtJkb_YE?VBtwp=@>zH8YRf*xxfuLTv|}po*y6wz9FF8LZhv zm-}bL4tm#fR3VZ*WZ=M`AodPP#62NG34(!?NGBX#W$<}u$kE7gobGc!_okoS)!>SD zH`PVhIQ*JHDyAt2Ft1o#S(rP5bMh@(eFzGeFHK%Z9AJ1#8@ zyVH^p)tkFXk(^^tQWr~mkSPxaQ9^Dtyi{y4swGUZs$+i=Re}pY=vr`Ru>5KE1k*A2 zQnT#X3=6Oz_DaA<)(m^5EOwCFfG+IS1AX0Zhx?z zy^ra2;hj!v9?o7!A*eAgmLTFX7+HBvvE-#D=l#4j2#XinupMODa$Otp1?Oalv*xq2K0G@BgORp9M?A zoLWP7=+M869c%}qhyu0hH+h#i+))U%pNWL$Z8OA~x?NHBYYl;wKeHHP`O`kWTRgZ7 zgE*L`9zb!@L$4Lo9z%^9UWe3}HC2YR^4x8o&6U_!YLN5a6h!dT9jlj}ggVq?r_ zFXztjY{fCQdkB{^+>%0It#+miBB*wvlwibd!^ltOhQV+^rdY?4=)e!1F8shDm1=mb zJWrR1*g%!oOni>cjdk-3LTG;rgr`V+bFax(0)m3Q2ca>(y;s0fs6Pe3QxG_N;5kX+ zT}z_S>7)b1vDXPN&4PEKT)+@ChTVG=JO%iblq9s|5Z>?Sp5Rh<8^9uLjvy)w6>g{N zUPrcnuj#v8Dz^zB$ymj%maqm>{mevc8wnX9oB6PEk$XN$A=qw#U<@Kj_VLpHP901)B0)Mr|KePD6-56G8ToDm3AtDjvjI5}ZU&9d3JY=nq3; zoNK;+=euiHGzs-vV>F4>Gc`Z=`zn~@xg_PB(x7Kss(JH&s66P|n`$2S6`(b~8+)TQ ze#G3Qgye2T-;33_=9zLvuVoK)Cr0m(=Hc*5!FkF)>mRQ9Fn(#a@_1hvxIp>)*hRL@ z7Io>+2`Ey&#%OiBl+w@AU`Y#jCxovIEEmMA!e;)-;;in!me-yR-P~ z)p~1GcfR3ABnuSO*Z(#zIcP}!E2-pQ?Jyyf0WZRYOmUFJ6w4pG53D;lnR;%IM`cjo zRG;+YKQ78Rt&cK$)n6Z46fPg0ss;VKQC##@k2!7M{-Un>+0owSNPX#%O`nI1@n(Z} zO(a6}_T^Rw2giwq>}QzOK+iRH{~>8Z`fGIMdvKFYqg)@z=2;bHh)y6Eh< zJ~C5#bYGaGj`cCoFu*ZYSwi2^N3b+hgx@I-qo4iP=ll{3{988saJKWz;HGI`HY}Uk zvnxYdR~GGYHObC$Dd{Wp6pTNL3FHvXFO(3~r3&9#*6be2**IOAv$lK}*6!C@H9-6f zPafZaBYzoOjHV52jHWk2(IwP{(8%TKKG*2hnZEP8<&uHga==_k2Q}1=9lrnlH4j=< zbo5l@REdzQDB_J=JS4GLXXg)OS{BYSDP3k~_%*bTYlEHgXtr{&UelAOdx}1&-EVVP zK1KGGnfNz^S0(}C(e;ZoIiga^bsX1cRpL{`Vu%{c!)J*0Ii?^IMjo(UI~c6T59Ui| zu)i=|ZLG0#1Z-@iZC19cs{Te#QXRQDJ{b>YRJaDTbF4xMY*bYcgjR=B**gqr%{Ty8 z!o%g8%l2&zo~m7%0S{z9@oO(Tq7K5ptZg&5yD5?Sr&{xPy}HL)V1p*3I1~0^$>t90 ztPKlTqUfiseGmT>wod(+)rZR9$RXf55|6WRhd~wR2Q-W0Vq(OfRf$O3+#Wb?>OE>I z_gS32tz#1^Wbu3%N(?cR%aqMn8Y2AqaP@SUNHZqp5mp(WUD~zStK>R4^*leEaq<}c z;(Fu7R>~}xI-7Dj3XXO_m}o=!`|0T-@U`CgU6Auw7dBf7(!s>&qXNeTAGvBuBST2J zK&VfT6F8r_+g4AjCoQs0`Y0^%g03Nz$ac*YJmvfA|4u$VHOJ=2&o)?-THiDGvZA~w zcj!sK51d=BM&jLgyy6jQQBJUJGc6t&S^u8^JORW09PtKstu7<~_XHhpbSZwGhi*Wc zG7SyqofvB`RVQUJFUv9KL~j6{F>T41=c`6y%5Q|v)b|H}%?}w$59&#I>~qG3-e`Q6 zB_B`IHzb#~pNT#==QmU@+s!^c0dW@&)0ba|KJ*`!y&Gw~*coH(q52uuq-oikk@oSe zN7*{?7+=FqZOZpyqAquyM&U!?0`{+CFT_Ep4qF-~EAqG?w; zXrC9f8#V5KGC}(}(i}4!Tdb3QWo$+|ZMIa?jlB*5{av7IUt@oz2W}grX-Rjbxn{%` za%H?rhKr`xoKCstp-vZ1lQ#SPFqbyv?V2j`aehm2d_$-T4>9^$C9aCMalAybX?9-f n#%mOogVsEnHt_nuli(-!myi5^00030|9AnUS9@%;UqPn^lIm6o diff --git a/cpld/db/RAM2E.cmp.idb b/cpld/db/RAM2E.cmp.idb index 7efc5156903938a588b58be648dd4979bb680e44..46afab431d48b6be604e0d526a4ddc76cbd171a9 100755 GIT binary patch delta 12993 zcmZvC1wb52vu+X&0YdNux8MZV;EQW;_uvqM>tYjvZEz=eg1fsW_~LGhv$(snk8}Qe z|9jv2-_~?jcUN_7_v~zc)z$OU_R%U@9l-ec{Mp~1e9nK^Gs+kL;*VVa;-1g<(@}IZ zWM+CtSl{cY>>E}}WlJ;m;Je|Nv6c52nRO=q#r%nFwxVZ=X__H%R$l`D)e0k2liJ%!oe6SG}{vY<6GyEXOs zE?EC;PkvR5oZb3}`TEG0^%2wck@pX1Sxam|-tQJ%R@Z`<*xpx5xzhY6`~?&oo1L3+7;CI>8C^oBt9kl!&_n060PX~h zi_NDUkXrXTm9={;^0jcM9aO zH<7aBaYFCF@|+(}OWKTv|zo{aJVkiC6>*c zA7G7IHXaspZa(J)=IVO7h=5!z0B&NThtGg&X_^>AzeZHO#> ztTT_rtZ`LEIKrSRHQXbyg&L+Rp0^cu;$d;`3w^;Uk_2ZV%G3`A;#P$?Nf|rA7T0yJ zm*bde){$rw22Ka$6XTfKZW7F;pz^VFa#+y**o;_Bn)bw!_qvKzP39QTlvtpa##UiE zCXIRzr0tw(IPm2Ow`iuyK%g6ecb0$01vcg$>!svF zQ1N@xX~X^R6^EwEe~YNF4}RZ>KI7@Aai|L&+ z&|wngs>!z>Q-_+-pcdG!``MxnvE6!!QUq+9Vf(($iV{2LfQY;t>!o~ZH1^+S!uCbZDi=L}+aQbU8^nJ5N;327 zHXgR`i!5c)b2Ny^^D%nL+ZU3?U$@b*eV=7viJm`)h&&rZp}c)2N%3`tb_@kI`|n27 z=70)eRM>xIf~ejBvq=8Rv{nitG2DPW|GpDtZ|p3L@=J7A#!HiZo8R!**<#9jHC%7J zKs?%YnoK!Uwr}TKv9ny1_r>t%P;97h?5|jF+R8d=V~N$@9kI>kLetJ&C<;vjt|d@N zuik4fIrqe_`vA{D8Omt`>?;lk4}RDDtEGe%w(nrflXf}NQpxK&nrYd<%4y)M3vJ~z zW%vzFcubejclK%gV}F`W#lURZ0aVZ}@f9-U1l@Gu8)5y5n_M_spj*8}u!p>$qK3gikj9F*Ih6z5YzH`M)*XuBER3{68zKPq3)pe)qqIjeP}z2-D3s z$p`*Ph}D_F?rC~yYKTN2#~Po;hDx%Uf#hWTd)2QKFen%F(ZayNJQIL`$+}u1YehZU zLmdkonb&S%x~T1k(QV3nxb{C~QE*Tmj`uKEkvfP1NtofH`XT2M9l!h@smLT37@u%p zRw8@2NkZTrCsx;7K*Wsft&{Z(`!B2-x4sbeQ~y2`s%0m!S(Cs%lz9QT1mTS+94Q9N zrh*HAxFMOnF$q^4lp)MUqP-{M9bN>o1$OsLA6zTNEk~kKmrJ?;3(mDE?tA}A= zIcms7dwDd99ocv}TF4_Z$FOKPeYCky&r5UQ7e%13*d)65tHpO@e*0{qK9?^~l1U^V z-XVGWThl{su)wY;%^g^Gi@1LK>P=y{0d<(ps1mGd*Cw{ubuXTN8M~L6=u}w!D5@fm zQ!yc~ui=NI6vq;nlM|{-hBzUoUZGz8(LqMow<|L3Uw>TvL|T6>DFgwN`-O91uj5n! zNP%H7U4){ZfsQ(Czs1L~d>PQYmN3phm-aspT;KTy5o})|ZqH0gkUssOnSLHPq7bZL zggeN*7Q+@cn54NmKnL-eLyln!p*-DqBPlYtkwohtZ%QU5G|jco5<~ukMA%ox1&$Ty z3vR2+Or)n~QQz+=r&0L!Q%}*8#H!K&8guNZ2Qr8i@h4h2p=MFS5lR(;MH9oX6nifq zRyZr9zfjVc8r5<4BusFke3~WG4l9;}KsvZ1W!OE{_}C*_qLWBn)mZQ`veQ3NK9r~a zo-dKsh+adiSU$PQZHpN#z60I&VNp>)tazS;eJHv$NSN+_wZ}8FL23z4DAxe7!LUzK z&~h3k*^l(DM$?@CU)QBl)GIu1B(y+4g{#*x7dK@JHm6aFj2s-@z|U zgi`;ceD(VFBS~zb_sR5+%*CMBaM49Ea=*R+Pp!Yi$!&52|NgsLc8KAd@XeytA&t5B z1@vK&{5GPTX2Q;N5v@Uz1OuO##QG>fsNQCn;)IfdqVZT+4@Ojq9s#)&w>T)i>Bw#& zuGcY`RKl5#1r+0>naGlafv?v@`%D~y{a%h`{w#OYp?2M3dw?K5^7REo%Mh=v_KKkS zye~Yu!9Jvev-kS{SQj09_Xz?ghpNa8lF9b^tT02WsjC&eY>myy zH+K{36D7aG+|?BA8;PInBn*RuyhinOC1#@7!{0dhSEj&+yQ!BrAB^6 zyvEV~)$X#SGnq zZ#yXB7giuSUx|#PY)B_DnrSIgrKO4DG}*LC$q;HlQ!)Kor4}{0q)>riPUkxiY5()5 zkvO;Ebe)k40q7lsNEE09)TFn#T`upiNBoK9!Ck8vlM|vjA8>bcB%Yoh|5=&r*Wozq zdVGUB(MbBQP;^VsKN0No&VOx@4VjpEh3&gX``syu=lPkFjM%me345Jbt0DtTAuqe^ z2fgTIYaFE405!{y^3Vp`{rNAfr>ATd#o`^s(@pCC1L%kfu0&&svq7B2wo#rIBR}k0 zvF+Y@e>bEqf#;@+zlp=#{kKW5Hv$+aBw=DTIFgH)=(lKVb9@l1P0F?j6YR(;^qGbv zZRITi5wiIk_lpzvvbFWafqJXvvZc1xP)OIS1FwtS`MEn3HV5M^Ov4rdpP8xVY8Sb! zBF(gR;A&@Of2!VF@W_ZtpO*gJU4-^lS*uS_)$IXyV_SQEOM{CA$e3blcloZy;i}a| zLN!?QAwvXo{gb#m9R~JreVi{g(^h3Ek5nt6kw5nTsjR&9`M~Q&K%cq@vg@;DFwxwc zS?=;sw$%C>EVX*sK}(=?9=S>S*Vt#_H1TUYU}Ci%L&7Kt83!M~rso|q^B0V4A%i;b zmjQ_uJHJ4?GuY;Yh24c1ugz>-+o&Ch^jw_@tYtLnc77V`vI2v;+>Y?H?m}j^?lHPM zw;su+?gpoBD5}EFPrrT1&yWCeyE?wE0y6N@K^K*4a183^&n;6?U`OX5H46RBwMr@l zDB=!+%?{Gbaw93G`G~+Mt&;OcM!W(`j3KK2Y|5?b&(Q2U+zT!d9T)HHx<3rDr!9Ga zT?H~KY>JA>ga=O;#0*%1a_AMSvNkw}c-}lyQWSCcA*=HBiZ@(NQbR>o z^uK5&9sjo#WacVmSiJ6ldh;C^V{mMz%W|^zL|p_YK6L(jyqwS&pC zZ^L#%#U}B>aV1;L;=1;ny#&t14oz^yqsTMGc55*t$WMO$Mlog#qS*~`wkw<&;1wy2 z_GX5EDID0DgcXOl?Vi*lK0SyuyaQBJjs$Cn+$Lc;Pp!@Z7c#&3bVk`~FzTY-3^G_Z z=Rp|S=t<9o-%29(Zh%L$taholH3FZi5cIppGW}hhmIk-kPT?Sh@axOqLpgEg!MgR= zcG8Hf%!~DJR%%!xg>6?Rbb%&(8J{D^D)#BG`IJAQk3^z`~g zUxTh}Tw4T4e6OrE8G&TvTY~b{ld90x8^6@EV9})Gm3H9cNu~OyG5zMPy=VHqbyfSbIUvw5*r5}JEVlZE!pZ+2X?gQv zWNpx+H3A6UjM=(gtvLdZ=LMUWq)zx)Ebt379hvlzAp5+)QCf~-7funN%;~y~$(y*i z1sq9=B0{+zbSUF(@e9RtVH$bmX1&Kh!ZgL*(-`46Q?yu8FEO}emiR2#k8C^Rd`Lxf zj&4RQQ$?_j&W&!wGbR+1+}*s(Nn5v^??lpjPk_RxZ^rm!>oNCV9PI9C|B7O#JtI6e zT4d2f=-Aw>WgL-({b|hj(Pqq^QTNtlNKNI+{=}iRen5L+yAo70Y->|Fg6Wy##BisVwzLgiewkYLgY9fy z>Hv_qC!J2YRf23VrXQZDn3d1$c3oK|-_CF3awzc_g&jHPMD~`bkt~n4#t8|vZGK`A z`Aum3>V!$*PTEuLgCK}}L?k6rkcc38-rTQiLxGw5uAHwjKTF?uV#zGqXhM1IeL|Cc zZgwTf5`W%zpJy@EWOK=pZQSYZRNe0LH_0R*3=qexcDpYIJV2 zVqug>jQY;K@tBKj(dZeEZsnRI&`jaz)yw@x<$`yMndfVSnREyZJc2tHRFxd2ktRpY zmIk-I%5YjYrEbvPeOa^O{5{%jD+Mgc`%!vvnAI;EgN$VB@&|!yCo$q;fhW&|Yh3lw zU}$HJ3RDD#llUC$v&OloDwirQ5+?ztKX6>T-aEjCX;aCQ^=6c=^k8-qaksYgHK((2 zyR^MMh;uDpxeZO7f7YTE36|r?k#Nr+Wc}u@-@yWqG__k7%5Lq?wvO|7)~={FSDf=S zOV>MlX@ed%cU57BTgx9h=zpD#KhL|FX+ei8)X#nq`9q;0(zc%<$49F82XGj5@y!Fp z&rrWfK#oU#3}IPuxV0jtVA#y5+e9eM$qmR8`x&#mz{rm*Q)1X@Q>}<3qWKo7O)zd8 z6n|t^_j`Neq43LGS-e2IAb5+lSkq0TkNeUFZuSs+-eTsBmuV!@AN~A@zBYPS13kHQIb7LF%o`Wl}^g^nMuHv zO1X4aCY*0O*r-e5uw$K6uMUm>)MaFub?<#iODxND6PM@sX@wS6FMo3B#_l)emP6XC zjLHoc)sX2z>&LZxp8|b1RxV!>|BIpg8Uax{8xqwd++S`s;*Gs}xY5Bq_5x5Wb?jhg@D`A-@qvAceDMTvhTMd9 zjb-3!ujYz4WDI2QOm+Xr*t%SSw}wE>@ZA4SCcpTh2DRyk>M0|7FtQyOEU27z2?E8| z9XoMK+@HlbOy2Az0p56fWr9T!>yURAxahYO+?&aboF~#rbi}GjiynUFU7%f}gza^Mn2KRnJJvsxsrSpN;qRT zgah^)J@o%Jvlxy8j%*#f{5F%{QjL~N=dqT(v=M$0a-Y&LOmT$nc{cCMk~!D8o~9Li zQq&p^Ue+T5taVat6;1tN>z)Ujh8k6!_$=jR`~z5YMWc6`z_Ja9odb5iDxKa$TF^U( zdlFr*IP>5&-x8s2T-_OZk;wRSsdjnRyBF0gh4`JG*NzZuu8-elE6u)#Q4@ux%!T*N zs~1Jj7iMQGhF;9(-PwNpMr2s0%$@eoX zI9>VQeRta|Umv$Pa+bY&?AZ{!qc;r~ov@$5`0m1V=X5`zILW_knBhx{{vee7HF5f< ztt8bNB|%0e~)>?7f*hQW}bcaFfe(}-A zof8;1P>RX$^&Ewf0A_U}q|4yO7B~R;Utn_Gg$;07$y*WIsJJrDr|Mmrj zD!HFtrp2osZyZ;$^21c{_dQ%e;u9lugV9pp=mSet;@xhZEIM}!t1S~2f})u&AI&qPWOgY*-LkklUyMUXCrT?l4WE%>P~vb&Kt4qRBjDRdVB|z zuO%q|+tK=OhwFd%idn_8wp7Zt@=w0QmvsWVfcQyWS3B!r`x$H9)f9oUMoG>pyERe#VKC%J8(*a%rNaSCmk821YnyI9 zmk|;7H>>06EhvgBQ-6f54T?Eh{tS!O)+IOox%^XO7mwu@Iw))7l9$tR#oYcE=z}#= zR3VT7t1B5`qG0!^uNMl=c;m2{w}x^Hkr0#k2F#PF`P{`vDa$IW*Rf3w6X1Xt%LI`h zt;TI_L!#8lKG!=VS?%EWBHh{NQb;dsC^ZVWT&}$&*2?V5P4O=Z`N4meedsE<|u()t1q1qEgD>JWlW z;nJJ4mmlj=w$H|*JSVCI^?dv%GF7|F0D{KrBv!cK3E$Bn0uPo{E?qbsp9AgenElnT z@o3$8j?ZRe(>PNS8o1T07qh>nA{&-M;1MTt*Q{PAch=ZgAi-+(VtvRjm|J1)!}{A@ zK{x}D(G$0@%So|Jxpb=SK%Gf4@lEfw$e>LU$@U!ZYOHFbnSZbIH8&l?zSJ)Xn3$v= zk=WVx8(udU-Y!b0=Dx+RckY)<9g%T7ph7O0(!Dq1J3{QTW3|^A40u0=gla7R0+B75 zZC*B-&P`rTB`IHpd*ubSw^;qmlE4UbUA`=25HiJ0YP=5raMNuqP||NFB#hPVa;gN1 zcIo~^?y{aRoHx@yS}2fM%?LRHjvAr|M(m0=0x2^1^LN#=_1vt-31&Q{QW?sGF4&Xy z5B$XOaDlY8q3tGxEXb}z18@4Cs3u`x4)Tf@VG?<39%<=u**T-L!m z8RI^rXanW^4UaxM?*W9AQIQ{I22+E8?I|tq{@5=2YV(4gNXP|zzFh`DdqoULhdGQF z6JoUas7;J5aP`y5)Mmw39;rqWZz%o1(9RuEh@t-Qxhi#&46cPWVB>{E@@7(}U6jw; z{Uke%B*}T~&jmw8H>G{^mmi5*eisUdHSP@M15;s56w4MY)ZP(ldS1yvQadWJY9A|4 z5tbIA9;&#(n=*xm!k|V#Jb}=zZQ|frv#3hFf1=zYKk3RQu>gomil+@4CvmY|DAJ{8}%f$;Y?JG!gDsZhN*$T`YEiffp3 z6A!&DcWtek$~!S*Lkx4Pq1IQczir^Ve92?vPj7?JeGL~U8KsTn2xroWjfVj3@js(4 z^pd0Ams;fuU!3pifXkL8`bVikne5zX%-l|R?^f~`Oy%AagHFi3Yi@$F9Ul6YOoq1m zyO{2|!Iq!dZ$uElzTJ(z1X(s-z2}#_-=y8!@aiz1qHwll!?zy=>Ed%E%#IU@Pp0pK zq>J`Fw2C{IJ`)wBz_9jJZoRz>u3su25_JZ&gzE3ThyugN%e;<5>7tzWqc2E8+pBx} z>y&B>g7#=xS`lHcZ=&yUV7LO)to<@}F2e8${j@^Kq2d&P`Mx1CDSenLzn12XPAL$K zdhwW7kXtrG5#q9kZgX=YMj*jxGJ@B*u%mP3+j2cfsI)N_>1Sh^MH;2-9ME>jZK34c z|M2^?wJMc}wDi$5HCy*+OA|^w3U_dciE|>(KicVY$ZIkuaOVs2U2mf3rEnX6TH`C^V?aZO<+}{$=BwSdA;(bhGF#F zzhXy5Tx2Ria{vt!k8JC_K>KDIpGw@=D_j7RjO8O&cf)x3pSKxRh|!U4b1$TP^0e{M z)!7jPlb_dB?F}y;3>aEm7{w`mmaTf@fuWQ8Ywdtv_gT~A-dw4hd??|E2ns73<=!$B zHF5{#Ca&M7>4b)eut9nr!|s=x**)KFYPJu;s2UMFo+2?PI1WP@39*`XBkkt9qFllB zZCcu>9wd;ckhOMS47cg=rZ3x))w{!+KVLDvR>GOvIn&c+e8{FxqxFza+4lYBU0?PK z>QD+)CkPkQt(L71T`q?+s1%<$B#I zWq`#)If&su* zckQafqVmLqSp*dND|338UoC!gKJup4tn_0rQBNj+ch9So;X&5r@riR-tyezTcyL9q z#H^J)84K2<>moRr>&`0olq0#+X|FtdBla-PMtqX%@^$kFKdWAwLc-{y?XGHHgx(0| zCQ@~mfG)B_ZIyxcmGQd#j;&gf)>~lC*5NC!OokCCR(^7CgmAr~Cjm9@h91}in=04n z+=oRblr{A?s%wm{W$hWQF~ii< z(ti|_P8T5afE?vuo%K!HA&HiCC3ba>2Q~qvO+~#ex0s1MYF>E;22x=u_&}CaQmm@R z$eu$9!M}HT|KtArU0Ru_cs9(5C~{egdeHRNi(1Lv(I4@jDm={RFx6y9Kz<~_SIKYM z)wpWs7#7LnoGdI8n9}^aK|6i7NZrt_NFhhbZK{y<(&|aaY(BTBi2?oJ1pK==t0$Vi zyF2}4s)_cWy=^jZyd`lL{DcyML64zpB{qSSA~%Jr(c}6kvV) ze!Wo5GzbM=?2R>u>suGXz^p#bOv=`GX?W?O>JwzX1==gh_l=%X2SxVJh5B+ShXfZP zMn?c^( z@^NP(1A3DE49SkxXFKV}0m()w$wtsC{gfaUiPXEX^E&AiT#_y~rev_RIv2sA(wnOV z*&8MRW6QN_p(>MQdfsa6esh_;t+c>tZjMxQWy%4p#?P|evl;+=SdN%XwVuvd_1PPC z876lAcG~!MrO#F|a)*|WoOt!|;Ot`4<}H?uQ}IN&tMQUaEBHWCVb*5N%6{XjhGSA! z?`w0eeGzmaU0~c-5vINR3oUg~S_*TsqLK_KydGi*EpAJJd4JgO&F-8PqJ)%*fZgA0 zuw>$|vXIx=vsv`nnAO<}&+YOkuzJFP#^-T`;l(1nb{($F0M^nhVWi=+*tflW2ZbzYn`ef= zBz*K`>Agm)OhdEzVb{nO`6e%Iu-cxy6&T#K|3+iUU;He{hBKW$(?t-sCC%HT^1}ji z#;3~x5lP!KzjfKGSM9CbsnvQNO%J~E$D$XGt}bf$h;-TQ1(56IW+J2)b{2)0QL>%Fk}nnRHlA8`B)i+Z>2B2 zT!v#mTn4q)*cd4^SYO?5W{T|RYd#J9ECDTlAQG8(rAhKMhbEoPixPo1 zetvOldaaAySM*V|ZD0p?9U@Epp_zNTutxUNAwUVEy`))iCiFd++-6 z=U1*F`P8$;W~AjGPwahFBHgzAcBU5keAxsI_C(*(;m`DU`Ct=P#MXc0Q5$u@sO85* zGqMeH)>0=NX?6=5(rn91s>}r#?2hGGlrQw9ZY+b?MbxP%9<+Q=OR);N7I!_>_Or*i z59F`JXg^4YJfh2$neK*-&*;oJ0nSP$v6MJ}@JR>w!( zcO>Mw=_W2W#eQV9f$=2CnNyX0vyZK9Wg^;9M~eFR4(sROqKO11o^8N(3r=cYAeKPJ zHJT))r+!AZFHCY%I6ZH3o(y`-+wJ=ZY!FTA~1JqTkbG2^H@3ZQH+stfy&iekB{`k14<6AdQ+_+s zq_9EV<5^Uyp2aW%1}qZjY$BB)?5TXp7SS(B6FTNuz+6h}Nn!7aLO(M6A@EAe%5#ZKQ5Lq5 z!J*G{{08YIryYLU*uFkcO3?S~4#~*Nwk_o%(LywZGHsxl%q(yNN3@+W<= zfWQAnt;?EJ1XYK0*RJAm6aE*Bd$g$KLd-lT+RY%+Il*y_MSx29eq#xtu$)=Yfns5E z;riXirbz2up7fBSw-yVQT*{6lG%_#8>HWQv-tPQ|t@S8Yj<`JJ!sn+w7pwv6V(<2g+qP4kz?TWT zb-M?zHmsQ1p_yY#?THivF7YqxE6Tj|<-K>)!hJMD`60_m+DQuX`sp^`GMwwAuZV0f z4m6Xu$7Gu9>FfetA!_kQd;jW@=qJbHUmQww-9qq_|HQj%E3F6i&aiapVn#a9giKW| zn{Z-x?iKo_+K4El<*@3sm+v zq=82hRKZB%H*W3F#}48_!IZ3p_pZN4E+lSz1BF;0{3;UMV!XW3lP5{^&K%S-6IVSR z&vCpbIZUH&1*w4dJJdB_MUH?#O?6E*e3k#8>j3=g=;}eNhme-cuCPQ<&L3bjSl-}o zzX-4Pt5;@~SLP|bfGfhacIqzv|9=6{+Aq12ckzhxL^TVI|N9$&f2!B1EXVJxl6Q|U z9S;A>HTv6lX609b7R``)#9-gumi$v;_gIT;si;%m&9fNOVQRJXA8BIi4}q44t;?Ju zbBpKyLH^72PevYm?+P@YWd1-UGOOW@xVN3Nd1<`sPSTqi8w=p`=XPrJsSMvh6cSEV zXprT>gHCP4r68r*S=6(IoL~Y zw4x9ka;}}$bB%v`xAVg+QNc7XS5)L@@dGO*`trW;^Pwu?eU}d1xb%JJ1oh;@Gl9F{ zmec1h9^M0phZNxNU=$Gq8|BZJGZ@k4qURuW6liP8z1@bxk?K0qQ-t4# z`otYg?fy!_xn`(6)V%LwIX2t{PR>1BExz#Dkq@s1Q5-}6Z1f$bMox<;8gO0JYQ1T4 zxB;9!U?2;)qCT5{=Dgb&T%CTfW_N;1to+cJ3^cu~TtwhSiIUz|?R;aUwV2TULrL&~ zWy4Px-l!Q;Hj_xiW>lLefx-&A+^+Jvu^!3&I6^cic)($d7*?e|-@XAUcYNKxOUU;aL`AA)$MLf3u)q+I<&5Jw{Mev;3k+WH9aJ9pP2XS z!VqxjB(`s~p=B$!y8--CeBVcuO}vZCB=q zLmWSyTh@V=^x|x4#-IT0O_zc>$yP;%f!RHQuEn7Rq8s@Z)3``F!jI!D;Cfnym7C?zCE%0CNJ^)dYES~Eq`lwhC%f#QqKZDfQ%7*MnLX- zwaQ;Gy;|F{G4N7v{*u;7=%(6E&+|M+f0}cCtNK1l!%!PK?F8s0>we@&c|9LiqxgNy z9M+TLK6VyxGDlWZOY07%61@D8zmqx*;J-b>?-6@0wR6}|ZP2d&+&1yZCG*p^bfCS} z5O0#F|>uDB*>MUvpk zCVSB4KiB6R<>v@g_HhXg2s6*lHCyMq`N|$S8y{;p7`2`Aj*N{&Xf6dSBT=HYaV*+* z^3GG2rS1GBHDFj#U}9K%C$yDo!D0xmX16H#47~&#QK~%(dwe{HDd!CY>7B+L%eXc# zF1A0E50y+U#s>M(d6cpUSk$}}9!``UJ=;5h1W*u+`_0|4X!6Bqp|6kJQn0val@9JT z+8*>QxI~EQr)}YLRQLYcYN@n)mC?uu@!GQen5(8Y?KZP6EwGwWFgHED4BvmRK#$fc zCPe~_y=?E*n|0FE^BZRf_QF&YBWJSIw@^#pS9YS6ao$aR*w#vK{<2V)+&pAIXeVsO z;Ha%Pv^n6uMTuyMuS8@s=3eKQy}mRrb64BO>IlEJQ=)z+QPcIm%53_Fs<#t zDI`e}GDGsABERJ_|Jut3tyJDnFBGA^P{w}aptO=n+;g03pj4A{W;pzzrNGD{0+>o% zSRc8v%tEnY{d{X1&oio>Z)ELSDhZ#&er=yj-@Lv`ItXgSU#B40RBOeA0!AM*(D%91OAn`h zBz(1Z3b zvOs%1|G$vcc!`(tVnF}tf(7XKu1&6g`Of`R2@9HJbC_at;K2PCXW{yGTl^nWdYXiX z{?jBL7xA@j|31hT(L*oz8>{ceFo)XQagGHG7yOka*_0S7$q$Dd}=E&h*! hDa}89Y?E;&jsFA8p8&ia_5z{sC0GCe delta 13335 zcma)iby!?Yvu6^51q}pu2qD4U9TF^92*DvZ1ZVI8PVfPOySs$o?j&e%cZb2<8JJ;~ z_r3Sqy}N(y>8h@N>glehk5vDv>-2+VwoSAKP?z=e$v>9@@4w;+?X&-q*?j*cJ)RzB zplg4Yo9!EY7G_|p&7iFw@WMn(yV97%hWEO>xEK;K2X?4pVkU3-&{G~7#^|Q5UX?os z{=?px>G0sbQ;zkG_aqI5E$#d3=B{5rPymA z{76q}hV9?z;u%PXr=#yB3WvxUg2|!;1L;sU{As4Hy0ShCiW!b#!9IUE>(8e-`&qUT zr`Mx5sNGjo8LMEuHEOjrYPRKGdv2NdAgF%Q(Ao3i=0oFbXTr;bdG?8*qy|O%#HF`Q zhRzvK^8V@AydbF<04TubNYZC+(sqt9gFwCeX&C$D#l&8-C|t*v((CA)XAik+pBI}o zLNWU>`X^&!Vs~D1$Q#DCvXZfRDs!tPE-G>VG~@1n75nq`q`a_vVNyEd)m0+=y>l9r zxL-Mz;9!Kr}L@0F~7)6sQ)}x zhA~U2jpOx>ym;Ia`<8FQ5?zzV#D|I3TUP;@saty>zXycO~CF*Y-QM7{d?*|=1U7xJC8B@0oCY# z89T~oB!3)t=NmWlcGHxRM?qN8B5{a5MUy+t%uRuU>rdA)dj1M$|8gw&b)0-t8+WVG zgkkSa;@!Sdv;1+ivk0TRLSYh&TiznxCH^uR#xv+h4D^@Anwxv!lCi((GU|6!>A&V~ z&x6wT|A~d=>+_LO)9=x5VkzDYdRX?0R8ze-^I|6hw0c*Qh8Q+M@+;A2M*XMCWFzmo z8qDStf*l4=#rnOuedEm(bbPJ*xns>42Nk>&y%fk9+ZcUbv&esmFMX|}QyrFHVW!#t zEq0pG29TeLPiHwm20$xf>&(5V`q8%6q`3Q>KUW~4CbUu?x6^ z5tZovc8{$5$ZU^{LyXy=)`-78W^w2@_T|v8a>NAh`Gz6txE2Dnii!L!KzS{z?GAns z;z|O}{kqPK^-qJov}KOac)2GNLsq2n!Nei(iUiD1+A&ArC*LRhLu^84ojyo}gYsIQ zB&dt}ouc4jOgTn~*o5YKZcq#R65o0yF8YgEtwzH~$187upsy?bbyVozh|P@Ej&cp* zg1G9qlcs5BjrY;skpi+b0lTL7KG?ZYQkPs1akPK5FJz7Uc3JR!P;)DO2gUBP1deOb z-aVJi`o2qu@AE8IRq_%OBK~xog!b;4tkL&f6nvj2xpJd&ED{;z6TYL23t8pE4TNbWo(KO(-18Xa(E4Q<2eL{m7l#_!EU`$!+!_M+2) zu}Vuy(D1wf%A#;675(sm0E`w0#EH_9y=l^Oj)EF0lpg)nG7=nn?;P@@PdD<`AeNe& z%7%NRia6kiQAE+?K}vL+0pErla!=Y#j%8wpdZM_J)GZovn-;6h^0J*Rl#jr;ly40$ zRvWOv?}cOZ%9~`$lG5O7j!j!lpzd?nZy)bF@*J9)<$DdhtBYV&!xS*e) zf32#N8S}XQFu*=@e<1+#LHi6byH`-VsZmYwuTY#;{Tfdsm)vQOMewiC)dBn)Ob<;0 zU%@FFS%lPM)h0D`vIbI^A~c?QTyH}GG5XG80f^V8p_Gr*6rrq`)Dn{R_;Hj3M%)%j@S(GUm37k%6i|LFO+3jUGod5a?F7~>-Y4p#5v}|-=x94A=!3Y zUazrEc1-S*0){qex1EL%&G*WIHyC~N>~k|8Z(Tz!eL7^qD;l$}68J~xTzK?R$6qaPjc3z3qkI(c##P1^q;QS@ zE))A+KE=@UuOxz8`#U_3LEj2^OCt-T%_Nij;J(XrONYL{_x2#PT9+JhYK5X2i7pwD-FNH@@c%(&>&(I+rZ&GC(`njQ!>)D z4C4C4xD;jWokA%JMpl9-ho?Gc97IlBE`_6JDCs>utojAL5x*34kR9TkJel{pLdgUn zD>}n-_%4R}(NXbl)~VOO;x+#2?9dW?{6q*~kI&t4PGF0ez0HhF;V&)qy)Zs7$}P#E zAX+0yI!diZvTW@0o#p@qVu`<|pMwsUI@eMtC1j_khPgommyNTqknE3LrAbG%B}Fx! zs^j60ibl)1|3#NL_xp>!F^>hY{$ zNQ`D>f$))?(QZf$MP)z2H}Htxpg{Zl%?ETRWI&Hub2zr?YUFK8>qbwKSS@_AxD<1XN0t;Tc_2?M5eF zuFqG~b=q)F%ERZ2epVt-DQF1JR}TCGVnOZTaoj+4>bZ~j+<);pft6%1KH4}g{#Jk$ z0FEhak($6mKmyt${hMWk;qvG)nM#6Fc-Fh4qV(9(Xil`yN;w&cfL&EidBIYgW(s-) zWR!yvD)pBE=j_z9<9U1Z)QvC3J(kdr6YaJjJ_v*K-xc%qHg3MDxFhjL21*Pu+FmLM z>}fXwb))?`7a$>tcAiY=lItC)F8<%02jqkY&#jNzn^bW4XW5qgwRo9|av7uz@aij4t*yWimV;3>g{-3^&jafAojc z+)Gb4tR#&<4Gi?-7~?65M`~rYv4DqOu)0y8 zu^hga@$qPg@6Q z;`{vw{{xMmV{{0P zOD^RPw!rml68>Oue6O`i>OXW;xHhjLb-3NBL3}#y+96f^4A}eS^^iW@2oL$7l@$r3{i(V*ZtOogOn&C`dKMuH`19j zFt4nHWknZy`@YVhjSGL~$ciNr$jD?1y z38CyfkowL5QAUfK!@*L!5fC-=v`vIxTVHn z_SjC>-L;%!0RI&0aHUM7WPN{0I6DJWaD5~k{;ke|sV6Kq@EHG)dRM=|wSv}CN%p;Z z2K&hLGp|cr=j#K?vB9<1FB(YLLmhM7d6Pckm(6r31g#2F`5tgWT>%|@V`C{L%FfQt zM9zUO^NhY`(QdsRxjEquS8;jXjwRmCjao@Jcy52X=@PZ#nXm^a-|D|~~b&qTJf$EBX&p4wwT?O%y6PNfB z%P!!^0n-A%)=)$C)hZ$Gogqdp^2!^DmD>TlC#n_xT8%WCT91kk4H<5{l-nc)wn@)c zDVmvHR&nY@@zjzb!hL6=unZN%Zu9T@L*}JF7z{l7uYzR9YDJQD>X5Dhx7JhVm}Lpt z7&783WJY&V8y&}!yU$(u@6N_cK0WYa{bmFQ`KBJvbSa+FI6(Mr5-hR}ntN(W=!(2_JxoS0m_#>-i9yR|? z&QY9CqG7GrQb$D9+z-#J_!9x^V5g6&NYw$Uof8AlWRsV>hs(Fwadf~nqKBsxGvLtQ zfZ<13TgN0E12`1!ife5z%%q_Vx5SO)^)+A?#P$ryzc^=yQpn;AkQk@2tLRd0AVanO zAOa#R2eC2l)0=5@I0pu=``v?+9KxJo;(g-DmW88e4#*5X!XXWr73x!J6D?t>bVI}T zS-l_Au~b~jn@?MKqJWisS4MUb1F?){HAkHVynQ^~H|yk1L1S z+yGZ!rR;<4?-_>kA@|;n`q@-5BwiF)TNFim@Uw%uUbiAdCy;0$zq9;$HSf6>?R}0- z>k=QVE1$G9BLfhLMbwr%zEW9us)Y2SGw??K{0E6aHTX0$Obql_o= zwJbR^S+96kqJXYA$rjwQ-JV4|%E*Ct(%KUB1|riAAfeW+>bb8tsjNmm^p~7yP+J#x|D9 z7IE$L?yPW>dc;l2ULY)_4o7IL9h^S8QOLIQezx9K1w7o{2SZ%ry;PSW=-td}JB1)3 zJw5}t9a4fv0~HeSvkb2iP~%Y_dWcC);K-F*L+hf`Zl-0n;g6K{uf3-TO$0q%T=mtX zaQyj{Y1JW)W!*P?l4phJF%RR1%hS@k&RIp5eK%Zr?gT>j zKRn-aS!xDJ0sru86TM z^6eXSgs(3dZ$@+ob>C(g-*Qoe&8AFxiyE@(<)I*5+Lr>m8r*7!qE9o^lW-dVK2fk;kK@S%d zyPst?R=;?Y0`yB?Cpo>sl)abFFt^cwMLrL$7}yCC~zaGM8^Vi5+30M9vLb{Qa6K{B09gNK`c&PgHa;h6a z=Rn0DK|M>_iFL!F5RFUaWpDxCCq;f+dak(ALL#TxYE#p84sT>$)U&axs#ygx*D|Ft z`A1aJyDH-UNc6aj2x6?J5gRqVa?Ybk$X#WoS#w@g(l~i#&3YH}AXhOF;lsAYk5!>$ zFzQ}ut+T!8F*^9(%Aq_Cb0NTEmnK?B#{Xn~`WyE`rLnbyMtj}lVKwaG6=1`%(%{!* z0C$+qi-Ff0yN=urI;DlM@FWA=yrl|75w5H^SZ9jUNUXlOmF#U;%JFEWn{UM~bdWB$F=t(h8~Q{N9(yB77hGKxvT^S+*IP$^ z^F}o*4s+kKhF9dsCgmu=Z6wkAyG5KHM+lQVUYVv~`^X&zg&GI0@iHEuTV!rD77%7> zWvX%H7UhJE%$rX(;erG>-g4Nss!u%4xEuHjHwQfq}jDHF5F6~9~3{7-4hW zF4gh49!y3Z1Yy_cOgd*5(7gPxm`ZJ&l7+-6OF3V*+Qz9ML5E)b~EdQSnxVmYj7J=ZP_8FzbMqUVLEbDF3l5G zc6~VWE!ezFI`u%SY(v#Z@9WR3I`MoteJ5@rr^uPhMzJ>YpM(>a2eSL6iSWY9@w1nN zy(t7qd4v9q4wszAG|NcwvLl3N5#`B&8rOdU7~nsR|0#h2)YA^k4I~lk=z{p?#4VOr zG>Y(xjfYJ4DxZ>ekBPHC$PLM7BLSyn)6b)1#8Xd&jOK4YyMAtd3>tqU@vs6b7NC)d zhr*6AdhcJoxsTQojfn(3ymS&R^0d(H==FY>s!M?_3F*apPy@dAPm@?^eUD5d#n`@O zCB`jG3rF;X7rjhro&kXktuTtQ(Tn>wGTctYS9rsxlrOo&q0I>I442ql#!r@Bqupn;+T@rA# z?5h~C%Q`LoJ&w752mj7=HNCYy>4a7?elNQ8`Mb)0 zA4<=hwWB;3_DQp4r}fHCF|~Ff^Nqu8PwBlH} zoT(a8W`X2wOX2K(nbCwfwGrKRB8M74k}3_F{q#5rcK>oC>wOQAkwB*1>}%}tIy7E@5o)_*=}m<9^w;bE@JL|UEaC~9F-G{u;-md)o!zd%Tbf_+jFmq z0E_x8gB=^Jx;_l3bl4K*;->ZEs3#7$i`7k559{Q-BKOY68WQ|VrBZOdFCd!Pqgi(+ zdhb=!Czh$&*Mep<635UlEh@aN8?9b7i6?vU+Y6Mbh4=I|)H|2QTO#?n@9Oic9*)_T z?!2i>G;H#}6pdZ|`Mk05Re7Z21J6zMFJO{jfQRMPjsAwPwt10gd1Uj0+t^B2 z*yW6;J*Y>d5B9mP{PX@F#!25S`Tg1aH+*h${RdgBTX}W|>W9TF`mB0Fx|uDUVxCk( zxYrEtkSjXro#P?cG1JA}tzN_krK4|S+oc_jS9%Fn^>jZ#7M_FU?$UKGwbp05JHXQE zqG4jaTm{RnxW*F7!-gT`l-n5^A2;Qp|FrCZM^4TLcIoy#b7gb6XhduvQZ6hO!KFIl z;vyLF&Njc51T--ot$E0q`@qwXYv{1f^|+a3M!2}L1FA@0^0s%;{=zti#(RTsb_2foLB^4byRhk9*8n?R z9hoBiHCHq};pr;+5C`1cqGPt*!>MbRecM-AgT(!-i=yiXH&lBMLL>_lwHTVhI=vG{ z#abJ`97k7o)6iq|kM9pJPyfh7QpKl49<>f#F?X1sP>OhXr z53feiKO93(Ud-n3hjc1yimc`eZ?R0&xh{Gt3~r0wtXM1vH50&AyapK93-bvK(asKV zRBwHQP18%Y><7lY*;^Q~va7l}1$}MVUicE{O^=H0R`zO&`I;ux;PC=Q6suOIh7}iA zlNx*uVZH~om+Nja9&3w#02lUtA$I(O+;P%-q9YaOh@!IdBC_exQ;gJMp~RznBMP_K z^Qoozbdz6;DoSD9i6-@on?fQgbC-wZ-6gcI+3%XhLGiFayY1uxUj9W|UODF@#P4Day!SV%?Wdld-W1i^Uc1 z)TGCmDlwy0^twhRaX2Nsfmfk0tx`xQ(RipUaG_bUHvct9-6?o+HWJ@FZtq*)8UDt% zArNaDp7_xu(@26ZwS}s#h-gv(lp<*P!T?&jS5CDi+m%@gm2S$s2!grR3c{;cq7!f% zz$3!}G~6>rfXqxwI%}tvl97Qm+6_PH-mePppQ^9`{qhn|3Egk{`2`%6;vG6Mk+I96 z8=u0dono?n+0^ejU)$XE4oAYVjCRaKs;!*lRw$uNdk3GU);bRjgfe|pL^m_uYgn5f zt=JnHosD9N-C{2r^86sVsx9~<9vQzaRr+Nm;#y~K1+Z;R`0I_+vfVdZas*u{%iEO= zaMR!YV(vx8laX$*80YXyhf?1qp17gTPJ+F0x_`|jkKyK*PC#`}em+fK+my@p$BB${ z;HZd&UT4Ps5bUStS{((adzjJMa>k{ZwyaB#ub=G;p}TFck-wEQR>KPd#lONb6@3 zW+*f8NJ$d+9`VRK9Z+na+D$4;WP0Vq<9?dHC@2H-SR!K{s}(F$Fzr)qm1et|wJQPH zbiH0c#?b1!njfOypvoWc>BGE-w^(nnFtCaz^<7i%9a>&aS(ghBYZf)NAMf*OWo(!H zqyMD>n*K+PXgKoM1pl)K{lCit8L?VXlb6KLN&RJluz%t-9=G>iaG*gN$SZj7X&y(I zXz>PDk3f8b%^@8k<|PoE+-RXx^8l4bs^>_| z{!#Z9budx{IARxBBPLzkR9Ak$saZFZ7z6m0b4*8L$}18*$P`Q_rI=h83>`+-V~2{B zwsVIdG7nrZ{^Z2%t9*(e<~W%y-B%)Dss;#l?nACvf5yn!CinyJts0?4P36FjEVOyV-B^NV}S-7fhjF zZNR~8l?5cZ%So~+E1iW`-QZTbtXuBZuMg^QNwCLeB=pOJTscX@H2t|<91(nS`C;kL z2*G>MsY`OZWzr?Ne z%88qqyE)`bq0A{~lt$2z$4r|UZ%LZaNb`>Z&&DRg3Joh(+a>bAtDDu=8yWc0psG54 zlNEsTO!Xc;#+Swtx4GMfC5tsfJmWTZ=}&nRxr`;rH@?71&#S=B;rrIyw`GzvK_2i@x0&oYu{xi_^ay z51Tv`e@$Ypz!%s|dA2T05y875aCVOg`w|BLgU{e<`C4g}(Xo`L>&kk=)>jSsP!Xco zvEietL;Cg*aNEsIsyO`5?V<3nQAHz%Y6d)}Y}jU!t&-8^vUPloC5}`6G|}sNHmw&Bt>o;&bq;9`u?` zX}tl?R{o5vA#Vu}F+2nF2V4uNsyHumHnRj$oaN{!Ba0np>7!l;)krW#ZVh3Ny8sIy zcaes@Ba+*Jzv8w$(PmAO}O5ou0j;Z=~OjT}e)2N&hx*;O00TU-9`wbl+3Ao|kViDEw0UxwXZ5JrvtP z(_T0LX$1M!5(B4cK81faqt5Fom)V?C(C5z(1qh+IKcWxKtgLnz_&29S_QeS_Gm{BS zqM~K6s_PSib^l0{m|yVYcHr#j0%d3D*zT`2^U!R!B*JTxZnEavQE^Y*Ptnf)#qWrI zghSXl`C*DvMG&l4DLh02>>`O|zlF>BzCIA?xD z#{av(P1#N>M20m(>XCC*CCuflr$u_dD|ywx{jb}LqKXvXK6&U)$_(}pHSR=tdy6+M z3bbIsRV)4`Dn|rK6NmH|&RcxcWDYbvVa2>xbwy~x(!FZ=g;za#TC~`3c=)YMp1*W= zJ@+mvKdo52qWgu=q`+#-x|KR;Kz`^m%9!=?MogO{H1Ck_?B1q$fykH9LbASu z`x!+kQTAdb)XW*;+>4Qk;hBlMFwWSq0T*EF8E5wmXYwmA?LJ@t`3?~}IUbU-!#8HA zV!d46y>$R99c)NM&S`(A7K?HPyZFoqUkVyf*Qzu`KK>_!i8I=o< z50t19-EfrZJtXYNVAvrM!`_Fv(BqoE9AfVcSe#vTios-RtR=;Z%;KVs#!GTt#_yd& zZTWaS%qGWIm_7*ibiQNMcUVgUIJ-9|27@}{qLq%8L#<7Ha#Mft3FVu16h|Spo0MwG zR^`1Mb8%+}ydtV`e-3(4U7crRY5n?5wRGbV|1*j%`WuGM4O4?Vm119y{M)>O zu_O2e!H0IEYCcXVelySCYrR2wF^y*05s)wXl$!caRV?@jjSp}qLa!Suy#nlt*mPv7 zHh@g__dTHO~kk9JVT?1Kg&QjR)R#)AD}!mf#3Y8xgW>$v;DUIM>5)uIjT3B$|)1Bee{O?v1^V6Tjsj|*!rImnSeT#a|D=+ zC+O%%>a1ni`YDLn*i^`6E_V8zb6Bo4(la(qyW~q<%XxmMV`yUIM1J{FRT$MYU+lt5 zI~VL-Xr6Zmq*ORpi=hpyY_qo{wfCSF3RzodXFibM_>ld<6Dt8dCg0e~@5pN+KMAdz zd+DKQu72A%>`~Fku1Za8H;0oQCv#1T@OZJWyIx;;_Q-5gUvFpKr=Bl#ujVsJQ$DY$ zI~SPhxPkCcD=HneO4qKwmu=M}su6|XZOxd|1x?ulBasgruqhVszMdr)W2n`DjsXtu z(8+R+9g!-E-Kyz^-3OF}(;que4{)G&hqR~$Mnkiya-jNfqP;IGMs?>PcIVtLKNr;g z)_CfASkB**UvU$4=wnUkOJo&hMM2A9SQ8m}NsYG)lrF~GPpE53-d)*LCdX}4revRw z@O`8N+SK8ZX=@Sd3U#e}10Ng>#7nlwwlxBsu|2vFsd8t@@0;pcPh~oM+di)Ds&rKZy`#_r~uO;m6tZ; zDA;h<>!bXIjEGg)e#|nQsQLz#Zo+MNK_@U%^zfnaO_JsPDeg~d$FE$t%-@wXSpZW0 z^`BM0741p4tB2n(%7Yvma}gdUsq;oH_aQWj(KGoz2F@kE{zV_>M|(;lW*5E-(==-N zHP;poWXLo`S1F2$)L!Jis+XH{vKL&nIZ@~RYI-Kknv%LyBEP-e-H)$wX0t~)6gg4& zmdmObo6=+!G%p9FvxG;}y3)|&^Y^>(oI0ee|MK3K&1};tW%*w=W|>QiHT4ehxF8 zFhU@p-a=g$zsjCeLj$xk$mHZpBpsPS`((IaCXssh#cg^)aRzE5X#MK5cWarRO?3$6EJxn)PNCJ(D1#R`z&>Z2!^y4o+y zji(RsET05f9v!KIyFR9a{}_lH4Z*>A@*tu5RBRr_PvI{2LLSl#?6=LBO+WS}ckV|O zvUxOO&{9jFd$zSs1qXKfpt#xC5bD>ZPyZkmbvuWdG{;;r>x@cXVx9)5``P^5{+rEQLEO2~?jwGW#`(x)3Vy3B5$ZB{I3CnFVj_VKMZ_Z*t`%OG?)|W&|BHbZs6ns4K5pB3Po+NWEAkR zaZrlz%3Am1u(S3!7tFl=g@yW=ch+gEQJS~!o)m1TBqO5tdWtWPW_<1I1^FGVX8e~o z19PA$eQeGtD=7A@(q9(hcvq5fzZvD43pDK)U?+aUF1ruOWy<1ffDJQ(W03hze0 z*yJ-H)7vZJ#V0&|7XWuNHP$7$77ffo}@MkKLYJkE$n7iZgz?nB}hkOBk z8gOf8_T$QS+^?B***Ud%uiwCdK?)a>huA)cJH@S1T;iZpRj(|Y7mHj6I?BquxMr6m za7Y~28B23cnw8be>89_Fs@JUoj)HoN^~NnHJNoL40U5Ef!8YbnxMviLDi$5BAFAK6 z8(OoK$1B_Jjz^J@IY+-Ux>!ExK3QD*wKbhk)Y=wlX!g@IbTAFvS_mxnaJo6Q^?Q+e zl^*5opif#f4M)pSsf2U2Roc=@oN*(2E9=saD+nCF+)P~mL}fMCqc13$g}iuWY?Kk! zy3$>@VeDV)%3Af~1|HaR`Op*knI9j?_@+WoQg=-PuqcTMeVm0Dh|9=cW;w%X- zB{H$(5sGV4rgO^f3jZOPq1tM#=4x%7q4$)4-L!xm7xjOP#s6#U!~d7Df6;pzEcGw0 nAN0umD}SK>i|}PTs9Rcbj?Awx!EC1vj}w!4Qj^E6_w;`OSw+yN diff --git a/cpld/db/RAM2E.cmp.rdb b/cpld/db/RAM2E.cmp.rdb index a3ec8357bf4731a247f2dc3f8059c9e9289bb6a7..23e1c50ffe6beddc32a5efdba642bd2d670867bc 100755 GIT binary patch literal 15073 zcmV<7Iv&Ll000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*E0(0RR910025U00000 z0071U00000007}S00000004La?0svDWJz{bHL!;5HL$z0FJl{GcXnobyi?Vc^{x?X zIXf$>yJqXPmDSzTYgxsutXtLBot0U8GqZbYS+P7M;0Hf}9|j=-Kak)D7#R?<%?}X# zLE;Az5=fRX!WJMNw%6eI?(lu_xN&aY$VXLmXFn#dx+~+xJ#pg1i4!MIoOpfk!3Q6F z5C6Z5|5JFbU7PwOek!fgv!oGql2%jg#b>Rw^9K<7wd#9S0?FsvAI977A@%R#|L^qt z|82bgmi_;m_~n1*^nXA2;M@55Hgfv`B;QX?k)#`T6x*c_#G~%{8PFtt=g4kn)4AgNejZa$S;q@Cyv)ftk?rGfY7->Mdq={Tk%`1x%zkim-?RMi_ zwY!Hu8P_}NdZ(qS0V7Y_n(%t7d6XD#SV}FMcMVNy69hKF;p?^TVY{9tXIl2kCutlr z^S2$}w@|gHnpmw{_WG0p7*ra5M`ve^q#hkMVufFwL*#L09C}+_f0A?_g0%oUO-xze zMj4`xI(LS!=4!@Vu=~qh|Lws&~36czJm4%E3wp79Dln z@`AjD97J7Ek|y6I?~`^XO%A)AR@$CXYPS(b$S{qMAt9E>*F_PtqG>{pyQ!ABqqq|# zjrPoS-40wES#PwSs9N{*G)m744FnM%!~bh&CR4wGmdgLn{{;k*Dy^md2!8gVGAQ1+ zqwY!E)?a%5T>G<#fVQE=$^Q4iOkQ7o4p8XXQ5m6+I~1Fsz_7=;jG!A9G8^Tx*={wb z*W%--+vupY15&g_o2`z5tqFst ziAI_0_%)qD_e@)_fOd#9P|u%B8i7{~Z=bm^YvFZZK)FK!#E?^4A(Mb?k-A12{1)@by@ zLyoV_`Qf$MNgByFjdKXzj%u@$bk1e1uA%M1GZ(+3*6B>qEeQ^uG=VpZJ{Z+`(o)Ut z>0yjU*MR?nt&=_%7l%$AEe}ax$skPv$5I`RDgi@h7(7y1yxPt)c9J}f;h{S}i|NVJ zeiveGpT+g$816bC1f?o!w3=w*`yxX_1pRL9`Zd=mwM#dAH*M7qTcXO0E3Hq`7H#4dU*$4%v0AIpzzSG^f$dpl{2q@+4O6#A*#}9 zHsMoE9#c9i599iyHam~CTet8x_ka@9EB=y6O`RDe%Ne@;_(R{C9t_Q3C^hqq)Aot5 zoNx5QS}R)8qi4WgALNsp%-xJ7TsD{C`y@TNymq7h(vbO&#tiv1vaF!-YaabE;LEI+f@`s#MlYfy7x z>>$+(F?4LtAFca=`^UHt;}?clf5V(Bv@0jQi<$Fg2V3ODr0;kU`i@Dx^ca9IjaWj# zV8V#q5C^z>@d>>=sj6|=4OVp22al0m7i1@kJ_8h6)?fR64hudM9^`4p$Tl@cv%6T{ z2FF2RnEj6W!rk?)ckurpX|_9GAb$hHZtC=F{3ES#tvIe035@8l$t4ctZJ(0$**ULi zpPrQd;{32+Ox@}v)918Y3KforGDD+bqsfJWFgN>|TAu~bHQFA%qC4vQBryzxQJJN6 z!i3ush7A*#NvryBv??fGJk-|o8^a|qL|xNZxkg9HFaf&g_X*MoPcPWyhKbljq$VOY z5vgIVHC`ku-%JgD- zx|%P-jf=oun;;LdYr>YGd-3IEi*9zh-O&@eadSuAikgp0q#~B$v;gaM=zwm@h{Wv4zCtQ-; z=og-FsUK%#{De!A8~wr)F7@M#jGu5xa-&~(!lizkk?|8QNpAEDPq@^NGcta{CCQC` z;R%=eaYn{ZxFosJFFfH=KhDVb36~@{`h{NwF2SXShfaMimGTR!lskuQvlSiba|g_! zrf}NrS9XNk@h>2masP4amBJ~QIM$QrPx5R6?#zE2rzsBs(1#De-KURt!_ka9FF-r` z?0Evf{5WdhOjGS2v?mDIJ^Y?7b2g@*d@#o$v}affKHLQKqHJ@}1Zm!K|9xROdg#~( z!Pv>E5B*P^n4J31e@U>HI&KwNiyILSLBQ!=?rZ=dV+PjzUB}Oh(sCwrk*_fvH-LaBltJ>f)}u!Kbc;YQg9FClKUm!ZznSIJ6$wnnMHz=gc#8iktD6 z+ppoj{xW%)nws!n!UHzai5yILFp+~vzcA?=C-%X_Mw)bo6O-T-7$W#_6z>|t1rj*y zm$xtN;gB@m9yH|iGn`bTj~f3~D1_es_L$bmm1C1D#}rnCPp%v*Tsd}a3idReTiSCH z<7PXYk9~m?pY#kEO>*bFDta@X+83`Vc-_WE6LWpM|Lz5%mWNldxcIS$CI2Xb^7Jd5 z57kM#ylqaaw(LYLbtC^}H%@tpUwp)~s|yd0dmeiJ^@{)iIkYPm%!Nmh80QP6!~Q4hxupihnSR2F@xlH`eOB5f3e%G# z=K{$J~_Pd)3`nz+j{%jX3wTt?e-ZK6QJO!@qqZJ^qt1$wlZ|+UBf1Q8&IuV z=lw*--G-XR8l&Ckrvl+>+mg1c$w=I7Mx(#_J`O?@+RZC904?Ok<0K2%k6ov%sAt(<$< z`bbo2J90N8a_FQFr8ZD(d;6`k=|=n**EChleJg#TIlZ|aohA)?J1yV0%I|@8gN^Uz zJZbaJD^RMtTXPGu`=#00%A$!SW%g3(Eo{MTSl*Gc^#n7l28u^i_mwn#NNH(hRozrT zu5PI1RrQ9lb`xh}d*ol=6}d$46+*8pdFa>7Hm*U|>q2$fGG0axJJ<#atla*s>pOcty#w^~m`Gv|WDkWY5>t~QQL zdXDkSo0~iL4|aF%SN9I??jEe|Z0{db%6nAzj#PUpnGOmX9G5ydo{l@OW{?5+k!FGAe zGJ-rUZ|?Ja+wGlg8`%$qjAvasboZ)zwT+!^#Nhb|{!@YImnoT~Uzp|zTJ=F?2ONF( z?sjD#$PV_aTe}{|ifzmNaL9O#Z)3YJKGDi#vVLKnyt}izzp=IP_3FXa-Oc?CV%|pe zFmC&{C(;Q~LAsn2$tt)b* zu(7>+7k_t9;kR#ZRuA^d`?hweEJ|}Ti|P%N8TBCF?W;s9!+$)>Vt21vtD-eQl2H7E z+Ro-()|kP@Ve@uN-HCB)dM&}O{`xL4gCDkdTFWFBno z=mNXA)zJ63WMlD0V_uG0hWA6CkKk`W#FFSEe8IzF@5a$1bz4Ui zgeTL@Qsn}K?d6IXmlsz;7%e=Fz3eL20#a*h6_GBM7DGsdZAM0Y4GYFQWEq_|nlIms zZL=wsEtJW8C=<&&wsl=E1*%s}jFc7`7Fk=!pe*rX?>f>7z})f4-8Iv&=VRQJi=%itwvQw> z_ADzjqhms>GV;_AL%0%X# zDt1loN(=r-uMxzZE@0#0kd6g_I zbhIZ}%2vjGa+=wem<0o(j=ON7@^UVG!lMg0Yr+D0zCZNBd;xl{KlJ=!0lE~1ramd6 zp6w4jfNgB$IkvUQF&@!nsPPT$*CWiacp5~4*L{Nad9(g7Z8clnwyHPcD81R> zzQ=qr%bzd$WYI|JEPb9wK1B6x`YcDX6(h~6S!;%UA%ilG<$By`==+W9QJS8sI(K*3 zbed~cMA+1MTwLZu*23w!OLlF&E;BO6mXZzBbyLS!Igg>EYG(EQ5qzzb7Pjz%ho$I& z)$wNV;YvYIjVY_e=V4Y#mSkdbsWEiyVSK~1_#=SbZ+F9kA<$7-htv$n-vTDN#x;lTF)oSF%sN#pM4@0 zyrOHelTW@Z?;w)8 z$`t1yYIM5Crw2`3q<#=Br@DAOaR>F*=^4H^J1uqATJL@Iiz>Q^5vu?rp0eNjS>y0it z5SnEM4~Z%_V24NMoqDF=6J~9Dw=P@O_RX?^&%F#3nOjKklVpjX{sXq3#o7%P|RJ6B| zbb32r9+I9Jy15UJfTj#wnyTFCAVVBXfeE16qvQ=-=z`dCX<-N z*b(zH^V9d#=Do_+PR+#nEcGl1?(98Hz8NdM+H4x6V=bR`RT_Ab8+4wF=2|_*sW5`&SA3SNE&)*roZZrKAX;CnX{L#;-%V*4l#N^ zi?Hsnfm!Fwb*q;E_w}8rBeQ_6kee)|408x<^J1C^>rl*fz2-=dVWfT@09?10u~bol z!EaqqwaFQCgM(7Kt%oAvcM`JOi0XPxnKJw~b#~T(U1<`LO_>`#_rgJ*CFH_snRU7G zBsy;^%L;P=;jQlRF_szltg{-*RTl-@mYNP^EI&Z?z!7fJah7#sM|*b6sVS}z?(eRd z*o(n&FmfBqAtE@TV#PK=r%_woi5|!5>v4){EK5SHFrB4$`5K`&`N+zbVYZcBxWQ6X z!nx9+TwR*fui?kkVo{(6ePchK@kp{~P@+NKSl>Me6`H83R(9Hk)Lb3qUTy*aw!d}u zz;yr{{ur9c3chVZz+}tloNPHHxtA|XYBqNK&_;QYCUvsp!x{uDWD|nap`yg)=~Oj( z-M>|!!%E5dIK5Ov&>$P9WfPSg_0}m`Y#qAh+~DmKO~(!CKzlEroTOO7gB#uTj)dqi zX(XNVoXlJ*3q!Zg!nzAZ0cvr;Ps_f;j+Z$ZQ-e2G)f({=wU?Qlx!TIT&~DwWY_KIE zgeUhk_d0Y1C%TJ1hOZ&OfI?Yzmuq>{Eq zT*-MJ&4`~8ZLaJRZ+0cBg3-w<&y~zdf{YVJk9{gK+mhytgz9f*9rN7B6 z<;8LQXK@4Ol~enjNq*9xi={(rhav7*jI3*G?~5643kCH>O# zMj6#&7GixUK^|EqrS`0c3Y|D6nUqW!e#7NxlVln9oFy_C%RD}>x6-=NkI+ldmgUE- z1k*E^3OU0`7wFA0yW)P7vW{%~$<<5lp7rSDiuOg1!rb~`*1toS#~pQJt$OdEvbom` zrDTJ$nah-BIg5&o#i}vvR72@M=hJU&Z(wN*7H`>mDewhPj!v3Ykc8vpq{}-gAlL2s zLs*9zQlB}q%VX}){nSv=Zbc;XfLe}I#B=3Fw+TKS3Fj*fhjQCphjEl9kGW>yByDw@ zM+Wtq__;-|1w6Le;d;z@H0BTQ-f@aQ_PPuMo+El_1+rhg#2C(G#SX|XM1>QI9j;%l zKsT9iE@rQ6bapMSUY9D8kY2esmE={cis3U>n$|Cd`(LX9Per4ll|*jtNA6|a38sWX%4+i^*U0OG3AA>sf~rAS!QYr z778bpgj%KE3axJX@C@4YSSj0YWk<$mjrjd+u#?yA`L{2Ef0YzV<>1zf54$HPY(I4P z8$-Z)28U``_BXp!I&7)OF$NxbVnfTOO)P6zhN*|JYeEl@ch2Ibc1P?sFlqDg5ylgU zVr}G_m9iaAW=rJxpMT@j|S^VJ1zj1UI z!Uwnh^dOuhYHrb@%)Q*em+}$Ud$ilr`Cvj=l5)SXG#*2SxhjbsO-J1XkZ2V-UDpR7|EH!`RFWNtx{gAj+&C4qfL2xbLUoh zbJT>oR*V$y?c7%vODxK{S*dQ0oGJS`OKojueLqjDtauq_V>d2=GMnlKTtFw#*)(~v z;RV}bhE^%rE1dvpKdwJ)Cf{(o7(R;cx1t7zYexv;DaKF~(I>;Mwv(iB^TaKLBDKr5 z4asmeJP-*-kRUxEp^BegOCzkU@`F{$78$1T<_3}XAJjJPKe!EjN~NM56)}cxX4DEe zJULC2dr|nRv#&i&jysvyBXH(M6GSk0P(@CZxw=gCVA+aO-ET(qwAF_5&d!`C&efDx z^7%J9NHk@7jOARG1-c|xWSC0Z(j=B!z|xlIHv$HaOeVt|44W|*{o7co1A{ADxKZK4 z4Y$KpqZzTHuk9+Ut*4x|KA3)Y2)fq+43L^?w& z%Ob;bKx!K$Fg4q7*T)B~<74e}&m>33*c)38a|L6XybbU%M+=pQvD>fMH|^`dYuVt|lekkG3;E}Y zntNeObaLV516=13&>VE)k^gu^@Y#B$sg8
    |t$GCysg7c7U?60ch2;HUO|h=OefHIuc( zatSnhQR}qu%<>_Q1afS<{95AWMc~3ilvV<+xR#h*As+Vr7GY|KolkEqF_mn|%GDQr zMv+w0eHS4QkjIY$S8y@$YdXW_Y&-q}cC!|@iur%VF+p9f$o#tcHxHMJGM@vvW*2h|H8dRY{a@~H41 zj|h@mcK(N5HI9x~gfK8xd^s7@yGEMng2xKbgKZJ&sSwLdQbl-DH7BT|=6rX*eA|LC z^(?@6T7fvLfCHW~Iw-o5F~Eq^y0NM4wuKuVo;Pyy&dqyo0T2(Vo11-ub?5%-_$dmS z-hg@~EJW`t^W6Gu_E06a(wjp7I=Zm&1l?E|y-dU=hBA=%k_0Co4w z$Fg{Er0R1IOe}jx3{#Dhlk-+5O;B=Z?Hv+PPMSYH`x6G5)v~x`!eTVUpxwX5psf=I zXFY5&me`usIO-RsgK8I8Dj;>q99`?d0CLWD98}?9#(P!c1$EwJPA}Ba0$Q0!evCmQ}G{x2J6_LkWm^MMYkOV=dAYNd5>I#mP(!5_Ke=Uav z4I(HcMbImP#RAE??-#zDOx&+Z4$lj56cmftFqvbbn9XjS-iVJQKQlFe*&2ED2Axo$ z-3d$(^f}P;#pMr5FMq%b9_^;t+wiLzO!U><6Q2N*N*BwRutKQT!B4iLLjMot zfK?1HzYIuk!*Wr_u|WuPR{%WkRv=k_i4HIm0qMGM6VE+rAlwL^Hv@8A&Q&-dJt51& z#ukkrECSEF5j-sskbaeAQECwkGr$5!I&!rlKa3J);vth}1bJ#!VAcqvo5r2w*3$@t zb`(8<6&G2!my-k1>*LNE>EVDVwg|rLYJekwJwFg)O_qeT3kx_LeA&y-d1sWrB&W(t zLfFHl7OLT8R|1X!&K9E7iykjre$h=>fR|PU*aqN=&vMLJp(ZQBgM=ZWAeQvcHaD~0P{6uKCE+3SBrETVDi>igHfXbP`L z{jbXM3-i8M)8vXMzXf=1^)EBNg!<@iL!<{AoRfV?h0~h_bPpXGzJ%kazOCK248+nD9ypep?!hiz{}nWC^pSX zxrQG+?A?k2&RC%tgbsM#EkFw1B^m%5P_rV+E@WR6SOf)gUUu2nHNUn~1rP9$lZ(O* z79u{7DY6D~`bd5lHSA8&4(}f#`fMshqP#@S>%#9zu@_|*^(}%z?5z|?8m?4!CLZTJ zkSV=eGbl{jo|Yai{mX9p=+fWI3BDNrh%$?Qpa}5PDxZkPEBNDF%lH|T?kVo9wCnqx z!LrB?!TQI&wcHY*RUzL(-HR?x=tL1KU<0b)!tElbIWMXSWo`qz0e;-edOPYlyGT;| zL)UUUhumoqJ&I9u0D>_>U%Zlxkv@V|;V2b_6~PY?W2Ea~CCr$x#E8B`aPHM1bFLB@{uSW<^ta)g?yyA67-Vhcf0>SH99Mu__j+3}uV}5W!cV zDbY;JAO67(T%29S?JYQQ3s>gcYc)FAeYhM_-rK9L=VQfq=aT@KU%`nh_;W*HfxJI1 zhqeQbv$%&JJTy6`#SRP0-%lWVUY`&%$AfDYMoG@XxVBC5nfriv zAPNono1*L_bS+6|@Pmg%E*>Oe+@69n4!u85?c+$u{UzeGNaC|+xsGsziC`@t%vp~;U5?P9R%?)k=AIh`b6FJT#c*9P z0(i*1;u$7BU-EGe2eX311UJ632PQa>CczA$z9dbVJ8o<=2}4Dw3riEZn(dt#8%d%Y zD00kHopaxejV7Ui1;;F#sE5Q3v#}8+Msb2?mMBue)sAkib8J)zr=j4Qkf_Y>IyRE5 zjqaPUP*WsA_Zp%y&v=^|;_>)2l)P|X;9+DB(HnLrHF#(rq69%HZdirFc6Z_~74c9$ zByophB?QAITRac#Lu3JS-`+<6&$Gy0C>J5NmMF5;AC^L9=-b;#%QI5Pnk81dct-e; zlM2TY(iD^mUdu2yvv}|3l|)166w!QyL_)ocwIOT%2&E!Mxq>R_7q^;bENxj+WtEB! z7rS=SAs2a%k1i|Lnl8&Dp=KyRpvx`38Xs9!o-|pa5$0>rEr}l=SyrGlS;b!7a?1^Z z#zvM~4z9@(jWW-zvA0CGOjDC3T4kOrHCx(5)%pBVJgXWN2}z9f&Ads_v>(9t?V4SW2HG1D*Uo zhq`nJb+TnT%)zZn$^Jr^Qr}ys# zpNHH?oo>TDlEeP_IoLGr9#m>hr{4u-?4O(25=_?ZMW)^^Fcf6|ZgC~Ui$bG2?Jnrz z$T2%hbBqeW9g3>iC5C}ENJ_*psuv{eQZtpBSwfY|dk!pBu1Q|fFugokLXR(q0bmbV zm$VlyPnIy_7sSZNlBGpHTYz{`ksp<+g1ie0-syMBdk?QJX^)OdRly}Q&9-7GDWpyr&>G} zKEzC)t%1yI5TBz^08!fwJ(Pc zkqC;%c&0IzgnD+}t&r8R)KbBo&NG8z>X<A*&~j4(HF9UEctI`qJuUKwGo4<8?4LLIvfU5I%`m>U?4 zk1(r^wUQ2Z;%httW9%~KPTSOk6+19;OcOSKjtQOZ`uLuz)P#*+_=QpJy8jSim+fya zFqACVitYem)~2%yLbP30$6kpxq5qv}5Tfm}O5;kji7vny3xc+b7ziOUd{@tk>1)X? z7Yu5j@Y$QPZUW5bDL6nyITmw%MQHG)@Q`&>gZc_J;VyvI?MV1#FJabSg$m*92%H8q zCaH9X@oHWS=(;XD{tPjQlJ@}r@eFJ+?wDLn+koEdvV-<3(I!l8XE2EtHLltdS~qZ( z&bX)$`ZaL8^|+|85<+Qlc8{nLs#xqtcKtSA50j%L#V?*Ku54jfon6gR=(pYWUQJnX z2x2L7z4lls6Z$xCHoc|HS%+h#OqkTp?kU!$GpNT(*#O4}>3Fs-sZ}dK&$l0UdnUC+ z7u~5=Zd{)+*4mMJx!9js%3O~zR?4KVF7{@YGG`x;l`^5fot-G!8ud4B^Rc(tTy#)< zl}^@e|EHKa(@_v+S?0!w<6;^0dv>3ZCe7+9L7L^+9f0B)7th#>6*pj#7JsGLXYsZl zr>DHPK4~>^;afXtRp4_3rwXy47ga zAK5sH4)**Xe|o3cI9Hn$3;Ri=bcg2jeEI}FA;C@e*(drqzEq+# zJy(^75w;s=*ht%MRDTp7`M6IZZoS)RC{b=~Pev>risEK+2!4PYSuES(xAw|gb5+7j zO>q}hX=PR2z@N8tjNXKnyZTyKNbj3_Mw-mE z03<;qy~-=A#qc;sqaiV0mUj?|vfv@;LDcAUk53Ppt!8`>EvLG8J#hzh$UD9_U#v2( zhs%8NQiZrgo_P`eDBide-*29$GUg8cPD@oCOvX{!OED@TDC?O{bKX_Q%J!}5^ z!ZKxkoog>>$)P1^es^UrXr6-nBJIAgY#v-UEe)liz;bLg6j<65LxJUZawxDINDT#+ zW1FGC($pRbEUn*xz`bj&hXRjWz}{72Lor{7=8tq~18a*gEHZ}zJZ z_ItU*Lk0on&V=X(sHGu0x^++mYslPTDuZ##qhR_$QX%x5@-YN-6zL*X>!wbE!I)w)q;*9trm0?S+$^}NU8-LMNTcK+;2aqwt7x7=m$!f>pfjy80;wZMS0D8 zcKTtpkav&(&!9G-IpELzBXty5CtIVyI^h}x)=AeWuui;2fpzjV z3ak^bfw1IYemIan(2JeSdeodoO(KMc*u;@tth?Kd)?w7(M{~u!d8aF=)lKWM!dYBL z<}1hQ_UmTSjKx^`&t)EHV%*)>J}6l?nDLKUZzprF(4%1R@mn@g!a=%4Evr~ol~=lQwbTD#9+wG9GJmiwoby-a)?fKvShU1sr8~NSMAXik z^%+%f#8GM}8%2D5Q*`B6(B%+K#0uHvCF{a!=hLA7GJ56vGw~XzS6Gsvx+70?K{I|X z%j$K*Z2bLt7W&=n>*q6J{Ov66zhw1_D62et2p?_Szsd0ZP8MesGrsr~zmnneX|rqv zod233p87i(W{>Yr`p;SDjV$EhsE~Uf|J8q4_rzq8KcCT@MNL(GFbmZ(`m(60zwU!s z=aDfJZxCeo9g!pG05fb{`0$EJ`t3Vzq1N3SCYarkM z?a9hQUUIUisW$?7c+4Iy6!-1yv;Rsx)B7|6dHmZz+&}0|i1(13{__CbF9q=Zav<*i z1mga7fPdc$@cB=N-~#xvq=L@lK;+*H;QPG*zIq_-W+3fP2jG4qfX{0mPvHLwzw>H6oB(;IzuN~g^&B6KA44o&T7XjYU+Ok;s*1;WAP!6?|H@j`#?ED zw6p?njes14+WvO~X{!Ob`iB90p|rmqz!#!9)CL~}(*7-94Ou9J@9zg_{!)NufEDB= zgzvQx;r>HLl@H90m^PGeC@$2m{;ba~3w?XUd_z3=sX*G0y!>P~^zfAWP)5qsZlFH? zS|E>5+UFJbPXqFD8Yst104_vxsC{@#(DN#kcGS2~9fi_{ba9BjP}-2ZTm|lFrw!o? z#f8cn;>*tj>O7P-l*g!X9FX)rqw={NkiYxLxEJ5w?Tx_ukB!fIAMr zjY{+12;e&k;QOf&;X?V28uyO_dAu8-TO=X8Hmfea{(NZ$y?&P3kHfY<=vkSDm;D*@nsxwAzp>@9To1UeKE68h%ckY zh4TI4i1ik#%W=egU!ZUyzs2ecUXFhepf4mFTS8V{Sg8@azC3bz zzhsxm?QF>Oz~tq2|NJe2!Sv@41PS=kKmyG4OSNbE#cd-0{J^xkY21#jYgCk&3m!L` zkK?q1#h-4;DB|!~hpuY5sV**#!<7D?K?U043eHmknwZ8P@D)?{1HR(smi}KkjXLn_ zo$y!k(@0&jdwPfk#gMAR6*m(^^%Z6JHM|D+t!|@}oHgS2-TGrIs4U?vNZ$SAsPhnE zJe-2L6}96AC-2H>9O>98I_LSOl4H*Lb<%FV(@ou^-)Hf)7Cojw2fu#|-(5|(G+fqP zeLp${SxV9;CzRQc7T&Q9fd<`zI!rp2c(%qBwOQ@|I_tj@(}UQ8a?-=DIIU%Ct_pe0 z_vE$Yu`%oUzOT8wIPGBbs?@U8V4PAx6+wJYN%?ts&S#&?kJ4}R!vcVX zpAbSsxYa>f`U#Qg{jI3|2pJ;^&ky1MPUj)yZV!!)0+P)c`vs{i zpO|naos|V!SFhovG(V49ua;L9cs6XY&!V z4`1QZ)fh*)`dyuwbqoAQBb^+youTI&Bds1bH1aPaU))OfMxCs5c6sK} zv9?Tp(4=E+p?WY_QFiIg;#s%MqbJr1b5E?5W-qwpvu|;|)rhVzSCxx1tECG`;ian5 zQ^(}C&}55w7&)l@dZrQ5mY6~FFl5_rUb<}irGhgLA#MBh{#03&4iGDt5%g?aqsiVx zr=XWb_cY|$nOV1u<{37Je0ePo^PI6f%yZj{O+M1{Fwd?*Jj_>?<)P1A^3dm`V+&1O z#}?YSj7FH(O*=TQVqKz>z#>R@m zH_*gF8w(%6#>O+VGZ>1K$;^EJKj$(@P#_Kf?QCHO7YJimYSFQ1y=K|$1UwjiSWp0# zI*l1G?j|r8)NC0Bu{Ir>6m{yMeA)>2_hUI}ro`}p~N9sTc^ z%QbDQVrqRf!5=<;IXFbOey3bi)UOP|96pynJMH+8!8~}UOu9Z;&j`oXh6+Xok%Yip zD|bGM57OuqCFGI~Rw6pph%Va~;7IT1iy+Cr2OEn`H*86G;RvsCnMReF$T8>@v+7v& zKIMUFq)u*T8_Y-ciX~mH=_<9PTaa_}dU-2^oct<-OcH`c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IDE0RR91003V(00000 z0071U00000000C#00000004La?0s8|WJ!8fHDJT`8kpVL^@X)@?9R??k9VrNGV4Cl zTAQ7f)m^)Fo673$>9wrXsjO4g$DNf~o0smXWyOM!EFO4;2MZwq4@mHU78ww-%>xKt zka$2mAY=(6%;mxOvIZMphwqEaiT~t@yi`?J_H<8%x+~+v`Qwj2{`ljMKmLdl@!^Lb ze)z}u|3~;ggK*=<%%|~FZk?Vbji{Tnnrc5jYqh(73aRf^-mefyh8uqtPk)Hqe}MnL z-}nFb@cjSm|KG(g|Ffk3^Wlfz!OwS4+K(XnL2??u*NZz{g;>%&QKe?oIR94M)`eCN zO0q!4Z}89e;s1VVyIA>?h;+IU{(cn*e*nK*@k!KBJFRX~kMWobH@^2LSjV&Tc5?E# zt8SIwR)w{-!fbKAu%JqfZrqMkxz$F!H4AP&YW3Qx*NIi@IPm&Q9d#6Ul9Q(DwpxwO zJF3w-N$Tpj*Q}F)9d$Em9;sIMaokp&)^Yb))Q%OCEI6Y{x1&nidwc3EYImCeG&pXi zNZ!#oqUO13^}1)ht~yQ{aYr4uTc>z~)MJGL)N!jFpR~xso41l?z0o^j{3w13PN=hX z>!cl>Y8JOZOLE$?ZLE>K?aR0sbvmtj5_RJvb=0c&PUB|RNCWaEO_XwK9$A(6gR^$r z=`_w&r+4@>alNZ)pC#SLU@gE- z6I0iBP=~0i&RydtU^ftx&7Bqyp7kIhMksDd)|#d>JnyK}sM$M?>fK%&ygWR2^&{O&U#Xo=`Ql+)jpTo}q zR0hTSZqz%8JNixEpBsM#3D7pwIO+dBnCauI&jAWO2Pz}dk-fEqg)a>6IH(?Tfvj^L$ z*QuRGXKHq~9Y;sCj_q(YZQy(b*6i%;vv$&rb8n81l{O~TY~4g|I&{ZLcMv*cx;Vb5 zbNXEI!SwAXT{DL*{TalxG2Maj@|LQeH(?PVZVc;3J6SHGxjDYllBd=F+kyZ6*8Kl6 zRy?JCBk984)0j6l4|15cJ;2dmggwY2xQC;%J`XGDF#w^Pt?RnI;-`8Xb!RR+X^Z zI6G;enqps}BKe<2VNlKOMeV53h#Q!=r@jl8`?K<0-M>@MBFx*94(EnP?UmisBe%vus-=~UqifjrQ4>`Uy7e=>cH)$l_GR`4*J1Wg?(mj{0x{kgJ&s_Yj+MqK<_ar!Y+6lZ_^ueg^ zvzBW1P7h;rx(56o?49(vxHJsv=y}KjO9puoIF{;gR0$Y5!{Cw9;?;4Uv6JL!3=iG; zSxir!_PY>k=Pa%#$8gsHAt+T*qt!$gKM(~PBItMPBuCl@II#R~tBYygrOSp-2pWYH z(+>o1+N`FEtU;|w?&Ac$$#(tmd8$oxm!`!eCr9JPwfA;hUB-O>rc!g? zJnfta%lXzItkt|FJ$?Zk^+7(l$9wNpk< zp;I@Z?mmIJ^iKfe4JrYtv$CBb_ja0)<<^uR9|eAxu0iqtm`vfgI=z=PXLm8(t@xNMi5rP2b8A={s~R& z$PU90p=>k8P@pNiF$70&zcKU|fG@RGa*o77`a6%3Twp+3ag`QkSFNqLUFg)lKU&iR z_gA^?;+IF*dZSz^v?8ZtiCN+1aGK}Ebfowwj1<#$=`;6U8nJ|e!SWD?Ax>xa;}iOH z+N#P;HrT&WA3h^?Ly%n>`az)Bw%*wfGFb2;7f_~|5!>9HyY68#8{7oBN%gzx3->p+ z-^Kr0((H7h|M+0^Mb_($5_T5()05g0LGlS`bo+c6~_vok)@0V65B!`Vr|gof2= zp)Y826dD|XGDD+bv&n6NFfIp~T0aD!8?-(8MR(liX=WG*<1$O@gekYD3>zgd(_Z!Q z=v7d>2-Mc}62k#7LS55Xxk0zcC;__Y`zg{XPcPWzMv2%|q^2S@6{%6ZLnL>|MK)G%%TvSr|eF0l(dI=%JkNGx|%P-jf=qEm?96c zYs!|Od-3IEi|%&1-_aAgd3#sgiJDK8Ud|Y>jKSn9F0lAgx$&Wd9kqK={RwxO_j$)3 z;kDU|j2HMApl!BBbQHdwhj->3_pLoPDj!m3ysf`6I>a~F_?{mb%m#@b7I3v@iT$0=v6rXZw5NBliluMEugW^*z4dRSUpK?iZ zV^Dm`r9qsL=~FIAZVZaQ3S5Fq4S`O5Zk6&Is+2o*ZL1X>=~D*G5vFk39h7&4+wrd< zn{of~Lo05 z{y}?Qfc;|M(`8P=^otMX@Pp1AYr&vDX(oBH(O#bK9&{aabMOQG+z$58xe%f)rpH1I zK9XX3EX3el#9r$7b;)|%hH)muua23rESqREZjcKK0S2#8@ZXd`}Q3?GDEE5jazT(R}bt^f^TBVZU#w zUD%CnwGrW9`{B*S5A}v0%NA+{U+Rw|%HxJ@$@g%oJ&HA_3=A)shwkLR-(zXNMFAjT z(}yV!rgAXt3sZeC)rr$_VLBX6O@gUeG&K#U=J6{tMey?|UPgu+CU6=suV>oFxoW&# zXvBeOINU~`MgE%@9Q*&tTSKr|P?F$?d z#gp^$4h-kXdcJSGqTqcTn@uba@`AjN5Vbt-ighPb3|oH^QF&k%j+E-Qd%TWLtG4tI zE_EyWW-o5@mcRIjhg;_Y&x0O$K=wxg0CeKZ6DMEBA?Nh^IEQMz81dp2oLi+k%8W&o zhQ0!EsIQj}nw-$5HVWTN@`2J}|C9Cn3J2Ahea5x);r>W{yxJuy(^n$b0?9yIn5ycd zPmczy1(MxiO)*KPr4(Fqp?$34sbB$CDePMqz%E)dDX|o-^x>K*AG4gk3OZR>=V^nu zl2z%0(gyV=eMs6R1aM5+UNtbsYo1edpfA5Lx1YvVXCZlJ<~Q;Gml)wObp{IO6L*+0 zQ-l2cb^O=Y4x4vR6I|m4Duo=58~L?mfdMeRoi`SLba;$GwJ{ zRS#jgRO2&MSXRaPcMFT}E*20e&Kn#&*!VqjpPt&>RLhIAcW@2f0~|+>rD^}rzGYjYg6dFFj7OWVc8 z`EMK)=I6^xCY6NQ8>qMO1!u#uj<_}wthO2`o^U--(DWInh1E56TLHVerB>F|o66cy zT$MFBQ_JeP z0ZOWDZ0znIn5-0Tv|;loYGeCj(lx0()1ZWlqb-QB9~l(sD=$kfu- z0nf+X+1;^;GTWdy8`GhCP}#3;?(QH3;rsBP3PeB549YIkIXI(N*UGzK>DTV>ln;Qc zc2L>g^Eg&*XO{T}#WlXooq_m7HyeaPrt{?9-Mxd&?agmgYTNg>4mOE-2hGE@ox7e$ zCq+5&a$+RWQW5Nx_93X1ty=Zb&cVG(b+c-aiU#sqJ-Dis# zY@9>ywA8&AcdS}YH^2!j5H1^VqUvo&U=F1~pDlUeQT6o%KWYl}uaNZ@$=*iK1`BrSZO}=iSLW-e6 zEbrLYb-U!KUN9+AT4Y=lZ6SlQ#0#~zqxxgq)2r^aI^AY!2eP4XF|`*vj@(Gd7@yn< zx95aS-|B}1gyACc8X(py)vq7y?#`bvy_zQhBzQF&kgq&a`W8E8hl~#h2|s+tFP{yWcWNn_t!OR46+CGPaN3i zme-6pa+EUfpWjr0Wu`r>W6EJS*wI&WnrHg#%`-lZ>EiGl%qgL~PffozFtnj;H7H%% z(Fe(C@01F@^~{YBgt2<(^N8|dB;Ehbg`oU6XBPH+jEi+~l26wTk;KM9hF4?m#9e-M zgA@ezA&ueymwoCKd#6GMYw57lYVF~MAf_|zn@RnB9}4O)++ zjhZQe+e6)3--30GQVcM4$M}~xWy+yf!vt2wygE(nG$ca=`K5_4gDRX`NjHhoD_8|N zR9zP(CoR(x#DM{mfVmJy*y}Yh4{_;b`)KyAUeBRa2s-93M29HK)4(yt-Hm}Lf~JZW z37f|Y^sTp$Lm|x0;#QE;L(KDeT@~C<`ZC%kuX8pxmKeMP)Nv1vQQp#J$kml(J0S?@g~8B^cAyl{#lg@EmMsFh5Qe5^SkQDxLgohp4`Cbo zYldwda*StnnF2hAUPprEP!o$_5C#vW74xdK1BlWBMr}-APGekXBY?@sf`$E*hyCX9 z;S&MHN?LAn3TY8Kxl{G1Q;)E6;%N{W-tZYZ=gs=#cB|RybyU3(NA24UegK)xX8H3) zpDh|IpQX?9$j4p-E;IT#lC2nNR?S;`>I*59@etSJMnhj~T#wrAb5-XzTSEK_OMpjU zSLeBL-eX3dx`B^_RKN3!%(1m(2XzC^G4{h_4yc-Gdw(C^mJ5s9_(5PT`e1dsnMJr- z(B)$4YVmoPRoBqO98Rhm{8op29z-?zyX-)lNzxO=lyrWwHxT2%Ls(mD6Qs zo9b9`$NR>$hh;BiEAzQ2ST+^9Vs;mbOKp`cwoe1r>9oFAlw)ML?Oyv#taw?sruhOd z#infu9KnZyAWi!WfH!)W=81Zza{ygMGZKr50L_nY3l}lR#=_dFx`jV)BaV;}IUxyB zXhiSf0KWz==~}_&XF_pV-6}4NgjGPSN{GS|%3QJ#9D3mCowtwuM6%JJwc1bcgFwEb ze}5Z7n_e~bZT&_MVFHh!Qq-d_bv~H2kD?1bvRMp|Gc=lVvUPbEiPTl5I<=_L?H!-i znz%o`7B9AS_4?9k_15Vb-Z#4+sXA|j>r4h*tX3f|Q63(|m|C{YTZ*>5s9cCP6tl==fHru=ccb+unzKp*bU#U8d_;bP&-kwEZz% z$D;HER)#3|!`1;gOw7!D0sps?rkyRAU@THz4qF+BME)%4I-W2&T~iP zXMt|T!Xu#F0{4bbe~1oI@rKk zaq4i@yLbnBO4X6s1D7jJR#L_}yR~I8PlT-`=8|4>kjE%eKMw$IJxf`txDpF~>x!x^ zu5%k4l+qnN?+8DWkiAA!*W1FB;kRkCvj&VvlZk9fo!^-U&blli7tYI~OO0pIc}H1R zm}>{`^p20QIlvd4wos>lb5@G$ga>=;CiP-)oO#^E zCWZ*Uqgb&|(AU#Z_oAn<`bONw;*%vIR#=46AL<&RH|5C2mvQ!$J$SF$XoPd6W0|%z zxnIYRX~n!i4|~T!JLQpN&#+9x-m$&=5Gr(0RVnXw45^tm$~@c#01SQW$AO;!2KzBI zlNEg1g@DP{(fP(QNHPy!meg$S`gx4msb*PgI~92i&V1JM83`ODI)1VO6yeKU4dujhSh!%ma$*O}EFw4Ai-y`<~BvKjcy17}xyU=ioQ zSjNi!E90}FEV_HRujuJCFiOr(nlq(l9?HOH_fD(5$LAdiimIMBd4yEb(TK|#H=~)} zQ{rtlZ@y;`XC6qkDt-~$Aikdn;oFBZOB<0FMD`_ttR$UFW;ZSl;XjKT zFt3^?07S^tEc2l7Ho!zXYF%K&-WkoK zBZV1qyF1%EgOe1DSGUSrU%y}7x9*!v)xPLan7ba#7Ix_JxT|ihS02>LTl>vWPIf3; zxXcM%!dpLMW3g%syOmIWZmO{PH+MF%p#@v6>}?cygOH(2u$TFao<2KT{-=fz9pN@p5m4-v9<1WECY9~)Qrk=E0z2=cY z{T6=i&_x0FtoE)RbNP$q!>>;UTp z9I0SA-0V^3u%jNwn0M$!3@w^Avy=-Nry9a{4|;yQdlomfH)5}W1)|4TpM3^#Q87=h z)Jg-e2pP%AJaA&-mb!DkO8cT#oOipgIQJir%7yX_272-zAhlLlTU$UJA&|t@$aE`Z z$DP!a$nrn?#Mx!#gKnGic1u^blaAn}p8mW1tU-p95t-={+C0HxVw{!@3y3TAm^i^_ z%TMwwiVV*)51cw}(rK$zd_zxoXq#yanB=CY8?Dxv#YP@1&Ck;fi;#Wd*Tc?h8utmR8yDGS1F!JOU+l)lGPSPN1`C@!~5Le9JPj zNy%R615gKX{c$t-ru+8b6L^0oYH+r8geXEjg`$Wt8D_Oz5RIEBZu=6cU9o*g#?#q> z$T)@s>G=p%{Oo!=!j33ESCwp$aoTQf4*Bp=b@Sn)yU?dpD%wvGW9DWit$;(wXrj!6 z!dqQ@^>K3CO~oFOGdGzag5h&2a-zgNVX6jytI`G}uRr17nnzBkh`$YeU zrc6(GX zGla|Rw06?j(OuOkP@scSPvfN0S2iN=@hN3i;Ne6 z)OJc>YIfkQk87>tW9@QJB}b>&YxSPG2Fu7!=7H)3jf2$#3?Q65(069%bR)We$ZEdz zrq$c9zh{u2$$tgQbb{I{D0=UB%oR7Hr2Whm2~) zLO0~+$jw1U>gF||wEIrcrOd$V&tYIGY)&BLG0;wp*;Hgj$QnY~rv<$C*sIUtv#zz; zuZH*C4Bp)F5#x|evB3v@_=}Kv&@KS&&)B~IKJ8*|$4#9hQr=~pW2@dUgb50}3wI=> zeLt&9vrpUv`*Az(E4eIHPS#P#{4iiR=in0y6$4-eI0JyB(du9dUy8 z1|I8omExw64AHc7+`CCr+6~<>RJz14q&@8^DWm-IWZ7Q2g32eq(}N~P6h4g?$Fw`vmUc6V>2JOmlAf@E9a=b1i1T z@e!5>J)BnE`of087z@P+Bdvrm4jc|_c02#nbb`84a@D$97XM- zm}i!caVC&q+vWESuPgx<0#RBCxZ=KH4uuFD{Vl?_opwIIeZy3;ZgcAweL;~_(*rjg z50S^$fGfCh_;nUIzmk4Lzlcvz^B>XU)Dmkca5O3wH8{R_Un_cOJrC3;y1AZBo1i{) z%dvJ`^`Ai@cim8{WcMVKfWcq!+lDcd5DZNn1#kq83k#rn5k#+uDpDR5{v(Jexn-As zI8@{CcSQ&TW5t(iG5!0YnJ;)406o|ipF*Sl*>3dv5l1E~9FJ~h8n zjiV!`sm964Wvi1WC^@wD4v8ow&7Ye8X@i5!vb1dCVm8F6{pyTS+dUYy%@a-~wx%_X z2E{2k>Fy?0EWfRejfyFE8$Sq+6a$CC`ewk65Gc) z!F$2lFsucTqz#H+n&bsZvhCkvkt|p%qLUzbQIaeKLy!^X@{1FUB|);&ihW!bA|M1q z)Ja4q!R2L1vNfCfNVe7)Qw7PQA`>QgMUcEC$Pn!w)))eILL^h=mD7)@*sBYINgp;pjFd@xyFj*933-*Sk*|v&q zAptC^a&0tcMw75~glQJUO`6Y{;Y9FDM8h--03lMMCfCO1%y1&g78Het6Cp-o;G6`> zayVHG>*hQ~)DJ?6@&JPrg-pMcoxu*68BPR01((B0Ly#;QXA)eN!^wh>T0yc<$wC@L zbV4L^IN7_mX(?laxs&GlhU_qpht^0o|DgDV#ey@pW_f<)ef%O2?~-U~5fvO1WQux- z)a#TAO>t#sRg_T-(S5#LTs$+ z2Zol3Houg+OLTp`7T)T0Q{6{=E5aHOjV@AOq4rNXAl2WBQ2!%2VD*A*iHV9prm(BX zfOIyj;r02q|JNIdUa3^@t40vuLARQqq!o(JxAS?nex)aFWca=UM z-6|`h)*>2afCZ3rWLibO7$?rmBi76a^4yHTR1ZoojXSihuM-IEC`JM+F0yhjCkLd{ z$DK6N#{p4o5q;U!04Dm~qU$e3?-ELYtInu?K`xXRgsg`vEi}W+ zt^*tcoGC=17Xx0n{$iA{0J0fgDh*)e-&LRWaJRdV72*1e)R(>eZT+PkxM2HqU;Ra+ z3xJn>1hDm&W?(Ta|Dw~2`oHM*mmTjC`p;Hh+JNpf-oEA!SN|1herW<0!z*jy`oHMm zFQaQO-~Q47EDG&5GWv?Nzcl{};r1WO3fT)YO(I{66KCd0P62HHUlm(u+^+h*{x9k; zy22~c{%f-S!nzlg7Ew`un<|@jqU|pWzC`!u4DdnD7nmR3C<|g%ApAf!D(RRg12TnO zg#*$8Tox99Xl%g&EBEA!1$bc`kOg0b0n!9?Cx?sr^@)IBz>6LOpBDq94Y(|tT||Wh zh}0L&falf+q#3vpR(OH~LJPd?!$7JBr6IU1>MB}Wq>4`Pstg3u5?m5h4KqNb+NkV| z8M%}%#)&iYBrTy-1f(svG;$yi9C+C~0SAv}xm3ju0`+}fzL_c%gHQo4x&vf{?Goc4 z^Eb<)@G*`|Mx zk$W-u5mgrBKpsF6aiz8=qRGnrB)2jCAZqstU}p6Uk?A}aRfMv%p{jr{_tU|S8qe;J)XuQ=%tu4+pol)bC^Vp8jL;Xa zBx9t9U`=>QMP)_wW5gKgIam!dCM+>xED@Z0b-I#tD7gC|$LdOg8zTDZFh=?r)9V#OkBLGD773fO&b3ZtMi({*J00|D;!eu!R zT8(ac?JZ}N5B4h?*;J|}D?^_~6nARhR`_#EVQ;)YDTlTLPO^A_9|W2lv*P0l%im8S zc|jiz!((4omdDA?%DB&75Jlj(I(xX8)Eu&>lhS)W{ZS`8hlXdN(2&0^>P|w}llGj+ z$13N~5HT(hX%ExHrrAMmq;lv%9?_qpQ@;jU= zA^HXyp*d|klSj>cH}&OCC@h8H<^eJ0%xDEw&?;`*%tU&y zrpl@k9nTNwq(koJo*Z3PqBUKXM?$$!c0iZgWi>gntUPJ5ME}dSqTBmEIkK!kX|nQT zyXBUf@Jx&>x9?k%B|2r6TNCezZa=0bOZ3VtS#I7uIc`~b(q!dFcq;Ta4z{cKLFiNH zUNQO@@i?^@PL&Xh7p>@M3c^Fm8wcx~EdRPFuu`Ha$m?#ygYws}lL9Lcnu5HZHWaMy z8VdSl!?W4Pi0FdNAat_pLV4ZiJc0c*j2hcH3of@Bz0>B!CR(hA+sLou1GTbq-cFP< zp_q$d#oY9V&h+)3Yh{^G&8~mt8`F6&C&@DRRf=xRf!f(EQB0IFD_Xu2367DFiOee& ztQdJJeC)bydv{)sZkzBBg%gR~2|p7QXLsnPCM-Wlv2l1zu9N3UQYI9)(^Gw7!}HUe z5j084q(09NYUG(b*=>@P2`fABl@13xe;V2(=@Q!9si{C)Iy-xklnHgdkRJh^{5#`u zf|R+_IN39u>I(48S=*DO%<@T7mYAtp{h}p1cdE%+?vFkP)7KJ_!99-$7=0)^0snG|IfiFU8 zq(;wA_?&in0TzwB>XdrZX?G#f9G#o#R!h=(k;S$POaocI+eFFulF;Xi`7w|}o*tJu z!S3(QJ=N?IQ$QOfCE}#i3leszwMoq^p~&S`29_$KD^C5=;f$1t zNyoC))V>}*=7i(g*DjZZUUuWG5K=0@Yj2>O8SVLS?eZy9tsBoLU45Ze1DBtq+RF`% zlao)OR+sWac1oDDR3}H6u%H89xut};$?C)illPnlF6&AOb0hcU2oq{J@I`D&n41nw zjxei*wQ>#*-RnHvV&XF9&e7C_EOBnN4p+Yz~0>}JJ zNG9DOyP6k62CmD_IYSJhJcqMw*P8>dCjJGp8p?*${Wfb&uj}R(f9SDDJ+7)beaK zP`+*4h%wQ&ky<%Fnpt^viZCgjaSY3UT1i^`J!L*WsK807vaNE81nO?)2a@200tMcOr-#e$+NZVdie-a=0w9g=I zqt|FCQEz-7jZ_5on62ax`~Wx7RH}<_@0YfV6~fHSkoAStHFXPr-qtDl&b`dF+ro^K z2d3IpBKp!+i|W>D(dK7DVFeghM8evg2;N6M>dP$afU`iVzw^tA`x{# zAZRUWbbH6AwPvds*W$&tu3leStqys|`{qZh&Ku!6U%XZ!E|F(mgg=2N9tGgP-shOX zBLuoFRc^IoWt)_PX=cldP^d%+IZ^mQU~Bh%h%gYAIkVeu`#}p1EkUzid-j87Dah^} z9|+6t!R_4Ao*M})Cx9b?rP(tQSWbFJ0?XOnNMJbu8wo6D1S5f^kv-mJVtWj(eGNOojnwj;9y|sHGu2I(AqC zYsk#GF2ixkQ)vc4QX%vmI5Gls9O)ufe5^i>9iDDdNHlpS% zS`sk?ViRYEvF+|QT8B}CFK1>xi~r`C9;;TbU5^zG13S_$XE;~4Z?}?WEauXGHFcB| zWw&R8_EbU zN_>4+boD@sWb0uY=kk(uVYPEvz48O8cn#GntjS2-ktI5(8Gkq}>I;V1`1_4C_6O

    G-;;dqiL*~(vl|4{4F0%WB(wHiAW&tzY?!qG*00>^*-jH zFzN;B@mpzL5BDfgH|xd*kjETAH}kIp_$YS$;d5zbkMF+)%Ki2JjCl5v<6nL;P_D=9 z;X-NONniW7)HD5|5h&x|1=9Xee?~lqI zUz%0Wc^pXm+W~xk7{FH#&{(>(qjeTd#azpj~xj^2KZ2U|*9r4urSW3#wUV!(1Gf+k-?~6+N zX93wb4b)>U02iV;)E7efDwKEJv``y`@`m(th`vzXki1+4?s?}8;R~gO>Ko$A&j;E( zls8nyxM`fE^oMczTnfnFLloSP@9*~~;Q6PI+cfC8o(EV3)SPF2lCzvlo1+xLU18{^&K>@mmU-Q-9TD8bPM2+ zY}^s=T{KXQ8SnmdP~rJoh%b{!3-Kyc?znK@_0>#cA-;^87AiM1KM%Fri%R?cK;=Td zjI}Z4??u()Uk2z4$p*LjRlC?I+36;A)9PGkcn~#uv3YzAKL@QY_BOV&8zVF88Senf zT-Ksm#~xDkI!W_H(S7^4-D*`e7>ox$Z>1jFgi zAP5rhrJ)3v<(Ep|@{9Y<{qsZf?zQ7iY+a+G#@z6@*?bzeyV(5cwu~YT!8&wR%Pnep@e>fK)3E&6dMdo_AWfeya^B;LE0aCx{Hx$;4D3bK@>PcA64BQ3sbI|7Zj zMRk~TE%EG)t7@w<_;KF9C8kHQ1?8fL-Emq?_gv-5TIefl*<)kT3j-fBWpUZTmQ}8% zyTR!2EiB8Lt%}lE(^WxyUrqUXrRa;#lt<~eM@ z3jLHQ^x<~Yd4htGgz%&IzuSEbx!XslqkyDq#(qO8>n9eRNoRS{w$#nfP7(HBGB>}PnhMABF0$(v%q17?Q}v!lP#h)=N-x}(&s67(akK7*QA zom+An$$t#U{ZNIf6Vm9Kuc)Hiur4&Dw;`Emms-7@z{Cao&jcneW>WCLM7yk=#c7pT z7N=dC%tG3Q*DR#?4Am6LRSS354wb(NZ{P>joqd)Z#j2W|G^0kANrkzEWxM%)JSK6Z zDPTlT_c1WR1S4){;FJ3fyt9--Ry3LII=Gb#@6XSU%8ul!-i>jTY2UTEdAGrTJkr?~ zb}-Cx*y>?h4g3)D8XG-ZkZtsA``Sj&LJnM;|vDA+pvU({5j<|?IOttfk@=+vAn z>r^Yut}L_Bwvdx%^4a&e{$|8bm}$!8x#iUhN#XNr$}HP+br${CSDA^n#@R&Ml-Wev zlzu6c*=(mK`F$(OHFZFc}J8+2+;v+Gn_tv(Dn-L7GC71OCX z>CmZGJbcx3s;!%6H&fmAm@KTjFo#w6{{R30|NnRaRFb<+0znjp&&pLmZV^Q)E2D{p zL_(w?4(zZZy9+Ff7lf>dg_V^iT3DHAXQRC@ppBXsV`D|(8)#yojfD?jW8<0G84ShA zWM;nqpL3ZcC=dsLPPVXv3xqK&wd7c|QMYV%0wWkcSW*Cr-R7JJcT*S)YPAi6SeuSb zN;-AwrBzyXB^?C95J$=R-u5q(B!xsHZH=}Bt3+TK&-1rW&$vJugV_p3B{p%WP7P|h zt}(nH<%uM-H~9eW#)X0!C90}amA-LK?e|jeJ|rduRbC;MJ(R2xg=xIJ|9E%}VX`s- zx1Op=3_6@Z3LwT6Gr6nXA}S_?wN%)WS3;cYJ$`=QMgKeIaxL4cn%V$O@Q06I4i3?6 z&?y%c^(%f@z~}O3r(G{Hmc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CEd3jhEB0034q0RR91 z005H(00000000p-0RR91004La%)NDZ6;~H8JOja9Tik-20kRHmMT$d_A_a<5C{SA5 zHNg^s26uOt;_k&=ik22{DK3Qo^R3_7?3qbQU+#VGKi@nLo0GF0e9}@)<@JLw!JN%4b%<`Hb%jLw%z@t9#UEZ|lYNey=|No94go zQQ!QVj+eU^>%S!N-t%9$-h2M*^KTwIC|>`&eJA(F6pMW(t)l#=hmN}Et$d@PvE@$n zO$_<0+SKy-p65>WeWZNzUwQ=ymXhJU+^MjX3aX@1a(Z#q{px#l zr;;I#;3{eF3$-^MzDm1So^KRQMIo->dabIoQ&{SIMNM&0-#is+>Ki4k!cw1=oLYikB&ja};iF|%0pS_;)T3AV?xGTRauX&|XxM_r%iU(yeugw(& zZ>wyj?pNO{e|aTQUQl-`-PJd#JiHW^vY6uNjW@6S%D;-2`d+nOe|c@CzE^zT*eH2Q zHf1-3tL$&vF&LUyqxb5-6=W?ftC?#z=`9{fhSMF5yyd$5}3e@}kE%{z) zt$dMQ?z!ptUQtsTd9_yd^jb-2sy#FznHf zU#a-(5-jvt{vW->8@_)W|NRyJum8UJfd>A5&7WT+HbUZ}J1oNgjQD7(Z8#CM>rrBg zI2Gbs|3a}e76T%TH~k%pGX8v)hHYPM)r5&~)d36nqtUYUlxg)1N}2k(Ri7mn@HTGk zhhY6(uV?gnRR;h2nY*k4T9GS&#NvC*4nb>a;V-|_{0vR3-7oCm*jM>m#Hl%(CAP<8 z8BcW<-6sCRSRdaAd6SJl#Km6?&}iblW-he4Fm?d2Q?di?&Y+Pf-&XRUNa4q===t%# zu?{l6!e9O~TPWKy1?Io)k%cVofrCtn**_8!XvpRn%q~g3y!5 zYh8FT4UO@Rt|x11)nUa_L$Q{Ssw69BTCWCEyFg`@!BIrp{KgKpwP;_DS>QXM9Xc@< zi&o6!=Ft13)TqK!GN*30s%wWHE{YwdBX4cOV*H?YT6e$pw-8D=m33R@s~d6V&TDm?C~WA`bm3#s{Nv+y>jF zxG@rLSxI;54Wl-{jPPYi2!tqCve#eHPqA|e_SaAC-~=$s!EAU=F&}D<}v! zV_DM|@YoWl&=g;3;+NY0?8~T$-vwkYToSWmOZuuw!KlOsb2!+0AzG_QA1zE=K#0%K z#DT0XO+0;%?|hjhtu#dbZ-22AolTdC3J*1`&ykC`9tE(_X(9Q+re$b!*Qvt01 zdU!9IVj`L}X(NgRUGpo44$-__u;yK@a8qAityl>N&74BaST9S`rlJ|6D#m89jq*&x zj+rzM%_*o9%dLrj!K~S;&$4HWO8g9Tx)s1Aek~nH zi9cBFH47uL_8A=d8YNs4Ke(lZZ-pj)ANdpG9XIoKm!c{6qe*@i8JZQ^RehD4DwS7~ z$77a4{1-^oA0}=v=osy7F_jk0sAI+B`=w|OXUWZzu-(#bgQ#HNP?0<<>n%kyjD@mi zvuIAVwBDcHcUhF_i-Wh8 ze0ke`zEYa_KVXYq(9GJl0u2g}7EHoBB}jDc8%X0qmE=t|@trJ{y&s}^iPzA?KLgvU zFx^LqXJWcCC@{Rlr)c7TL$o~TBsKAW_7C?3YU02XF5mepO13R$RGWjgx|$!$ zLG$`4$$!$sHP9svhnCdDUGPU8>VmxvZJ~*mf!@`;Hitv2Y2v#fxmfn24i)0!Rj)%k zXyO812g7T#fOt7g+!gJ=iOW4+;^^HZfycvHJZ=dwddFHzlTweM68q-)f)jn9dWv0Q zr^X*0OSGVfr1_)Td?pkdhi1pcTr{$Ce(8xgyjAJ>4s^c(2QTq4ns^@2#lXq_DDkrh zQZb8{I676495HNviD>Z>@1cpWg;Xt2*FH+T7DVfZ#!I}gCO(z%rLuGKSkgRIq!7g$HBGGMl%8o^G|grh7+08I zBxv_>_BgGIhz8m5e3W=> z_&PrX^b(I`mLhpDx&;}&dx@{r#Al)>l79B1#2+!X3MMb{M4EUwhNu&uo$kjXujH}V zgCTLQGTR1kp%lkLs3Hf zUvb`$iHqI?Ak{!LI{p9L*}~UR6YtCSnYkg~x_zanM)dxBC?ncC5Q>jp=B6({^xwbp zw?cdq#;N@gikkTQ^hbSnF%801A38OJ;TLpoPfsosr%aDyTMv+QxSpc({Su?_H*w2S z!&@!sR{C|m*Z~UaX+x6art)76iJAuWA$e~|zI=a?ptH~N3ivhC6w#JKa)gYjT{601 zZi_qrkXUfZPmp{Bv$CI0>`d!_>MYoA7#svf!DzNG zYfnFKC@$De|LuzYW5;q7+Di2$&$QKCuqNJP$u{3sO}rj-8q1B@XH*-y<}VzT_%g8V z$c^1}MtL%46_N~Pd6nKmJQUbE&Jj$DG;sm<0rp3O$ra68*LWD_Auji5;>gf7QHTrp zqen_7&zDIN5MS9WmYYYb`xshXmhG1a1MA;Tp@v+@@UvNCuT z3t?X6@t4yZp!6_6m7dyspB)J8>aA%(=BWCD-G=X%(z7DAjy133PqgGeMY32dUx*|5 zl?L;zrCRc*xNjlph2Ljc?I(e@fj@(N6DJ|us}wc5r? zfMd19$xHi6>4I&8ZcJuw{7|+yEl!h;W*-Z+mT2DH(F?ZV#@MxGByAYIQh1+d-c7-~ z7=(Vv(@8V(43R&*;ys&%nFroq@;@UPD;Fh0Lv@CR@_mMh&cd6aSD1Kn5O*(chCX4W zIUp_hp}zPNjS*hfO#7xd%&=FSP>L{ zVUA$yS*k1?8uK9N>#AdEPwTk?W|F}&c98p+f;)r$Jat2hy&NpWVNM&ojJ&scQ;OG0 zGnytU@~0zCe(kM_Ha$We# zYd;JhH~T7j-#~#QpV+~vFgU0Movx$F*UzK^D;J5)3&b@-{(@ODL#Na%sI5i28rjY2 z23z9dL1wT~0%@==(J)F~cnuxvd&ZihC6{}o`SIs{^k>#M{%+q*v(DLXeBj>P++Jd*AlJ{#wmO(nRO+t8KJ;F9(s!2BOrM{ zG`gM_eKE;5nipK;3P!t{|Jg}r)ud``^HA2)jjZF(?O+)**YE_B#ka2s8$6H9E)mR8qY#Cb=2E}3ExJ-Vu* z1z!q01*~5Me`Tt;h*~{RV0j20v_?WZMBC2v%f$zopAUUsv*~Sx28socmPbvT9 zjQ*fMr&{qjkYx$%F<2yEtC_dHpGW78B#$cEBE~jjaOByya?hm$t6~e-35Ue20~>~~ zGacsA@qG&HNd6Gf(f|TjCFWA8^$I*zZ%Pz_`D%igwpy2gEv-4)YA-nw%qzhDE$v7e zHc`2R|CZ%`;~u*Nf7oWF+#@O0Tm^2)PLRbr2xUBk$8>Eu-mRBRnIzWoA`RBTV`tNj zpwo{hORPDy1$2!8AhD)0_an^}cqSuBtZ`Rk7^e^ux(|I>qqc9Nj`Qgd4YwG}W^wPo zIV0_DIMq7Iu_n7MO?c5#nQ-UCMbu(P3^6JKRpMzrx;eofS!yxeP8w6dlbN?D#{FZ6 z!6nySKx>$0zi+D!#dlaRy?|gZzr8hmcA*3fU9rx}uEEcMmB*Nq2f91w2(?q`wG%5Nu&K zGft|Flz5>6-_&v52E0on^m=FCM5`9X_iy^`h?R;(6Pv$Vr>{IXrZF{T0V8%ehNDZ9^;hs)EoS=efvq#O6 zIBaf%#}~HoP2CgJGqD0Y*uhYW=gEe>w(AM{JCg$6XE#eH*$0b6yohC++M%m99kJqQ z-jm_bX3#GC!41^zer$h@&#PFsw8!E>z4s99QK@EhTNtcfVO??DDz%=&jDPyTj`K^>Uc$0OJtAiA-+X%%Fh?c+U z1{$34GqJf=+sFi$ltsXOf9nWk`98CNnWOR;#8cPh4jX7^Hia#rpaJUtsuz#Y@Ma1- zJ;$b7TjpSJpwqu=fe1JK=VG*%g;UB-c(*n!oREitZmhLVar%qoJX5nx>_Z#<>hGb= zKV}foU?z#RDv-j0_#@-5phB^d2^iEwqDOqAd@zJ8b5RTEBKoNd#Gw- zMV#xj3MRo!gBgGp?M<(NlA%&O$|BAK zP`%1N+)StYseTL&6}QBIXd?dP!dvM6Yz1}-_Iv1^7=<!}u7 zX!Uvp=IMy)-g(zP#HfcR$AsOu;eqXM_TeOh=eYX{8`;4RQU2$ zs&VIcs{}`jq<=xaPc8LMsd|x87cAh2#taRk7aY0@;=h`Go{lZd?^Fi?heD@;Xo^If zqpnpII6z?B^oCAL@z?k&7ijkNXkd))%?+63`V(EGO_>yLI8+EEgfr*E@VDNbr*97^ zY(0YJ6P0{l0`OjS^8!6crm)NE;oP4v0QXk5srAlNb%k}CT_V-tD6=t7v*GRRW7kpg zoXJHoq=E2WfH9LSn>_k`4c#GCa*;&hu_ow4FG9Z0cdnz-g%ma<60=VO84xr>->;=r zuT)jc!lKFW-GqTofVUQHMXgHK?<|^>o|foK0?@3^-h-NrIU<9>VD_V0F@eW;C(4}z zuh~4wVv->;w0MnZ>5i^2d7DYpZ|1zHLrGqS`jEg=LnhMtGztvGBuQaT16%FJ=6;i? z+A;-Zb=3U3HPkx~;(8d{`t$&czf?Qe-#Ha|NaB%HcHg+Dyg^%CDKd|u>ix$Hcs_HJ zK*lD#ISRaWKlX6#L!Z+F^B5!8?h4*JgW62ik+%hSQxuh24>Bi;VV`p>8~YWy>yqL1A$%|K`lgtyU5$j5CJ? zH_o9%yqvn3O8;up@L8v2I46Nzoh3KRK)wb=&(X!g*(JwNk;Q7mpwnjL_sqdNspbYX zjD?{j$HD^mQ)P4(iMfmGe_DZ^E>@d|&ydABN2}5+;w*|v;H&zBu`&HQnx9L7g)fuk z9#&r+Zl5J9p#m!>F$8=1>UvjXCuNm9JEry%98)>r)Wu44XQ0&f` zw-o+mX8+E;!!1*LtS`LL6^g~0Fpa9TizQ}(w$ZMoiKbBlOMxB5+o<@C1fm3pA z5r3}&+X+ab_V3=)sqA_E12WCCUh3LX8*G0<64fa@pR5C_7lh!B?utRYCG+X)42rl@ z65s zj{ezhQ$Q%is;C=z5$DNv zjioNluF%`(`K|L>a>pu0{blW_YsXcRp?S2&8i=z{A~bfcf~%~{j4kzi33M8d0y8aq z4OQ=?j0)DmejEmw!eK(pwbcE?X-8BPNumx;q^_N{hIaQ**lF0xlHYkza)0H#fv_zSxjZg z?P9P^ydx86*8GQ}_Yu}x%3c=ECf>Z}Mq?W($@*0r^*0P~F|IN%eAAm69#vK=#AgN# zELw8K3o&t8)$VulLQw6MqlNe?*fNm8Rl&PDVnQ$d^&0)iH(M*lcYL@SUg|}q%Z1R9 zh6k;zTCvYj>C3Zx=JK0oDF6ES{^`y7S>3c~_fYA#vwZf9zGJCL_b0-;tQPG$bUKM9 zMa{VMj zIKE`I`r&ENf#)Ly>?AL?Gz=WAu)ScXR?2;mh;uL4$&zObG9uAL zLvRDjZ)#7)i=7&_3}i@8W@FUAfK1!`_s!d_Sekl5#Cc(q#<_LYQslb_RDQ)8YorG6 zhTccnsAh)a8)(~>w>0EZm~}?GkMwdDzm_Hi(uYh>-fDmu0p_E#ojE(VFFNygK_+^Q-%#QC_VVGM(Iw>}?F z;eG|)%=$=exdiL)Mjq-&9d>b_kL$U*c#)5zh56VgR{uZ`f{W3xta+`hT5nqmYkaF{c|=!qm+_n---j=xr1Qd>*j3FDz& zXr5ht8kE8sC15Akz*EGH0apTXel@ju9jh`7rY0xD3I9(vExlXLTWoJ z!FS5Ev)E{A_>Kx?*MTAzo`zBB$4c*6j3fp77>H*={n63VE!QaDhA2j5T&A(0Dm6Rd zqi>U~aF<(uL8ae=B-$IajG8yNMr%v$xBk%B#UNv8q@aD~1l0_V@85sCij|nhEiz>i zy3+JZJ%~n4J}NHZ8JL>YtU$#(j7AUN^Zu~iH%~`XU4%msG^c}qB=cl38ognG)mlf3 z(5-}$J1N%+x|ic3J$+Kxdd|I|OjB<$7vl*0l4?&+`l{v{;r#{cBYg=DVHubrJM$7> z!-2BtD19iHm{>kAx~~hT;9#|N7r@_&>T;Bl2Tq1jp!IOdaZ`ceqUWd&t=Z=GY}nj; zIkASNaPuJK^Ii`42VfV6+q_pfzCU)L_Z!D*3sTJTmDFqZ?j6&TH>IpC^U2Vnw;o!g z!T5YGcqi@BlWt8>T@53t+VTz|E_km+C)^FI-X7SaB_S_A7`M4+I}WW@U@=jpki1VVJl?<_7K^p>ak~u{<+`w=*}G z1D!f!;?b$~NXl|cfw|7veALS@e&!Fxlt{GEF0uPc==2KF?7i3!O0-EJ-U-CDXc-su z<~7a_ao!Ht5$ta}>`f>Q2y!-h)f^b}Rbw?8jeYRQP*<^)Y&Da&RD+EySBKNqHPb~g zXW+5M8IZ+_H9b1dGOfy~OzoWBE|Pg#=E#BOFK*XchS5Nu4EWlIdDjK7#HFs~V1S90OXJrSJt#q4 zRqm_s;9pj2ro!gAptmMoE?KY@12rY;mGXyhn*MSRVJCNhCeHm`2p;hYF}vpOK^aS` zb%!Ku3nBgjzC3`@y$+_vzMou=xeOkq8AiL_s@~vhHmdXnbC`^A0*2SULDS8RU89D> zEE&*bo>r0yJ;^`?m#wirW6`84US@zP3;4-ntfs^V)#5y?D7w9aRJ;Vno%o4W)M&E; zYnvKJz_t*=^-<@Q^tzF|Zy;wa4ukC^*nH^06_h2OS^~Soe@ig6$84$4e+JWW5I@5W zs5lq&mS8FX#2{{*!!utL2=k4J;*!|tOBxoaPUS~f)TrE0%hEZBp_kblaozHH7=2cJ z3ss&r(#ou3tup9NgI}sVj-WWJA4#lnc&J))$&t$6Y(D*TQh`~qUL1E@f2UPhr)Vn-S(3wTZDy~bylLOkmpcl{Qc$$vT#(&~lI%Ss zqOm}#G7U%Jx(o5OVnb4VE1je$#zQZ|j6~6#^2ZQ*_jj0J^VlI+6e|w1WJC|Fv8VXI zaTki;qw^IVQWWY*fs+(A_x`G$$3P$-fJ-#?<0+lxn3wQQ%2Jt|VbtF3htrW6V}!Si zb3ij@05^kK0AtX}aH^A6fs<*Q|Lyd^dYsy5*BoCtZMCIXmM8@d6`D4ufS&ni&W&uj z`|H|LAJg-_C=qezr|_?uTiySn%q&@rb&dKtdcjOdmr%J6rv~EinunBoQTaZsR=i0% z{|^21O+b(j$K`$1x7P45yKG z6*xYBAvN^^g!m35bahBrW{j_x&XQ)}Emx_0)oE2n9~rmLyX%Upp|^l5jJQmN7bo$b z4i2{#uxJuYW1LXjFk%`N2~(?7&bDD^r>4H`Ih9_W_**28bXY3J+|H^rjS7FOu=lgS zB@G&(GR;PsHYs(Ua^6VnpVRkStFboALZo&Jq-mnvp%nOB4GvCn+DKJwj|>n7It}I* zTxRhkNX?0OO~E$4MVgkVu#}E1=8*?qu!V0+I5TkLPq z`xW|Tek{lx*6d!e%onL6H4jAViiA8cu^FwspO0eSA7u5>8M+60&teZ5kw?O)W49xe zty62Om-csasLanB@V;g3P+Is(<(Q^z+yR82(J{5NynZWi@vA zq(jy`#&&B9#50a2-%0&?s1%Y~O2By9; zqpHmJ*)sCb2>O4~X(`I-5;Wc`30Ec=ki`bw;$DBZ%UBKrF4YUZ0pdaUyZecbbgF~u z1z$3f7_}VVXJR|dd65B_lwu^&dj|)+{TH&tdvSoO`I!5qj`bZVvQe+3o&mW8ci+lDKPpHF$*kWj^l7u6~yIbh*=)6j52zXzdTT7 z7C!VFVr)d2)1n0|t>q4ixa=8bdYENV+-~%3UDXR>k}H%_bMh*ZwdQxHt(z1W=r8d7 zcYL2+9lgKzf;#f|XowVDEcc83V7m^31MlVBKM%zihnJmiaGUdoq>Ga?nnEP|Q5H46 zMIe8Tx~scbjL+vq3Dx=D@pl z7T!!uO*~?OE=s5~W(w##!Qx<2-CS$_U~oqoY!7)eks54P8~ccJQT=ubrAY5|{`ko4;Dne71j&qmx<&mut5Itzc z1d37WH^RE&5M9?Ev*31iCSkVztrzv#q#SDNG1E<$MIH#$*m<&cwQ?}F^xq(BY~_3G zSZ%^-VguEOE@fj$ZQ%uIegXrvMT@q0$V%&&bb*ZcH>}oy8#76kNwmp#j>^^#w>s)@ z7zCZ(uxR#Y52sPZ6MJL`eN0O(-6tP;G>p*9wQ16;RpOEwT5>)|!4PI(lz1?a`oupc zi+4L1Tc$0DaN{_5moLzP&W%2DCaniX0xf&7H3xGQ)Y6;4df zoJb(w0kWLMw;MIxMt4mGb_y2&#vL>z{y9cf&Zx~+JSZT+#P2W&wqrs*T@}wfnP{;c zJl$MfaQNfviIi}I3PpHC78Z)Yp&V;Gl>BP~W$L29sIlU-!_MeFQ?ZHk%|aJj>UkZ| zt-+1x;ceh6gC9%f{RnQn5F;m>TDm#;wk=5L+A`sApf8SI#1ilnkjNj8D8?aE{Cz_E z+oc)*Zf_b-OC?$Ybm7VfU-E*qJ7#M2V7A+jabLpq7gjTNPoV`n778|7P5Jwfqj!vN zrc%lzY9j~<`Vu#EgwO?$-`-1f#uxZtpVP6UZ*jmA!3En$&NsNl`6DU*(zO7e<#Ku= z6d4nTv6ZABz?s>o(pi+n7unHhquxp1%y&ztU`aNRaS1MId8{NoU6_%|8DlKoJXY7F zNTwSHinTs4mP+M3B%Os%+x#RDU!$A5vZ*_LTT6|&PHUTUqK7Coyg7DC>%#95;OxYN zQ0G|DX&bHXS~PhIqCBj&Bp**%F1@79I|oY=Nx?=~nA;#g=WXLC>o;oZ0BeXP!aL#yGJsanz$R-=CAZ@hzc4sR%DC8KQR;~{;a7^zd5l6tElV8pb@mCk^=w9#Y1|34K$qEf+XS?QHs4l zM^Fo*&91YE{z|k@JEG(}5fpyw~$z&gu0{xySit=?VB&MmMW*z}eGc z)}^~?^71a%AbFNah~sfRdlHi{_qLi&jS}7$;)gk9B}WLZUTS23Lio6TXq=~{9ZJT7HT9y|Exn@WYP z_nc$V%#x}_H%hr>iAa7#Oa5={rO6*z8FUgwAVVL*YOgjvqpoQZ`?0o%=cr`L)Cn1y z99FB9y9NDmPh|;S@A4m#zj;&AH$_X1B_m9FLX56?ic`d?-I8PDXNb zp;$$F)-#x})`P?ClHZxxKE@Ch&4{(UBkd}yYHCxhw{Qr9@>(=J!xc4{dP6b&4A=V? zgRD5(C4!wABcqk;hEcb(_rxVtnYg&*I21d8uEk4yqBd#)3=^}X(Zn%6|11h|;czc7 zjFz?7FU0w1iSqY+u0iHwX65*M)Z#ksQg0K!&rXVPNO5K$l{g+M*jfI&aE+^6%zBYX z_<5Tj2DhVRWBUnqVto{27n3pap?Pxh@1UE#`wBQMgb8HiY3KmYqtSXJm_}*6VGyGj z0$B%Bhhb%`f&m}cVrjq_1ne)j4W{7R`-J!_er#4wpuNL=yv)qc`;Wa46!Kv!2e-?G zAXWW>7wim*1kAz0=Z-wsS~7)rBRZIwsZbQ`9N^%^)`BZ+VdVv5%>yV3EF`?()G%Wp zgSiW{jd}dRUA5TQo_C!-E9Uh?%<$GP1tXc5Pw@@chCAg0Fih1*YeK_6dRe{E5T<9J+E&-`)0Q=IN)wI6- zbD2rvl!%nQ0Z6ZcTqDgg=LgY|7^>`j!!^|{dw)qM{(<=L>37_L$sgzdAAt9%E`QO9 z%ZdDWd1D4$Th1U{GC}YBiHFmJb}E6{%4+^y4ZK&ed`|ZsWg{pJ21W3nU2SkMjr>vd zz%Z(qh4&lztP+UpqM2iCElDCN9@Rmd-!H>s*+$*yR2H=q#JB&~s0SMZ&fGsM>tsHL zd$;CoLrW_65Rb9Rl}q^1Z_ZG7O!J21ERbh{4U-$kjS$LxNlA|LjUu@~0-}!{^0Tb& zqUGD@V~6ipB5?`7lYkF_qCDvg&GDe5Z=BA9AE2@im8n$e;#72gTH25;#C=FhcuVtH zt;zIg(eI*IBPJegFsO+?|7yPPfQ~gme1f9+Wsc@lDP;i~@=Gu4p_9RYCqcBl`DY?+ z3)w<7x^|LN+@e?w1j>C_wqm?+np|J)Szrzb@(_!%r)_CYvJD5Iig*jqkY8|6gN=4aw9Q+ zA!bPisd($o-A;Mkeyoq9UtRkVC_CZMQH5(#v0*;y)M~x;N>3fWhJ7mG*72*i(1lW0 z=}4Ta)@FU^_beO0ynh4HRZ-N2C+Z{VtH zHz0fj#W1hr8bg&LugQALeEkrI)T~TMm6fa8(fS5zK=!L%Zz<0fG46nb#-6x!l@(Ku zxW2*Q{}4p$y=g7&819~=7UohOCqy#ww~5!$n}rI@cdK$GQBwzAjrG@BiZNY*K~1n% zU^2A^l(XeY_+|Os5LyQZ9)>4r^3VfDbB4$|)MBc$RqV)cmqAV=5U|voK zjctV_te8yKs)2X_r;5Gj;UId`NR4_j zP`P9=!eJx)a<%VF>U~Xt9m(&(8|4raV=K*~9ZeN)gc#(JJs^Yk4tY&8=Pc?})e%h< zF7Bv!DuzaBMfZmt5 zabgW6H?AO& zl#+a*z>ePUP|_};D=b-VDJ7_)z?kI96%!>bCrG4ewUk=dQ{b!|Oj7o;z#_LGzP5Sg zT<2w?DV?N@hs1`F_a~8+@)xlhN0<^#4z9~znXav)gua`OOT=pYo?zQPfsc>pPNYQ@ z6d0mOtYw79szJ2yU6bg23`Kk{8}&cB8Vuh**G4VOLlEN#^wuNnllK=}89B#9@*^-< zduC-0ZMdBFpI3T2l8bE)Pm+IO2P+{?I_Dx+uMiQvJQ$Z$UBo>_EHDy16VC_XdR4bN~4=I+s>eO zK?>}IBA4|8#b;3Kj0)VJ^%2QeV$ksjjdw|;5J!RAL4N_8yDl*B(jP)d0eZsw)qXv{g&mk&tw`!_bYdxszqbx4$*qMB$s80@9%iNpnpit!B$gUk&A%% zQshGZo0I9v;U^Nvjv(km&HC_If^(Cp{#FIv%AKTiHKP$$!$D%m9>ZUuZ6SZ<4YRCT znz)b=Bc05>kp8@*9;86u$jW?pzWO>o_e-^ix_x+1s`-`NLFCv1H0g^hrl!XqiDCiT9BW|i7?3<-G{2~% zi~_TfRepcXVP?MvZ-33j6#BaYKhq-*O~o*-zFkQLaz3QS=bu`^+U9RC>M07NzDxIr z64c1x$Hw0t9(hQH-o|~uA=b7e_>oQ&RWpk+{6K-|odxFR1Mr5au{Y-IEZEW;@X178 zOJPZkex)MlZqGZSm`}T;GlV{ZQJJ>dx?3A$(^0Vb4eudlHdyW2)-BX(vKl+UjiPyV zcA4D)A>ZuQW(qH$(jR6KUt-!XPfD1vMr@^}fAAU?U$|Ycn*clz#Dbe`rC%<(V8PzX zt@#`-+5TiRZ7ZUPU*fwZm~eE~=2t|x6*=RkGP3L_lD7ilCN%lR^`lLnt6?mrBZ3V< z)TOIKzk1=mlTJ6Np~hvaCPmD#sJCfbowdid!C9%9mN6!2B&|xA%a4KR8XZjEu}($` zxTH?fPW0Ow<*{1Y<~JeLLg=Wmua`JwZD4FSzw@I!-L83=2HsJpC155;C?hxGar;kK zD0xS9)*`Cl=Mj$uPF|+AfeH*;3HBaHei6-?349_ct^&hj0)7gYUwvI^TXR+fL}szn~Co-yhhTMhz@N z*YotX;%KAt^JBcAf@W#5A@sw&jWWTWpv{s9k$Mu%l^(q*JgeGHS;ILdMbov7CO-7l z9c#N*ECqT&-YDWBzz>gUVsL8zsWltjlhk-ei7^#7zgo4Ej^ubx*ysgs8Rcd{%r=1w zMz0%9z7=Xiq&4#vy)Od$TR2n`|MmPqcMqC3w}OqeXa=4BmFliY=zq|^u{B0Vi%8BJ zJ0WI@JYm%5fts!@(9t5`5sYnX;%n@$+#jsy312pb)n+6Oql^8OS@0a7h!&3OumHTh zeTj3vr7YOa1h)6$E?G_X{H(FP8)ng?o?9W)-O1ikMlv%)@2-O<(X)&5rM4hiU@wDT zOcQJmh5%t(Ce!nZ+XWoSs^t7eIF2%7XWp5xd zzd6L$LyI1-EBRXKavzLXn*#wxXDLcKYo(=9v*P4#_iyS82O9o3gL3)t$PbR?OYvyJ z#Gw|?K8CiW^vTs^ud8+m??xFm{~ig4YpodmK`#sW_mA&rb=KI2kpagsu3FMKg3A1) zrssuOaw$D!n6kZ#|8fRJahFf>ZPeqnJ#f~S7&~lgP>cR*n45seEfFRhzL| zX74z_BD@c`c&r`0+N>ns&$blaTZA_nZMUt$`(@Emibs0~arL$C^ys$QggVUFlA+^)C?vJVs-GU>8>W|`(jibnL84yO>a^!h zF2V~tinB}9-~fTr2P6(sCyFSd7RXEMaHt2#?{O0)OZf3(buEMBpL646$*?4^t)AMb zJy2Vgqv`SLJtcaT(|@~MW9tWP)D!GbtbiM)(QP<2i=&1XJZDzLqbz)hcc3|D*|4CK zYZlCJZ3+6oE8#S{)ffq6)L4=2C`4_L~neLh%r$?$$; zbySK?Uk9M zs{$j;r7BiK4D)cDp9=_@ONC#nq2y?-H*ZJUCLeZo7tm1lifg^w!k5B9m%TC7hFEp_)rsj7%! zjU>@_3}Z7u&*5{HQ@y?~q{gnqg^sQH9D1I|nN!#p3`Q)rf@nx}M{4 zgcngno5D^v_2US)kA+!yY|PcGEvmyGx%j-pABX5digA`51zT<`ymTmS>br@atZXhX zB2wKuY~BDi&r`e$9r{gGhdtWgzdc()T^prbJtvW6d1{>57a6m1??!{?-vqFR0*^8?`wcL~n3a z*Rij9(C@v~<~q6>acDINT^r(iyP7X_SF;{ct%CU8s}+kTIW`1{GmvOnv`N{AxT5s~ zVk=hDXuGHy4ZoR-@W!1QESkjmSI}GNChOCm+D0Cb%EaHOy0*coYmU|8N4PU8SD>|- zBB)Q$Ji-2wu_b|Bu*OcmI^5k>mn+6RLO!iGT#{0|#O1ABf~PF8#wA%2&(S+(Xeebmr#eZ_5@pm^|I&L>?0)Vj*Cn2H zRu~0*p`OW&)LBvtIEiSnF#bBy)eW3U|myQ+}to_}oVq1BwtPG)D@ON#( zsPK?cf<2A(i54xo+~YXo2(9-i48U>d%h1OeamM7F-i+ZB*M^;q8*|x{K9uaN+I7I& z1td!v!kz)Fhx220kOr?+bo()U6Xjxus=D?Sit%~y){5b$ve=pGMPnOKjC1qN#_oFq z=?Je(f(#xQ6~%TS97+OPM=H*FI2(WEAlg1)>vJA213i2H!pK8SV@&AVsksdW4-vK-^| zL@!wg^A@W1+KuOFpeSOGWWRiC5JpQrxs74Z-~c4!W4srRGjo}#|5KleXvJjGhA6L>1r>TR$rbb=l9`;q_7r4sNXJt_muZj$lOFpUDG-W25ch(an9_S0D`t+xpI$Hr zvMuAma;R$+A^DWUp)_ot;*IleBKZYQ7o!X~=oD=GPjlZ&@g|G~y?K*?-4^}@{gERx zZEU#O;(4pO%7aZL{JpH(3TplCk*sOPMttDHk1EM83>g_)J)xA(WU?QXrbZFg(i`qZ}Vc5B9 z&K3QDGXGWed3P7$#K=(e-bs$5^vmZ{6mAE>W|;}O1I;~^S2faddtCAexMp#+kX+6Z z9Q)%gl+R5mRzP1#(W%Hq*|>DrQu0T}{c4zkzOU4;m9|?~(=&wYz8$-H_-TRQk||}D zimNFl;d;8qoM3S**{Tt_m7zBqJnvJi7`+%->=S=-FUEc5(uli{Us`L-HGT$r-z#h} z-T2(HLUlVwtCcH2v&7FXfFqrjrbad03&O~Qwg|vnmwf$2tEtiY$A@|?z#Y9HjG_n4 zFcHxrFV151w0?u{=$GA}&4@oZb>H~Q(qECj46Vz zgHd1ufsH&e2@V=EL?>m_;+jKdoKqY=fk@Ns^e1<{A{td)pH6@5v{`(+pR3@jLH7rb z&e}X}>GVMFZ>zK$L$&V0zr>gE&7z&)cKUTM0d8B>wP!w)GQOXP>LTI^7g$3mzQ~hQ z>x=?hkr>on5`2w8Xu3yu?q{=%UWS*u5N=X{VBX1*-9@=3_kP4@E2X-^DN zddBJp#!=sBZfI!DxGJabf4CIF?HFO&Xhrl~R)r?S0?O0d0&=Zex(!BYKU2W@)dr!k z`D3SN>X;ZJBDXX%$uXxu+4y7mP@Y9uMfbL3je*c!BVaJKfY`%ME`;b4K-X^7=eLT( z>6j#D%$)mlAQbe`JBfdB0$Pclb=WK6COQYV9ey^(er6V6o^Y@dTFoNv7bf7T5_;w< zi;sf=TeSBW-28sjS92>4?0Ck`qK%^%A79*Rp(A&2I_&b$nMw69_on6DSEQNo|>xG@sMy1&(58SEgIEk4;n+N zjCNsSM5CIk%yl5%5*)@!fzog!h@OT0OC^3yPj|TV4ZUxK(=aDK9!HJI2v%LiFBIl0nUGvWOu0Ft8t&8l~G<+`Pnn3y$X3)!CVP1)qGQc!;OR?lWjUx_5uS37gV)*ujJS zkyLnr#R3c2N@2ek;cCKHCGhEnh;=V~de(@2%Ll>&#+5j&FwmHQ$0A=RU*}t~(bYM8 zSm))tjXNvB%2Q;p(e0Ij=K=1HpIkjF_8r?=0g7!VFxEKXVMas69=)>sGjuP)!WlVk zB&V)L54foh_6hRCDF4o!CROX7sw?zDh*9>YL0#clr5Gzwp zp0oZb5Bh{v%%MgC2zQbB@)j-YzF_jCSL+51_OET%vrSu8ZjUJ{G90(HcsSh2&UL|6 z0K_dT-|`o25M>78#EIbwy880T;SV5cj{!`eZ<8Sgoj9IWjY*w19&uE;^l(h-L4$!! zgBI!4Dc@N_ilna18arHN7|iC;c5!-cv|!~e^<=K?+RbOSd%VG<5+5FHYOt%e(Igyo z0JDoT-P1_mV4kF1Y7N*%ih`)|2PmXwHZ~8NS$#uY-|X`3Z0Q$Uh*nX1?c$C*`1Biu z%x8ss*%`zET?lj2>GaU_&Mwo|@}@rTh`LE^w zE-Q)9K?pjpjafc6tYO+o)48FuO&45Zs z#WY1`3>eoAdbMcDU`fo%FzNN$M~-?tb_ll{U6XfM8GSmCxh1>08It2@WwysX@+pzC zG=S3n3t@xpE!n&7{tb6&b?)Hw40lB6LbsfHsU&$$Z=qIE~s-#o4%)qvD!f_!Fj${t!5Xg z%WRqZs)=!XS8D&+I=P1Jm$r5cQbj2CQ~RiWigsL4mqquo)FW=XK++Ryl!1$>|Gwqslh{!NJ4%1@Fv(Y7LVaA;uIg~z6Y5-S(_ z^4cDxTLNV7{F43e*<0KEhO(dYj?lrT1bbu2^D2Jb=U;C;7<65K2(2H3)!A+u1D-Y! z7V0LJkHO^?G2m;#nJ z?YIU>ULI_8 zgnYs~L@tF4GpT{(WxRQ<;`i}$uUjdVk6(Fqe1MRd^*Pa5x2hwWj{YCPFnDOe3dAP> zQY=;ETdw|$V?Wo^5CxwTugDYhHdQyE!;Wq&y#BX!t9IVimHWMnQB` z)O+=Yy;W(&-Q|!ikU5Q3Rlv$Xv^aJVMeFN3;M5%AD&IL>sx~S&$>XHe2w>(|^Y35+ zM^W|hB+Bp>;cCK(qUZ*ym*IUOE3VU~f%)Zo0aNEJ;Od@CkWL&k86C>4?zr%yfA`ti zoAWN?-F3Q0$E)4|q1>aAxDl?QLdHk@!zs?lcTp!L<~Vky&@Uy~Lx&uNzYWy=f6!1z z7coa+U<=fdzIEeuwdT(!=*wYV9=Iur_VUMR=b$c4$|;*wHLiSb?EK1QD10&|La~Gk zRk77Y6Hfe%RMh>ZYV+?AyOTx{A8xIYoXy!}nwei7CB8tyaj#l!NLXqy)C^)~Y3TBG z4z^HljOs4tI=L4?rNwXt2BJe4rd4{hq}k_Y5ieWRm|S%nVS}30@Hz8TY!q4UrzL{R zL9X}ETtT~9753V_B~xurEuQ3P#wKQ_`4ZO(Lf4yrhRq3XYf1uP>``ySK}PexvlsX6 zyGPyh@)l#Ec^(dTEQp&e)!78Q;CGn5GQ^D^ zS8_ev(r=o!WjnGM`$bzK? z@7HlYU18-l({nsv=d*R@hUjTssJbIj|`|gTTe9sz9#Q`Y~p$mgsmSzFD(T*J7!ND9Wm{yH#LoQVa z*v(t(g^ueG_r}C_YKqPG@}>=~DywWSp@9U~%Jwg;zPvZRXFCOSzgTjVR@B52;|ron zoM=bf#HzgBvBzZ8`rBYy#kOr_dtY#f1G2Q_F|-Q+W`b=ko3FfOg5+$f&T8K*pk6!$ z!*DBHCNhDcM&JzC0d(AZ5>?AJL0D4?5SR~Lq8AW6LlB>Qo?r}Ve)-}A)SLm5uc!%D zsd*&wa~N-o!N(lHkhBgGq>qy7VR@8_N@(Fv9M7P3%8`XlCrjsC-OP|xN0I2)GDI&H zJ;VwJ>ne@ucyFq3S_2SS2KO#Z8zj+60$tYc^vly!OoZMfw`g@pa&(49^B9lQuZyE} zDFJg2;;!ahNCBS_i8e-;wYx>=-&uFr{$K@vau6;}b7S&Y6EU8}#T~)gA?p4ehk*rc zE@BdshGpJ36TRhkq}|(p<-^VA&3*;m;r14>t^vC5Zt^e;eIPDP`ctzy_nWB+7}?H@ScM*!ogw>!uP9GjBE?d*b@-Fk^g%gyF87{nM?fwDOi<|28Ka=Br+1 z&!@#B9kP^vWtzaz#8IL1$H0RIo=1%CcA$Cdk_ecLt3YD+26)YVs5CvKnta=Sp=rI< zllWJ%knM~#O=G%D+%r1D+tjpfbPTX=Rif<}n{&3Nd}BXGbE~A0BRPm>PKU(l3wg|x z!>D7>XUvyG`ovZhFZtvu?#)V!*|msYd}RW;bH6hRpJ~7M_y9N_WWm!mE$xjcP1oWa zJ521s;VV%W!FO1GO?GTDzs1(cpR}I{wVqu5T{oHjM!14Z>J`_XF@2ovVA1lOQ0vf8 z@8lhIulSeCv3d&7@Q%Vvs!_{L9zCE37+@q?EHWvpB>0}ZuVMUNDf2|6J{YZ)VM>{1kJEJ)&L-#BRy{2lo20TWTZ0xO z!?@2NtCxKc`BTT^OPsetr$#R6a(=>rK0J|H3*9@Y}%b^kF#B zpFC9Op^~2LQ9fef=Oa-F<4-R{-!Fj#iSlAtop3Q&m*_R@w=b!HE(6^(Uifc@zFcIw zd!T}2YJ2JnW%z@L7I2c*9iDXkh&-019|(5I$qN2V8}Ypsb>+#BM7Is=6Q;52b0?B3!7uBTQuG771?_~0@*4hx9}&^McO|#WQzAQcj6m;}XGVeL zPp@2Xg$8O2R`dcX*E9UDHl%;?ylOkK7x)Y8p^jSqWjf~y+U}Fy#L?0bvO^Bu?t^n> zemv+BFl2Lm0m2^2BcC*?0O3B+Jb}Fd0()~nj(yIO({*Kj51a3Z^IMqh6X&XS{H~#m zQ;iSKS2RMW$Ya={`RddD!yzr*A;tI8{zsT`f%v`|?6-pio&bEJ!L5&ZF(;!KD}}l{ zP3L(~or3qoRZGMcNO4)Au$R&PT*h2LhqzQh z?EI*Vl?$q=cp9H*?a8of!y)8AG{;WC%96ZuLT*`kuEHg7iCpWVEFf#>OUv(``WQJk zG~f%B~6iH2aX)<{|2oC{^@^>|fboQxW4MSnebbiEjes= zR5l6cY8}fV@{LC;AQDnLSO-dO&(K2pmKS&L3JE+zH?{3v`}Nq`>yy+8eRDhAw8?KMwJ> zvU*)y;X~xSA16&orV*;UpFC;vq?@#CKoz3pQCZ^zIfNu6g}3QRdI#fsS??{uPjIfq zqc&z0*h43X^Fn!dquV%5hNSZO9oTL;LI$f1Oj7b|T#qdF8h>h&DwD6N_qUwt zCBDHOo2|rbFiOCVTo%dl^Yvxyc6XLGyV1T*5Rx}u_hIY0Z}P9#^DN2SC*#Vz4`g}=VhzxNTZoUT}YbVz@ka9-hU+`B%Yx+ zxc5bvsoVc~YpqnxAyy3JCJ<1pz}ouhksaGLwOgBJo~XJLVG`!~A!9`){(aH(D%|EG z5f%i-W~LIAr$@frFl7FZw4w0i&9I}uLCfrrToH2 zVwDOajC2j0vdIfOd+Ra)^S_BDTMc>JiyoyrdWpdVcpE1s3aedzyeWemjBlx`Eq?x5 z1w7;~SL-O^Q{$&Vgeq*PT9S^High9d$-V>Eye_C{Vo!YBAu-+DTJ7V{Mz+Y?q;JV2 zi8(<)L0lc&+}y&5`}xQu|9~U>0e6Gx>Fau0vYv(adOP->xv71L%W-OPbG5AKct0zx zFg@NDyH+NEUHw)8$pS^V@F!NUF+IN}5!`V(ddP~=7Sq?lNGU$Bt8&|!y7Ro$tw&uk zerFbHOW|5;)?&O93C7^JgH?1tybyh`r-WPuNlr{naX(EcBo#FsA+yIT=5hJV`zm3 zDZ3d%`#4;_?b-=1TuGA21a(pg-uDy6nHL$0SLDIe#2Gk~UOB!-m^-1)Hs*B)=g&ZJ zs;O_u^_Z-Qh||do3%$xm!b_FhwXeRFsHy+y7lx@^HY_aH9c7MLA#y+zn`*_wJ8%cf z#RkD;Aa9AP{h8QR*5}l3J;Bx!78QbVqQ#}Pb$A$`N|LmwC(-1^o`&n$+S=@$^H83Z zn1s%GB@2?i?q7bq?oJ}KsYIe*XcJJ~KT@gHS(TPqqYWIoL|GG`wR8u5GGNPxfG66` zXk46GP;P;lxIzQ5{&vNHv-n+c(j2><$X1yzNpyAVf$l9s@|B$XF1!2odD$c2HG)`P z9K%(azu0O=n1AEt0evyv($-JvU8+5_;$Ngn{XgPbx-vb*vJG^*Zk%BZak+l)BM{iR z=$(ZxDtNgka?^KNN`&N}Lmqo!(9Z5H%TPzV>(e*PbO$p|sb;$cOq zSl#dsejcLo5T#OZn(@C+@}s*&vNdIrmdKBAWk;0 zGx!5}t)wm*+h(+dt|^{e0izpz8w~Xrk6j1T((j26n zs$Ont^X$e+I&Z35gk|~^Zw72M3EH1}T|fMoDHfCuO&Fjw#i)6}mU-V>_#vB#d2>N$ zlkb#tjgD|@+~wlJg|A|7yUgR-_C2zV_6NR8KwboTD8S1cepn{A1ywmWVrhI(G{ah} zs2rwZP%Q?pn?}aeJ+C@BQj?378uA!qZRxA_WV&$1l5V4Z7M+-NwHc{=8iDGIq$xot z%DT_2!*Iy9oFlnzb5@DY*dsR&mLT)ZmZZXxG@YDmU};p}Yq14m#aKS(ZYfze{?2Ny zPOIdBKuo-oEQ=ZE8qn&V^w7JH@G0Si3e|op<=&5iRjHMZzG>BJy;xVnjOw8iXdAtM z!8hzK^Zhg4BqdvamMbzJW;;**wPDii$>GvNHcXD@<4|O?;78dMqu9=JIGxl1oml>d zL|KWfI2e#dq8yd<;q(j>*Y`<%xsaLn$QA)Ksk_I<8E(sP%`3qzo9KI@`H2pvD&N(} zog>3Q$h(1ZT8ta~gXxxNDEgrgOKVUbXvN3H%5$p~&=J7B)E2ErC%1D*a5O@sb`mrQ zRu8S>%Ps-rOzG)>h-f~Eh*(Kb+U6}aVp#ET=6 zD;4bH*nShJ4@s#e^(ML2dh*uj6d`fD;aHG|pLBhE(<#OqUvd@%^UL6xv8G|EcU^0! zboKcC@<-yhQ~Y63OpmMYd8PLr=6DCr@4N5o5p2#oxNoi&Ag~x^bp`7IL_cPw%E29} z%(XNoU)$^QXbmkJlgFTA+{27MxolX~5x6Uw$Q0g8t9ZqkDGXuNr=j+R){iFWwAOi5nm z&ysNI$+=+piJ}x!y?4Y9AM8;s?Cy0=0luOJ7vcljZ>ap&SO~;jb&VT%a7y~GwVCy; z&47>`As$^+!(iX;f3?a-4+WJ+s&>vf;TZCqHq#alwFukRS0ZRkc#6c&im2q{eQw*A z*(IH8J9#hD#Mc6sJ)?bvkD*-8pG@D`6l(1+i8v23{W_hERHaWH21U0o(MVS5{5K2Q z!)@2ytBx!sWq>tBH}jOWoy`Cjh$fC z6XaRZS!sH3yP?B^VLcG5u}f<<>#1APH~@bT)b}r7v3PXA~Z&ICE*P zjA0<}fgIsUj;jLM25b!b-n#5ZTw!$5yR2XG$nPaPvlLo(J+4F4p>?0dKoeQ)bp}+~ zCfgNjNGf8(EM91b8HEi6l>7@MHEYimMV!4}4#cwd zapRLLRndjD+S93o@T^Ffz1R1Z&F+G<^R07O0erAdv#%*dq*d!E`uOdU_gZAk1k;?0 zo}C9BPX=<6f-Q0X|AL^UU4?bZN=%~W*-X1Is(ixHsBgLTPMZ{#$kfK6*F4VqQ`~s@1Zec z5=}NQ!EM-JL*ac6m*+7%U@dqLnlPH;s05wto;<%4evVnW zJD9C5Y%GNY_~5*?;c$EGqdMJAt@KXX;=FO#A4t4 zV$$Xgl6hl9KVDB&pruoh)xn=5o>PaoC3A-Hd9p66&-)OxI!Gc=i^A*#ty~%+L&>Uv zMsw-Bsr$|0)&q#vaS`;1?r<9z+P~tdde$YVZ$RiJjjcp*(=b1mSg3(I=w$qo6nVO~ zL6h>Li(V8kEoM-XY8af*9zkh^zqVVhgO@Mx$`$8En99r#-IKb|bO2@#8P@5Ejbl4b z=>+1Q_Bv_8S=@l+bQsoQ+ZgS{-Oy#V{>zKlEZLBLg|+HP;TXu|88UcUwZeX+I=J&R z*%j87XSbAssWEpDZ$1F=HDF)h4s~%C|(!Ets|)^G+mL+kmRH$6L5c`M9P6 zr3QyjpEbRE10FGo>1ISb=Yd_={(&#qome!pBC^%Z4z%M=)Uo1$UZ&_Bp^KSncavuA z>@RT6LY-pJ`(W4>^Au<7C;RNRr2{fjEaMSSXOidB+s zk5U88Tg`+Yeui145smdW0+SI<~oE2%sZRf2NoxV%-A)7H!jWFBPY!0!lhD6Ql>;?2jZ1nwC z(#7$an3b8Bm?!f3lrh8}{RSciu|))EIM%t*d%}QTIIdRi;njlY$6|Fyvc)KBE2}dn z5b@t{-5+fJAy#WLK#P_kFo{hrcgI`PElv`xMWat$p+<}{zPe#&ylS5HmM)jD9%=NF zO)8uyHhb!(8bI8DhhNmWwKTel;=rE8F-dvJ9_g^*%%9wy!LW7K=D$C zW_ckWuWR4Su_cOz*;`u$9awF=t9_L5Vk=y1dQ}~D<9hG6?vQ#is57Uduq>p^K6#7OjM&IHqeJ*{ajp-RjK{~SCItD;f-I$7hwJypZ zTMs9A1#)`3oVZr7Wj;JqrA^uGH+0a<^LL*>t^D+EC~p`F?6Li9Z1n7|SF_zz=Wh9< zCigw7$j3Wc^=EnGQ<&&T*-el-m?G_&zzFwiSfhixG`aP65?W8f_Lu{E4OK%+8FtUl z!WUo!xcei|W^ZFfRP+82yxiJ07F7qK+}AS;&C$Cg%tkV9vM=mm_fukH0_}&NGSf=} zBo{-Oxk}D+xWM3p-Y@5+-%S?){~p#~%;{#`Iu<_7PsPob3zvPAt~(DzSvIEc7f9O@ zuDOaHBXFkJW(XaJRT07K5;u@)+d$An{av;?=YZl(X0xwQ1)#`uv6Y zE|yO!l_yE2uQkLc&>;?JBRr-$=EIXN> z4{E%O-26@#lJbK$P`1h9IN>>UiTqQ;+GhIEuA%j-q-W$Q>XQ66r4VhQzHa0RX+dI3?Gm!O=X96F| zjaV)7`lqTvvF|A#xT6d=<*xIuDs}a&VZM@&%M8kYq8%-5^JQhw+8pfmAEXD~v)69M zRfm4pd-7W@qzCaU`C{@35!*O(LsnY*~W3Idph_`hDI%dJV$S6qUXeqYe#wr z|Dt-=T7x~8$$8LNK(R*>j5D2H7&s@m>y1yCCsZ#gDvt&x6v`T8Ohgv$Ib)&kgobIh z^L&}?O&V&^9Pk->lSIN)`qhc6yD~GM_KmD6bY`njX&^(Yq7$4eXX5*-U}wpG(%Vb* z??0{CW$I~)9hYEb8(G8SE7XXAl%efvMVxL_1JY}?AI^J`=6I*L_+#*3U`X#R*(_@vRTbg-s1lBF1(|YIP=hC>u|fFcU44se;_AQBqY2aUQ{5Q8 z3Uw`F3}-8DeKLt_EY(zO_Ufbj$m}tnJUdbug7Er*Tl@a8{k#hDPR}E;Nq9vVOmdaO zu~I~jGZbCo1ons^93Q8>v8w6Q(*u~^=47mcldg)3^VBrccI*5!8F&2))}-VZYo~B! zfPR&@(j@#aKROsOHWmp#39qt2G(1OOQ+@b1I}|~&jyA-|4L$p~x-oX8_tn7_06XkJ z)v|P9J{-MfYg1-`12?=vrGiaNqo!Q<=)aGT9*J0uIW+Df)W6SW`}Cl(pHe5*4@Gcsc6`B{*gEkzV!6>{K# zo(@ZE8uoA35tqXJ9$y}=up*0`1!@DXRGMd|99_oX%S8)$M!sC*U6OygO@*$?)( zf4`?@J`tHFjOIf^q6GDjV{LOnvQ8*tJufMNi`*GRT06itfd!dT z?p_6QZ|RH654|mdW7U?4+$l2~Y*fs?lh?Pj@a;8!zP8U3FO4VH>gMl`Bu;hiAF0^H9iolU8G;x=V~2Bg@4(+GY^`Oa-wH2U)ue2zP!oozZFP6 zSB$Vg5TZ(gq#+4Jxp`j4^3MKag3zZzN=OMkf^*LQZJLU;WIl@juP^T;(sTSU4m2CX zMeXrHh=Jg7p??%g_P>o!@?2;E3nByYKjukZuAcBsHK(a)E+#8Fi(s=N*IN+&qv_E6$~}Qjo*1NPwL-6#d1^i z4C|knIC!`ixJsSG0@k6pc_anQ3N4-v%f5?E#NH1ef~~B1{vn1T-S>hRcfK|vh69MP z*r6!LpLcamvh|e`Eb_sV>~DBxOZc7g!7*!x5qg8WaOfMcYFJ#M9CxDi`N&ve^$8!t zwP5UC1*r<|vHOv#QlH;my7K67wq(I-FHN~ocF(IXqP3F!zM$2p-P94@7UvP5hZ_Gh zF7gzx#Lh)ThMwKNhG8rnSrf3;&xY2bj1Gri3RcZu_=08)qWTco%&r{aI{-sL)k#!= zyq{RGZVKQYY2dKq3g8ih!h8I%!~(t9NN+_&1||M#fAEkb`+MN3i8&HPezL)mM+P46 z0|d>F=>Q0pTH3tQ%L7*T9WTM6S^$U$TampH%H{>Zp9GPBQpAW}BkLFV?cf0ybXnIG z6oQA=M^Vu|Mp6b0vp=UO=ran2P!Y_6G%T>>>$0+*VS2GMF(O1E#TRsltmhQe3Rc4Q zPt5SKpMqivoy-GjuIQ2MwhTasYH6xSpv^>fuK*^`*vdZwQWpKb69Gf~_@R3!Ek;+= zv?7Xc4FVoX!LaDHtLD`@w|3lbU_}gFGjSPHd4~OEZVVKOipU%EjYi>>AjGoa!cdOt z@V#iogX%MYq)ZvK$l&;`XoX9uZq~PQ@V~TTC)hS(${uGZ%IAM?oo-T2Ugg+ttV%E>e);X)UEGQTQQ^of0^j zh2mk5P!{$MU(c@>UkEd>uC&Sui1wts%?=NuAA{e*hCz?C*g_yAxvFZ64uC1@uql*|*! z5cT{-m==l-Q5lrI00Rll$?5q8z5v1uW%Kb-FHKU>*FSH~ z;kVvXmFfo0a*!31b$bbs1s{F{U-D~wljC++?_5cv&h>jm3W?B{Q2ytwD1WUJ1T zc-b@1&U$!tul~UhX*!Q*L&9`ej)Oc=V{8Y-RMdP}!Xjbfb72yms|z}#iIA8;7OI*V z@U9C*9sCAW6Y5qe+PsZ6Wny;M0%gyh2uh$1ma>wkn?E(otLIOh8N^yLV1>Sr(z|Ph zPO$Uzj)A@I=5J>C)%%3kb9_}M-(^8RMYF_d%GA`du#INS^RrX=&XIu$$G0)qgtBO> zP$uXJ^B=&YwcN?5(#gBssHhpn@q??n*ksf7$Rn~N`_Y(S`vc0hdQ)%HH{(IagwqrJ zSp%yd%>E0WGFtFU)*XYs2p*w`ricBr(&MFMfvK$c^UHV6MxiHVRIOhM;!234Y=ddh z`a!p&t6+noo&5stn}YJ`RMFn!A|Y)H5=VO7INE&|WilMM^ktM#3<&gV(oS+?zjq|N7GIJ*_G>SepkC{vqlt3trWiP(T2K zsMZaW9z$?uP*;S(@i+U`lHIH9`?o?-Pbv`&YEU1!5?`v=5;Oiu9A4Y`JAl{Lh1jnP)5KiiRlcwN)M(WF{ z1L<+IWY|gjVho7Cp;Y*0RCE)SGj|}%@cz^#j&meE+*bwo=w>T{E9rkJho)r(SPHSX zy#XWQfaEPV!72PM{kCrW%%v;GIW6R`Jol$b-@Oh+_&0l`rV(pCDq_e&&d1+igOLEN z_X$h2K*}r~EF{OhTi5M~g!}Jo)CTfw7BL`5*TzpvwBjV*yP~3@EKEPV3q^IRnVDIt zUw4Ther*!$Wykb7krpDP&1jxq$bXppJ)`TefmIT%~=UCF)JcQjCpQdDMBA~QXB0Z(ju~0tL zuI#pJo;53z3du{u!^SCLA%cfV*aQf3m$YBRVV|s-aBLuh&j94aXq51g;b!y!v)sa5 zM2uv6Q)in*%MP(XK*6DU@zJ)|Fxd+uJ$j%TIl3ECN3*E19Ew?yYhi`HmFZxqkC5YC@ zIa^IqPft^Ddyt5;1#Ga%IhD&+N9fJW&^ML=GV;hSJ_U}5hK#6pd{)*hPlGj=x2g7o zp3Exc7cq){)iTJCT#V!Zr-zLMeS6~h{yKmh!*a7=fdAGhO=Hz7FSTA?D4-hk+~_`m zF~GC!Z>OLRzg#vp?L;%-l$Q4uk9%JMH$iAbo0m5v0YZ{pDgqXQA3hoGJeRtV3^Yr^ z;4~;5yvJ**eSP5P!Dc5xv)YjEN8=^h7sn;q^jTJB7pfq95#BTcMqGp;fgdI>4RJja zoNhA4RfqipmP6iOLm?J-ZsI{joESKtq~Dk{snMk*Ij58W^2=N$S3sgnPk;-RP9d?w z+?ZZfiM4Vibr@mie8gOxRo@%zo8{O`b;UhkW=x(G!-<8Fp1mTp%f2x()r-KGaf@0@ z)}z5hwFb@)uPjMET7A5`<2AC><#7kM3S)m$%8A`&z}EU&VVETiq){9*4p>$4Nv*Jz z$<_8dw7oU-(!C_(uzs{NnuWX|Bsj`ohyY4$B_8g4IiU&Gv4eS^`)fk2F<^?VF!nI~ zp8ml4p#{>hQ!~{Zkw`ikhvR(mz~y{w$eB;cW;JdpY4TX@f$>qLGeXNzDds$ySKsF! zR&3t;R6#C5TRr22=>0QRdOq#Qa{NoTa&oKxs$;&S?J~O}{4-W%Ee6Dv^E0Kf>#{dL zT1Qk%#$V00mX`Lboi?kXt4MJOraLt}DZs$3bN|S+0nF6I7qwo^_Ldf%H-?R+0{o^k zUPv#4KukO*WPkIXvfyG_LQiG;$4P45*cWF8@5fY9med^MZ~2xtx|y797L)aQyYAw@ z!WHu~L6$+H=7txnk;L&35TUG+k38t#uSIHl0P_3Q=4ATYc(6e!F7)xn=`x_1JZA8wkQSJtW{NvpPh*m`&w2ZlUUzb*{sY44LpQ7{GZGVa z^$}@__YP}~l*c5Fh2F$vj7=boc;Z6t?}_-LiD<2Jx`{TWAWK`d#kwCk@n_XlJUECO zaDw3F80vs|_rMaFFwPzoBE9+`Ih5g%r+^M2p0CRA;aJ3~N)hPSh$;It82y{-bJU2_ zCEZu%8H7|XCHSk2rd=v?oaloPhmj{3ejfy71Umv4`XqsPb{q(QZi@2%cs}$PV5biAD3B(fFv77X+2R|EPAoM>+N!sc` zga*`&gC9{#GyG2Kv1A)8{#~Byd~~#Oa^|NB_8R?Q2c)1`9>!V!xylL<7Us}jnAS*O z5YjD_+S)Bz%Uk^&f4fS)uir7C6=fJT6}B!g-9uTi+Ce9t07|5Z41>;Lc>GFh2jNH} z%aV20&)LymbPLzKXE?6f4WSHa>9Y0x`f$Xr$7^&nu&17|bQN-oT=^s1SQu=Szil2B zfH3VR7%a0x^IXwUGZ~8loyx9t zSiK;)JL)u^cOM^rAt?PFa|Y-)S3j7{#g#a*pmsXIMz13>&TBtKcdJe%fMt_1`qnjT z4R1H96ij@R6fO2Zyb3&6JIFgKnvTDxf#1IMf=19`wNz-SUlg~+l7JnWVfv7=bGT8( zQk2uZ^=0vWab*nMR^^}UHLi6>x1%H_ir75;gC_6V_z*u}c=gw3KNG(bJVV5$xD;V` zMA4*2KtBcLqcI7^ePQ>JS;-W|vH|5tfr5+pHw9#nc`{$$n?tpo}Q zZ34pwZJhQK3qy5RNDkZjFI$St2Y(8$6y#qKEwm8a6T?4h;RX6P`y^by>`SZs2fWeC zJI#|@b4-$!P$ibIVY9r#ZpMF3lFyLTQk}HVxRSLVs7sPC5J>LQr8fMbOLR3Ki00lv zoqP;ImAnk98zASdKRKwi!bu!ken1atYZfh_VC}&Z4weG*2ZInjm|<^qB%DuM0`{_zNo+5)kt$Tt#a>tO z2RffHtg8AOhq7~I=HI2~O9NEL$?bxZg!}=(Fhcv+mX}>{wd_lpKp(95T07ScOW|$n zd{WZo_M@>l9*TOwtnexI%l#~1LDRj-vg6G>c2rwh zvp_RGP@VZLvdT&C6>l>fjI}G1#FGn5>jPAf8s%A?D_!}zWb_9WGGbFM31f6aSn2NG zPxQD|+Sc2kC(1;d3OMwZ!20qiM8j(SAJh<=3SN_stp|qOOR=9ZJJ(w(rm>alm(^`O)-}8oUhO zAkj<4sx7|5dh9)i5LYB&gbd^0b%87&n$SZZviJJlioCy$Y3)5zGV1g4S*dG02?F9FD)>5Iuq6#p9JaH*;#RB<1SESkG}SxQB_j{HWumkw8ypXuq0% zTt^chA#Zc260!9-b?w{OQMjH<>TtA8KhY8F7zF4k+)@A%cP;5P-30}Y72I+Is##BG z@y;M0_p{;dS1hh_C8>SjMLO}!zE)Z^?7rEE?d{B7QVeD z(8xo)M*i&Y47ocU>i)~Ohp>QL9I(TCeh}PxHZqrOJKTOst^7!xv5A=N>UAp1)Vasz z5W{OyC^ko=z`=dQY7)0@$H`@%7zYZCsVjp)U*haJE_D3mpSakNb7aCLO$okvDVT7c;1s7O=CD8Y35u-AkVGR?2}FiDV|qINpKV4Du; zpYCCXgh4ALVVoBX6kc{X&^!tG&pZ@`q_K@Xng3QZi0BfWzW2?fT18}#?T@44c|$IysqUXhbi_D~G8?pEi^WDL9wx96z2ZP=-V>czNhD@|L+{BM z2Kj7Fg@$!tdpq!`i~QPVKhIyRi?&tC4_p1kbqZMp3V;=_B_qq3*Hlq%W*u3!leE>6 z?lG>eo*3k{r>DedfrVNcv~_mcg7#jUv71q$L~(=vwdU&P{PgFe-ee{ACn}_7B^{># zVmd=3w^%_M0i6)u}CYii>?)Ux| z@BOjQ+Euk`clTMVcYQEt6d4<$NFA|=D=H+am080pWj`wtqD6G=r^RK%lsE3&>bz=v zpJi*xn*v&M{j^|Q)2zQ9GL~6{)f>0-QRk%;RW2O3KP(uX0)}(D?);~0EMyDLk zRjt%#WH0w)+xI!hu!=)|@5XxHc3^PngSu;oq=nUg;ho8(baXVi6v#aVbG)pP!;>tt zf=7>IKuSKf;Gua?m6zDl6vNvUa_z zK+8$@4<^kCos*6yW(6%CKMdj^DSb~8@+_$?}N z^omRRy^27SmV{q7w-`of=IR>c3_|#npZkTEl=W~oDjOA?>2f+-Ub=s3wiKS zX-Z)@d^cL>e2a*sEmi2397Rge8s)a4a>>Q{x7EC5CQC8}lfZo93m8#S_Hk*>kzM6a z5v_ezN-9ZR&DMx2!?Hah!-TltVHo!`irkCpZ0DlN=jkopm2!JL#LK|{jhVpf=$`@m z;UKdZq0}74nY8Pi4PY7gN=0(G9O^{Nz^WFwxs7M$<3reP8xZ}IDN=$LuP&ry;mMj~ zcb5(WRdg2sAz19~G}1zBscjdlx8C#^3cQaZ#zPU>;%m7f6=R$!z02}M*DqTfh$;|3B+D2GXSXnm6Rc8jTX^8NZ*vxxqnj(ao#BW>ob;*AqfN`ID69&Pcw zkIANtPV>_h5{z4To&ufnpI~s1Md&95BoYJx(Bk)Oo*jf}vo&-+-p65@Eyo#Hr}Y;d z&igUR!$AMzv_`vJ(s}zJI(sPu!i)MmzQdXFNs4!`7D`56i~Oj~tov6=SIM8|Y*X%N zvOmPI0X!!=ml4l$e7D_-86W>xa~bCb}qJ#G8cFp-DoGbSZ)E@!qHb z3z1?$xOv02){4vm6sugsN@{rlFw+(3QZ!lSq!z%S0wp?KK6<1} z{$&f~fop%yF8y(GfxJA#dV6OE@r4iVxDYeVTD0FWTN7tp zPvS=;6p%lN{3~bR9&ii|88uZq{dI!Hz%YaD9T8LWW0G!llw|2P$b_Y6xM0_|6PRI< z#d}k%M?sdGv6HPnC;-DiBP)NaEqKCj{c>3ou6USzkj5wheJK&xyvE@!2S33$&ca-w z#XL0U2AR{D?;7|A=KghRRq*4_LuOdC(Z7Fol7?f_eOtN#yi{(&Et4?Wgjp94W(Ae{ex%D)!!5-;BojQ8NA zQuGX*KDHN&*HhEE?bmDaSbh-BHc7K>u#As4kMBfDmvTTn*>EXCom$Qkc0(tiA?el&ZN`%CsTX$r~v5r279>r?&@&M1yxRy_FQ?cxZ8$c1Y|ZS)mP0rW_ehQ^w9GLNz^> zPt;{&^bZ;X&m8w!Le{1`cHuluU)RvXlRSiVlc1b12s|G=02UW{C3_u@`&2DIQfgH0 z-Br3Aom2uUL)xn{f8J1G5Gp-lr@x39p3;m{MDs;dqPG27t*~VcxE(~iOPwQjhwO8v zzM$qyPN)|P4Y-C9{T@B_3OG}1(uy9QL}~Q9-xwzASd#2s#)T-H%95dIK&<5mHJ#t| zkPl>t{9zS>fd05+NS4H4sBNZ(J@oTjwfp4wI#XG5Yu0|z`@`Ufl1 zyjChkBVtRWF~m(5=DYUHNAkBH@?nhMI&-MLsZp#;R~=oB6^j{2u7bs5oan0sq72I$JOG4dzCp|l`u6Rl5V`G4WmGtgM)y5s4Qv12Akd>O2e@eX&;tS7ic zzJN3;XyJ=l#hkg~ftYkA#tVSNJ+20k4S#0Sslj6PF>-L^v0rcKPzT-K=m72TXXoL~ zTwe*{4Emk(uUWk#%ijY~YtVVTN5wfK>Ua}MFI7lqVD<})ih;ko@LJmi2Xt->PC2=E z-tp@+jv{qTt&?pGwR~#i5Vd;6VorfVXBU^%6f7Uu)su3F6Pyu3RqdAcPwR^u3Q#RP zRx%qyrBFSvc_GI@uo$g?P64Z;Jb96^7{SGpj?l(Yi_`b#GACD&gX)Pp=th9lPGo3A zkg_jl&@gT7o@Dj)jsIvEY;#kR2Fb9CRI*_RDz{-U*al0!zHPM(+&FBaRjZQKBO5~)OS zn0Li5-|^x>gJZ&h%-h+}6?Lj>z95Bh$>$m*y=buTD@5R)JPm$UJX>Xl{q}dPCrR>odeYFOm_S4xHqF{Lf;2u+csO0 zMO3ozaJwO*9Owe3y_0^pJEx0yJyItbg+S!$jsM^)j5t2Lq0`~{Q?jvlOS{-SVHr&R z0RJ#c^2UDt^$sWS>}`w@rXZZHSp-EjrRXC*N?CgB;tT-}bJAsB)=f3UPxnuR=zrS#F$^ zFKg&cW~q@69KqQLHVJLZC9uQ^i`-ZmYF*U*8a_3$$q@ei*<@+)=`4%+*-p9HR9qun7 z5Lab}AJcOlt<-nhz2S}9P_CI%tBZ@g%J?$yz4yL%!9147%5FL&QL~>OdTIbteaVoG zC&s!lB*50&Az-{fWP(V2_bc1(wn+643vzpFX+S~EXe3tyzM^!}(N|O*i>VTPs)@1d zKDc^Jx;qt0KhtNy=&VQjoqxj;FH7rxqi4*M9uEypG~Ynp$0$nWd_gZUdQ=K36S0NjUR;XHoq1<%JxQ0JEMIC`%neix9PE$2~Mr?q-o#~Q=@O$&|6m~p` zwU~4M{Wc3z?=}FFp@6f&=kP0CQf3XVmhd!&f$LmQzLYbIz^eTZVSKHK`1rZMVEPj5 zY1(@P?Xcf^+&-5aUbbHsAVdNS1UOkl9!E7ikL~!`d5aBzj;%tWlTHGh=a@@h9%i|o z0<`>(bYEVkbL@SWEa>Hl6V4d#dtB$7sHj@pp-`-S<)^DwPVtPNY55c#jzI zY9(kE@oEnKEhb+_+CIE5eb|l+$+hKeKOd#gJ-YbJFf@+eizPR@t)LCTQN*%-_3|62 zE3$3o4z<8Mlov~;$|61z9y4|}!#bAb_RDBrodG2Xzm6y!K&C1l2l@$E9?VBxbyKmU zw^(kYP;a@xIjCe)rGsY6hb{=fZ6;?>nYyf7E?jbK#I~r`iJ=Yrc_&Z#X@eL}k2PI# z?W&;6G&Xa%Tbui%;0(Zep=^ll#by*jJ>o~rwz@xk#`0?ksyY!&vm5C38WCaY^jKBS z>k9Ij(CXm(9+Wr>&g8ctAF(vt{->!-$rwhb5&7;+%tZ@eQYuCGzIK!jhrT>D@3*Ly z;+#kIVzHOW2hybpD1BJ$wnh?5LCIoCPT5#o_qK3CTPm?;uUmfao*VtH0xaNtRU0zp zdFklGI?b|$MfVBCQ52%4J_9Uu_7Lg%5!pkVuA&aZ=bLH7$lDbQ|FN(jFv8UG%;TLK zn*8$C{Ekc*f!ft;V3qmlejSck&?mv2ZV{p;7690_FO_6*2=G)Z#{k%3BE6$h;2O?n zlGR(nJyy`AP3+zog#??>e+AROk-~gRJV8w)Jc6!-Kjq-ed;T5*4y5_h2tS>y-y_#Z zR_P7HYrekMfLgEe(f>e2+vx?DpGCjF!uBO^RrxoNNhbTIzx^c@oGIbj3t{&_zmkhB zB|W+@M)D61lg>peKmE|uWl>HwX$syiss)g$CPdkmpO)NX*65rz+GSrh&Kuv=7uq+h zK?Cu2m3Y=)jZqEijgzlT%X5{7*)3l2!VXLjW`J6AY!!(4Y2M0LoX0_C(500)`c;esHqg@L+nzrR9J3zbRFl*V(BF@2@7NZfaK{XIz&j^(&0T|288a#LpY^z2pbt_Xvibf-a7*`R@NNWl}}$hhO#_0^5* zFPHXyvH`vVrS6*=KKkmqq;q5u?3O0XWcj8DgPI?4P58U8I)E=*Oa-xrh$=Q+jgc^x$I@ zjfR{@8c$)^C+9jH+xzZaB6Fi_?3w(tf%|Zt47hr?S(Bbm?Z2GEBpwJ@(oN~C_j@)V z4hW9?D-1b-LtOmh*;4QI8b~brY?F zCgF9iTo|M8(}_R2XlZ%xb93adI`90Z*2)wZ1i|tRDu@{q%h83uUeJSrA@F+lrv;dp z-oR76`V+C3lG9nnxVpLz4EV}F$gWr92AN~IRu==RK5mxv#ogXuc>#dQm;si*ZQD7G znbgR%-W#2HRWbwsy(eGrxuWAaAWdt%{O0E5IoEFb>IBC3h0k8$D0=gtrNys(-dxq= z8NkmjPzv3iSe}xaiRX%F!w%lim8>cvq2&E$!00I~X~RG8o##_?uiMDL^dPG3VV9^j ziOG$fIIwYIT<(4FO*Mn5g>)4gKK^&(_sI_-&Z*5I7~FG)=|ZldGxf5U8n1!gI8D0e zO5h7uTM5xVZ{zsuxhSP@H^#`G0B_?+1eGry?!-}72D_^%Alppd@RyCiv=exW6GtE= zqraY(DPH`@9%a7V#6GL#`Ll0!AN|lC6kR}JW&fvF0w;JkVbq*GuWB>-6Nv=n<$gSra#1<8f+!FY-76W~4fbtUZdWdYEp> z+Q`7(AD#%XsA4GySq`AN;X`wnF@G9`k%+X+==*7TYhf$!-*5ISt3_Ih^!fs~@SXP- z)jk+XQ}|Ug))=w()XDf9<0ns}^<4<(+F=U2Bgm$YKlCK0`o@x`c%-GY0OdFM-Yk_S!%FcQ&5`+cjCV?LpZk3PO0#7 z4qRV4GW(PWwR1i)wj^H;A-f1z9%Y`qQsyNj$~6uSuVL)7{)slcU1@F~;SJv{^8_H2 zaD;A6+wH3#JN+|2U7tu!A2 z-FC0jypj451{r(aXHc0}fU9rzi=o1=$EL&s6D+E}6V{)71)U01JZO|}I@x83ViPa8 zgp*@626Cc$iCp|xq=m8##ov>CURO|c%s0wMTHuRbUk1I(7dom>-XEGTTwCW)_QvmD z#m2@lAajot%{@d41Tsid-_3RyB@{4ZIn2;Qz3tXhyh0pNOO`x%5zYXBiubyzUAwtLbRv-a63D z-deoo+Fo>pd=AFu;9a}Kw_jkwK4~-+t^VctNj-uGQDM@WBlWI)3}@|Ocbv%+@SZ_2 z*FJ$7BPCHfb4 z|F2eRXd&c(SquJeycq5OYOuh%f9q7fI5~(EFD+jDT0Rf{U)K5m8()O^zZ#j4{6zY6 z?yh$dUyH22mY)axm(>d8fAI^^|En<#PK?5W#n1OryzqZox^ol#FDobP|Kcws|5xLG zZRZocEB~*h7rvtZvSx?=FW$Z6e>MJ3+u>jPK=;3v-iiLp`ZP22|F-S_JPH4A+x~y} zwA}|5-Ba|V<8U&<$Kpw4p~Hsr2l$z6`}Gr9xMT5Ny<0oT{Sa`fuX>41@Zs471*-2S z>y)vkiE{bi7Pn(QNGD}pXvnUJ7>S=)5xW28Xpkz!Y`q+GFZnukGu=lN;Cd@`r$%U) z<3$FMCVU%?)Q6FC#5wN3duTun8Asw1J~{54~m8fQ{};9FIn=f*7ZH zc|-^t-Q`q1A>>h9=*q_{aT4Ar9;sXxAOZU%jOXdBwC9bM0M=(r~%O1E;VUGX z3q(epN}720G0u9iQxsu;q2%r8ZI>Ya>;7o6O7B*hObAe5K;aUw=O%F%bV6RGkK9Xz z&AwYd=H`=(V38e_U!{NCS237YK5Tt@g$jtEM^!2^&{;hB*^Ut-EyNLPI|V9Pp>iYH zY(;%1qG|Bo)l-kf0AJC|&0_?FyWHfO;RJAumJ^Byt0GTZSkz`N*L=*N#D~&|#K^6t zNrjK|ws%Nr;@c^dW%PnQFdo<_)r0?bDhWPPB7o^CA&V zDCZMCS+ApaahlKaHbUpslN~C-?l&0@18o>l?3yeVP2e_JM!}I&#(7h2aQOf@0M|DaIcAUgtfo%CL!0naWJ1OSqp0q|5U+SKU&Q?uBa6&6KF&?LC8 zaFGCu^$oRgVg+E#cDM{m25oT(d1Ky{fUVZtxK^slgDtG z_62w!8V!>cb{Qd=*67IvSOk1n1chC;F&iudyqn%41o>%0?hBU+u0ICk=tA#SdLs+q zDT3DF06`5?WRjljE9HVrU1$B ztQGnK8I`B+7EMT78oP-m9v04|g63@@{Nc#1sT!5;nlL(T@S-&|nOZPHyuuDir&DAc zBCw0*L5jz&S@*X+%Qykz#{g}9z}?p5z4WekI5U-SW~AHUI~A6%Q%C#TZ$c;Zk36kVw981)CO&(^JN*7S5D{FBsmG6UM}yi>Too z+A{cgvcIz=ifWJ|?ytjaFJv|F6N}0p{PNJc;6LsF+1Zp=Q-3)aL0MQe5 zvPoNTkH6v>H4Qb^QPq)A!C43((;w~I8BYKba(;qjEm4R_Q9-znNTows<4Z;jL(x+Q zXfOUy*3kIZk!u-b25R^t=E=x^B5)Gb5#qzA4$O+glFbRlU`k6HABONP*z#(OLyKi9 z1wGVZco{<7lAFC};5lC6+K3?NLhsXtOtQok#1PdBDh=Uc?`bub5$9OTf5xdac&MYd zns@$AYv`R6ry%%^pJ{UdXokvD5YevE934O-;L1e0Uph5!r|rbM}T9f1EHe9P`O zlv#9dM@PRgE=HJ6^sj=^-kI=BC0~rt;*O_c^&7#^FB-51g|sQ001`4VXF(8|Iu55; z&a|GDWnoiE?c}6H;Jj+m`=TA`rKZ*F17p8y- zA#ev>#SbtF)P68J4M>hJ6J)U=Q8)PNBXcss><^_24H*HbmGq%SMY64@NiP zDUD$vk+8`uZD=lwlm+}<4C_Pmj=(@Uv*g0VqDka;`69f7rb57>dzgtiZL&8}zy4L) z5Q2Z&lx|6i1e~Dg7TcG?G&#yAhGV|3MI=v;cxLW!C=T6iA0w;bhpMJ|g-C`u%_4uz z%6sY=x+6|`tYX%Bx$kwI^-%X-1@Plv_G-fIG216~_ff>dUG+ng=C>}<{)Kn8VNm)M z$g0zd{v%6fI(vSgtsyCL_V5rGmmaTt_>hb(`H5^H3E*hPBl-9Jb#82G9#EPl=d(Cb zgrCU3xi=zXHcON|qg#A=8M0W6j@@P-XsjU^u>2N!X&c-fGu$PujTo_4Pxm!yB|lRe zjaAmtd1J>=f!vY7ub{BN+cnFQX<|@iNgdK;yCDAcW{x>vG{aJ`G>d4QgnB8*x-G9Y zrv(n)l+tqUg`}Nz&~5PL)*viIzIQdE%frN0l?9lASFSS$0NN zWetCTLyWoxlf*t7!}2$k#=HoB=D|K@%SohcUL>cA`Ib41Mm-ttr6ZG;Uc;^=Q6@;m zF95T@n4KSuaQxEHSz@uS9a1eXH+D|P)`0hYX$%)vdJLyF8u{A97B5bUN8iyM@ZH&6 zEa8ZgdzH1SQw75O4f5wK(g+5$E*{0=ccY#>vATQEVTsw~AqC9MwzGi)_!~Lc>unEz z$rGrK;=P(U2MN-Y_{AR^@SB;t9-tN2_At&hd|Nt+f5^La)9|eyQuv!S2bS##IKT=C zXx)eBKdxIOXwHXAd@TsE?QFH$p^fFb_YT24Xt^b3mKB8YykvX{*+XINM!9Q@{<+Eq zp82XRu40onT#a#B_7nYw9oI7~LOSaBVn}wPa@y6;@d^WKyQTM?wdiwCx_NLaN#3F5 zPLTlefX}{$gH_T7Q_{J22$;wMYYGz9M%o`e4M>E&d*B!1H01S6cu~_q=4*pB<3#Z54H7;s5uYA7ZBjU!k&WANm@{h`yL*- z)nYx_)TbW4fN)x7x~+Qoy8cyqf#e$4$cR~z*=3dTo0#0zkA3g)4&0}Uok3j}b5+XD zWKb26S=pLN+1mJVv=@nau;&X1We&Et#&wI<`vT&bFNebfVJ4s%VHWk8K5YL^2|M9P3w}*ZX3BH33DYu=kFnm=;_20}QLRA8 zQSlwWmmjO130*?HqV*P~l0dFGf1(tL^$^iw_?o~Z!0eE1HLP!X*VgX(z6>w zjJvzbeQ!JPIbQZ5Uhar=bg&YJ%y|ettuIN$54DunZ>azbjmf~l!|-@u`{iW>JckFkH|5E$HbY^D_Yj{3p$c_u9BV%ewP6$*8gVJ0^GCb5}2oG zRMy>z-?PX&-M_Lfr$+H^?gfK1RlZ;@tCgZD=@NR9H$;^9Nsl1(C&}`)BO3{3x}8KG zbB;6%Y$pD)iW`jg3pl87^4bv_1ifP`2=?G-p0}>Bz`H}=EcTogxG-pvxS1_(Ecq!lWY_5yBp=Hd^Pw~4e0$1n!FE(h<(XWH zjapiNpmL;yO}|0G_6KAncq2<2iztZzYtMJbhQI;!;8*Tu)}@AbMCTm38~1Nl8U61X zkoBj(Xb%Rt%B~SxSZdc58Ka<7Nsj9%{x|FHDJ8J^&NPbB2R5Ocuw4{N`)js)pi0&Gp-7gkIRg2xiEYqHQ18&ksHII3LVKYF zKF!=e9?{OW;t{;XhX3eMU);n|hKFcQPwEAK=Ae;3o>yGeP&Y`DL>GU3L~B*jr%XRC z{f_b}{vf-~>7&+n$4a}ol4a%{^K7;*2b0Or1A({=g7T39q7;~+zi#f$gtp2g4Z_h; z_-Ig$$bB!R+f24SaL3VM`{k({sv;I)T(ZQ9i7gjOQG?IH6%opNB1=d)LC91O(GG4= zvs165Cn3q{r|FT=;>(d7&G?|S70*Xj>-hO4Eo0Y+HD?bs(V%?u75xE|EbCUYsw_D? zpW^4NpcV~Q5ls?LX;#n3$ksoVIL!=J=%dw{d`}1qLUSG3`_yiLFH}$8%Nt343yPy- zK8K!pAkXUeY0$y5DAql}Jci5#x%-?n=}R9*W##rPdi0k>vLxjNjT<74u#N5)e9Bkx z8K%1GB54$?#kYd*XuM(>cxMr6-GdJ6aihqt7eM?KeEmRTC6Ny)|WL`z3Zc1;^HTFG;O zaM^l~tvfo*mr3g5=8XAU%ea+}4)3`=^b1-F?lim^N_VFTkGyXr4m@9ygJbB%Hl}|7 zidWqLTD_(hs?}vep;R-0b>!|Fv?NA4gImzD-eej6jkeZYWTG|Pf#I30wJWYIV|`iK zXy3JHY>R7~6Lg9SZj41id7XHjFqp2LfIqq88ak>=qV#xusvwytWc zC&ec}_;BWqXQNWCYT?z$KOvKDz|YwWt~dFYrgrhBnAHMtJG-8_55%$`j7C-)1OCTn0t zK4W*ZZW+A3U#c}-WB~baDMlb3DInE*;9FXs@1DH34hkL5tCRTnVi!vSZ zetKwuNrk4Smc~k0s}K*CeJZn7>$R~(b{*P@COkBl$eW}<_nr{_5dHV9TE+WCioJ-+ zlp7WJh4^H`_@_+bqp+9RUn-N?lRr_Pq<}s4R~n&L617$etLNx4V6;e62f%kart$JYi11fat@a}sdktQ0 z`g#mUdoRI69sfiF!}eF0=b|LpiX$%%;yl9@eJGb39A~%ckdt(8H2bzIA> z2CRt1w9(E36Y?@5p97`Vv=h@fj2nrb1axH@$uA__x6%WDcv9vEe>CUfVE*I%P-uPC8=lh{gm8p_IkZ- zjlujPLT}m7y+wVl89nu_F(rK-U_cR0Bq-GcBs|z*gUN zP96+3-8vB=QqXz~hHT&qq>}vl>uK0Pt00s;pu_E??pTWR6h@?WETJ7flr$Vf<*s4# zMaQ(J{@E*%k;y;TE8)2Dv{n*M3IWRIKMLp}AsW;4xs)I`CE1;k{q+sWQnaqtaPI+K zxlDrjogQ^y_Q+A=BaW$ybmX6}j^^Ior?d@rxJWrV%Gc}`hx^|w`O$Lc%(7F*D6}WU zy!;iVHO#M>44XYd%l8oR(>*{8`0vH^a22Woy@bg%&EFi`wjodLR(4EVx^WBz%NK*+ zvk1*MH(=t}m#+$r1XM!&&VCXZYO*!yyPo&CUxGn{XfmS5-jEkl;i8^iGw@?+J zkPKPK=gs836FTj`<83_#7xU{o8h>99gfLn;jMl&(fp13sfqbB#kO%N zbqQ)=n8%BhJFa5*-TClye9t3DM}_IC02`BL#t>UuovoEJ1{~5@3LG8WO;j72T2`68 zsW#+iO%|q)#>h3dFi3;VYLtkrG|O!mY^wLIR_XVXe4#<6hnG&#+26T5Hy?iWPXi5u zU4z}w7R9{*>E4%=9us3zi&xervRq@+OSOQtm4P5z^czSHw&SRFA#M77P2=e>1}~9TuPH$LI=w>rk`<8ew*&hdRR<=}A&iWG9e^ zvwD6;cDeK1?v0wieA`ETy=xa}Y%!0f^`zrz54wR=ZXVCtdHC1b>V9nT|{1 zO=oSpU=~$P$kF^m5vMFE$9uRdv9Ut?#lP{%UkV{n&i$#IqEH7Z>OeRsz155nxHCF3 z0Qn*eX6CEqf!DIRJiW;?f2%nx985u10WloN7!^sE_ofM->jq2l953d@y7L}8*@+16 z)1&|Mbq{M;!5f_7&b65?a&kB_i!FMs_yI%rlnG=7jow#_789Ouk&+KHGVSN9{0_?v z8N25(;p_yLT=u3~RVfQ}JpwGX$PT1w_&u0y>ZXxj#q(g{nea?pckedg9hb0}J3r9< z{UQXBTvFp_h(@&H(%cr2jmbo;;Hu};n`mhIxvC?LU!7K^^MSIh6KPE}-jI?AbHMjb zA^}GvP*`@0we(%y=%P~;nUI%A>Ro(}Y{ZAKJq*c1`27;Wi_cZ-)36CAi*!jQZ=Vp* z4$6%$AB*?v_jDwS%W7r?66`nG^?Up|mF)D9Ve3+b0CrqR2_|XL$$o`_Y;YLRgQHOz zcm)MW>u1D@U$Nl~ST2Q_UUwJt)jd8?EBtnJnD{-K7tK2e9{mQ~^1A6`>iz^Xccgrn zu!o{?I{1DBzjFD~!GhoasiPFkjo#PZ6(g$AxZ@Q{!zaJMsw{=Q7wKui2WYxLcJL}h zPr^H;a*>iL9Uda(oft%em_C6f^7!RW0a=WT7hY|I8n?goI_Qq{{pu)v(TuEga|rU- zY&Q7*uR2@p6lN5Xr`1uKtC^@%NX-tUg}0W6X@OcgtivMJ-ucM>w2$hLeOCD<)NeV` zXz8mM)@$%Vyn`6|$yNSeRIA_6hFq+aLomi2)4vGkS}EYp zlGSnF(EH$DLF)X26i7_rg_KVS6PXu#G*406SAi2vm|K|NpS4>EWXX37LGTSxI{|T= zfCS70AIKwT`UxzpAC!xooee?J!mvDgnFd;ByFIm4Y5y)#zJq$22*1WOSY0XEr8u|6!*7;ME?q6_)!$df(>rrtG(5s zipG3h8zceAEUWErJ!r24VMrfaV=-+jg(dk6NtZ?b(n+5-nuA7MsT^$i_#G#n)h}=n z=sk4DxQ-c3+#XtVk2P7diPAMJg3tr6h^2EIRJ>`1Hk-KrlNe+=#-xd`LQn-hhAf?C zgvH9jnJ2pM2g6m+Y5fC_l88yjt!5rGmX z#g8`+Zc*$I6T`mq78Lz4#n~k#IADlE%uAVjwU~VYtA6l6tM5m{WvVg}S^Pc{S?2%~ zD!`}q6U~IFIbPv-Y~&iz1>Zv~_a#P$d6UBQ)ESPtSU9>M^GT0q&pH=dHC?7gp1!P<;MZg8GqJ2Y5T~ z`W3Ee&j}M%`kx$TqIUENJj6rbVL$UG^vAd4G-;K_Rx35+TfWEh7C_-=@wK)ME6{(? zC=Dr(SbAikET!-jsrclt{B}Fs9ySXx#xHpoBwmDEAuHuS(P^i^(5qcyACyP$pl2HT zTE3|*M105lm129yYs&g}YVA9@BI;@y)f)8-u^94m$AZpOTbH6flb^2_p15Ud71P1s zp5V&bNh5iz5#sjQAPP?K-C<^7B&XcM9%Pmb6dr{VI9kK;>6DR*Yl4(Q3MRL;vP_-5nc+2MCBy@tgb98QWlLWouQmCzQ;W9DRW1*XJuafxNIXnvt zafv?5o+KUjxBf1)=g`fKdk)n(nj`O`Zq}@NSobV#T+C-xM; z^mN_PtS=ew7;DZIQ^XVg>ris`w=>>qCY%i(b>}5g(JA8-RPq>kII}k}nHQ%)T%136 zMmNf*`HPG^U8bXWXoXA`_mAugExd8WIG}-Zc#62`BWZupSaV?C>|7S*U}t{ORt9OO zU=NKU-u0K3c73Of&{TrUc$H)aHqEsr>6 z=Z=-lQhNRk$zH8a^Fz&u9*^0335Ju`Ra=6qpp3wY-T>oNw%A)CtKL#(xE<*1KwWq= z|6wLI%BzTvz3dl~Zn4yszG#$WL4FLKmEO4rOAva2bK^Z?dSaZEhMZm@i0?j6!I2`p zt`|*vYlw!>CD4WRvsp%lWZuQAIB887*;kXWGqhcgnm$*&y3-2`Hm92IDmF5~I5lgf z$0EqLDC#ys6w!<~Rg*-_1!=ZkWn4uY@f#sO!TP54Uo9|rKkx&==D4z>bH7klu!?0T z>?1yRv^L~d|JGl@K_)1G3+5nFMEqw|{#+}-m$S#LRp$^T+@7NIi#bduf;%gOuH?2d zaUV+&uB*`F2{!OI&c(w)GbBMcu#{qmg5&I)@gKGqrE*Q`-zVCS0JbYoUy_zrH@k`} zC?#e`6q&?)Am{sqBS^gXy1?pG#e&jhw z&-bbi+f2w+@aBfTZ&Gcdw(=jkqo#UEznle$%1kkaki+Yim+JxlSrljf`L_rIL?R12 zmxjl`ZtkXE2vRR8g)nPfl1P<8EfMYHGzmxHKGiJVE<+t?U5D5^h!s@i&3%YGZcQ3%%Ar(d(R5e#Tzon7QbQm zX%nCwkm3Tq1nryzE2le&g4#l`+|^I!)=Se^x)je9K?b%458Am;QG#89jUpHmpN2ZS zFdXYIbFbJZ0;SQjew?T&xsvp~8IsfU231U@>d(4O5-9viKH+kiHp-a_A3P#Xf*Wcn zvNV!P{#6axsgg5>xLIQSZ&9YZk8(#}Hy}e)=TrKV0zL9`0_+-G4%>qoMmD8!dc%dd ze}Cq2BOpm%Ubgg^7jMoVfv1)2*)xcOAEHf$!Rpcp;Ux>pXCwoZ!;$6*m9t-fM?|`K za`Hk|5`C2}jDbK47ygrSvw%&SPM-Alx052gT;u{wgk|ZJnl83KT}f%bf?zbuo7IMq z|3r$ZYJw4D@prp^V4B%GG=vO)4;M$eF_F(8^F>L5^=SIZo;WMc1zoHR+rT>@KCuB_t!zluzdwzJzmrBc zMHGF0CFJVt=eXZ@)J`wqC>JooEA~%A1*NS#jVMBewG+OM%_Y6XEDD|P)}xbjGt80e z?=a(ET$vgcL zHiD7weK@#4zSV(+ZL_odK0-@)wt--X#@DYu0?CtK)W0 zfCe@+E5paZ*Wi0S=7;E}fLd7CV9Z`H7OhQ0Mc8G?tu-Lg^-hj)UO(dT*=b`vqQFT< zhsQrFC0D_@^jIpYl!ZZ$7`jm+nnim24#p8;WmA<^ea#-)GS$antxS0));cXU8R1bv zd-`8|$4L_{%<~Bs^p{~5rq)b1gTb_=#uP6RagcOcyagCaxs>9II=CPPL){SDZlGkg zZ}nCX&7?A1TlF_z?=Wm78WAcpY*9$AIS2{GGE&F#tQsfp0w1BA7BP|oF>L@EVZoBk z_j0LE2u^Y4<5s$%Y5!B+3$;%5;Aei5Yl8)-wghz>O#z!<9Gx`ZD%6AI#^M8$B;Ps2?Y|usiJUFA6ZY;^x zD=|DLQ6A#749g)7^Z@AmKxcM*2Vl1^quZ5~N(*#O+JcR0^h4qOi$f|mq7iP6sUqaq zA>^&AFdY$Ftht=s)plYo5qJYv2(w8ftego$3=7Zf2uLmi^QMnQc!tr5drvgNXSt$Q zg_S3d`AB;gHZ_^bg+k)TZM_*_vZukUZx%dPbRYw+5w7ZI-6 zX&hVIoB?Z)nI^REhHG%O)f0;Rm{kG*P(DM0q{gKgA&lh<*_+Ihvpo3mybK{~IAwWZy_D!C2 zQVZ(&ZMjtii`34RZ?VNeAl$g!$spApLg_oohVb26SRsi}bK;+{dRbwYbSeE8LrP%R z)DKGVdUKP?G9ke)6^^@&%m=S2KR${Ag^z}bCirIOmIv3}qp5b!M58h!9n}h%;)L^0 zYW!g?88V`T^IUiW#u9`^?xA=dE@Rd8pWcRvBPG;!gz{Mn*D=)pFk{TEkDZU&kh#Df z$?MA(UzLNf-tLZ3*Od%dSOLCGun3l0%_||UI?VIMq?Sk%VM}^e9$UN&tJz@{y|{R0 zso+Es#NkF@o5TwRO00bWaUYh(@Q#WzYJ|F(GDs1u&>VHHWEPI{tUG=##%9qU{f@=z zi?fzDc(55=nvU>&%|uC&m6#~e&Im=&1QvEl!N`qw9_}sg?7FYAXo-u+G{2Ij*oi(W zu0(P>&cfpe!+0%A44sx|@5dOH(h`g0iiFUAhqtRp_4{KY?4jbB(YH>%N>})GBCz>^ zUzsV>Mk)$$)Z@zt{7m1{XURp+X+%k>(*QRlzVa5!D`bqt8Qq?)Y!^g z>*WrG3z~!Dgfj#41 zPiHsl=}H?XpY{rVAswiRJCxrGi#nFtj1Ajb@SH$czWII?lAvjNB#W(?bLtXG4^i$# zu{eYE4>$knxpQ*0K$q>NjK3r`<VDGBx|ovmLwvK+0F}Nr z%|{mA-EV!_cYFnW95&jL5>&gi10_rxutk5Lo|&J{b2_cwW9>wisNfBJ0`nlM%b2wM zp2C@oRe-MTx248mu4oLlU^BQ&`~iI&EV&5#;{PYW%%;NB?;Q<$hRKHly>NkE8haus z+QdTGcaMGd(?BT|K|mYt2GzAR;pQ0X5j5=Gk;Bn1ZnV4x69dRM}ne(qKc0PZS4! z5PJdU^}mOqHdM|~r*19a9!Q{){HSIM&sCKyr=qBB$NEVx-@x=PO{yv9KQv(QG$syI zT*LKDTG$pO{5dGbgM03bUpNsI(SGW7BA(m(ttTjALpfPt-Jl@!N) zluDm*SH%0FzF{b>Jqp2Z!GIo^W5W*LR&_Y}MQ*TI;YhniqP^h`&S!{AO3WD`@YO=R zqzgQ$)CqO&3gFAGo4Zfb%4<`qjUab}=bG02kfwhJ;&nmpe{7;`?fU|R@xepw_uZ~x zPlsA*{XHja_<{8@oRM4ZZ?=6wtZni_M$6Qn(WU>0-i0~S#om-jHKaB`;HeU%0zHKQ zJt19)mZz14d(qMf81|O0gSDowy!F()DD9@|QmB%ZTW#3IU@wt$4PO)FnTrmw?qQ=_ zIM|$+RIYNJ;i3a$>AI~YVlYV*T`t3o4Sr44Z2Xpmi#JahUcrc~V1NuNo&y)aK`3r$ z)2kz1?y*1{pZhJ@jc{;16iZ{uCuQ2z#FQ?PJXeBf2?Ch;n_QCmHs4FBN(AT-){htT z#sOk6`}PJ(4(x`Lfykv2^#U~=Z^kX0=&I+9YDcLQc~NRLfrQ%Hi7%K~$X2%6%-$90 zEmB(gJDfS$In2FhVDaE8>I4Hbv;@g^GF?W~HaTMKJ%0~*(}n6nn$xqd7Vdd@6~V4i zngdqJ4Q4Vi+Q^TkC6|L#)i9-6Zt~3ZWJ(1>?#X>wo!%^hq-x zkrfJCQOoX1;;xl{QAj?~v^@Z?L6rcXQKT{-PDcu%KggwM-!ptU|A<0S-FFlMH!(d* zBO<>kg;$ESi}k31-UPGpa25mj%ckpFCgh9sb_pvZ24`YuQEAz0)cQ6)WJ!+shuThj zwj2m1viSW~S_);5V+z?A>=T8KNTDM@ajG&sF1h3A3faV$gg~MG9=I% zkaB?!EF`x%0)3^%_Iy^tS`s7GE;z1I1KP&hwwpK7O-Voe)WSqf=%SwwNl%!z3=|h2 z0$!m9!Y7NAB`ayRjyBXNY#Lc%D}E3q=5TC7kVkB>zy)a*yU zFRjb==Z!l#y~IaXKG8FGf0s9R4_(|KM%{};l134($#%wFnmFU(pY7`LAi1P<6oGu&S&E%M? znH3<#a8K$PSFV_U0%dcqqkSgfQTdv5^bkxB@dw7z{zJX0%Y8fH`b|CsT1QSA`(D1J@O0)Eg)!noi8(%|78TxdBLq zQxG1zs#gjtu4W}*;=z4t=9D)E6Za4%hzC>{@Q~%uE7%@6E(D2&8=ZaC^uUvhj-$D| zj5DVO?#6~#TZE5LYchx~06K|G0kyj@DJa*){t-14-~q0wb(UQp#OvBkjw z&|XT)Os2^!WBM0HZ&}?AO6J;%2#CGQFAng#q{YHY;Wzis958_hfbm>GjwaKH>eRa!HvNKZk{GQ|>dQ_dyfvB$oYdM_ zhQ);>AiHiB_(EBVzOSE3GmH4;(DsdVmlodwvMrCK*o6w9Gu-1dli2__oKkJ;r-nc5 zo)`L*8DqjF&At%k3ZB%vN?c7=X?Pg&5HhpN569cZx&jXd`5Q6TB_KiA&S_gOyw>6w ze!#dn26||cnc}E7d&uEHLsugX?tGI_mh=pwP(!sS&H(}D+L>c9)7(%o;V<2-7lsTK z4&~1D_LnJ8M$eN>GusZ?ZLscX$Bxkrln%ym2 z$s5!Z`fiCJ?bW+riLE38;`@OkFg$AQ>p>s}(Q^trQy_Mt(MiythOI;Tby zq!P=OV~jG->y-8Bgqk<4*xT@k^Td|%=h!p@g!si@9NMtq46K0pJM16PIRp89@x7Wb zdCP^Ctf}o_7<}VjkWz)Fw5w7!br}{d1M{bV`cRE1Qkk)B1f-B;7jP%3_2{F!y@-hS zfL9Qu{cf<0>*dj9(?zyvb`iOWvyfmv;UZN3(MdQ(BSB0S>bV1216lAG*FBHDV>e;c zpmA8yg}Duj+Wl;xF?h?;?Jm*s>kri!6RqpC8I_8zYX)puZp0WzrW#Fr+e?+bn)vkD z{0<|}!z@xVyCkR3$B7B&7&vn}c~C&2d4!Z1aB(12uq0WdI3{X@ft&Cwi%vuc^ZlU` z9qe^Af5|Rq8$>g}Ml=%l=!h|hq*~Ax5CMAiUS89?TO-nw%W%L=ZbD1O6w`u|+fk=f zAefF4iSf*#M63zaJ)7`;O`-K1bO15-mOHCbWZx0kVNN8;3L{2CaKH@ZM#I(r2{7#i zBv}#1*r<=dM*)Yk@2jw{6x9`X_l*2@Sx@%p-7%pGS~ml_aAmYBil9q`vpl8ZOxh=F z)Znp?i7H!l+_aq$&UhhRrY-nTVjwnS-;{!DF*D48HG zt!dIzLjNs%O0NO0fPe|k(1mRb)K|X;9Pa5nXlM<5soE6Wd**Oy%^+LS{U)%B~`OWC#HI`)5R(@?#bjRoPk?10T~E<0z{<= zXjk0(3Opc>FQ&l^;Gl8hkD9`0XCe1_rg`8&mzybDU8H%y4ma z3Qm3g$m5nL&Wnn(LijUF*e0 zDpN%$u#yVr6`w>!A4T;OwXY^R09k7xl~qXz7dj?QWFcUna;T^bdMw47mWw|MyVQuy zbQy)ck|`TG!d(NkD1bLN2+n@QnM;n?*0jyiF)Ue!I@;7Pn;>4~ImtGdg<(oxL+B?$ z@b%?73kZ&fL(*j((PZ{u6;H%>JKj%HsAp#d7S#Fq=#d_Q2`(^bsz8X7Kdmx+^qp}w zigj6IL+kt4_KM| zZ7*OP4ewB>pn(8GHz-SCLx?5^)Tk@^Hcxd*ZyGN5(E$euT%WGFD84w;9o$Wh==i= zr6x9DOj-wI7&v^HF{^@~;%(3X-jJA;l)h(?0U&)?z)cb63@lv0)u%iPU@ANwXkCBK zG&&r5&cJjh@&j#R;Y8{j7m%~+JEl5Du=B^b2p1QyKoJsiW#@J!a2VNc9B;$Cxo# zcIFKYe?2YlYO{hm8027a|$Zyga&T&M4VdJtK6#o&NTvX)`z zVsr&#Q))0ae$p>R@z^ilt_ex8=Z?UcR6o zSyIbB?Iy=%XpOSB;U_w7yd1bT-AEm8^`+zfVBOpVvjA-O2XR>Pi=T>`U6er8XQ@i2 zj{z0DoRYh0o)Dm+geSgBDqw#x`Xx?3cP{n`0`WOB!`io7@GDI9k2OJEt^;V}{vSUr z(my6XQE>P})Apw5L^BTBDgg0c{b@z1PVuj!y7Hooxl)?(QIQCsS_=~|Qq;oHWXA^Z zl}_CK4pbBch1yl3FGxqd!8FXYm}!Qfq0S@Pz|F_vYl~s&;tTmc%2M45$0{#QR30=2 z>0nC7BJxU!z<*tFa$v;wg#HP+c$8)czd;1!27}Q+9BXM3nKsMvtH=cu`HHJ;I&4uZ zaxyAMCjcK1p2(7%K4SYsU_2uQvJH06Kd<&nq`*NdPGYkIKW%<>=#QVT&^^ERpcmNj4W4v7Ambs55~1lQdxKg}ALt zE*h%s!CoqX>cMP`~S z(?dA+7E|6qjmBS#4mm5U0YwN0rj4mbx&Rj^>{A;c)t}~`PBlD|WWg|v@0A$erbp4I zJ!c(q5#qeT<+Ih8lv?D3HJIu8W8HO~UQNx4gGx-55RszitvN{~!!+`e9VGB^{oTQx zZ~(&_3S1}iRcW{}^ii2t3Sl*Wg*&#%6Y3^i=4C3_MA2#hoeN?bZ3!eyA(Wojmbe9v z7+pW-;J^^|3D0sB4q6uAX({M;kBb{`45lGF6e@vX0piCBQMolA6@WGWEKLLDPtSg+ zOaMYz81O`p4e?$SS3<8bJ)!VxqVHgH3FEjiMg$?G{R`n@Yh>h0ZK2?-o<%G<*!F;B& zO!(D12tcZeDmDE36OQTd?tnVHZd|-_&VO@)Wn0}aPH<9!s%X1Rh{)Tp8>3u1hhoYN zcZ>tnB!WXGjp`=8tECW+Y#>|Gfe*+w_o4Q8|Lg7WKvtb|FivC_TiC|8L*Mmh(S<*% zLQOq?F_q|&vT8I)LWlvfIoW!n>hTsu*n zfiIBeu_?(KT!-7q%HsEiV?lwnVM4Deu90&+)GhzS8*eVl8{=b|0m+DFiVKz%2v+w! z4_JOc=M#jV^L0V3oQFXRpqGz{X}AZJI)yW^m0v|+?Uj-?Rbk{+A#%A_A!tR>N+l$Y_R9GH?fbHOt3PCepI{ zfoaM}yWp>AO9NoB)@}P^0PS6dY>g0po&qp+=9AP{4)h1aympA)K zDzFV`!DxZoa{$eNtlZgy+v^R42a=;g6tsmrBpc$^z83GE=!;?5R1Q1L$n6%4ep<>72C!SbsIF_e`{|!_1vy59 z$?{*sF!EIQlBCY~9!I0)@qlTO2s`yQs^cFu^I8Pz!<^s-@I3esLiif4qh;#Rr|G>* zFkK2)It})@%5T#96%6k9e0tbnQWUudtE^A{>BDwWko*op1$Z2i&0|}JJmqh(>=+lv z9)1Wh?a{8dmDVrEiuERtZct15W8!x4?It$zX39)pRj5L$|22~8ib;~&wA(Ir>` zziHw_>ZXS)z}1!T0`D@eVR$<7z75Nv%_VxTh-@3WXPO9WL7ZQaX2rNj&l?~#uZ)g@LChuEY5vM9T2-GwO01zO1BCzlqjhJq zML5>a+Xg@Alf*Hg0EmGxd3=CJm><&}lP&>fhAFn21TI$8`M>)vpX0;`61Z?v{V`uA!s@%GbD5kwA9i^gYj?Bf|i&AKAsb28jgaN?LqXxe}huKx?zH{}Dw0e1+*jJ{RM zfxG-wpyGn-7{G~FSs2`*Hu~n-z~g+VHg;qovYl&KHA#7A$vd1bTq}K^u$L75_i}!| z@fbPAODo9$6mCBo-!yy})f`beev_|*+AorT;&Vo>?@SdDQ$iV#V*Ppvf`t+6!Bv>R zhP`mt+7vaHCRf)WfD3%!h~(trH7Y;32l%9ma#$5O^6JCK{^O4CW9JMCc1hOvVb_rm zHxbM6-7#4<@Ym7_muMy3@$&GdNe7&|v;=^R@r3{r?1c-Xl?IgQG+)ap{RxwBp(z3G zZbsB|wL_#&E?`d+rh-A+3trc9Xv%voLvRXi3>}R8NSSl1lF%RjM=GY(+JKmFQ<0@U zfy6xsc+W0yJ6bNY73}QsTSKd?*!klRP8SSts`4a99xPLuQ<=)>SnvZVr^Oc5T+;(f3X_H5`)%?z(Pmh^|shNP)weMbjJ&xtW7N-Dd+sj z<_Iiwz0Y+(d=K^#&!0rt*E=ZyiZ9i{b2n|pCw(A`UO&T~-1?2$kDa|FU>Av2J{Fk? zBE)nah)}Hj%xw^N9}Ap2c#gsXrtHW_CDQq;X7>COhBiXIu?gpMK#t?G2p};vf=V_` z*ggrY*N*dxx!}j)*5=wJ7Z6`rc_$R(D-*)OG6P1&#`Xnobx?dN3%;Vg|x zs#NO~DT5|jF0S^RygD$kqcjEL0+A;vx}8>QH2Rv`#w@CTTC8_*0}O*!V-;knhl32l z=9?k$Ss{WbNvtKLDZT%QBqLYG!Jub_r!r5O<=^71>uwcUZ@E~s26;Sw!Kl%#()YrG zZ=(Oy$SF}0{M3UXSk+ah)MUdhjnhwV{#BLfHG8Yb7BA5a;#b!?u_|fGYSzKp+kp9c zaM%rChr`-;A^3U#?3`4CyOc#*i>~yILUoInu!r%LT1g?JGBmr5>a_ zDeUwoB8&#z>h@(=W|Z!yp(ra&N4EjRI%fF(c#z?{gdZmp3Bgw_1jR;y%Caha=rT{0 z7!F^ti7J|!EY;`(8)eK-!r34i1qR&Ebv^Wg>swR@p;1L2k(i_5UAj{YP^C8=7ZI$e zdSSBEVh16Gv_>jz6Q#X)>aXnr8gYo4{v#O_4U7XYbSVz5EU=aNg98pF-ORX{6*>t& zj-zo4cg^+i88l6GqE7qp{TIP1UD#Nq27IDi5Q(69id;I`0?snm83=0?B)&7Il-68e%jWT7I>AN*@n*f>c{^_IRQG9{B07Ro8=nVtAOmTT*;u z947=cRRKd-hDC?o2j6r(lOZ%ZSZI83n0--+#SB|=1=%;`cxmoJ6PvNYH=EBSW}Z$+ zb~~b+;$UK)q$jl9lQ$!7ilc#S%3}44Ss)< zl$Lf&yLH%?^+`9zJ-Qip+)3l?@!X{wWGF$^$vd@2utQT0ab6)k@P-U@0`EdW+00FB z1MRL;NH@4eoxzoC;AbJyzkRyQ{vUoV}`)%cOWHIclC~i%nvLj$`K1Y z>^p!9H7}saNe^4qvL!@YIG-S)6s6n(N5eZ;UK3;}$rWUQ33x~0>%tG&e}}j7RIR9M z$aXuX#rqv9t9tUTC=M@S;r~rm&;56YmodSwtGX>>I&2V)-_lPi z=H=^_NzhrrfoS`63^_$~#{M}A7)GuTyL-V`b|>Qo=N?1YHa$>lWS{Vs)n3RlW9($; zQIY$7lpee)&XMT;YcEn*smtWBbkA-( zv5g5Z>7{W_ezK$r{}o6P|(%S#CAH^&E5?x@wkLwJyo9C&#oba)Q>jSM*R z>`iDUf|g><5o&V61-hb0r!Y!|eig$X_MOZI*H04D3}Mi6Im21uL}*l*^252XR`3cm zy~}oBEb8#F7q2?N%~6N6&4HXj^e`~}3`8F+F^>J*BwSL&PI#~~T`wK?UOM|E8^+FS z>_xUtloVvwJkFJ4%`A3tDEpXo1j2Cek4DlzQQH~Nn+F+k6M&sbL^cr3KHrQRi=>mi z|BRdkH9=^#%~$pd&080E#1}pGXR>U>bdwm4R5MBy>UIlv{$L}7dnt<6{JoJW(E_=E zj|oFewXU;I{0UJlYR%3~IAYE=j=_E$CGm%2`xWH39*)tsh6o~Y%kw;#RF~Dw7JPdHcH{_jGBW5U;XYqx z($(~ha94Qa9#Y4C5 zKs*dBix0EOV8AN?hfq4w9=G;qyyyjcgwTZ|iq{iG4Tcs?>qz;a*K#*>_LD~<#q%BN zw6W0I8vh;w!EOka_z%{EY-ZWO29sPc)d84ja4-|*!f&CLN(eoc2`ln$)n{y0J3Cpc zt)(xc%TpI279<>3Vmpn+;=u_c9Izn^9gw&UNOljd{$6u%ts>I{^D)XO~c@xFTyLAKTtWT^9((2ig(E0*2!!DQxW+7u0(d_<~zF`Nb`0(G;Y@92Ze@ z*WLUV0DFH2%`UMu3Ww_K*M76RzPIQCm3@ErGDE@LpJ zO!hhN^f?Ocgtb3$QjxoUyRZ*W!aouZaM^=E+UGdE z{gF799kZp;f-t9j@v_eLurEr0+`F80V@)awANB@~)R@GU83?y9{X;RMl_NkiVX+kp z@r{E8`^AsY=pBG&)4}1#ITpg%x-OVXDV_+e2(=QxMH~?R4Y(N8;0X71oT{XEfV&A z6!%5zLojlY*jN4!Yi0PQQG3qPn>&-=q={UGEx3h-8~I;;06j-rUfuII;)*N5ImJz! zZs!i!b!BoGvQN zxOCoP>5a;wDSSc65Id|V8`Qob>P-8twaO7OIrxbxnFs;*b9*JIyLozQJ)3o=_L9Y0 zU>z^Q@Np7saf|?$IHX?ovmQLwf^LK@`Wp-wWV3p@0@OLE86VAz_cL+5Ep}z(_B^Qa zgT4_r`s_}C%>&<9-)5EpXWJmfkM8z2Jd;C*_tsU++STtpDp^7JTCOg6IOl)jhWcKh z7^={`$TLtw^-UR&dvaD7Qs~{WYGFhJfOUnIOAT+zs;TyaHeHt};Q>3BM!k1a1f z<4TIC>X4Z9N>xsfNWQMtXMB^%9 z_7`R=`o*Gom;mI}xvj^3w?vftk&tfsI(wMW zNVM2^L^OE=>tKyz8J6)JfHch1EYS%>RctzM5lGnpN%0A7F(xXWax#u2oTXQh<{vUo z7=Qw9Kqty5OOSf|Yxwa%?4XYkX!sNQwLTxJ&UlUfNZ2bLF~8cs88eW)pp_4V9n*9z z)TONiD|+YMXzR~E*ulo@C5kg#n`pgIFG$rmfvpB`yaTO&`6`^ijx5K)aKDRBVl%1q zgWGh%-Lw9+43uL1z8)#uM_5jfur@ z#TCQaGf8Vq3GWgAgM4^JV_Gtah{T*&FF2FcOt-&6IH4{J5}Bq*U`fD z?O*-YXKWnA5-4=Clg2To#cDmoAxtu5u)OEBIg*b|nlN_Q5yYnnMR?qSy@~oUn=te^ z;%%9z7g?m`&LzVzqWkn959Eg7ePPJj-=)JohzAcH2CoqX;2;FTNHLT_q-_I6kW&aI zM|DGhVO$I7_ut&XVjmSBWLr+$C3(ou=wRUNfkQQ1he!xDxu3J(=e&c39+Nv+t}x@# z4i@3s0pp1;$OmsYSe>~`JYnVzHwSPIE4jjldILb@#S< z*aOTxv&wsDiCb(dAZb8n`-sH-oy09`vr`D|O~R?*0FXFg|HRTn)5x7JfX!RjAQPCR znK1*c_i)hf?w4U|GCq1Fqi(1QXI{8%@C(`viE0n>(5`CJ#7DsEwO{Iw5jES#wuA$; z-NJ;+ZJh5CJT%0?O6U5s3`E^sNys6_3htk_zRk48_&r?5C5-AJVX#<6iXZ>v02=F?j4~!XDffL)3sx)gsU)VLxM=Aw{gP%{vqpJ3&8a8#qrd zJ<4TFwoWH37K(t7vVzy*&*UM?xehOhC;q~h;j(2gpTr~&If`+kK{J9rYEwAxuAk!L zpWgBVj>lP1Q<&gwbF5J!k$X+1dB%F z@0=CssxFnaw}p{?%$xc$^eKG~$Do<~*nem+i%=e(2neNAvZOls-+#2wDpJ2}#b!}G281*7MND+s(Rnw}3Uvw+ z?lLkoxQj5IrV8_-b`Te#6(~VsE+!Jjg2VgMdaf_34^!ZCJm0;bA`2RPD%vP06#@dxd!YpdRuv8oVg&~W zg$n1Z0tHr77!_nbBpSS^FfHW&H2R+@`2TVhT)@W;SETGFlTbbb15cknVDs9aAx_Y_ zeMvRF?l&fv6otZ46zW$bY9{e1Dt`{BN}vE1Bl$vMdl%aLDEwAQYSTw1)h!}8oFj>M zhaZ0X>Qv4`h73aTF)7x<=#<->qcvGz{+%U>XqLdVmcy);7$6-Ck<7TQ(?Lqjj~5ku zyQHMhAH{-9Jvys$9LP$+%v^7WK`wnmp`^&i0H20I4OFD6Iw6gS&1Eq+XAFVB+2yhqvCvaWERKl1 zR(Dgd@O>Qo9##7dY*89 z|9$*LIqcx)veuVKJQ=PGxDLYk(kM8Be1{0##rTddGGFpohlN%k6%iBS?rg(Q$?bHz zTJTL@NCbr}9>wQL>F|V#)fp4-*zoy_ZmTFs@YS>{j&Zfrj~da$>?_V7;uVX@T5_`& zSjz3T+5UKTzfTO2)Lbt&dtVHBpdP-^%ucCW?vn2GzD#F_-MU?3D`Ux|4*8B@E758P zD*i}~&r)%da9>y~Ch==r=bXDOMjO5=1 z+Y!m~?6L2?HW%oG-{G;AdwoRC_Y{N05OCNcY~Fgl#1KdsF*%!Dh8UPuq{9($*kS_C zSKVK4=ZBt%AsMjWE12Uf$f?E=pv0KchN%_dh=x&U!=&p;aBF-tMSxFLDceL%b)HCH z1L};TR6p{N`^3DejgTyNjn~CL@LK*g-^}-jgAII~)JJSwu;eKEQrZ-@bZlI(W99ahoWrc|do&o>sfiqd5p|^C$ zK?0Hv7vj0jQ2TqKXbZW&RTxnN;Z%j z*y>n^rCrg!v~VkDjt&j5Hv8yZ)6_iEHwn=z;fb**em7;;_2MS^_!;h%K6lu04?Jvs zhqsFosaY26-3c$~h!@mp3d+61Q>ikvNrS&N7bxK0`xH@+Ni<^@0YNW*4(SZGr1DuzC{ zV!)D+U-7xfqRXERk4Ry^Z4i@fsg`|-nR)1oo93llP?=k*bfy25AgPGL7*qXL^M;vq(?7U@7Wjh66v6LTPk zp?o)JtirR=S`L0z&^ zovmg}Q2kv;x?-olV5Of>q0x*vEA!Dz9iq}-!HuU#i!uccq_Pe7>?eLGlDYD}kV8k4 z9!?sG11gm2)HLn7_7JI8p@D_6;Fl9X!@XGD_nGKQX!TSqdp>k6SO00MWJ`1a?4Q(z zcWSDtzmnTU`JWzvo9UsUn(6!DJH!-!QCIYU`R~1-@)T)kk^GV__C>4@dggU4R(Iji zbN3Sc>HeRcUGbR^Qi^73cGQufqB*yxMrk%)!|%hC9&J}j#cP%NrXKu4)q1GraxBrM zwT!9-GpY@!rySSRi9!m-W^oI>l1J>W6bCT)%*pt>^ zU#Oa9!mVqGlwSr`ulMlTusEj{a zFjmxNp`AU~Mt7N{6rVvLSwrq?>Z5LCz&HtO65oF}C}&`pszE!YB33mu|D7{cngq%> zw~3=lZ$zUWo>-Tl3I=Ab@BvGxpo1pJ{4hpIsRKbutpkzxIZHIf!w@Lyq6idqkOYXh zN&-b*Bmto;lLC`eNrOnJr2p@S{?7)IxED!O>98m3pqlNJ9j{b~(Y3$E2ixVLLr!}J zo=SV$x?x+xD1K}T^mZw&c9WXrD|vt}U+*!n{&rR>8q72O*ELO2#$`SWD1dDQQxuzU zGi%)qTC@H5MtO~HD0Y!AR#D8m-F&-v>ocw9M~bsNsS=CG2a5<`0@OlQ$2nd^xM}uN zC(K@JNuBrJbgiYN^IyTpwSsB~)i2YyjlVf>)TK8=8d7sX?^DO(7NYW1u?p|*$WbQ& zG}vCFWWO}?KeD3k(I!G_+N9ss1P%R{@=$%)XiKN6Oc#rEUT2*vc%Pc(p|>t1!HND_ zy{#EuJ~-meSh9-rDw%>m0dMdxE>n@PhL`ksq*GFCp@%$Ibf0ARDiw`m6?J0;-TVwb ztgotPGNYHH>3NAxqDyy|XI?>r7V0TLGjjH{tNXzr=vw+isHuK@lJ}CSo`>_9Z842pc zPF%MgzO>oTF`?$7#b`v!_}v##8n7}!{ zJKIDqnf%lcAzJpu{^o-o(vWmC?%wcZ_4zO|Vo-~n-ehUgGWPp&)yJ2dn$r8!?2y=b zap=wPYv6#8npNKAo4RqrK&Bi-i_+*uwkq3;)^UNUT{V8A=7wY(9e--P#*1W}wf{m= zMr*##(&WCHd?W?K?6ss!vO&x635>E(Jr-KsP;R!llaU?lje^&bzUI~BP2sKl{TKSM z_+5R6`cvaFj)3q7{o{gVZ_StY#$eUgq4tTDeML9n4vp1pxt7oTkQJtFhYTaO9MkZ9?nx zQr{LbdfJKwY^<>BA2?3Pw>=dE^pbj`1AZf7)XOf_86W^-+HHxmg28t{EaasNhUj6i-GBEENBij^Vl=f2Z4z^l!+WNwdvzk zo3+~A3XVo6S+!{IZfutDlmO2ev*gHTqIZIt`6WqLL6oO9%HJOK0xs$FW%Q;Mva-4@ zC@cJ%mF(=df)jc^pP)pKI5lJXiQD?pOqEQMV&f?ue7_W9)0Pr8T=G$r=);vBw`UzS z=NIi>g{NeE>SB$~mKf97&5eALHPM%uG!OS5|yb=^LjS7yieKq$w^qX$oRJYz8A=`3^xip7M2b{FOlp#SY7i9J#3 z**cNr2BNZwX49<26-^i){uuuMSz-^m_T$NtjtIQZGT4plO;95V8(05+=iruOKHQ_Q zA&fx$@g{C`D7_yQ8F7IXYke9GN1Q*((|_j&h)Qv9CQcFwUs0eGLP;i>UooT=udC@j z&`;`H;?xX`n;w2TO*h~q+>4#}`i)O*t}DACQN|K`?ro;&wVQss;vqfSUV&&)D}SWp;6Wn7u2jQRj# zVx&r!rCNFwc+-jsv-qo-o9kpei#2*{RzlC7+0n#cu(OkSGfZFRz4VKkS_s-Riw{smKhEy=g>+uj*^`RK2H8W8Y@+q>~0M*9Ywvm);-?-5}_1pv}5D z8H|Qa4?}tk@9ZskI@PQXH#=orCHfI*r%x|-8!KY~B6*g4p-m5=pL3rHBjOLepjn;l zURl`uGi9z5f@%I~W!)-#v)^`Y9opFdbsmBDk{IXt)C z9Af}@9&0Ni(JOK<2RsJtH7vH6bId0lp_|pr(emdovYY~5VqW>qKLT|lr#gaO*^JZ7 z#h`TFWF9FJASESsk>(a*yKt`_x`@WUOS~&l))nWj5g!HM6>Ca2V<oQ~*Gg0v0hTH!ennymzus`NyoZP}L$_!i$)R4+;ufY~c&Qr5#V}7us zy`N;g0>ZHtW=0Svv3NA&-^mGPtR?=Go?jC8?res7Fh?i&+ZOgzE+2Zx(X2d!nG?f{ z9H4V@rr#)3j_!xC^rEFU2 zwA?3V6=U7JuEnEMx*gOZ2Rq55ff<^-8>1*636WWV}a~9J@$2R_>fI z`yHsBw~%b*XH0jVDgGqLMMM()P%-nyq0O5UuPhalD*I$Qho1lANJGw!$8wU^C#JP_ zJ}y<;XorJ2)R^nEC*zbMvP?-zvZGHP4l@-^}*z6HYl?z{4Ntz@3 z0Ke5)5;*IMz~$FYdQ_bHO2KROZ;=s=jmkL?|Yy>2spKGqz$>O8St* zWdG4WvT_4Ay`J!}VVC*xYVsr|`<|Ol0`049yBJq8?q40n*jww~_d04O_csH+`;$pW z+H9xK2*%!EyeEA)BTu)s#^zy78MpBh{&(a7a#X_=)KGjfUphyc4u2eD>Gp%ug3UHd z-t^lsb0Zg#I=^dk3*l+rtGOLZ+wAsv#n{Mruj~#c7gjobAtzIY-<@S% zG7qwbBKy&Sh?#Ac@M!9ZvxOa+_)R~hL4-#do|k8Or_I>EE&s>|tpq9S{TGT2^fc3y zVih_SIs))2%Tm;OF2Q4=|Ik&13d~a36Klyc1|Qalg@2+{6eCy9++FB~A{S(mRNynl zhW?tSLUXZ?oJQNR5p&mNk;D48(D#0)op9~D>37ch^XYOdXOFd8*>=XeHtZ{*1}xO< zp+=Yw@lnH->l3YK&M{}tBHQ#zwcjd7gK6Looa!27Ot(MjuF#25T;OH$I^zjR-doU- zFil%NfvFq_Rgv7~48mfr{Cu#^i9R#4$2L<`D1{1XjMfWvHne3YJRq@}?FsT)b*0YK zd@8#JU-wK^l5D4)Fnp>611nAZehZ|W=^1~WO3BB=dd(jqr&c(B7~!3cgb5zBTKa^I zqEBm%r=);k+XidmfrOB>bF@wb$ng5#k2tsMDvi{rC7>l< zk5%ma=@`1eU&gNdSs=IGnW2^PirxFtfg9MC{1!1AMHAR9bxV#>^cY-ncUKh&>`gzn z6;pQ<{)^_?>3bn7t3Bsoojb*nkM@RZn#OS=7`f;#w3%RMyDIL(=pDIUj$Z~QuqUur z$e>p@QrQ1PE_zF?SyoJ8s@?St7eAbIw?V19&};BHkIVe}@8HICrgi>-aocT-VYB=^ zUuhNRLsrdv^^QWf;hVTGh_akSQs{bm8<}s^dvw`W1H&8GN{PJU&wwhd^i({B|3jxe zCvMl$Phl7E%h*-yD)t(b6}_AffXUOZgJ-xMA2;tA_qb%HzK!t;gi|!RxZ8T>^D#SR?J5JtM%EiF@7Iycu$EsmZ>nBOLHSAJoH$( zlELFFZl8wA3z$=NXZsC?lfnT>GbKke>tNZU)zv?%g*xR6it{gcHGR(M^O|S z9FV1kCR~YeK@TY5PWe#z%uxi$WmWlO3v7n&bArprRd3+P;!(#V#pEv*TEcs9^1-va7%?d;4e4*RRD- z)%>#aY;37mhwnAwAKGY6O9rm%Xb`m<=TJD+HkGkR=($Kl%tjtk2i`}*Jr2b_zlUsS z03QorBoQh=s3TYY!#DyP4DLourbV)eS1KEdD5=@cARa%8RP68e6X{5`x-3}e z+gk3VOYG!nI}(!CwF#mXVJt@-8Ll3=H+l)9+ml%{x7#&01}e75mvZO2+RC&a#x_H;1+INt=7 zPI|=Y$*~zs0^j`_%~jL6BfP{DDg~p9#>{W{x4;A6 zDL|%QL&2guAtO>}Hyc5%$b0ozsKj@QcKG~_pFk@ZYH34l%qBlxL9Fns!Fg6xS%;2v zcyRVmR2kzrKQG=HKU;C~#^zA+hFR+0L7l%MOm8YJZk$#|CqXj;D$rI6&tr9qfgE9GD_;LaH;@!HzQToB9rK z$DI@Um7B+mX9d6Bo3&m24JLjO=J^hcSwPVwWhE;y-jNe7=J;z#5kL)!*~JmSc21#i z;rZBguQ}b@$*G@&@~ntihWQ3V@Xc7^)Q{$eD|X9%vO)`xNmL_L zUY>w0yTZ;Lhka5Nnt8w0PCt{RTsFGDeI+l?bi2`Kc3Pv8<8Yce!p@yOcYAup)=nHY zCT|?(<+QH<|0Xq^R`z%43s}jSsdj&L=h5m09M%~q&ijg4oRoj9dzxFO)4K8r4~?== z$(`QE{vBo>{y;m`K*AqyzL6g$uiNJ*pJjXfspS1+oI1_3V7&5d^G!ya7+6Un8m42}V@}HLvXmIP_%6)HK{+oR< z)0`1SYW#)iHCFuAgaIIKUjeVyJZfN?iz5>&tJa#OVz^gsQEZT7C+%s-FGddib(8fP z__Wr;MB{caWD#4&5_=bRAV151!nEIxWb^1QF@pbe z96xH=3obD2-n)Qp;?XVz3;-T0%H?KRn*eUn(#_Vf$JomY{DJ?`Wu^ z7QnRM%tbHOubBS&W9r7Ll%AJU_~;BHb;D7=9}CbilNWjT?u}w!CfezhE-Pj-fSH9` zFg}&r6KB-%@Ly?@nN_@6Ic~F1oixYJGfJ?$8=S_f$EPq?d|Xp(CKXd685ocMQ2K(a z@^uD-r>p8@GruK6L9d z5MQ7@r=xr2V96@+xwdlmbYoJ!95ui7YTMU2St>pEwF9D5G%=-V=`(kWrsztK${3Yt zONOGF$+<(L>9#1DE66hJii3N+4LfGJ)MdeI%Pszo3u;#@e#*g3HFl5C1gn@*|1)li zM$uu~WrC@T^RIn&omP`$U5_mPm8`)1jSGlrDw@DX&90tQU+^4}jpq9pp zt-8|~^ExG9lqLbW+~O2f{m5cHPof6c*OntmZ5ifz+-jKo-!(AD*PYVZVbN{)jI) zO8)Xv9xd{c(?}HU*$NVTdA-)57UVyjjkpToif06q@N5L6Ij-OUpF3lQ5c5JPQa zh|LiT!$b`jyCbHN8+InOe&ou9o;5bT9Xbwk9Fb7e6-a zIvdLK`0lKx*hI{tKV*L5kGtk4=Wx6V+i&{gn3+}~6|qq>aiN;+i^z}DZ2z@2_D8_b z!&yxA_Gf_$Xt_`5MxyV}(n-u=p%ghIw z-uVoXnC*^)g6l7mgy6IjXpd+qbg?D*si?h1{jW+CcR-ko||f zoonP^Xge{^t`LbPz<_Zq)TZ(_Bm45pi>N=8Oqg~&+u&EGHc-xYGXf#PkM<_Qm^5-{ zGxh&1o7qylj=&RF82;ZOb}(2^Gm5_^p2|}>Fonr|m7RbrmB*LG2Yy451*b2uyJ6`E zw(TO#d@MG|YG;f)qSHXVOwCQ3RO!ttXuiq28(9E{j@ge;FB%e04FgZAG0XxvmiLpD z8*qD><8#GAV!5Yp^po8>`^Ed}$2dk}OQ+j49B3 ze^oVN2!}t$(EkpRfCr?U1m>Y?6=^ujiHSiP0>c(U0e~ofBVKFT$v^Lhy4K!Gb&Q3uhk;?WI=xtKVnLScrQWv1MD&Tuo$s%ffkk z&-Cb|pxsrU1@!TCq`CC-v-a!-_m*e0*%8@C*fuRNzR_d{ivAlb=Hj!8a~=@WEZC4F zOZq3z+~opDrowh5xd4kXGgA0 z|0JV7HbFgqejcT?Lh?$aG(>mB-8i3ZdAIwzoJH;lDLh6{RvqmI z@57Sl)ror|0MEsBqQl~L-sZ>cjdpii>F-5rM{iSQ@+u@AEb%Mje+^_Z zy5-_lfU+*xtyXIrd=Hp4(7VkyDYLzy{1kI`MUDB0w%gS?wMO`yd&e)R$^KIBV&Pm+ zD1GSaY-rD?!IRY(x6Mwjg53q(LhgME8U`kJ;oJ5&24db3w36qmZ%9aTn(VB5&$y*F z3%TYlx@d+T5=8W~71n(HmDkujjqxqL@MX@cW%YH{{rtH8^HSSUo~k3te*TZ`WEVNW zss3Uo+=InHDR2Z6K6Z4zRLgX6HnApd+!eqX={1B^8p|kkY$Rp~fAY{_T@A!ps>&Ay4OU8oT-QP58Z=P$-$}Yb4vcm)9*0GF{@)e$bNs{RXSt-3!_vRlh5P52LmrdejRaH&rK#MpFwAIi`sm{j-_Wdp^sjC5L0_Ff#4sCjZ3wT zpR9DYn4Jj!^eL>{6$p4J5S|zbp~Q54e*}xoJ?#?%d-G1(+zln{q+Qg>5aO43ALDYJ zq+*V}spbUbtX9#VP^lOf=wm)g?ETP`e<-PE#eZb?!Sda(9es@u+dI4HJm#jKdgv07 z6kD3zkN@Eynd4={cK^#iyI{vXK7m97hQvQrIE!GS zZNbr3--AaD_r56hn|csy^qY>ooX*K^Fb6IqaoApZnDE?xb@zsJ~1rs?q1H7Ms}53Ovst zKkbehyUH^#7lu~&bP}hE%%0C|HzfPyCRqz#m52H~Evek2y)Gy~a^Ak}Rk80mnFzzHZaL%B!QZTbNqOc`ND!F2TyAZM|_Gq|k5_`WRDzxb2Q(AWe+C#Z471JjD z+L`!Z?KL^gb!0A{Jn8OPr$`1MX<{}~T-^}u?y0j6XXY;zgO^GyXhL!4&Y;n)2OK&T zSI3CqRN}3OnvFT*VF>N%8Xd`aPE1&Gohn!-yea-o7A+eW>`Y#g)Q5fsS_2E2GHZJRZQ+Cl4 znH8-+&&*mbwl;5VsS^0B#sF_=iiFrBva&O61jUo$1p9X0CN9R6vsbDXHdwqY&#yTJ z3iirFog`akxo~U(TG_JkcD9^<)s;UN*I@`QG&2uoRiMh(=Onl~7vDQzC3JQg@QlEf zT95NyHvQpp(z%3DRk^qnM++F)vpDY;DbveG=#8f97e_pb(x|gai=5y{+T&i!kbZ`T znM<7Blh~-&DKkBDp?Lk91&HrMR3IlYek&W*pdisg0+JB7D`x532@sx6A{#s5TqcA3 zpvR-ia9mL}&)tcDoIzxtURQpcC~n0XD6wr<(4Eq zfWIkisgYJ2#AkX`NVT!66!SN6Y(?507B+KKbEBYUCBXEk8)WPDmQTUE!*MXDZ< zucoG@>AoB>l~AEyavX7~9sESwjI0#Z`M7<`te@l6<6a0RE)`+7>*zZdU)asPPP_ev z7|p*f0u*Lrc(I7n{Rzc6&Q$Igz{OPufywdCn%7Ck zDRA~B7%y0^nVLH!XlngGJ4v@`P*4(OO=)w=4iPPWqJ~!VDy_zT>1Ih3o*^49mx<9)+gye46}%oWAfL2UAX%Ei*4|I}ic!~a zfVj_#OpU5b2_@}}XPouTQ#-%raZjGH4uf^UD%)@VWvHh!!Of<3AcH$ykWshWHD86> zIj`$0MN^$UkH5jn48fyAO`O5ldV zLxiAALcNRJ6LnO?Sy@^a`-feV>mfyN=NCCtk8m&8fX90{guej#%;%2$522LL!9TH^ zvj$h+_`DNFL+m<{zG0-R40xg(MYZ~9?kteL`JMQn|0C%#=PaL{w{qt0^i%@Bn|Q{siH0e}78^i37X@TnDgRCMP_+`UptC zSfv~P1f;Q2e3mf5HS-atfX=jT;Q*bX&KYP~&hI({Z%0g+={pN7?;nc^CUDDy4?4P7 z-IBqV+rO>X{GpV4`sEArVR6=jc3r&cex(9^!Nm-(ROo$++NcM)fn1wI`Ku%I3l z%@Aw*V}{9{`8-zCy)d^{L^UaA?nIJ^5%-SKldgZ z$3ux?u~thN+Mu3Um%=iuJNmD%d5^N>dgZoK%fCy{?5ndOnPOH!S6zd zH&i4fOI!sL-E~BRr9HX$#fC;tQE;8Jci30?H%~OaMl_RBxt541W^v1?cb@LE_xQUDLLV&Q zkgT+eINtvf;wVN7OKX0Y%~P#`s%w zq>?AdH*yJoBX{8Ki@%u!K4|+|G6PcqoB(@GZ+EJ1#R2*bUDqVH z`&PQ+^g$&1OpQN{+9Uo{RQPR6_DXWG2;PBws=YeuEgz ze&AL^JXf5qnt%zLsmHz378t!fa9o?c(=|ro-2e9LbnM_m??7(6x(_sVmH2^jWkN!& zOGzGcRzcq%$1065I*{u+<6{b^fDgwcm$i$JQsh*3FLm?2Jx&@#x(t~-eix{`;BEl} zR{Y_cZF&O_B&V;meeMe@oiP!YMU5fGTR;6|XcyMdgaRZ(Pf=;Ba6CT~sz}nH#RumFFJyIe`Q21d_$@pe5MPXkQBR{}?=>*0CJ6Xe zGF|FO6@J?(iL_Kjgq(OB`3Eh0EA)XOw|y6hwkc~k;BreQQ~O?7M6RyhnLhIH6&KuR z@|4t0sAI04<)X_Vh9+zY@n1d_TbmxS+r-$wFj@qlKNX{XS=JU%UG*WSM>gM7Tqa7n zHVa=W+$!=OBxmYkN**csHav18uDa@Kq5?&mqV zC@MOf=o?Iru-KQkT}gK-b={mG6jl;z^f}b?o4}MtxEbdN{^lV36p>_a5|uoKlM^wUn>g z(Y!Ou(p<2SThU8JCnaY@i?#)nZMUlHi%HpM?JsXrW`Jf`pmO9V7VB@-E9zxN)tg{@ z-gjyuw7Hem)tl#HZA@xloP$D1AY(CDd8*@?yF_j~{uJBCgX5svC`sWrnhP+Mmp8xP z{pt2PRo%sAT~IbNs--`)>x)cuibbx2EXuy+Bv4l6+cwnC<7W{PFPYPaQKi}TR0v&`Zad-Tta~VN0?h{V{!jWa@qboYZeIo05wH&XV;a1)bUvD zp6&$g=E_J)mJ~GCa=doQKmJ{k1&R9wt`A0A(2Ce`q%=!NsO^nCb{&TvX?di!G*ez; z(YCMR9J3uU4|aOUNSB34tzV&iZ4_<2kBPu)Mt`o^c=g^FH)a81il5O^R7j5tm-}3< z2i*S$(WW}{pYWxuZ~P_D96yVAuT(on(Lc)0i3(f~{(r%=tTWS&2i2W81t~a?CirrA z(CPBkA4$1&+1MaJO9$G>{x^u|Y)Yjm!5~PQ9u5`uKeL%37qZLqR*{_2%b^0z%YhZ+ z;#-GTb_arKwf&YIYJZ!RZpt*9YW%(p`O_hM&qZ(Kwy}TMF(xuV3=afc^}>0qSX69FfTsm z7>uj%HOhl6%2yQ?i#FO9YTN)ygH`LC28hd*dy+7z`ITEz`BGb^xr)?$W~Molr>9db zm$J-!zraxYn zVt~g5U>Wk4Q@Q+hQGprrD~QNfn;d!E)u?Z(DZfz{XVrSMRv;e;(xm&IRs2g-MN_UR zYs|kuW~3sFlT}a$CQLG;g5XJSa=M$>Y13kyXQd+Xw(@m{hiq-3H@J*kscySYd%H3e zacXvx#^(>VPfkG8l{LLhau0%j8l@NW>b*huXHIeVS?{*L*ymHdd=n_8dV zVvD$y7PvCX3p-eGu|H-D@=+0JR41pLGV<Vvd4Rj zp&2#s+g>izF_d0m)r#WQWcoW(&?ryghXNgo<#T!{Y<6lSE^eooeD{VFSRS5nAm zps~$JBhJ{q`qD|tN50ITBmFRc^~bsMxBV(-5Fgym$8>2%&TQ1I8a(px4&G7_=F`*V zFA#B%7Y8VYXzUmBn3EozO526Z4GzCP*$@UHGVjn~lU1JaN7pU1f~3uolIEZCDpDhI z8tv00Pi^22!NV_tnmzo*Ep$Z0#Vq~~dpg5BrT;0<>7)OykwQFTh8Gy$F1MQR*l^nG z*3tF@AOX&Sj#=*2Cbkgp@jM|9!1?pyD|!{0Wr_sDao4bA?;8(-mhk`4^W4ZyC4K*Y zDxXt2{P^FUcROUBJTvw!{{d=-X&{gWm<`;52m_JG^Nj@X4C1kZAQ@=sfl=xIsa zsCuN!DUY=UDeIVm$_g&ct=dAW3CxPrgix(A?b&Zw_IM*$Sjb%X;I*KFKP|c z5r1dl)G<3yk5fMA&ctgxn-X#-YN_ijU~}%dv1cx&=F@>}F_32}a?PyBXh^o@_xgqD zf<_Si^cEe7M#0Z|rwYt>UpFr2biy>Q(RL)i)tL^`6YR2YXz>x7jvoLiZ&PBiWU=@n z0xw_i-tkXc&XF$J`IqXPe6bv{>=w{*VATiyI4J#Au>twjH8Tp+2Iu$?HkXw(4m#{J z+YWc3rge{aPo6wJdZD=`w5SlO5r#sg)QpA{jLwXxZR{j#Gl_8pJx#vt#yP+EK%6|- z(-jbf72es^Gg{Eq)pw22M21bkL`GGRNHc*?P@fnq%>+Fj9sOL}DL1;3>DqqYbd}K@ z|G}y#bk6fd6V1s_{dM_er5|gXR3YY?M3i_6|Iyka-_^ng9{Ni31pN2KNW_i-057$ICE?{rH#jRT=f@jun`WG=aN z(_@#;R75sCVj|R-ust>vGV0#WN<;l0Ur7gq_Wf-O-}&O3S2H=#QjkUV+5nHDyCGp4 zbq-tZJb!nCg+PA?6=ei6j&`qRs?I9MxuIfp>33NBWi_?!J5D#^!!SYV^jC*%uXX;o zPc;?j8mGE+GRkX9QVhWY@=DE6TcWdJ0mX_L6C-{Pa2;H}177seZG{qf^VydP*3Vwp zhC3KiAI#JxE|&VGcIihnWP}kB_{7{fVu1gY3Xf1H@s&fJw-H!?JiAwOr{RCH&vC{W zAIWuj+s!CU#oSluR7Qf^=|;Rd6Kdl2I6QMiHiMbnl^xznj=+XuQ?^p-GTf}j(*y9{ zMyO!Ae@+R8Wh*uOu$>O#Hge|dSyu&HDFxb9VZsCF8zS1t6DO0N>{;sLocxTd7dGqN zesWbT_np1FJFnJ_Zq8A9gP9@w6WYm5pYYaq753)f0Zny<@zgKL{Ne_dC4@wuOW3OK phf1)>5n2%f1q2H=3?1K{{Xt-ebfK| literal 84578 zcmV)8K*qlj000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G_63jhEB005##0RR91 z005H(00000003f20RR91004La%zbry6j%56ObCJE?(RDQ?#12RU5W;`;94w;1$TFM zg1fs0r^P8w(1cPbKJWRSn>)Kn`~3cXr=MOnd*--YomtzqZSyBJVKEH4+-2~8cKU?x z{(t*Fh6x!BBa5Lvpf&k3DdBv^cZQ+9QJ>X4>a%z2jqClr`uuO2|Gr0k^KUxd+`X~> zmn7cz{4ZSZd;Ztw2VQniy#DX@2jB0@7E8&kqWsc}&S(Do3q!p>f9LO&w2DGYlIvf+`9zyy(Z~&)(lF3bFWmMM3HHlc}lCN*?t&4&V9F3##b*@b})* zKIeNBuEJ6_QSvJp6c_bb$>wcUC6AI#egE%ol>AB_MOodc?4vj)WZAqaN3d*)vcggl zzTxlHH%cS*IVn?6SaJFDUwo(1-P@k(ex+9`zSEngl3z)ck)aQnPA2}WuoQ)Vw@RKc zzF$R)x<}bf;VR0i_4aA{e@UY5@s1yF4=P(Jz5Zd2-X2tUe&g>IU+)N1ScZYJr|grA zxhtC58a@P7QT>YPC@mCCZ@Ve(N`4jpiep-)sVwl8DJUPQ{8AaGcq#76ZVFdfMsa`5 zxQefm+}jS`R#LnaRJAI`6iub6igtzN#}azyl%k+`DSImWE6XSwDr#SCSTB{~ORW$6 zr>m~wt1Ra2BgI$AptMupcymnS<%M^wwUSfaskkc&>U+cZK|R&?$p635za)m?hvq-7 z9um}CYSa=x7S@rzV@_B-VHR9{(Tt`4?F=qoS@A$?IipgzRRx3N(*eftZY3D=O zy*{58+a5{AK29F{0_=R>2yq*k#nc}AE9p+xu75~YTxY=cK3TzT(65BJyP%*R=j8)-~>c#Hkg<#k-0H<))L%tj{bLHMJmP z3p5APg^7YWYRZU=bF8jfv?GwJ4Q`G(__-(lvst{M^c$&HMZ_c!VqQaE50$d6 zrRQB2sjkmq;)^tKa8j2ho@P-`SEe|TUrwa^Ox$ctUp+$XZ$3$Me;eCM1rOuuPY$)) z!>hsW5b>r+LJp=-$HN3kpfFi*fNmw${A z)z3{~#nNiU{-7kX;Q^z2NQfxhBAQ~)z7S$h=>5z5)p@^sJ;$Pr*1TDAZZz+ax7xb$ zXvL~QXyW8HGWKx`{|%#~D)x{BH);YsAl6~gDX#LEzb1YHQHUJ(C>GQ0>aK|=qb#wn z!KnKKM~lJRCr2d?JfjrQtM51@W{h6I;qZlo;aIDOP#lDS(~{56-O;s46EA>@*9b|p zccfcX4jvPgcnGXUrNTCL#W^f?CRokHBgo23yaS46HoC|0_vZG0xNfm7Bv4m)W6xI- zzjeZ4BJ9*=(X~q(t9J}YOjlz{Hk0zuZCrkKMqD_WRZd^#wtlA!^+OV&pR#L^Xy&W- z*TmpH6*&~slhwW=iQb~p7a^nDTh=@f)vBhFr_Z-=t<=QZ(k*6JC>9)Jgs7Efg1Gu( zg=Ok+s7gB7kLey`MEF&4r9f$U@mYH7f-WAH=!01edJmX7Ni3b6NFqy0X>3W+VjqEa zS<`M3sm(-Dvjk+-RixqSyr=SCWuq?8{-zjqD@xiW3+~Kt<_4*vVDnGt z?VTmVHSg~Pwo^ki&3kZ$#jY%x_YS1j3t-Q#*FhASJA*Scv*u0X5w}quw)ydCgE%-R zT81VBZ`^BlJhwXUyALWuTWQ|AiLQMJ#5M0HnMSy3Yon$C@dhAXck*bFG3J!0MrEt9 zARiVV62GQVNiEBTkZv?6M22Ff;=bj#qZG>VB-tlCFNF-FjE}$?pVf;Iydx&rqie@#>4QZCG&O5|U z73?;e_ec6*oQ43JH|knVtyo-mY#NmR&$`CMSrG5K)GHf12a;gDJ@-@I7ghmrDJYb8fd27q^xW)#R*FS6umwP-Ygb(`~n?XCB8 zt@jRcmQ8~L+xSntdx0)F$S}S2CO0diQgv{fsA5i61EVh2#Jd5)gSP)9-U4(n+KXb; z&%}~rEhQ|{8UkpeKAM`qy5$1|x}L=(okB1YemQftn3MM$8+DsDYK?CcYtPY%k9)F2 z^mi+xmiAT1MqKg@PatU^x>wxU7tN?M5rt1-mIce!DF#KXdDx??qD=oW1?kToX2vi}+9QwZ|! zI7jre)wUu9a*>)&;aXC+qpPPT-T}jgS`;#N#CW%OFh1I_rX_4%(T{jr@eYfGk;59) z113Hgfn1(SjpLHg%?YiS+U9eRoY}}h_V*)8#qN4rMUDsAEN3R^f?};G;LPDS7mF+P zQ^-5%4!dG&mz;sC;e9(}nkk||LZ7H{9srAsf%3U_tM5S2Jf z&dt%(M?|`*ss|=3D&h))B-iGhhpBvBNLHhvlIZ`F5bkm9$Mv^qlvc%-MNHqjLq6ZbV(o0<|LzS zweMewg_T7=J<>y=z7dMZRkuc!yS8owr6-6^hieD&7q4?9CgBE!B!e@}PhIQ!x+N zTd}NBi1R&KF}P&fzlr~+OFYEAU4j87yM%1+HrAti*J%*8^>0_8?#H;KH9_^-JZ_>$ z8*)L+k6FpeuPgEagu*YtZvJt#sI(`&JUh6U6`-*{Q_R}mkp6eCY!lnoXW;aot--sH zUS%*k*w}5gNM1TdRGV`#zC}xJ#uMu1niWzu&!Hus4IwJi|IUyqUg{&RN!l#2A@ovs zHBa*XqDaz>QFE+1y4WF5zBuJrj$oBz+cfdF--zvYlF!MpXOri-D*Qt1_<{;|QTA?K z?jVXKT_&#hSF}1&>G8#MtvN)Cje8dNz93RRNY0AApy2zSmA>4BqFIM}3{zt-TYlUc zi=GQtN`Q0YQ|qa|@0qmV6w~2U55a)G=?Xa46mS9k{62yI= z_cPGYk=o`!GZ!r+sp|wqg3$?P$$r)?%KbTBw4bxoa{BLz1TcMQifj~3e_1piQHm9N zNW5EtcTG}38E7^w$2@7NM)xQeE5O@xY2H0^-F1!Cih00~D@_)oCvM^Ne@w&!KpZ1d zV?SzYa=BjkuTnh`!e<$XAEOUOWzxCiZwR)l zF@Vr1xa!UvSE#ny5dzrt=swfEX0%9CeXSUJ@qv{`hrc{O$$B4IlGJv7sDv9r*7#)dke$Wj?u zQ5{+|T`tZyQs5k94Hj(*Af3I(Oy_G>byeoc1Ysv9m)KZGFy*45V%WE_VosJJRtj*# z^%zp|z58mO6`kWtnXg%QYyaPo>*pEZ&;Ss>S>=MLUrCweHId`>^RmFM2IAT!#>G9J z(fvnoAl`-@c&LY1Uu=Vz5M0js2~*>`fL<^?>Q8y!b)xy_6mn5eT>r9K?+%CrzR@pD zGCBXvOu4pW5o@1z=s+55*|dB>Mvb#fq|TF4<_;ZW#nTSW3=OY@H6893;Wa7O@AGTUy#2F2JXm24>vEBO?SkH7I(+rgcgRt85 z-B(46JoV-4qw}mdq!`CqN`SE(V|?BCPK-{_fQiEx02me&JAl9ZQ@j;dC)Q_hEQV2& z8eHx`^7xb9iI*`H_8{6f;L#q<-fbfg;i`Fm`n2ELs0;Qj(4B&2LY`PM{mCIroc^|1 z_#B8eKZH!uim{}1S%DqiF+rCOBe;!LuVcyDN!*N09O=XaNyQ7w=}2$0cbwR=QCbCt zVodx8wPt+q@@*JPe*Z%epGQVz$)97*a5k{DQS%2~v|?$GH3wU&`L=zfub*6f_cvKR z$3`osjuslC+D1ic%)%F6i}3V=Su~Ao@R$yPfU$P6%s(`!OjD90l zG*RGh@9m)E=;o@ys20*R{(&rFa_DR(j#Bg&^v2}g7)vCKO2c1^ioOc_Mcl~zzT``g zQ6QnSq(_OW);WqbE*>;vp}_%?qFl4n;@yvYOguXUA6JJK1Tep0KTemPPBE8Q#LUe- za7_gAXn5?`i0xu?=4hpdkRz{>;oHR8qOq7b+`%px0;5*NM04Js+eO>t3Y#i)5WA!+ zZdwiknzs=*&>}Ord2j9$S-@C?rtpnS@5Z^YfP3QW{g3eLr#<2fWxci^?y+Ejt3#fmfwJZnsW`1?X4 zM7KQMWq7}p)_e9Q$=d;3fY|X zz5+-QZ|v_)=2$be-e~}-4OaiGyeQ_?E-goI2(*4`;)w`gLQOj)SE%UoK=orWsO&NE zo1~Ln4`$KWotJH~VvyB1Qo&kX$IHi%b04eH^9?L<{ea$>FdKUl$B>DSC@`$X;1~$A zyl5)<#*j~UDKJVd_Xd1V6EeK1&9bP(4r>od&iQ>GE*T11F?PHYwMM9_h=gX3Wra)f zVAY~~rFUX~7X|huqHOk!@FiAP&9^zjML?6$Y}ESH&2bW4q--;vQsV^j`EeI5-qMCx zrIC!Av8|an#8-wT50rj?owA;RI{5?lpSFmji{^KK-S&yOlFsv>{sY5F|NEH`|g#n?KQj1o}nHD*-xzh#!4W2l-6 z;*7|A58$t3S2N43pA!aE|r{!7Y(5Eoickg}RWyy}aw(Io2>iy@;&~F^xRva(_}Zh44EvPBf3B{niNQ7&iEoVRT}S2`A0j8539)>164_Xd6)@`3 zjJ~pDN|gpWe~tcdXf8AjZ^e+gU#eb^%NZp41TJSF$q(r(yJb<>=V^_LlPE3mHhpkU zbEBd(3x~+(4SfBb(LH*0qb3T>n_5}qFPFD-FpYDN;;j70+2M;m38arY^(GwNAKLff~&yXv4UvVT_v);2YY zk^*Hj+-&!<~V9Zas+2dwoZ8rRgPFls+)?8%{iazaN> zU1OW~gi&vzraoy$qrFC|aAB6pmYa@9bs?Aczw5 zlyO_Ej5?Czz$JwtrR9HFyz^-;ci&oW-O+kqgfAuWw}*cU7a`TVvr$s|o*Qx4 zdP{ER3jiCdHOBHuFU9gK0kT`U2Uc30p#Ym$F);?zPA*4IS49)ZTz08vH*6kIn{|@Q z8IfR^k!z|8h+h#haVsU4yQg?cF7qav2N@sX^zFNo%V(Pvn2?p^FX1u1apSe*^2Y9H z*eMYxjSv&l{z@*-9Z}$ogv4f<56D3f(}O`QLzUN6(E@};>9oE=$ zQTBeIHyC*EvRHK>EBiYak>jpLNq|<5k<&F>hB=%XhZuVny91yXkctY7 z+lZTJhq$y(jnCQ5Fe~@e6>xXsk~_riS_+$pE1Pend@+-wx0;!EhbUV~f&UT#qX*_;N0p(8}P$KyriMg2TR-G+XmHF}KHX}62UWwOapqgq>A zbWc@BU?mS^1|792{WGTYu8cbr()BC#xa|EecT_P~B?VU|2Q zUy9cLf%4<3RaSaEtl8&Szjv7k5xi7YMXn~`t%4Yznzr?kqOeL}2WK|f+6!ZJFO!Dhb1$I34ja0@AI>`QfJcTT9R)GiT zm7=LAdzmm^Yo92&tXM5bCVIEaTBB>r7D(|B!SwL)ThZoiYuSs2lB={!&VVk9pK;+O zv38RhkUb?2v%g!y)d$hcyPsGN@S7sbxMo}7+9fNIL>18lOuQ!M%&Q>H>(i}S+9iKM z@9Wf>Jn|P%X%U1h>+20`k1LpV@ zY;oAls8BwZY#XS+nDj7CDj48*#6*gkiDiwW3JjC8*~ul5Mt%KHEO}t10z*{B_B00? zCzkt9DR3G}EiOGDkeuI8_O#6}+z+;PX`|8#RFEALW$)naoucog{Bm5nRhFsa`~b}I z53v8q8zE}9?BOWJMlFuwOA8Bznc4^!C;b$-9Hk)hz6%*ALJ7^gVzpb=XYG%Q2&P*I z+>HJFWX_kr$s8kQTDP@Pvq7_rzC?W9ytkr!odD*ok&T_8TNOEV=FnTw?^gxhNwPBU zX9O|-pvLKm&VOEkRV(E&8mig$ZK7bV+qPX~tE4vmAP{@(0KBmmhA**VyVzPrfgRp- zTjaH^^>$GyrvlS7EQou-B$!Nv!D_k}tXQO=d=VMZT%(99KCyI%{Bk zO_It+O*p9HY9SunRD**f2%l{9<)v?=Q#>?!k-EjoI-WzI10XpK=O|Ja|0aGPJW?#l zUB>zcnnk@9Wua}PKUDwSK=Zzgf_Mc@@-TmqB)^(TI>-aTb}u!iH(1A#G0~S;RHDZA z20M|;WDZ8h<`{=)yFi@J?RjH6-8&C>3P&eCLz^WP-Du`P53IZQCiM@xGU=^5e@Jl> zE_rvapLjKPqxiIbf<>iNU2h@9OEgbEgo(a?tAiW`wOJA(L*pWtI&Ul>{8}yKP+UWC z&Mv{E$0$e)9OCm!{o+3tNVsSh&Nk>Mw_(<8kyR#0I7@cxv)3x3ySYAei}?%PV;5L^ zP1MR*UoNUM-6{^6xNz>?@FVSv&l6vW%fV^|Jd+mf8d=p&jvEsVDI#`epTnYQWCLUk zR?{1-)iyps##2zt8w^KrZ!`d(nt*sflNVxtpyG`Q7rrz8!G{a?@lC~P5(a4B; zB0Ev0$s*&y&0@=*an^FJ_$~A@BM}_^kDV7Yo|TrtLrYrUzztUqQrV!=pMv7)T7ckh_imd|PI8SbPgE@wPIl`CB-42p^gL|NB%0^$VKmt!kiO`}Q=vxAh zFsLod2>N8wa#4^GvTUrl6<3`aC`R0PhMI}HPj)DTTuEkoUL78zMtZ8$QY zEMuFak}MUMzbJ>2k<}dd0U&!|x|iLTh;m^Hj5PR!0#Jma#mNq%b4xcCD?@`++Fd8GBhRtzE z)jWP*WtP`_!RwO>~e6)W>_{F*u5kxeKQcEod$0$+V)!$Jfe-q zw1q}SK}PPgi^P%PYH)yhiYW8CV%1+FRvuPhjDqNBpJ5yV-QCngu^J%!MFr5UPE8ci zPE&laXn(`sFW_(eJP|O=)`~rvHO`~hQK}neA@F{> zeUZpq#G@EfD@#^WQVl({ScJCpD281-qX7cB4gxv)#fpW%-1LxERMF^GrI-gtintq0qTy`O%I`UYzmd&b0;vp)S`arbNq(FX%9ZXFyhc;Y!yI?d*M^QJ(&{bAFmA0>-Wv@n0OVI_r|$6y7KV zzdRUnRW#@mAeSd-X68boD;G9)pYHGUJAO8IC@7Nsi`G5 z8&$Lh>&YHH+Gd#H2;8yqg2*{B1=qDcWEL(x`C*Z)Fsd%O8+NRBC5QuQ=sJyhPRsvp z<5Qik;>*T0B6j5sRxlCgNL`A1eCQUV{mgKYc5yc*u5C(lHiA6$B>^&*j}WuZDKPSz zhwt%#ogN%YlzT50_^Cw=`cuVcJV^D4{xZKce=lOhSKxbk_zq+o#|m;bnKu<4@v(d}J6(v5IJ-UCL@tAR0{wJrz0sU+p}FD?LQ33BAjoo+-M$Qq=*^wr~&Z zA?aAH_9Bnfp3{BCO>j7pw!MgPbcR@6@~kydckg5t!%Rdp>{PR2%1lkw{M(7tTrg^C zNdELmOxduH0wYpAZ2437rhc-`dFpJp!UtK~2??58kud z6{1lG6RMdV2K0M0M&!!1K@_RG!@dZeqD#*SBw1Tv zw+p4GOFyVHMY)L^^QK4JY|{;+>hXER;g+t(y5`bQEixR|WEm++ZXYjx9{bCxMmb3Y zX_g3Q4W!0=)is&?C4aCCY=7VS42f_Z0NwF0U8jg}@h-5t!~?&-(6R(qhaJ#6HcDgr z-!6)i1y$i{sm(&q#nP!>(9vc&*eTQ%UsuJLK>Q2+&Bu#W?GH{U~N!rW%aau~yZmi}D#&|Lu(K*P&kifMvDSu(VIB_3FAyTnyURr9*6yOZ!j8 z{$pj-l0PH^7%fqHCLYc#Z`2$kN8jycrP7ioM>b)f$Sgejis)3KCR^=y@)1kUCqQ@| z%sbZ3=oG}0F~&V2Zt7T*TTWO@wP>_`MtvxCJoc39FGb#sZFqO>E~Oxkaxw9+2N5gt z=HS=DD5BQaoTc8oken;$nICUNf$Pd+2tKZBqlmRR%iAnp|9<=N>Mtme8p93k5F7x?AJidQ1=ascPpN#e~pMwxFL_ldZ%E%3ZZ8d#QX zK1c7x@}Z%DFtO&ne91^_EMcoT5fC>L)SQ|oOswmp78V?<(Xt*rHVei6%=l6yIHbUI zCQdn&imPE>g?2g=<>@sRVJqU@AvqqBH+`-x5P2HJWYM4(C(&&1u7G=*7T7J8H&Dlw zQABxgKxedU;|MkQCd$QM+y~o}uv!!tiN>S*%*cR4u$mU_VEhuEZf+Wav;qlgRBsq8 zR{d1#ir9K)kJWJ!SZB%cVRKv`wIn5wAheL=Hipk`Bl>(_CI(+UYz@^O`wpwsLb!G* z{Zcdws4IWgnZ)B%_ShmQmJL#$fBjroL8`ORSzru-q8+-`5pCYS zFmWVrU0HGMBx{g%=q*gR*djlAhlzXcXvFs+cYlR#p1*n_t|zF)E?G;F${E@dY}dlF zTCvXMWBEJJV0$d>yDOXgP+}^dolB{Cd%y$AUlUpSt8$OLvDPY`1{83r$$|K_XB1Y4QEkOKYtz9#eow>wh$)vVG+K zn?d~a_%$tgA0XyMXVJXORS`3WGE03O=N^G{Fm3gl%W=*f6`ibg8=cTBI1(c642^JR zR6N3-4~NbNhdM_#iO;9~*yhlg<9q}R)B+I|{bI9R1mY@mX5JUABr3D;mo?yY9jTe- z&2Z7$w7Qlz#}PAdDN2|*li%bCXRv0T1`As1p239 zg9{>ttp+Bmh#X_Tq7Q6Wd# z6t=%n2ysZ(I27G1h%jc`1vHdZ`ES)YUtEM)=uyU~vF3megE*bUiu6k)1qaO5i(*3D zfdjRE+33Sb{8gF$cTQ^Ddiul_uF-!(pu9s9BiAI+`pjuj{l_wExUQ-F5NiV=z}klw z#2VAZKs0Aa#eaM9=d8ujJS$vqgDpp zcF=Kum5ZY8?0j5PYmnq@)QO0rF=&QX3ll}!RAlU_8hZ!y2C@;}H&hhurLggq9LDy6 z_q#5H^c86b9>sVAsSO7F*3Hl9>2R5T}FIZbK~FE{cp*!#So2 zQM&^hdYkR`Y!}_8D=^&6z2Htr6%6GYHr_5Sc2r<^fqTIR-$*oUXwer@FLqQ9$`YIA z22m>$-KcuO7U%#kd3$VO|Lu6kmYOL#n3U>Z1-vBSi`0^Mc%v?11GN+M4Gp4jB6f~IBj7_x49bqMs|y_`|Ml&{S4 zLMcEnuEE*~%9Z1gAVTLB&7iL+CMh^~>H9X*YuZ{kYd}%anE>8Ri z5n1M3w&=lVb)_WYW=4FjGx3e6Ijx60n6kVzigHBv`8)I&V{;R3Oxk;+hC`kJR}Zg^}cn$3xK zivS}w8x=<51a`xoybtW5qdsZ7(kq_mo+y!;id@2@`vDO8E;Y_D7CU=8w7(}n=-)s{ z@9dRrv&;(9HfKJYkcWK}z7tEI)n(C~vbPRl#`jEH|5nUAtH9vRt2Ip>sdPB({AaD} zrcQ%L=z$r#;qP6=u}15K-`$6nsmntdN-w;ig$DoSlgh_4hx0-bmftu_=(w_N`l65T zp7X7EJV1?+L7RhaS~NW2c} zz|%=`(eq_iTrHXh{P4p&QSwdzTMg=XAp9vo!*H?ZvjU%@bNAf6$A-#xVcE`evP%C) zWB94s>_nVH@iSCjhDy_;>N7EUml`9}YcEtNRze*8g*xWF^yiH34OOB^3 zS@Ip24q?T_Y?Jt!@Xe(p@27c}!gy#XAv=|+_=i@mMLJSX06Q6)qRmE$OI5~;S$+#V zGb%cA@1{q%skzZEOniBwLXl)q-8*}LkI(BK7^@Z}xEgunhehI`NqH<-Y$>32?&(F7 zYSiN~i;fm|mujwsTD0x(SZ8X?Ek}Yx%En_w$HZ>yt1dk?2;Hnlk!~05@LB{5HG3DD zcntKwRlr*lFHxAqqZLW=Wt= z=4|yP-q#QlcgMNJpv=lFZz$H7cyA|KbcSB8qUHwN`v-z9TYvo5S)%bbQ7xvtrO29 z)>xf%64A*Vw=tV|8Gq9AxS~!?PNHy~M0EZ$$hZsJY^WYa8zO22JdWmlm2(%O=}**m52%z;w3bxg8PQy8R0TTq!Dfm3=1na35?N35fHveJo5L-@Xk?zgw z_yKQr$pTHrSefjdC~;M74B$xsPJf!VMD}-@_v313T(vdvoTQZf0-~MTJ477G86sjY z|7eZSdVj#cBsD~PbS$&187o*OD$vuibo$?eXt#j9B*$~n`&JFs8=GpJ{#_f4q$6wQblW##8TR`PQ-DTz0`#0AmPp(%Lavbav~)Bru2mnfHb zh>ef{+k4!FxCD> zAelbszc0kTCiY)!CWrKKTM2X^-$5V6Y}+orBF^@##ge1Ib0B*J(pLMn>$+>TCT?Kt zumti|3mq>;*4`pc*4$;a(^jLSH}q5+xz~*6|3<9s*h*HrIe{PP@jcJnG7=MZDT>U#>Tt^eJI=9BU+&w=S6rDm4c*hberqCmaADA%a_2> zZ0_0{ENXPRz)RdnqG-{gi^usJhh0zg$iu^X;k!Pf>Vk~|+lG!&8C)Av_%->gO)gX^BtW)HY#gL`j2l5j^3CJuQO!Q*q$gKW0mkYav`|udiV6WTisEMxuwlDH~ zNAK67Xks;#r0p|Byb-XY5N|tvldG{#gN+XF9cwy>-@b1YdmGoa7HjY$>MV@Y)C(F1 zLe`4A;pt_XJj<;MFcz+iNTT9cmN-@DmH4ryu6$a|-zuxsy#d7OaLLs1bH(10iDja8 zd#nQ5=DC5`0V%k5*d?*+bu)RTKrhQzE5;n+pa#S|8Y<=$F3bMbk}*^@ryE=pVI37X zBP9_VwHw&dAlP$eu+gnh&-}YOdLlk!vNqmHaqMX(CXO)YsbUR;*=ux#vG0Y5u5FVs znDkQ1j`U2U+ekzvHveuPA`X{RU`I5pFq;jDn0-V0uqF%^Dznnsg_{p4SRYK2(a0`bKmv)7{Qt$Onn;@_}O>1Sf^$BT<=VkJ=($ zU(U;tqxbT%7(TW;xmje$k;BoO!89Oqn+w6)f7wZKKeJ#khE&`U)61CReP&qv z-m=I$BLBIa@RImESxPKJ;mjcCSV8cvi!3=3XNUNiQsvk?U9jo&sA1E?RED9kN4(l- z#UX4ix%^Q55#&jineWAoufMV0_?s_&Yg8-P@X!xa_D-fwi8w`Z3;azBUAFO@9w%JT zMS-z-!v%Xk>1dJq&ApT4#u=0NnOt^>12sW?PKL9`rU7v)8r{X-xirVM zhba?>ZwRxql#s@h&!a`#_-n=Fll!eVIv$ddebf^J?!C{&jY_R~(Xuzi8V?TM6J7gv z5cj3Ni zzFiUTPLn<3sJG1v_xkDD;-ItCXs*8*Eab_pB6!XY>x;Iz12rD6qt^j!ZpPK2iLMT7 z;jA*yZi%%+Y&5cSIBcWf5wMQ*E9Uu9; z=L`F?R6T|L!~bOtG&IsO?3BB`+|Q{WOKL@u8J-=lr4KcBb`THqy}WZ z9$V{AFTRJ1@5MY|&hPQal1HSbnK*ugXjMvqzmcujr_X`RFH(83KSK2VO@W)~cjj~g zm}WJGsak$_N7P6HmS4+EklqTG;gZO{rlw3*s;OrdOApS_8xUZ+;GpxO)HStgafMc6*<+26M7YeaU$2VH zzHQ{z9J?$*(-!W5$6#5aH}1(uuk)!~N+pq@2ckoqMUCC}V#?72hs&^_AJRTx|rLYISO^Mt+;{;?Ex4?W7IJ!pE>9x}-%FsAI0XsFy;I+=eL z9WCuZCZ{h^YI7~0Y(4BC=NSIx%NL@x#>bIW^2wxC6_^B4yg4TL?EATZeDYm=1^%YN zoPo4>XB%5$M)p+jFT`W#Z%;6X#SW6i79z@fpCARylvC3-j zNxH>;j}CX0{Zdq>mEeNKV*Aru0Mu0p5WK|2D3U6xtdeyOpHRt7l5+ynUMy|&qFJ5m zviLJFAKM&1OT+~`E`l^U8jamK^t81>J9Hn+U4-N_4o`k1u7w52frlDdmo)JzF!wq5 zyZ48SV!%z+)uf{EVd6?aw7UOJ5xf*{ZHc}gx_rhqab%-<&B?zDlhJ$acJbC!&r$t{ z&ErkoE=v3`qQ*HbtkOy_x`f8=Z6e=FMZ6}tghfm6jjZ-Jh-sH#RT8gL=c^vcXgq*f z^s4059l_SQNT}C8eO_!Ad)j4@c|wj``L)#^!J&=d(6S4{#e;HzykMGDuQOdjou~vN z4-HgQZ$wt(P%I3of&kW5n^3=#=LyfWkcxguE651%I9q(rtX83&ioA{3DjUTw zI!DAGr@*vVqlni*41;!*lXu1R^4oX_T@d2)J&{dy9msUlf!?KuB-hnp|Lwl6lG*P8QhaJe(*#ne_(e(8S3qH~DqI*vg3ijWC@<|lpWJ)-!% zxe~gIEsf<4Kg4;2-x*Onfl4Cm|8OwFrHT_wm}rjI;gANI+#Ug^Cz^>uM_BKW zx47t4x%6Xk__V+H4pDq7?J}jTWX05ZPzPi-L(oSRmWrA`GRTo#_wWM+JY6#(l|O;a z-FJ8{& z6wjhYi@`_vu#{)nF*f|YAOZQVPu!#}*MYQFwY`c};`|Ju{^W%vrI7*=a{`RO{ zUt-KXrO?ch_qKYR#i@OVL6J&AM(Ufc;zeWXChS{4tg<5W>0@ZRb*lgEm$-jkCmX6WJc2YdN38_*H;$__@-}l0!;8S z+XjPkmIxg=-o(wYyG^AQEx_tZgv)=W5?-JvCe zahZYEoYOThl2(awp@T#X?hDQ6#-zTYoy`JUrS^>K19A?=rMFYpB4YY^>PUb4e$gre z^1)-55$T1Q01+OiT64GQv?+)OE@ku{RML}wjqB4IZW>#YeX^Qzx(zezlsGfy4W15X zkHul+F>ZyvWwOb!etA)Q+UE0C_}thf$np3jB+CM9naB*F{J(#HwUy!Jg(Rpgq2G>3 zO$$Giu3q=Cqu`dLmt~mJQgZSRJR^fU>oZg- z`+$b8sY@*G+3~s@vWHQ=!(+!o8cfR6-FzWammrxADj=B&Us?&e@G52q3qz+-k;eQD z5)61Twk$0qe=cRo@i@wY+#Gam`E=2$4a1SWOx?L9V`%g2p02zmpyv{s6PJ`FJ{eqE z4wdSba|J}T#}_C82jV*&#Kwb*xe?k?oDdHr#59b~Atb1H2EPLjLU&OKB3{a6;h|Q` z*v|4^e)*McBIOL0=bH z-eH7On-ts8-#@9u!m(Z-2bb}=3-(JgoEMtc?T?n>BWiZ5r!I9oa6ig1q;_4$h!Sz+ zl2c46gAUY}zWf4^EnMljOAuKbEz7gl3Q7^HjPaXT@?)dDf%1Oz?Yaf89_LjlI*dn+ zqC)_rR97lIVjq^j$vZlv} zG4=0WfTn1cryjX~*RLD_4MS75$fE$8%zbj|LHVw$fgd^P=9N^da>?&-JWD*k!cU~6 z7bG>ZH9HU<$fzo}u%t^oi9%&u-9vmBI?lRXa!S-?~?NPz(3go@56XTwg zPF8Z9D-}#0?}ppfjwppXv2pEO_>|%d{@pjk`Q`0c?p-e-*tsBio~+T`fly3d4t!(@ z5aAhu-uAbg^?huMLfA8B^!{P5y7yK2fbpue^Le$PZGV> z=U&U1??C`fix2mlSm+Ps!avd(JfBB_e*efpQ)1K6xR{rg4F)NpxE#V^U`fBUBd!Eb z*d+PPON)41N!|nhX~aG&F%-Lt?c}Jq-COCbcsjEZ_poGzc=FKnfJe7-lfrAW^A zoC`gFB|Q;R)gL%DK6W^>)Qd*)b|nv-Sy$Q+;LN32GXFiRB9*&eAd02d8Yj)_jfrfa zQ|6+pQ0audrzg?Oi49=)VSa%UyNBXswS;onM{t*+5j|laQLfvi>Mn*oDfy8b`7MWW z`$jd!%&43UyUTxbMnnN z++)_?4mX3*8e)lLVED&YO~!<{cFzZ>L?P5e_+zKyNcM#&4^L17Iu~^laeTxN0!asd zH8odphW~nZqb*o%>^0$wLMx4JTd#>=L^WeLDmK5F42{eFWz1(>^sIuje#E#gNH27@ z0GV+1sxB8NvJL^6nzVyli1F#cfyB@(YLal~2@j^gc1N`#Pti`>E}3MfV=A~zSs!7R zdjc8INc00M5q}YW&O^GmV&UI&%(+NGzgOv+oL1f}H0++L-XHY}WvTGh8n5Y6uc1Fn zAjxnknJzC@-MvRk;hxTQv-PH26~)-Y^evJ685wcOc;+1zkGTB%a0|TVe>ND+8)2Ur zv%Zg|V}QSYmWQ)lb1T^jIx+cmcK>4d{ZG78nc-nSD!y+wup8Zely#;jyJBu~v*c#? zavVw6VCweJopxSz;@i|=+x^(EC>NPR#Pdk5K75InZZ>*h$N|TXSTs2oSZSj$oL6?; zS3zRI+$6)U7r6wzI-)*rF75ABO)HcMB;IhH!ueHimqx68xN_m87!Qr#unlnPv9rLjo0p=Qi~I(Y@ja*y z2~ZD<*;F*SA1DQND;s`MJ~5YN5!CG?Ow0UW;W$%;=?WTpW`X<6iElr7PTMpeI_V^6 znC#VqE|k?*22}FS{(jxNtdm_ciRU{Zx~$9HH-6*oN!|y8ww)l^FUh63&Q7x@pED6h zdJ!A_J^Q)5gg>gOceG`Q4I5o>d>X!1Lo{I1mKEsQ4XTyg%b{^whW&Q7hT<0~-BBca zV?|e|f2~Mn)s<~LFo@H?mv(rUJZ>)VeJkGcK^e$RL0teocVdsk<@*DR;&v-2?g88L zs%Cr2SMmn!mneZ&Cl!%w~)6iffA+Pd9AW~T!qM&Yrl*BslCSOlr5B4 zDriijy&rSc=nHHQ~ZH|7y4~R)CmgCNw-Ln^Lhew_A4VQaO-)VjeIA zPJ#7=mnKU@Q22uy_Tr5%9OU3G+FMJd-!ux=teaTE?9=Jzh_>=nNkaG^w`A)`3jdD2 zB-G5_NRYBkJoTVcqtez!MjcYG+#}0r*Tn^I@;M9{qGqGeXV-vGLH}Or5$Ai8r%=a& zzHS8$hz_ghG-$=Vz8AmQHX;M+%D_N9oF=?*0VJU(aZliOM5 z1)t0}5~KXQZ$yR-{qSJ?S_4AaKjWZ26uPHq4Z^qYm-p}sI~Hq0{A_=XYW{h6Udq(0 zD4g5xO-Y!s+tL!0b+yiW=&l(sf{8MKa<;snVzeLtgQ2&Y^_e|Ctc(rEM=B2qytKsr zZE>=ch^F^&h2kb(tTcH0`>pO>zDW$$r2Hl8xlUXVFR!`>8TdZ-^Qjhz`7i`x^HpsI zYc5li8D@~EZ~Hh`5CbdWuaLgvd3g@x-ESCo`49Dd4@`$Vu{q8t%wRh_BWlyBzXPut zI8IsdqRbq89I(wecOS)E9J&bkzOacvB2l83q_EqfPuY03^m=Z7$%+EVQ0gcHX&=fZ z%x0StEqfrX{2B&q{V`n{)fJltKut{32ztPfuCUpw;f9&7-`t-yIFow{E4(oN+MZv+ z+%yx=yA`j^AyX6+qQ%F#H|u!qR+7&Yc|>5AZNYvyKl+% z95I_#^nbszi0wB&jbRVr1GCU1$ zL#vwciaU{Uv&kXhem}yK!y2c#8fG;=Tf?JyfnqSY0-i)EXNj?+^tI$2SRNvgu`(79 zi+>%{OUmyiXj$gu_|u-@SXYg3syLd2p}}_RY(>a?&v?`5#fXjwS$1n=LON({RXi@K zS$1N+x*y6GzU%zM95HC~izRjEioZc2+#=On>h3Cjg#SB{=RCxcv~BJd(8g0-?GmW@#%aD= zC-w@=uKLURQRrZ4E9!%M3(F-C2pzkZ5mDp{(ADRhcMUX1(tEZIc$&w z;!)dH4tt-i)oi`m5enMI6BIZ!S9`U|G+G~f<@N4@_HerX5*C)KqH7+<-J%s>0PQl& z1Slv5)eZHd|HJGu210q)ULe(JXW{4fLMTCI`gNo$7z;DorL=IC-0tx`lP@b1oHUBX zp)??U^7IiDd+dMfd0*)jdKg>Pt&=qQ{#q;NF&RunWfgmlqsAoYQC~(a;)o6oimFWD z;H!aYt5M*Ov=EN>5c^7GUFT+I&%~uoSw5Eopa6Xa#(BMlb}X#3$6$vLsoJdi{yobF zlhmN!;udqha5{&_M~ArwHguB~G-^FnSMTF&vaZ9>kqy}iDi1~!d-p}H(S;g<$Z9JY z_%&GE+;`dAd=81X)2|IzVKG9(>)t8Dx0XoGZOIm-cG0WdY#1Ycf@H_?2f<=wzQYwc`$r0*%%u=9bHrptB5o)~zZ@P6@woIKrO`%JOVvM8`RsKJBf_qj%j+)2fei;gDR zYm-@_W_mt8r@tysc!=O~F?b}m8ndpcM~zD9-yBv>Q+OutY0lb_sf6CSG-|4c8FI7H zjx0ULg#Bpc+B)uA+=V=02^DU1Y$?wtQF!;Ah3;D;2kN*x$uAA!k*Kf^xZWzZ4&`(< zUNLXkAuK}z&`2KXl{tG-0JQpwzXxlS8y)*f=E=~_nX+RrJ27((+#0s#;{)9c?>jk< zBV8aDS3O2?tI5pk%5R|zNHTKz1h;qjsR}sTX}V~IPd;lip2dGFO@$NAQ8uttmWWRn z*yE-WVpc)b7x77{MRsX*##a5rqCcfhtrShx5+cJ--9X$v(k}R+1Z(^O z9HrZ^{+1_t3tM|mDj7Q5PLYvQ@hh_$w$a}(v0O;PjgwZ+66h;cPh`|h7*0GgIHqiWEO`Rz zgr-&LVl`iiutrHm)-`Dh{!ke2B)N`iqul%bT&=t_w(&%F^iLYL+hhpGq^Fh1HBiue zK{zyf{Scstpaj5HfAW_M3jmY$yJkOrcm1`NI zDC%&@{tXCa%+UVb5?M~UXixLy7Yb1_Al2NKgpUcC6)@SKzY?iy;ZG9E;|n{DKePS! znJ65QiIUy@BD`U+AMI>X7=vJRr+`7P)u*COPs5d~GEm_m)7Rd`u3fp{E|{8Rc?y_g z!KeM_g-_EChJSVKA}y&#J5&pWXNk?~Z4sZfjjus4jVP(R zmw3LRWh=>*hvZf=>e=p^h&dJ7y2IYzoS(~pAOq-MtL9fv?4O*D(yX|DY16#r`r|?H zCcZm$S0(SMj!sZ0!=RtAhoi!*nMr=Jq^lvx-`E;SW)1baWoJ)+wUJA#UirP_6(H(P zHj5j4{;;TZFht*X=lyIb@U)L}$a3qlKOpuEZw=)eIO>EdA3PQME4-7IC8n6g9cfTm z{p8YDnOX&d8&l05uIEJ4CIe7#C_9T9{d`stIkf0uJt&@p?tmm6t=DwSCNQ&ei@kmS zHN-M&8Qwn}V!>8%3O^K3EVKzX2l|X#%*%E$4EIYXW^W5FrDqEuuMoa#5zr9dHgq!# zSLgYiMf9IB@??SDob?DAmi*PCxa9t6RfCd%0Z4dnWUImW;WRttK5=UG&q@aF{lk_< ze^X03{-SNtBiyZdgW8Yuq=z2g<0ms^#^f_P_4x?c4pRzzp1w@acIEZTY##JeFua!Z zI0*JN4?kLUtO}5WC6}-Z355dh&yZU}v{?2fuXyv;h;KbumHZ5_`0U3&R_%jH;DxVSi*ZgIODO`1B_I6Xb- zZ|j}Pb4hkiS1YmW>B@I+iu6XCAu z0g6Y{bBG{40O%mNEl!0cBuy!r{l=AW2&AZ?M7ulg)qv;0v#)Xt;| zoE#?$r(4hDwL_zt7xpGvyGPfS=_H#ii<^JPR@8)ca2LdF37!ew%E1J)&%f(k#}Lg* z8T)woy#g8ya-t9Sxm0NmOX|OAOK45!Wh3mAvknjaAZ9F6!EH&5`H(_)VhjiD>x|XS zTvMpGwu`{`v*tU!gpNRgJeu>ybzrx%Nx!9uDG9HmS>pUXN9`p8&9|Ykk%_ekKm+;r zy8CvDl;b?JHKB8Dr4l%omD!m@dnz8E&j|cU4$?%IX(-+%C?jwjFvk3hZbTO@oKpV7 zO`PW>6TK3<9ZxCIvMp>5%1&!|oh*!g-Ks7$mT~qyra@8cn++F}y?K;^JDlegY+`|> z2OEX;f|;#Y%#ISaSM#IDS9Z+l9cI-^3{jLf ztOm{|8WfPbgOA{Ev(NztD;Ay!MLQSJAC=*kM;hOIj+0NzXYah0l2cnILZ<_pytTu- zQ^p`UCzsQ9TGlcJXKFSTgnTykW8Cz@jkepi@2Ol3*qQ19Ru?J)YqzaaRL>(tU9cHU zD_TC+>KvQBugN!f*}j}5^Cym&=a>Sek%tt)=+qQ^g++hG%}_0KE5V)DXfaW6G&P@0 z`<_&S&Gz}?WpGzb`0SGwBaSMCy^?n?L4H?2>3G-%kE_vFz+8GeiqA!?_iE8*G`=_% zp5FDyGl7p0u%!bc$Z0Tu_W{3odBv@7`iYQyZnVah#MhTPOZuq%GjeM38zX&MY%LNs zzbapq_WVgPid)cwqlZJ~6ns!0ey7yR~G+ z13?)V1P*#gprS9H+<9h4O`WG&>ne%XPY+fya*f@mXh}>EbBLYPFt5$6TRRxe@&~14 zv^VKxG;ioA$&wyXZI)z(%9_E*xMj zp*2p_syIzCjyyBhU5>0aM>1wDF7%8cw3WbYc9RK;SI}j<{3o<19 zl$~$R71Mmn{L!SdJ5?OQK=nZOmYtJyP!1C5yTX@l7-o+Qd1e;vA-cpP&DEk4le3HU zKGt*E#$MRaUvTucRE5W!pJj**-R9(2svA?oqa{Dk2lMCUR( z)(GmA5{x=FMy$WcTVrEVfIXO&du(RdkvP8j>908RkdPh0J;^K=NpNWr7q8tK<#U7) zqy7cWqVx`3kEXf_= zAmq=#&T<|vSW4NSqt~352Cd&i1ccu%i4Yw;hv_ZG-v#gf7Oy=gU#U=^SoWnurcE2O z|7m;y-`O7>_^~#hxgYg>Z4IFr=l~~bXC3O#y@($@=TP5!5s2Kdm2XrtY^7U@hKox= z^I7&n*B}YY-#^;21%`>wP<%$t5kW<)(Gn}X*i;_|+83P=kDu~$>Va>5^(Nej|L9U^ zy5Zrk#<c?M{kxtM_ttF( z?b^-_LV5|o#7hd(Jg!ZDo{R$scIhZ@-VGI*N&B5i>n+8v)V{#afy2S{maUl5rI5q; zfHLlqTVKU;66V%r83*c^lo3n~N)%uL@tn?EFWl(_kI9)kJ`O~cY98-RgAN5ZaM{`7 zk9!%j+&k977dg#c0S83tFcS;hil-ZI;f_8$sol(9eHL=*C**es&u&?e1J*B;VuS=-m`Q$kYT%g>aWxb7#v`gyWz$`M!b z?h&}i`S2%`=sZ>xOGX^Q>b{XsO{1JJxfR)%q^n;Jk*f$_Lu}AZvAYo+hJQ3#MfD*y z=%9aW$@=x!QyMx1ZU}xC-dM+FehU5^X$i4J0&n9T#{@{u{w}S-i?CW_tREtr_bj_a zf>=D=jt-lBV?tId^%oqV8fdy-;?-PwCf21O^@Z zFGU)ytUvd3sxAg(-IexJQPQu-d0FmBl14~)yE(A_@Wb0WK)Yyj!7r;oG(Yzoj9wPk zh-b=w7g?nVe=}fVTKfNY8B&l_G=bwKU0_#EbwiI5l7jEYrPGn0?!%rad-VD&dW)W>=t1?UW zeXU8LY?wV|ma^}+y!pLYKipi8F?r#D^nQeTBIh0nJw%9;Ued&tYf5zGrv=lcN3@A> z$Ej~ZwsCgsP&}CW>sH25gp7c0ueaJwBCx(~wNb)UyB7_~Dx+pA%->S_)hLJC`UXU&>z2}d{=)YJYf*(*`GBy9a+w0(R!cBaOWHQ-@eJuby2Yvx zZVmtk&Ng*Lul>z3k~u&cR&M1`=c8GP^Qob>;g`TNxH}dXs@5KnpZsm_^!w5R@99Hk z?Y*D?#sC0Yp!r)T#w5e$QuNfj?|E zbIPO+tm_!^ubx?i8k$Q&*fc;L-;npWiR2xCk`7YZaT>POfy_db$r<{dJJH2F(!AtJ z`kp$=d1y7~6bGsACK)GQEBeuLCAyWZfxUxp_-g1$G40zF+~oXaMd zm6=~Ul?TV+17AX&{Z1i5i+EtGM1h~?Eyt{rG!95FCs^y3q)~=-DgR}fHxc4Ct+^kz zSTCa-;j5MgbP%*!_`3Ic^3BJTV=jie`9s;;F3dF`J!O{voIVgg<5al&kKo!+I{j5O zs_6XEL)Asv;tfBXkRPEm7d)MLYz1%G4|ZOwC?`TJww*N^n-vqCCT{C%wv;4^7lKYj zR?=^iG!dz1(qws(dP0G~Zt&YMA)VM?3ES^GFm}(9PC(X|u~duik;=s~f}z_*&B2e# z3EL6W%P;G$&$%_#z>D(K;uwSoT};?iN)7~#B6C(YqHm#Xd`h(oG}_y<+H6W6jhd^z zz)fqt!0jy^srWP#E_T{oycCiH;JBOZyoAN<{r=+sOxk&m3{dQw{a*4#2^xY#cTqTE zAu=t#ZrpHQlH3->@qFO@YEk}DAaXg4&Gw-lO9#(s7Rzq8_hDb(8u|qDov&F((2T3Z z4m7V=F&X>wNE%(9IG@oK@_ATD#1G4N!~x48>w0d|eVWF0fd?B2n168gV^y3_r|{zg z^QGGfu!t=vjT$_@@VQL?U_eQI--T|QN^+sReTLYF)#zo2@5AwD7O7szc~iU6#E!zG zg_2Zg=%{`D-68$a8QjBz9Qd9$6qASN^RlWqyxV(BPJ~>j|8pZ9iji)CdzD&$kcL9< z7P-Aoz)@CXkm^NVjZPz}&@dq`*l381Zi2W4nFWHrWn0(T8`VGdgyS><;ny?U8ek6h zE#i*&iE1S~4P3kO5|nTL`p!BzTH(~+Z}#o3e4feP#LYfs>Gy(34(vFHW0s5($zAIo zI2BgyBMlvdH;(5W|Z{9Ou;3}|APo7rqrev00%M~da z1(d!nNV_okZOqrx9zk@r6A-Au3-jE*Z7%*Rj=f@YO`l)XplRc<$viUR16T8?LFKkIUW~#azX+2+wl;JGjY1rs+mccIy&C_i)LgU2~q& zU5>_TdcCv-54@sTy=w_l;^vrv4C2fX!IkpB8=m}A3>&RpB;Jt^!GEmI%=v~4_gj)^ z#@!A(37kY-MoHXurPsFynkuOJFDSL~{fw3JsOqxL7`;31w0mk(GQcTi|0$*x51FfO zK@NmVy>$Df(pk);;I2!@Tt*212>7azO3#K!4iEFJ0*e4G$Uw!$!n2`4A&B%Z;kjxn z)rY(|_c(ZV%+PPS#A$Me_8%r?sN^kS^u!SdAtRS=mJtJnrJ>YjKpxd)_6}KY{W;S< zMPMdljK3W3CipyOo-Dg`nAu-?A==<(OJws;b~y*Ju~BRsN8t&hM4|Z8f7!|u6wrCR zl(klB46$0D@97loc}CCPLSlq^lyhmhQ(I3#j0T7&fx@&ON3U9}t*L`@a@g%^nSRVM zVyn0KP;H)fT8Uge*d6}OW0Ygd;K2ajs;q~mqnrDbgX4DB(4r|7;A1=j53;tpYH4lv zg!W2hmZ5+o^f55-0!BA>HazEm$4;)x_+1+Vhd}pz$)3)*WJ+NEfe2?WlJeiG%bp;K zo~D#>>2=R4r&t5PtQ`(*z7CHEkC{BxJ@$5T;y&z*z`=D@+CJCuyZZlO9g8TYj$^Q` znoKX@xzrP|QFiHQo9Udl%81>HYK#RDrz$4SXu#^_YRq?PmjkckT%k+kD0&tpn+5DQ zx-2>dJn!Tc0@F88NJG%O=^mmSR4;DdPp*w}F!v0Z4*+b-5+UUTArIP<=JAdlN_ zPo4I4B|C=VTIy%nnPB*VVK||F%oIs=V~23`fsm3^~&2n5LQo)zca2{ z+Om%jg$X@TP~7LwNr$)MEG1JmchJi0A`4`0osSN+WT#RZN}Rrr6xjazofB>qeEgaxF?HobPxlG*%|`42jL7M4fb0XBRMtjD|IUFmZ)<@WpT zUc}k>B}7Mye09R`M^Uc)QW0r~sa73BF2jv4sj|!1=M@qA z-ea|y{^{0cXx7*zdE}qAr(Nd4L5217tlS2pD+_V9o2T z#z1PHJ`ULoc#i?IOSD1savz6R8NMj#%snNz8Z~~KsL$yMAi$4G$29r`JBNH;Do!?hQuSu+NY+cA{46oj2so)J{HSQMF7GOcx3t=f}lFZ4isA zxkBee*fYWOo2}@ibN!7+m(_K5ZGP6VvKo@f2sYPh-7oSrOnw9jeDOr4 z?SZ%ZZ)IL$n@o268)W?nYbk<9LtFs~t{NqiNhwVJo`#Dejuv9xiNzMkO1pfOU9X zcf4D~Y|4^7w=>iGPTO!Yc;qM0Mi;fzr+q>{ z9D9I%Q0T_v*yH^sS`(bZ!DF*qy48`$B5cn67EXb#7p^V86!!{&xKqpMRqG zMr+o+QMf8lUSFh(OsM68ToXK!5fDx*!cb-kxEo$p40(?{Kzze)6@4V;o~i;4r{Rq> z-e4rfTfyKM`L7!V!Kg8s!5&B(SuQ?uL(v7>EPpmmaOjU`GfS~v6BCJkg&==>D) zGHqZ?bfrl3VK&gc7a|0R8ec33pDQyD+6rH%{sDs;yFsNlw3$L~SR~BEzxbJKF%~2` zDL-$=awG;;Cao#)kg^VG-90HI=`kU&T&%xd;qF^`&~~?bu?CE9)uZNZiHBq}?Nb*X(gUux zNtzE;Ja6J3x`XQox}H9XqQ!?USMj@>*miE*G%drT`CHWmhVvpNI;l6}lhQ_H~iG{!{uo89nG-pSi+n=?XA zg^?x??cr3o1OFdQ6jVj_baC@KyY7tAhzpqcac~FHE{C_XiB_4N;Et5y-A@DEhr%00a9con*p(D`_ z&#MP(|NeLyu)^k_wBbgrx1PJR9jpNnx45I$KTz+55+tQi7V>CWDA(C}xnx=p%CmX! z@t?4o&>A?M#5nP*;(f8?ajXrSci)B+#_u7AirORT`0QguCpw`e+aZ$9Umf zW$nMsvpVtwb_H#DecFJ8({+LubL*`IsL$H2poZjAE$D()SEsGoSk;)MSWv^yP`8cfM_Ps`tk6YiLSGC;X|oHc+@##B11iVJ~)PE8w z&nHO+&!xhby880s=|^?jWUJIoO$r~zyC3HCHQ$d<;6BTQHIv8q?QJ@_v6iu!Zv=0T zsqFRBOSY^lY;h-d)2QnLsybkfAi@_DzgcYwz>dp?g8MMro^|A5WoBz7zb{1lz~hcCR>NjcfpW!88BEuom`1Zi&=2N}0$4##s(!Hz-Q z^FHgDxKMKWY*499T=mMEMiN^sH0Q_VL?G&lHfy@4sp9}`>zpUH-#A99J*FS?k5*|4 zCq*7X?=lk+Z7DhrfCMARw=_Kwjkl6PitB9b5na`$;@9;>Mqe9a9l!J~SGwF;1#-#> zZ$a|HOH3Oz{uu5mWum8hzXSlr@4hzzWn}}u-j|)$%i}7uE}j3bKGH~fTDDpOMr)`{ zyJ$B(&s}nqi+_+nD!)h+v4_s3>NoK_ZAcoJV|dD~;w*2dRaU$zH|5p-tQ#v(C~Iro z!*)4&kmxot_38!GJvL-B@kshROaZncqvwCEbUr#^xg4C$c5|@}LV3z|B|S$m`Ze5R zejGqNUoVgT$jyr#!wSjCwa>^c^{C~C2-io2nDRD|zz$D9;G716ZXXi{0B#Qnpd0jy zQY^}72t3(L&s56uee5^vdoQ1Q%`eqKRAM1;P-k}5R2 z+s#XmGxYz?UHDZFC9TM)^na>lNdHYQYQZWiD=R2iCR$h!mM7r*`X7VN(Ckuwh3Dqs zl6a{+{*^XQu%*1He;ZFP%8>R31Vlv8(0G6T zGq|wOw~zF{-TGHLs0f#gze}j?f1I(VC^t{||8D;O(fohEHUqy!Jv^n26NOwg<1q6V zMQ)FVY3rSUMC#9+eSm1fP!BM&VlD^SA8*r+9qeW_)g zXi2J3%&{Un1R2$ci$}zmOyNhCX7B{>hCzowP%%izGxdx!50H0(55S?*W-g$eS^!}} zX$7!R3oRXQC0%@QVtZ2!JtOv7w$H*Diuc=e!+V9|#4Qu&Vwlj(GEdIDp+YFBV(f^l zy1A~1$;qIyYn|F6;x?7bmfcuJ|3+EkYq#pqmFcK|i2RO;grinIEJj}0AD5oBcY|Aw zI*3a~1^VAuy7)FoSL65x?Se&U4yyvhEOC@Ba5W9Y{7|7fyxP&^SypjN?YdFbSLp+F^2D}dEiPl1_S7SmVuVCh;#Pi2hrO=+qpLLA`S$w^5! z8cAZ8iD5i(!D38177xAdx@smS?CV80DEQL63g1{MW==&74MSo_ev*SnAi|}0{&^R;Qohi=WdCp=jsZeA zsZ`7&wuZ%QZZl$Zg2y8YN_}}@FQkMZxA!44)np@GkEOs{{%PC?6Jl=Tjq8ATNT1Q< z&~)^~QYpIOBM@mo?60b#F2mXN?%HIFX(zg8yuJPlqI1^1*jJaaPxyEN*d*}wen*a| z(799qMAuGk&?`T-Hde}Bg|!fpCCxZ~tYytGgn_>hPFF?y$Y^J7>U}HTRBZ0jeuoI| zU$S;K;xs?5BRkkTS`g?RFf^{&R4x8{BZXVb+y4x1UR;I8BA|>eFvv_g0GqZ=AFj_4 zJogT0cDMauTauS%h}VNP+|{QSZEbP)oGz%Em;Ou5Cb*=CSft?!e{{!y%{wBGSP1~% zO}_IM?;~oH!@iJ`T8NurjCbk{SMBgeLV->D-IIE#beoa0 zun1=$zk(X-4NTp+?q-*z0f*VKtIWn~je~9cbd_6dE1p&!7hv0M;MhbvU5Y4Ax`deK z;78K-CbW!1CG>U~3p_#{gYh6FoVv9s=f0i&Axc9VSgIMTTV+Z;2m46W>@ z>h5|MtNbpH)ud>{4a!G}_>jH|_u}`CG#qmyifHYq+IkWD_)sQN4r8cg5WM&b6gUl^ z+pq|rbb^F-T(aI*HKC36SIjKG;Mhni4lC#>=%<+%DD*$VVudg=bh#XXt)2B|1D(XK zTZdS}a&xf+Jws=aTiGUB);91egOg}c_bqZf%QDyb`8!1l)BiCUS}BG zdvtKB&D5F^jhy5vv}v}wDJ*|rX&_=G&81!0L`+ zA)3LhdLFpGk^E6D``7X^XObTJvyH*-+4Dmw@aaQsp&CE8gRq5V2thtGR@Jp2yK5vo zz?BG&Ob~8aAOKVv`S${8y-TODe~OC&JnYzU_hvo$Qz~sqgeS($)tG&#^tx*N3oFzk za`_>^ji3n4mspCMQT=(u&RdFWbN2_E4F8SAxb}d*<2kX%PTrTOdl0+q2kzHcA`&cS z2p}?F2%!oA$NHsk$+I;jz!h?6MzG@D!u83KnHNjvTH_h(^n7<5Om9qiLqUJQfsUIj zXAb&mVn1M*54~mH^nSjTj_R@jFz#_O%oZbdax5zPY2z-B1q>g=jv+p1>#95>GP{p0 zDo9ktX zYB^SN`K<(nVS}cA1C_qp}QtrBFxHV&WfzzIcAot`@l0zEVfkTym zrZUe$mAKL#>N^&vq+>IntrdIyS5S}F^yvn{MupE)ffJNqF@K=*FRQ{% zQrjc&+o2-kJyNf}PlFnB(pf_}CTN$D-Nsc*v*5#20`&~O{{r^8yul@`DyEY!_8bqB zvwkoUUJKO(wEu$k>~Jra?wc2g$g@#qgY%H;jdNQRS6vjEtvyC%_I6cv%8JWM=RAWh46op@UYBH@}7ss?Yac zo*yqj<)k`NMw}uY0p4!8I3u}-?*2{~5l)r)g8XPvH3TSoit`p!@5G6tyzCXYQP8K> z{(x4aIc+sBvd62jf$5pK!LX{RfIbwU|>4;E7QYOn)Xf?P8hjK`{O*k+HdM9G3WXAQ7 z_Q8;8rkaWrmFQEc78sS_y1_Zc(f`FI4DzEE>HeWZ2a<7D`4GY%1`O9wZl&9S+}w4z z>9Okz;>aX5U^THrL=r6ZtAI1POS7;BW0Ql%PJO}@CI157=P7vmc5f{_#JWbWvZlG! z19j%-I2=fx*t|_cR*4EH2Gkt747O|wc2+BSwiBuu02=Actq&W_FTHYpA?DE}JBk}_k*)ntQ1 zsJDMdO=QqabF*|fh1wk3afF0+N&bObykom%l2e#oQ<9abzA@ethX?t_Hxe##3K4B2 zXB}}qrF@vH?1{9*PGn8v=_b4qnAYyREMT$|o7ET7)sbCNxw^e`C=V5L%LSa^vSB?0AXqFou&>pR_tc@zk2>pSGfe)UzLReWE=O#9bS8jH|gR%Gn& zYkOnGGcx-wO>6AsUm70FmD;EQ+%TEBut(|Ebzyys|6&J*y}cSnUCk(nk5VY%U< zfN}OR?q~h||Ha-pb!P%BYdE%T+qP{RUu@g9GqEPNZQFJ-v29IoW}kKb#o2d#(Onn4 zs#mY>dfs}Qgy7}>7~{t zgE@*7-TflyZGgU3Kc8bLoJlI)rivY<=Tc>_e~DaDpZ5ASJ(FfLa06`k<-WslzY$_= z92pl@>K3xzMYVX&$6lxkWs8Q2+CX6-( zMDNsas)?{I=JPMC3(EL?J!hw=xT9NF2Y}4$3R6LKl$h-ae{XX6sGh2)G8`&Qp6iB3 zKIEI7>|N=xo$VWc`$pH0&v##@fl?bfD2h0nIa}eWn{0&#quW4FN-NcEL0o>QGjs*f zLcZ%7b2O>4TP0{t#{g}m6T_Rm&v{qjqTf*R*o4Rq=d zs^oJFJ6rGU=1qnPuCRZToj5K4oPax}D5&)8j)y`CNh<@b{pq zqUdRVt;hYPtjHnFf^A{G!751o@fFqUd$sS{_!fn9&^s0j=X(N6cb***<3i_ zV|S|!w#bWGc*zuZz}B^JmrSF|G>px7@xm*|xS{bAy08(9db7pO~asd>_>{R94S~`H}a!mtE1i-+f}(go01WXZqNKDP*wD zl={~ALz4`NUI(S)S(hTCX4x_cf0B*IT1h5LUR!2hCO`_yty>GxwZ`*gsZUqO64R#iKc;`dN`oWXr_EBiwLT^KJ^hF&Sbd(&AJh5G|z|7a`kSw6{sPXV2p`_ zSOZh5nrOT(l!$;iK>XaU?WHt$iIY z6dTL_M!~>t@YJh)dJNRyLTfB4q4JN*SW0Z&4O~^|*=*ArOd_k+Ze~ZIZ6V|Khpu zvlhlaONU^bLkO%r*@s{7J6{shz3T?dcM91KLQ|i@_f}wUA!lS;RCRHPm$Qu+^m?;c zA{Iv`(XvA28ciP})eW;6hn_xyeU3Xbtea;D} z4e)1yrO(&J;4`I^64K?SJ{3q!*z>mVAxb=L!bO{?ZNwdD1))=iQ-_*ojc!6unW>Mk zk`2XDEvpfLrTm^TjKM^UnRJwals&B3|KdP4i&oN~p|}0Gqj-QzPAx++_jOAl@XwLJ z$v>zRE*v}kyi%b`cz?Aa%V8!*9U}YRb>$pC?x%G7qI(!k3L2iF(1TH=%u;~Cxkiu7 zDZnt8K-T{IvWS}W*IbEZo2ZkOgJ4kY~X-Dcrt13MhX$iV80=Y8onPUR1Dm1jWp~{<~T4ZF;pmB7&2R&10|Qj z1$DBFUP@t&qN*Dw?`Y$K^KIpEVa^l*Lc8!@yY)JzEvY>oI=VzD|nniTD@ST>KRx!+)r0 zmm<_6athN`oM*Ag+C<(9KO!kTD-xYWATd^pq#|Y1i^w8EdleCUatNr`<4e~onV|Qy zlA9tCc-ob15W!0;wkuxvz{!i&n5Dn?YL4UOPltmhG9)++}lhkw{LgtVSO>izOsejU@X1 zWNR6+sWpb5-k2gMG}W>CBOF^g=Xj z@s_CAPLpt8=*(3>X?lTJ^8$%?7i){h!`R51PHj^uDs71+ZhozylW4C)+ArpUxx1h| zRUcS8l}c*+!;Phx#ficpM%l+%x9c1!YAbMA@#u`fgfD*#X~x+8p6s}GL$vG;T6W^x zisFMsx#Qu`UB_2$M!#sGH1cWi74yA8u-(^c+}Z^4Y4gon3OGN_ETr-?WO%58!uNrx zrsQq>SaZvpa3ML70p_JHfm!>utyk=ZsT|yy68eJg5?=jC+oq)HZIt#U240c<3t_%J z-lj;`r5#2n?da$Ud?*Pt6j8Stb#xx8CGWjKk1-TWy(hzV(E8Tpi|KL06y+;>m^!#G ze!aZlbthJ(g{DG;q-~bIDC&uvs~?EHp5IJ(g|yL#hCDvmF?q(aihP!(`cP4h($*wo z-LLL1+35@0$iBW3+#Q+oc zh#}VGHG~}&BtfzVRZGhd0ZKf5pvXe@FI^P5HznQ?!yscsm|)RcLkBsEI{uNs&j&Kr zF}TiBupI;CXaI2RH&8c`Fy<(M<$^#s_){~Jh=c0j;2_tSsC`ORdl@0R#y#)taCs@{ zA92d+N-M2|g{Ge;DKLX~4ZePKy!-qr*zWw<8_5I9O2B7v#+D6%>r8NF&*e1|tv@}b zKM6#wJFHp-yjFvKh$M=%HV(StJ_or{q=(bzF~`$kPMj_`b5^u%0r%3Qes@GNqpZT; zfCos93J$*`Z~72$v{uq5k^SUdg>m_=s{;PuJ{UZ0^>i9m zCrVA7WaxtvN@!Xd%YH+0M?iG-6c=tdSX0FyTb3YjQEh$^XALz_ryO!PFt8W{Y2W*= zq3HMQT~uwFjE1gpYUc*AU$SaAyE=3s#;0e{7FGVNTBh5a^J4ktkokOcgW)G<6Kcjz zz3dbpEUZQX)p3Ef<6adbm1Z_G8?L|Ij3^V>!@r;AB*UULT~<3lN#xarTIi78ue{2o z9%sCBH5_T{!kgfMtI#4T1-8lOA#@-=ip5KJh;_o#QDV+PUCbg_7a%$u?bhnW3m^J} z?Rmz`86@Ee7!1f7^%#epLN3{nght4*HO^TcEg?0D-EGa^2!vLGgy@W`&%eUcp)!s_ zsw>JLj&Coc?1>%Txsj94l*#G;gjBhh@-Mg^>b6l^0k8|MfiypSsKqm%o8-VqAZVat z>!29uoD5L^Y1GwF#%P$Pfo;lY^6mqnY%)`_WO~rG5EDLPIm0vxY4sSZ)vbN{b-Xfe zcB|kqw#YH-xE)`fH97y(%@GV+)?@$fAF&X`zo25Mjh(X}+RPdRw}R%=v)OiTp~~$o z6v{aC889W(Dy^Ki2Rg;I4JWhIdD){Nu}DR7UjV9gK;%GC^>25WE$k|?p?JrLQ($yn zGce{El?9=P2}o8*$!J>_doc2$u#~Kr^d4cK5VIVHLdEDTE;Q{<&YVjQMgT6dqMAIL z;%?gz)3fEXJ49R)5JeWCjC!lM13?wVg^dl(GTGx+Tu8h_?UBAjNV_v$e1O1#{K0M4U`oI9|v^dU`1?Bu48Se)oG;n6SNKbCypV8 z*{G;Y5&0vX{qV!Uf?&LYR5Or(dp;B%LqNt+Yx+_>#=}tpa-7UVm{e?m?Qfsh%(sA0sfs37-kVTsz<$B_fr4loO%c1JL9w2P!8E}T3fPpzaQ zwqtrGB3oV%!UIr*=}k7mK%NZGsJB^*c6n&18jm|JX%RA`!?KVb+-Pj|v>3^66k{;I zz3&zjO+m?^KVLPdv&R;8wle1Bner@8UgkLN92&r)!OS&S;zHW#z6s)EBRzlAe{rVx z>lzgC%5k+xH20{jl9zz5Njd8KVPE~QEeNe~-UMFaI*K=M4t2y8#VjjlMH@d!&luPZ zzZx@T;u2yu7NoX;`jcfxR6%xt%%SutI6zpXkqHwKcB2y^RMJU1>QIyn%5y8lX0K{3 zciMHBzy!DWW4gdf8%u)VDpd0d0g~|amBp40M7znjJ1v8>mZH7g{1h?QPpQKi)7#l~ zATS1!r#iLC`A3%7_y9{X7O`W{(}1MeSyx=WC%N^-QQuEi#%3OYxeiN!V;JST?0h_t zhk+TDju)+Oul__;;m^SdA5Xo5Yj~HS*gt~%esx#`>7~c%4@Uhx5|^WhsMFi0NwNp+ z9>^c#jlT`Jz8gw%TTkn*-sHE7&_nXPI_zk_Dfu{<^BR0WzyL*p_+PNebiJ`Y$%9;J zG@x{~NhtD{FtApBzc83TZjtK55G&>fe&3=$OEbl~nSbnwOjxo~IDS?34O6obhf&IIZz77%<(u(;Yo7 zNY1R-Zi|11+FZLLcyGL=m#>+5EelMUO{+2$%M3dKl96$#xnCS5`po6vD#HRxMDwUgZ z6so666dmvta}co2?J1HA=dpARIhPRCvgsvq#xFQzP&*|r!zV{bm?7)bq)^<&oC5p< z>!&hD{%i~!BtL)6u#!b!p9QpwD~=Df*kLbzRFUD%)lCfBvR?aVFMpf8k2S>{G<0%6 zVa&UWY#w&-#03mhICT?@IGFiEYWIPN()-~0<3E6$@0C^qha*tA-&)f7*WqgJA&baB zZ3Bf7+??pZ5e(YF`2Qw(!j-=_3vV(c1#E^Ld3jd@5Oh z*AWT?_IoL@VvaQSOud!xQCir5YB$#=lnVW<_igJFyGGP~{A+Lp)bSgD{o|$+kiMK8mWQPh z$Vx5Ux&wUZ^-qqs-aa|nHmvQ1Hd0%LB%Vcg%4vD0EgN>AiWL@n=5%I=iqJ2_9)X>F zp8W0d%3J6fGkfEY%VY(q+~F+h9?91f!< z8A>ho6|}xMw*SrqEAQYFqrpU8*&i^`N%DI<{LA)N9vr(M3C3D%G!hh{nAT`g{G~E4 zQT=BvKggEpuapMK1`&ou@oP*%v#&^x%*Ggw(CW%SQ=!zM?n;ADd()sPA{$nsf;H6P zKO3N4h;SZ|ntK;c|5#X>S}t*OriZ5!>(Cd}VhY6#5wH??XOE1#C-|!3)$c<$v0C*u zu4^NPETVZ)(=!@3hlDfj`s%KXlM5MVPej3k#<0lR$Qdjhx^e`(yPlpxrl5Rhdns#w5*6;yD++iDuPlYF+g!;-Ky( zZ}#p-ZnRNGd^3uvrCEIZ8&o}S5vmlju?2xJaGq_MB)mQ>P$HBh8Cnc#@Vis9ZY?tV z%qJn)q&FBk2XI&gp#n1g(!W9rqXRd6%7)ZmZ+A^6wj>4DE%tjd@r65_kY2P1!30#B z8fx#DEUI3sL3cTQ5w?N2cPeS~w^PU|%#7&uboYazy{ zQl0^!o++|4%=ek$kY%s+VP_y@Ap2TLJeU_s>n&ZmvZ^cP0Hlx|taiI~>??=tu5?Sp zY{#RpT39Fpc7j{X4MFOGx@4va`cZjaN}32xJ8Bh_hQd@rp#aa>F;02+zAh&(!Ggu!X{d4pWpD< z@eevMh()#im(Q>teR`fLrv`1;S^uCL;*F8kU4qUUI_NA4>Dgi~4rrSx;E1CGD@VC3 zvab-Ku_8T%iQ}iqbuv2j`;~(upiO+P-XmwQMX-TIhSfTV_&@39owG>?cGJ ze7GN7y&s~x0EvTC&^53eX|`_*424MQXjOhn=mdKm5FKZ`}P#KoVX zB1z%WNxIO|G*^Hmi=?NqfD`B3^4SPQRVwG1d`PvI1v~-#eYdM08CnaGR1HsFx>Xx& zY*?)XKwrVfEuxkjpx6@@m5~i2o0L;RlVMDlq$Uh-{E<~rwUV$+0$o8`^)dI=;ol7I|6a9JC@=cw66sTU7juGT0 zjEPqCxvQQ54?cJ49DDAwGk5sqhW$3Ze*AdYkrI>O0?Lo|TF1|tC=IN7UUZ%iRid2B zpM#K`#;F=9xU~tmMSUCCz$6j=t{ai+LOtO4)!F7tvM_?kgpFH}; zKbD9}dH}oz6sbsmrt89C5~8NFc5fV=3flNz2^3;C^HZNpWzJ8E66T?{>9QT93Tdvw zb4hirq>C}ugmp2W!(0Dl_X_$pe#D=@ZmA0F6m}w!~LYnRDm74zi=i=+h>(f&YUYg z`SxZ=rxzM4s5R+vGYWjQGSAI`ZdM)8{rOqS7dA$9y7%Q4sQg6#FeoLK{`~tA@4j_O zUMXh~gi&ku#S#^8aJA6Q8V4#y5IEcF+eaPsGdE1?wFXHVK#kldzk_;p8wX>$VL<<=X@~w&6~LoVNMcBP z1{p0W7~Fhg3F@R$t>QoxsK|vdn34gBCA8&bM2WRJsU7GI7BVgU zNZU*wdGN3CB2UC8!o|V~M8|iJwj>42a}QmH@Uv~tA6y(W{j|cztc$cdp@IQKlv~fp zk@;#_9j^CYCa<(I?&kB1Ke}hT2PZ7`JT_^Im&k>07h;|k-)7DdKMT^`qgK;+W5VX+ zLt61ew=DVsT%*9v_b-{F^Lo3;MkTz!dc#3YC6B+Wlji%XzM%H$PP-u}TMI@&stz8hr+i zn(Z}}aVNcv1qe*L0j2M}ZK5;ZS!cigvd`(Es2;@McC$^dGETcRUY zJp3m}m%DR>?0$aP)8AKf1(-!3P_6Fd_TXVZt+23r?JLiE&&n3 zsJ9|mlRH>WiI^YEZjt0|O9+aiBfRwm20&DK^F zix$Omot>6SnB5qI5f(;{#7QH;sF7DFkr_I2QB;3NBvCoeZb$biO`ZD_eFQ8Dd<-sH zP%y9LH1rINPNPr9hK|>5NX3SRL!;IykS|ga69$tCphLrffoI2kehY+2C16q`_`fyU zC6}Q8rfHS)O~!7P+0uiz`1(2(XgG zV<4l;6weh!9`5TJr*_gT&iGzM%KnJB8u#=bL}}L;le4O1F~74jH~WZ=%tlC$l=k#Y z`>SYbYR#v%SGQBrMj3<0XV8`~7&lhqKAk$UBSx)?7dzTL@zMya6G(>o@{jY0fh-Na z89f`(EpK1j|oQ5aVcaG(uc}Bkg}KfR>R@@{L|!%Z(;S> z{q;{K?7La)jfk90Qinc+!P)(u3mct<2UQ^_oTd=Cf}iwGsbTjIQWW@xM8u`PAusE# zrPpKAA98i-%ebT2pbav?8aAY}8c;Es2jaGp`B*LH?9I*7%-@tsLe$jSk}axo~l4vN3eEWWRPpgWr}pl6>AYjca^Bx0fk^G`BQYA9PEHR(iqLu;9V z*wGq2EspsBsBzm}aF3ezisSEY7pTaa zIZ28$y;W107UgB3{S8a=dFmn4^Mr(dwbZ2Bm!?H5yTeU(B~$1Wh;$5&GmQ2ea?#e) z#=R-A5CwhF)kfycR5i%EYWgB;*(VxCK1~dnAIq=mf4LTFiuOpX_E^^b6bEbTIG2=Z zw7M5Ulx-5QMEra5-~$z270q)d&v;5VWi6Td{K^wwMBJTz#PhRbN_9ealps@ED z0Ip8GiwlDv!v+8Jh@C4DYA;X22}8r8=_0taQq1Xm0`#%v{-`sHH@;3rVbJw9~M^JAGUW9 zCISxeM{9$~(j4X?$uLCl(XXm;t^F^cV~DX`fxU53`Q0vhZNj>R9%6` z7}^#=dt3!CACLs|66acOM@y9=^c!|C&UHIZ*1b;1z4hk}y2xWtcoYX(?U?EzP72O= z;P57^?KO>g3T#VGhh%U=fdg2rry{RYNIrN>Pcju)ZMI5#MgqF4`>?j*lKrVvI)c9vJR2B9VdHw1}{=U%;_-aJdEF(?J%FvLN}0q`n4wE*{6F z4xT*+ThbqEHwjP7Ap5)Z{c23b+!y**m*Dd?z`6tf#0q7AyWe>nCtT!Xlp<=Br}=;sEvl`W z>7S&^!{-=Jiwi64X@~MDXaNga8?@YU?+*>QKYVzvnpV$Y?LOeVc-NNrpIx3DJD}Ik z7Kx=*sJ9dLx14)KmB0kSTq|cK>$ODQl(edT} zCf`{S212Z2up?bqmg{|apinHrdx%~13v|1EUolALP(^F*bkJ=Ei2gN;JUi!C(w}1G z(bbV+1b}{1@%@7HxdGjweiEAiTewiE>|sDGJrhQEtq?RL3UPQkQ|x@2p=C0|rue8A z0(Kk{@eA9oLr(7U*4@;2EBs6bklvX=s$wdWu54}kk*)?>=T68Q=gi)O*-TVYGw=-n zm(+N_hIgj3Mz>QxA!Ku3Q%v&3tzC7?|HL%J#tU zfc!)rM$19ud=Le!5C+Z6ZGs)_7rrDWHraVkKw>`z()iQSXN70j$x=MXDD?d|RRK97%TCi1Z-SmiHe>`KWbYzt_2H|;o)gUC z7)XP_V#49Qu6iRH)BsoF;L}t{W%3WPcZu2v^ZTL^xd$a5IqhiRdmg{S_w=ceDlMD& zXp;p1p%&*%%-mEa6Sm4a2t8U)qv))z`NZP!44;}RhY6d(^9c~4)1r)?)qF|@;{_Wm zlgezhVR4u%UcdlI@9j_w?X)B(OoncrL>-~Icu{k>kcqVVg88?`BSX~Q^N{miB}5Pk zfU?RLqM}%~sr6OI?yCDf^B!S-pDTKS81{ol{*0?XE&2H(syN8OnwzNutE055L!4vM zB85$hO+I$MdK{JlhrGcy1+RQWdc1tGJRHipV?|7UM_AWdrE)E3xR}%?lBI<+8~;!e z*8majP*{h9HuJ2jI)+=OkQue529nj{5^-kF>GzBq3Orj*S|*I&#taQf%(}V1Fb6Bn z$Q~wJv8rPf$9K3ZM^?|gdcM<|uQ>zGTUcgdti`SrBueSxWbb1;)y+h(%89e9Xq(&*)We+ZpxA^vZ53=ObI{R|0w`o|}0Fn~C z(EGgpCLOk2T94bqPlE@(qt5cQ4`w`rIGvV~!T^IFhH?rCezAubIQl-7OtN(!=*SLk z;d%p+hMH1zd1PTAQDpw2m^kve_BP4BJGSi~p2fwX^p+pRfkCSRr;#RQow z@8qt?6?eXiy|9YK*2yZMWC$Z8Pv)q#&SB~9NrFQC{0ps-7{1jWA-A=pzxBQSSKGm3 z)Cm|=bn_&G=UJ_I1Qrb>(p*|@Ie6GL1<8-kt3$D(k?@^ApW0>pa<`+dsHi3Qjt%s( z7hWu#uV)$dkC7@UeLDFaU=}mi+*+NQ%D=T5{7MM=cSE<{;v+BXR~#$+6cw0aJ`=n6 zzMh0r^(%HG(uwqB#iWdC%eI<2j0RNWZ(89U?4SKI?C(-s)?!7oV0|)A#Hr`INA8n1 zvzci&AaWLigo?C-gKu|F+S^h6B{|ur+rdu@@~_~(+YwfC8sJgOnpG0If8H{S}VPHoX!Gx`MQdaHW4tWT;THlM3DTEJ`$ z51`@pU%6k2TEr3h?PaTRJgbsu9w~|hRQPP6r-rlq(>8gC4(p{}508)AV%6>v|6_$R zI9v?9SW9jH_?|`>Z6)1OIjRpxs8i=?Vozyv%_H;`C&|G@ig&I$*J3?i3|XD1JCz&f zc-l_)hcy{O1mqBG71E+iPp!yfZXz$+{R~8`{#5wm51}J;n0#8x_+W=ER-Lm~=#YE4 z>?0{P3!4dtbK9r#nvc_mk_*f{l2KQ#udLd>$)>k%ydE<3I_`!)S>@Z6Y>#wVHOn%` z15u|O&#_9~EjDdQ=G0P_sXspbqXs+UGr?suOCvB@UPbYMTFYd0?DB&(pIeox_ilSZ z+^g}(@rh(PV};DPYns8YahtzcMf$p497$|?+lmN0BQ|~?v=FK~!X=+VMDAm2Q54oo zMH_f%?_;eFW^#OmilaL@+s^QYwAM0}E(q^`)Y`jiu`9*@gp$=ZA7?5UB{Y$oQVr-v z!Tz-?JKbD?=-lb9g>h#~>bh-0o{_gXvg39ye8*TWp&>Ns2>s~#4eL{Ob7iCfp~pRY zi}Xfwa~*Z7s@5&HyBtUf^qVDB`Ve&w6;I=abAQkB$` zwUh|o8E{$)7;U#xCM5|K2wzNmmt}mJ<*|x@;)vu@pywDrPjq@6EX4HD6zt?rDu*$g zl@|^F?y6yUi7vj5^nSz~$oXM^r1ACAysb58mBu6j!wu$sr5@zHjjAQ6fjnVQ{;gE@ zO*grJU8a9Uuif&;5nm%QAPKtupRoto>RpiR41zi}0`sPjQ~r5-<11cBCXRv5@rsqy z9hm)>d=-z+E&GoinC|8S6boheOLjWvvqFcd{W2a+lT;fjpj_HPbEd;Q<${WtYm8`9 z9T*FdLrn5ZC_jAf;FWPf!`tNoo_Y-kq$QNc`Zck2r?LzaE8Z|8$c2#n0u83W(TDLa z{&y2qWl9pB2At`>HA6?gPse8ZFLRThW7Xi_Qy%(z=zTA;O<0=}Pka#P@sDIdi)qei z%k+dii(}}*DyJnmbMmLZ=;gpuTMt5C>71XQe{r9r??PlaH0wQT5eDO8j>)$Ea8*v` z7O#XqzXKjM05wL0*&~+VBoTg@`!i}!5vjS9f8whCvCO!ylqc+VcPyYQwyQ;1WOoH~ z%B`X$Ykxx!PAu)HW1YDl+`q!2@0A}t-+D+6hlY23cQ*{KwpxqlP+0hBW zEP+H_kE)wT$a#G{Qgl(Mds@T*SQnZFO`Ij8Nl@?3)qC+IZvYDiyESri5I`D9!v2yK z5g`uKv-(!fWSO0o4E`126vd=cw%ZRGZyK#+uX(AynHX|Zu3!$Bg|cmy+476GeTHde zRj^&c(RYV!s>~ffySRjE$yEVHPeH%a4*oG^MzXNTvU4J7oplp%T)$Ryq2>94%rA)H zF~MJ;Y-d6Pi_VGGB+-IRQt)pSkO}xx%L#$rdzvq_ZEQw+#SyPyn2V^svf#1DmC7)?>=qt{IgM|Mg=>od1J6^l*}-8chqMd`?y~ z!$-j$UHaDa-~l8?ufidV(|RZD1nau7EX;CHz8`nd2~aE!Dr{1#8EbX*-09{Y`uWvA zZvdXZE#AiVGCP~YSP{CcA>+Uk_zw@hI@0HQhF!v&kA!$j@?|8^(W&KZ9xq!e=YsiI z?eVauzFpyC=jarNbNH;T7YQl71+wQJ*(+hJR^kK_txC==vwPbwq-DIA5xuWBr&|I2W*?5olfMTN^O_tte;aG!d%WN4ps?JLG`lAl5K1;7W+U&~O9|I9$~& zul?Lja{O$N6PLOVtZCEMfIiw*cxs@$`CM#AZDK0~16rljaX)VyDChV{i7*Q1(j*Pk zN$w)c=x*>_vO09n3;!_L@&+eDB1BbC1qCVUDRtK%!;|1oHIlywL43s@ZKY%TafRJ? zGWMP}OPmMW;H(0&-Vu##lK~VsRhsd0dq0l*=QxG;45)=3sp84rj!Vv5eNGV3Xr2>( z0YgLPSGAO^=Jk`b`{y}$cRkRSTw$1kNdrFxnk!7sP^x5FwFhMT4o3paYF&F~s>NEY zOMpimDeVuPFDZSBxDMl!S0>Wkrk?%fYTecM1Dj}gCdcrq@&wW)hM{6xF|9z zf9kAgEU1vhUwNpMo_!eaOx;JhletnKj88`AopO#iE?<#%y&Og)e9X1ufz~jC-Y1=D z=|-s*k-GZq^v+hTDJW=x2vav<_|N}@CyAm#uXq&Y5~V47mc7$~nE}&J#5;a|irRJ4 zcF;U;&_qKwi;*tF-AU4Qcf z7bYD_@gy?B2~y!;P)6t@hJ0nM>vH%zYs=kQd5^Y>Dt(6{k?Lm}LKoMf z#IaWhQH3nu-?z}GsS{1%tWfHjW|UW`Y$U5a+AUzF5(k0_9Fdx#=|-V`d>747PW_|h z`tI*Bs$C>$)~vx%SdM~Dz`~gKXe`n0x)kd5XE;Vex`%Z{-YW1G!ns8GtD={7e<0ab zY-pV=6+VSl>su(|tQ~9Bf}8TYdq>ZCB=ZDMDV;zgL0x=-B?`!Y*!;Fatr>I+Gmd8QK1Gh3xHapS;_{-N50auqfSV&v`XM4X_4@ zBQc{g9+-!(p1D>YFpoC=Q^U zQU?N@3|7qdgBBf$%#62ePWVKWfp5*7i% z;_RyH^0W+aE`szMiVzCpd6F8PM5;!xKNaDMh^O&RQU^cfn$=(BPM(z|hP#pK;MqVB z00!?p)6L0VwMbmo)V4L$w)aHSeIoz*(dD8iKxZW64sRp|T}YaW9&jUVxcj>`6deuZ z;vMm1TP^@-{Wdp9BJ{B}BZPj>$nrt`p35}UkV3!DSb$pRif0TE172wTEY(=;lG7#p z`BH{8K60o-V+)*gUlwTZpy1!#{2PixY+WBhvDO5$MrJLVJC1VMSm; z6J8GS7N7ZIUfJ6c<2e(K$Q}`xpRXLb0e1|}GjxdZjfdaLXz>RV(o2)OTLKb_GbFz& z(rvj=DxA~z281RqfT!l)z&OikXGd`j*%`DdY&}r_8-?oDe8?7@E_j(Xk+fy`=b!Jw) zoLF1fu)3A7XyK8{^O?B0UI$L0ofuGI`P=Vh@Y1QK--_#~BO*jVXjHFwt$}Av=WCY`WUEO zh^yes9^pQqzyjm!Cdai&F~x^JiH#-3VbEcYP5R9V49%x&c^5{?!Qj~3#W#hjarS-& zdp5-`*TRdt>oM%T@pI-J`zhUjNfjzkC`l#_X|GiJ)ZBOr;#`V*6?i<$;5`RPsYRL~ z@gIa-u$(f1W5+Dw3Ug#BNm{W6h$riXkljFojT*dWu{}yI2M?sc(|OQD?EXdX-;|ei zY2$coqk2kbUO9Np_OTPlHB*3en}D_d?9GeTVP*W1dIPeut+jwLz6yKH^~jSUdx)g)5zLMR0_&vE{TsklbHW? z;s|jx{S(=3$%X40It1%@xJ<&hi8}Dg3uMh0)16*{R-QNnLgx%zs?&P-1^1?A8mobj zCtRB2KknXp)i=AndWWY9W*KR>l&bzrrRB)YpWWiF(LCv;j+6Uhy6=t1J!iAIN6HEf z(ZLj;yWV02a1D@$(R=E%Jx4!rosm7*r~P&9@9#sIpgLz!flsbHkEGqJlbVmYu8gSy ziB9&o?qU*uZ!Mv5{J1Ra6PZ@%6ov7wOXgAkoeYas%1lcYQ3ce!lsc1h36v8mD8kJb zi!$qFo8Cm?hdIj}6V&1g+{i;~Ud^$t*vvf-x}gmbTst|MJUM#mIrayNdl62?wBE!m(_YpFX~ zbo(m4Nn;V1+n0>x@I7)LzT+P94&@a!U9@6!4+Mt)Ch1d0=e^5UZNu4!B$!iA9nnUl zZfL?f05;qn0bg^X?{ZTN1us`*D2tF8U@p#nTM$Bt`xXS23SxHLZcqAXw2FC5qSYBF9g1U zCZWhxff8pD0sw7$1xY0b-=AXpv!BDAUI_M%VMMxExn0|_TD)gmPbdfW=g0Ci_7d&= zk601;NTo?8;!L-E%Sb%R(OA;E%uzfjVJ&J!C=X|1oXz-WH8T7#iMKfIaZ6$xGbcny zbyc%UTDVDsPghHN$7JGOm#rXX{kD37{Vl(~MXMJu3OT(QP?J}XWdnWkyQ88;==Pmr zT1Fb~X0~&dCR*x?sEg$ScVT3)L2uB8={*W!@QRdqNZ4>S(}_$!ZK^X1D%+_z?v>=^ zmkNbvHs;e$A&tR2gbydGVJ*1+FzrZ#L_m~wIUa&>FpXNhzGe#sW~6&LF|~7s4;4^~ zsPf6(-lV(t{lg5e-~@#3YO$7g&VcJ!BF#enA>kC6vnOb(D5i`spVY5Y<^e7mANY(4 z=x92`tfoaZ!r3)vetCq99m0?P$3}L!wDQbg{lLE%HOH*xcn9cNtn*i5zrwt*>N*a- zzs731{}Srs$iD?d$^D^}MyF-kK_*Sh_?1X?KBH(+X>Y0u-J1^TtXM}Q>Y}idQ)c8kktjnM9ZY;q5ymbs1UC2L zH>ZE_|FCzC&6Rv_xK1X?#F!X6wylY6+qRPlb~N#hxno-s+s4GUZ9AF#PX1NrBb=A# zRrjju>R!ES)zbYu*NtnbI%jDzPv2Ht0joEbg&?|KW_$uZ?3^aE%M%~6cu1eXC@1 zR3_vMsb|f?OA)*zyq~{39@T9=DdVFhXsP>Q!7R8;pye2eR~UKX9?3_~Md8(BN)vf& z_$}|>a>LqhgTXm$N)d}f)QseiHj40w0{iD9*m3itN%O*JC|a>{ zLMwW?nRc>Jc0Xn*C&K0}^MN-(^)7g-ZPRSbS2YJmGxtsU?g`1ip%acP@KST8Rr02& zs;(Fy11kir5^YdWV9NNkp9{Tg+LpMTw&w>o3d^_5+tNnM`D+7PEB*``4bxu0>bs0uEo}U?BKRrsH9&zR_EzCV!n_hXyv3d3 zA&&(O)t&OP>S(iX?SI=ke~Y%4;fxgKFs}UP{^?mSN0x;{si;UWb6?u?k&QcdnIOB; z8Y}!z$YR^393Kz^D~&egwK^4Wyfmh%lP}i&#)>t5D9FkeZt5;`&5{n-nCKUHTxi+D z9Q!7FedB<#vUBj0NL*xWn|SVg06T^Q!Vu0I#B_lx7)Ku0KIxuwK!WJ=R);sM<7f_t zF}v7NGMu`gb(pi;I?XPQm(PU_zJE=;{gpS<)+8TM$n373n ziCm$B)<#tdHHcQ1m3M5#!>@+vI*2E`xqy?&yVwqvJMwmr#8q6B-r4zm*F4c{e`hhW zhoGDn+$RXZqiIU|_gER_pv*I-&>#B;^Ga|Qbt6(q#g$f2u+9NBP^HqfA?SttY~Zxl z_1^qD&%VWlDzY**^dtttX$-bSn)fYiRy#*VR_|@}koi3O5Jf?N>hB{I*vnq3KMw z@ed2$n%ja)L54PF3@gKyp3qJz1 z{*0NJU+L+KVw@{f)vW&od^GLWrFI0}G?>y98JT9jJf^61uH$#xi6-BX4TCmFA-57gK>$m3HGdjA8}nCJ5E5F#-rp)A$6{O( zd3?nLc?+XyBivodDYlkQtkRVZW(U%+(US+DBV7@Wvq<1%lOUI5Y-QUlSM8-Yj50!V z_wd~FAL&-1F4**8@y$25E+t!_%!6xk?%2aE17!d4(8o zcT11x8=CHsbNPgazTuL9WoH4iVu6|JOcS0fvt!~~Q(#{nsA_Bu``BW0U|jxuo}K`( zhw*TvlF*bwtj0x!{<_sV+HDVzN~)8~1iDeUt&5`nyC2RyisAj#NXmystfvlAlHlMK zbD-b-(VVL`%D-Do*d$!&UHId5U`{xBoe5jeJu6T#Jk+tVfo_uixXR~@szKrLJM51s z4Rt3^2mWb3rw#Px8i*LuqR?_qArYr=%X4Y9LS)`fkJIC$zT%vG?BtSXi1iBdN?pt_ z8&?u#faV|7)o?@Y=aq9ym(_U%V0hVy*q=yJ<#dBGvyZ~v82AcvAi!ogty94 z0rL~36dhMbCa=~yViUSe!my~m4&C^t#tPlG(395uza4r7S_8=6?8rbyb3aA?PeGmV z|FG&wq2EDGe;8pX;XTfgNEm59QjM56(zsJ!Y5vCgCkv)9)Dyn>xd|K^*V23M%Tc&Q zC3SKYls3_g3r~Gml{dGcCY+0RfnPmoy2t||yjRNEiW^j5-uY#ZTNtIYJx;g)` z2wMX%sqq3Pz9Z&7<&D~-2+vexbEkVJ9EMr(L%&DuqQAIT|NiI4ew((&Z^H;T zk`$avTR@48{kStp<~BYDbI=_qr0-ew{0RyZ&{g#;lt4MID^$?I-)! zE0>&q*r{Pw!+walr8X)FG^`)a*`MS!tq$BI=q32Ez~_M=1F!|PO7Vsq<0(S))i}di z^St{Z+rcuS1(L?#HAJ838vXHYfq1WJ@x+Q>Tvw&DcPk$Wg=!aZ4Q5O(gv-1O)tK3E zGtN;9LU|vf9z}d4ojs|xHs+5XV$TJ%IA)6yVY8e21f>Zu(eT}P4qWu5UJkOBL#sy~ z!2CwK&@+3VQ@>CX7boY!258DHMJQ*PBWXAlkA z)|^xseKAsu8|~g%I%GA3_=hqEWKB)^4r~^>V~#_L6$e+Ubt++3Z@QkYH~2g+JIv)X zBZ^-!_P&l|)T8itO=fP#pZjrGPINcpJ@E%_qMEGlQImV}E?yZI2>pc~)B> zm=27GuG^Q1^`;3Wi-On@ZqZNf7Z5ZcPP4g!cJFg0-AyvBtgTv>ZHv#lwtP?A$64YU zJ1K|GwW{D~W}Z;>Vt3nONy|ro^DwV~4$4*+rw#!=3cODu31{85O8SkM47om_peXH_ zc(Q}U_`?t|FCqc1uD}HR%y~}qrX2Vg3oE`~*+*^KRy1KCmOg1NkSHgi{gH#y9Qc)l zwzJ~njZ)9&;g2d41yw6%)wZs05xsCNOc{Nef+seU#m8bazTT2AuV09(#6aW`8TJL) ziCOFsj>4(2o=CIW_u967!!3FrUNJ77_ajTulz%bPFJTV696NFOlHI|fW^HFiUMiUG zjC@*IL3k6U?o}J1a|A3xG_diLunF-%5{$G#Q2ao`0>rq|X3%DzLjf}855TFCeF~wi z$-Q^BF#m9;;!nrQT`oL)7JF$$f~7kb@YNErJy$N~DQ=7;vZly?GQe! zy@XT3YNB8^U|G(0jH}2IHJ2$GgjOJ(E|kwsm8I?6O--<}OMTUvG&2#3toZG%Wq+@m zt*rie71>F$a?TE3oX@oLBY$iw@yIDn;wRRGeo!7XUqb0%uOxW2Jslo3JiGvu-Kj`d z>_^v0F2uB(?Rh0UARze72^{G&*@~6DNGEoRK?6!v18eL!FnX{*)xx!wj&WouZIE}oH7j-NIS zD)mx%cdl24S_DK6**8Mmwm{BC8#OV-iLGwZzgDiK1rap{w4v9N67Heq9sU*#3O-tX zz+Ox`J zzF+ig1>}VX`5}QfQE4`1l|2v>SJ?A~J+cfY3N3x~0{7(ejG)vv3#O~F9o%RsWY5lN zo5yaY&uV~Qvm8TLbZ1dF*PV?FSM1U0P#634nXSJ@kdcaF>*HeR6|FiNB2RTL{ z$LtRL0A-6j$2tkHpu40&8W+>DLzYE3j3n6aj%Pp3)<-hMY08Ob#k{_AASk9+aiUmNNq9NyNL%W6NNGg`(c(660N{ zL`MLanf;Lg`)xdHz{=c=Xf@zH_`(+6ig35_=tVo}c4#(~KwNBB0(8d*3U!%AqBFS&rOzeHEB`Gu8l7#7yKRJT0iAo5jXqPC048h6IRS;y9?^! zjSjD&+0m^NNukVvrqTVs z{DH1S`i7(HFY+nrX>_?`;WR(RAY5e<_*YBK)FpTq?LU*J)Kk6s=P% z8RLvKrX1EapDc1?9Mv&Z=$F{gMu+SCi#u~AEix9z!dMytsyzNJ4|PUWZkr#GF`uH< zSaIE0_c{g{GFtpTRD+O(ldX{|bs&{SsnN+s&21!ue0OUSauh{DIE3@1=Z3PSdP1-*^=x&XDhWutd@Jb(O&ng3mG4Qy%Xr4Ac0jhdquG5HydqwtuhIo1(^x^Il+EBG{d{ZDca;*Wli z0^D}#pPD%>PRxM|IQ2F(Ov8f$F0K%6pukXvW6Vor5gi0CW+=gz>10vwy=p5T4|u@E zyc$8H9Niw$t4ZHk=qu}el7L>h_P_cpEC#khp7nbhRmm6NLiDnQr?ZBH88Uq_IsM^H zPWAInL3K&N6qN7S(`q;eOV?%B`+`pi`3zbeZ`4=Dx~z|z^N$y3A_WxZy`NL9!Ad(P zmQ4kZVD5MYWq*LSBg&P^I)gB2sIlD`-f8GAAp5N~W<$z?YPUKU6b>BH;2eeJXSOlqTIBx+3HbuNr?ydvFl5FX4k70Gxx)l+ZK0L zAvRv7SH`@JH|9$Vp|9Udv_;Yj!YKU{U+$Lq zX)Jg#C!Hla#Ii1eLIUEkx!ipsLN(!qYKs!gl0j(~73}Bqj)yPNv2D1DI}Eid=I|}b zuqiL6kmC6!1*H)##!^nNBB5i%?#aOYQ7gIvppa$a8>!~tDHT`?Vau(*MPcTJ`eMWC zu9Sv%>^S9Jr`XkMbqeBA5=s%H7iLD(K-Y2Lu0W9GIA28$i>Fq`26e!csI=~%W;NRE zS$-6o(oC2rXI*Zoxuj;f|K>(w?^7-ayY<$X4y(t-^mdV3fWL5SWJFb7wN}u@J%?_Z zXlAf7WQBRcc#VD8x*e5zlhRPDJC*z4AjK7>#jB+M6=@@E?eU%Q*;3j4gk{1_w=G+t z;!dKZJxo?^c_WQ}i_{<=uUSj~a9x%jW#`ZeCNcsR<|bbr7_9;xjF*?-Yw|K4b#L9R#$}1 zL7cRY@!&?FztU6;N-}^>BF}k(Hnw-umOp2s&kS5F#-GqNFd|<(7xji(^i1%C!kKo~ zE+UkEytWW=CijvuUgho&KBVKJgb@~i)yxj-91KL~szpO(CZyWG72%LLF=VpeVF+R< zMgE>D3dUg~kjhXXvg<)g2-g`$FSfpzQLJE#YRtirbQwlU#0im@I7c(|;vyKX3)73C zsNs}GBl%DLeaSUor3yl};%;S!SDHq-75NRkX;SRm`a09Ms9;uAlS>nnSjBb!o*Y9y z(EP{J_NU~ujLB9Mu4d=VmiakECw0?b%l`MpDJ~)@2m3JSB_P=Kd!gX?t}o_D-LDtS zqg}|f%LQXqPUEd*OB7}Y;!1M3j~B#EX+;mNGV#uibAMAtauq;JF zSgUAU(sXd_72FBz*eeAg$T8t8(2u=YQ3W>^=%o&@Wl zx`C^5=?pX0zzGb|xJYVpwQe%H2FT%G%a`@+y;8c3Aq>dWPi6sjl%8Jhyd%L|8ENQz z-bln8r0Y==BK}H`jg{gKJB2L!k^&@@Fl17q-*IUY&}&i%<>+)FFZfrOdrboZ-59Ex zbU}|`?(BX3tS`)x{b}guQ*sZJg>~^_Qx)ZPlgkb&EKGe{lReU!l^*nfbUN8YlsF1C z))|w8H1vN6rujuCVtAnn;JJ8bYgqWa*nw7;d1Uj+(9;={ifVdxzUW@*A}e4V_0u6W*y%p(dU~Ci;U0u+PU5uB_aLSF1dj!S3g=JXCK$c?&4dljH5^NRP3PRi=Z}+6 zZGPhrH|N>Cw8K13p_IlHy4|-{=aHgNah)wwmVhaTtC9TS9C0PAdnmKc@uJvFFn@*2 z0a58n!CX<7N#BVpQ7~=nIau!FA8H#!cYkI-e6j{ejl$jN^uVp8MM@Rr`nZC1;_A7^ zGe@Aqhl&_Z2fSq6Ty-7QAF{K#qR+e}yOybhM?g|$H-6Ep6_Ha?RJtWYM*@Bs8}Y^+ zsvgxfCj$fxUh8-tDyd4OY{5F+7`c3He*sk!QZ`XFZLsIw4eX*QUxAivI!rNSXF(tO zt|w~kr`S_4_#3cqH;k&{J%Cfg1ubKAtJ~A6Xy)Jl9Uqn9Nor0GZuP26=u8E*l9^T% z`2%l?Gz!974e6VZ;>d7;_Oxr~efOMj{8%%q5l9v+{Xbx-yC~xkpZU1li2AvTr)(s@ zOGoR;x}>Hu;c3gGL>=jrn5rB9<CC(!(@3#s22o6}Vc}nS%k(b`nwD3hTDgDx6=d9$l4_tgnKi%%rR&L$>ZE3tCO}v}1NVkc1T2B~n*LW#8XgOqE@N z+gzeOL8;N?@_k#|{HsL%88$~TB0nP|wYARG>YKQOnG^z*_`;`cDl{YFC ztgawkNyLm_y+GC@cVu~!m}m=NwMA3n6jxJ_fyzfL+fr3Exh6niG3^YCWTAsDqcp$L zF>D;=k>Z1U`Ki~u9uF)=`$(De#phj^QBLSVYy8H$^m*FQ`4QPRDcPboNFF5ZoCz=TjlziVu7^U~q@BWIp@ zU!V|Y7bCM9^0fc8Yat$$i`0D^-~S$KM`d5D^3{~ie=72!;%aXN$F3YnK?Kb9Wd5`R zED~t7flvDluk8S)$!ec`c$}PA3r23KDZyzh9lm>kY9<)M0v{2lc1jk%%J)Xp$70T>^&D-*g&9)Q7Q>R55azvK-#WJ ziwp<2J9Knu`1gOAfp}X*bWRfYT*2Y?0)}TsiMyMsdyH-fJkj7Sa!d#dqWQEs|2A&B z^d+3-`t4v9N@0ncGeSYXA99pR?iB8AOLxKf09(dX4VWl_*H}09y`hU;oH3SUw2;V` zeWlWm?z-j>PsDC}+@Fg2W8Dx^bv$MvC+)jVWe5)mKS4BV;1k(g10?t%yr7LQB3eGYVTjVrkr3v4*T5*lIkkSW2s zmhP=Fym|C({-M$J@ZizmNoY|XRisr_=z;KQ7=z$xYkvr9=m8qp>oY3pg#m_oSP3fa zCmTb$VB(dX!zQnHw^PTEm_BpGg2vHn>oyKfDL`MjW8C47CYPYTFvA9JSoZ|nlUErf zB&6M-fc=+xgE)zjBvS4pK5N>!ha4(`o2vmUM8oRSTf{#t-^$8P;UN!eqtT=9=>PMn zO#mVD(K_$nwAKquj?i(b@b~2O)*$W3xEj)NDZ8`6L@7B~b@Y5R&(2gLsML{di`EZx zII!`+aNZ4$8rzVPbPGL>lVAx}0yqkowbxELp7$^k=d{}8LnW^5TL$`b5BbP1YR%2w1O9at<1>LU9 zt2~*9)hHh~|dph&Rww*ou(jdvsPQ zf3)TXdelBA0q4OYxDzEB0rtv2@|(<&)J-~~6zslUS^X)_Zfk<&*3~?=G59i|hCy%s z#QEq>1hFkX<>0;1-jCI%Y|m8q_A>)$1IG78Ir;~XI`Zc@v+X@s@1Hk>_Tw%Vj*^EA z=UAsgdD8N27gZFg$&<)Yj2=U0B-HxMlW>ewZ6yd_s^KJ>?)|%l*U-e@abm(C)T%x- zP}7gm`H1D^zc-IZyz@)M3l4f0*ODCvuif*BnRMF|PKo?fuDh}hI<;p`mETH~`?I2v zx^^!4veBnGr1j5)*1XG))Be9qJR6w}EO@p*QA}-s-zqB0yM^gqi3Bis&+)cBP2A$7 zSc#E2{L8~j)6fV1B87-cb;DNvSP8aXC|+?Mm4V#x*S1L(N=Q*AWIf=hkv&~TUcyX7 zW)FR?jwhi!;}K;$?c4ELaT-5 z2RH^G4o@&i+x3_ZrVGt|>BE$TV161fwZxVY^U75=DU7P}g&Ny!8GgwgsiNcBlibJ- znhxd5!Tc#4C_5l#G=-Mo>tOJ@@|?eWM+W1G$1HmzrmzS-(bk|{ZK^;wc4ungOjDt1 z*>WC_bEzg;6W5@&fJ!=44qev)`Dq+{nf8hYQY?SqqPr zkdjF-N7sd~kaR&YG5q!8uw0F8m$?xBXg=CB7>09O$VXRX6-h&SHBG$kHBzGF%ZOad z$W)Ss;0Gu*drj0sCJO~EBqkSr5ZcgEBh%h6Q+ctMlo3uUJ|?zvMka><`Q$fEy-Kp{ z%TK$uv*Vbd!F~=|;Tt#8g)n<-a&&e9(?HKg2Ohp>`Tl)sYdB%Q^y{1&b4Tg$cBIhz zT*6MZmEKdRzk%!Dfgdl2JIY|9*zBQ_?}n|LX?*`Qg~cU~>{QZH?hED+`Jql7WZP{z zksL96%LAVYR>Et`t~-=ey+;7|F}PVH1*2qKP%1E=C}{BR%di+@o_N&;`>2*Ib#7)R+1-f>!Hw2r1)3xPMMX6Kue5F4O6ez*aE{h z3@!YhxZ;HWoXRXxb7KnU_E9)b>#6JMR!c($ic^zgzU57jPu;M#Zx}FQV7+3aA^yiR zHvDw&s&p+sD)(aZsDQ}(H=Y1QelPqrC<HT}sX? zkIMkF+wWm@xM7n?m?uf`%YwX+fDy;Xe$JMWy9F}n__k-yi(8N*%23ED!Z`op#1kY6 zjxfTH|B?!c)LZSz)$hw3IMZR6*Nq}DfBz+7JqS&%%_FenR6u4F0ngMoWY9P?^yX@( zCck^wS*C{a->rL936T<|4+^myA_KmUHfTyNv6EFX^m`S<}M&2~NbnA}ZGWA|laS zU{S>^87M=7ldagJYatlveKAK?e`}lZ4NJmL?}OjzY?)obj8me3Mu8KmoJ>u8Ps*a@ zZffH#Aw5#vG*Y~r4nQnliYiV=yNq|yL#O=g@AE~Hm8%UeAXxqRDJd8WfJ>lOBn zVo6p$^c;Ti({^l(uh{sj4q`Q&g_|Ujsg1p*1O4()s6ReWtvbp6bzBJ~q)#w?+A%KB z=laFw(3)b$50l5fe_Fy>JO#aP?MJ9^WN6-r;PJzORw$9zL@n-Ew*dOuj1GX8e5u~E ztNyAz?IMe|%{hKohsUa0(IMyxHC-n|n5|91rQ9{=z zS2{q%1tNa?MFAncwK-LUkA+a9ewcr&uBvdLILy!gK_`zT=_jTuw-4>6Zhr+?wIeVo z$LDq|O95vtS7_}oSG+NuNj@tFx(9KWLp;DTknvUf2fSnW26T+LvJKaU4S4^9cs@c` zd|KIO9Vb(H3Bx_|SmSjIPlEtDvI!@!i?-ydk+Sy56(0GSO?46<8{2te zUyIAS{)lhlCP`z?<)T)%V2`5pQ$Ul%ks_Oau4EDN>I#C`O^= z`1IR&T(i;ZPbo%GiP>$t3P@EzwRCP*KR5UP)2GVny8N5(MSV){8%IGyBZzjbL0j`piGV{&@rK;pm@t=y@%`YdXSc`gOO!(@kq8~GwlufEq2Z2Fj3eKWaBIf$ zxzl}qsXhbg1boX$^qyY+=x}qdiMWw+K9PsymU=jk6Hw})6zKg`?@F^h*}UZUpQ%G< z`O+_VO7I8N@f!yfiK$wHQs;YK5ZD82#srOk4jdT#gL1cae7LPk>31}#|5eRNzhr_e z=e>qxevf>XRBW*%{&RgHzYS{wzfgQgaP$*LaIYC+f<_5 zq6hpAn&Md)$*X{6%jJjmPk{ngV8mdhdu)D*KMM!h#87Bv z=_3>e`F)gLzW7@5_xuA_(x?w6fgd_o*9hG8N9#&R`NQUkleNE8+=C-A0$0A_3XVsv!C!nmBPEOTyZqF1$ z(gtOAd!TUrfbX_Y)*tr+<(!`Lt+(K5HU%|$M}Y2Y^;7|AsInUV^}``r#Nxj(hN!$_ zJCtj!TmmAPvCKiU{HvOx3W~>@;^*=M_*JrBEwBkoK#K1`%XQ5zhHSE6hnd+vp)7`5 zj9So>ADbbsTX{#Z0&bkUr+8t)$UC(FVZPJcIpXI$7LBPM9Mj$r%qq1ytA>lNbWcff z`4{sjo4nH@it*-9Swb;TnA`ER&!Ua_0-JLStOe3|wYRRPur&uSjL*aT zv)W3GNLpFplg^~wNUomDX1m}RmKtR&Yx$x=y0EwhDsW7$>H+lyVeEYBKo2Q?`S(R; z*-~|VEZH$-E-(2cwV-`WWYRDkjPOfbOuOi$3<=!ibb109=NaVKxC2UyPI)+ki_LJj z{sc~ln2#4T(wAzK`hGtLo{RxcOSHh;E85ep#pWJ7(2oN7GtNK>kaypIwQ|+ILz4Fcq&RKES^cFl82`+8OO=Fl;O(67~n2G{l}}jK~bbG>kK#$TN?*dMe zyn)VfroMZ%H|p}*HDSJf@LuLnMfoz*HclHLb25hKKnhTde-~xAYio6P*E|4dI^uRi zgYHi6Cxvnxr?f;m6Et4om)X6xFvknP6o0#!XZK{JJ@)Y+cHdiyf&D&poBB zb@V90p%%C}huev6^m>3!^h~0z>Q$(I1zGSqJ`k);%!A$Nqks`Tf zibL%E=J2(|RFNR7xjAytUVZEeZUZ;;NzSPv^1t){Y+q329c;d`hdus#$jaA})bxSH zu5Oqc#7OoGVp~L%FLrGeVf{!h_m0{N;bQ`TlVT+jb@Q(w^~QAIg&j-{Zw3#9jTgl-D&C}dSK_+^dDTG8&L9efb8bnHqTpvMXO2ddv3gEM60X-xX0E-4X z57^7!4e*61Yj<5q27HQ2t5)3UxO(xB&ax=70>obq%BM~h@(nFo`Jn&()0G;hK10as z8{;S$Q4e0}_KN?eBw`duT#v+^TYgpIvi|$%2T>2&rh@xIvb=JDy$3^G-%X}xl)FT7 z^~%-X!K2W1d~Y6)ZCnGO{=nZLsZlUGpj<7ghb_}hr`iYAA&6WfHBBm>PM2V)-nUur z=CQCdV>^cBA7?V=l}i1pgPU1gl756k*>|f1M2mJPfIM84&n zgX%p#h2zJTG%<{`bFQPIzte)L{>Dt-vWqy4BtGqwagMOnrlzQMiBakHEr{8(h?^1n zZNLq;44#Vve)pz_Dn|;&G`bHeC1-u=HQWfXQco)Ae=Dig{>er=J&b#SuzJ=mP!wS2 zHb=ACjsgn*$Wvkk7;-G1%w62u#efIC5=W6Hn?`6gwG3{=@L(;qnqr^3sPHmUA*SC@eq*xtAlnu0)$vew3Y+i3j${=Hlcz*&)bbvO9(^73b23__;IV7@EF>*(tI^Y*sOW%-?&Mj&E@3To9Sla6!BW|9D?w=F_0J5!Ko ztCxyyxJz1yq=cKol!ue<6Ef**ULNL(W+&%NW6+Dsww#&lst~-lwPE9#VUV#ZOVzve zWN$TJQM?C(W1AV55a)w+NyC)koU2YlfTLm`62n&-%hj{QGpw$>8ei%vlMtf;9zZcy z6Jxx5oRhh+sFz8-3Erv#ICL#|y5wv%2Ai`xooo|G%*r2129wd$)2!fQQu(4|TTvXN z54tFc@ML$beY3`-ZjjcQX87v7DjY->8eBtmju|ZrGco@igj96K*{eR!X^@DE!JA1j z|6)#!#}^S#N{Q!S{$(lBON;)phPT?uRG8Ot{;DT0<1k)(ev|BQa51G|N73Pqe!RLx zNw8r!66^*N@s>b|D!2&L{j{_exlUng$?zoYA|rS#^Ejp7wV$%3pt@CA%T%&79BJ6t zQ0#YlogL?pA)c#@qzi?8plkwHP77&sc$hjdNtom8Ou@whB3KeTe#UV=;A>`EVGG+! zE7L+fG(uDLZn&V36th(k8k_Z2L|Y-SqcdrW6jL~ zyO%?6rgHiAzTwY_=3?o&WlzK7MU;4gb}0AWn_g9?m8SE7jTDi`8(Zs?;}c7|DW!#^ z-c0Yz{&;(k;i=XT5ocnBV(^NJmuNx7-{oNAX7c_1!97a?`-; z<}Zv9ZEL?}I`zS?Ig~wgf*Y$!fC)`t*we$pQ|ubIKvyqa7XI z9_r-SAs7^a@ei;M(p^GvX+#hvEJ=iRI0Bq@HSXijN8bU$uG7KaST5f(CnD$wm=mti zIpSaZ1RTc+i-g_DU@d50%yW#Ccvzd334ZAVuk)TI)8?d;zxI2}qk!g0f3*ADQml}SZdu)Qw1&pjNzZGixyV-h#q$pnbMPPMWo_~J zd#=Tw49UrU2{AO04W0_-v7HaUVCuI{s%Q_Zg}k>DNeN zjSb~4s-9}uH~ypJ3s{9i3qm4%+f{!s{oVL9qEgI*EWa{1d8oAtz9$Vdq{(8ybDg zU#yoA^e@UMWK{C&pv56TWk#?grjm54!9aC!`3(a#H8@s`dY}w$L)H6cYpBGx!q~1N zr##3{&cq&=2~4*C^5Nr~83^IiQ|)us)L&Nob-ld9VslV=NEhfz`Qtz;CHLYnnHIS# z0bDWhDCPBqrn0ECSFOmdTKAbmH6pyci;Cp8(ebJIf&~GA8@D?pAe6VQXpk{`&9@{S zTJGCKQzDKp7zhr|MFHK4is8?K5m0Wh?i!@-mB1eh-DE$O5Jg)NEqH4$xH(McQuB5h4rO1ajaDhdAGFadt(>G1{%$_cV7AnPv^rYDO>4v44 zaYU1|^t>PF6Vx3#jM>6@tM+a8_A>)2R;XNCOP)aib11I^9;rdIH$KG>GHWaTl7CnZ z;htbrpNbhjgYLdqySgD=)*({~{Q89}u`g-AYM%Cw zm*+O;yC+GW+Us-Y_xJkrke>=?Y)=uLgNxZ(R4=U%H!&mk$oz)>UkM$-;O(_98kjW! z5#<}lt4W^Yeo2}nO?{f%cHp(|V2Nm&tWId0R{=m`9QuXjm+OK+CCdGm#6ESgcrd&D zn#_fdjkjcl)=lY4pgqpN1BWt3g)tHJ-v@k14TD|!RvMIHhNB}izKkCK%dK_Jcf5jI zg7G1Ci|iUhIFDGPBIu|({d6#)k%3UHE1SlaU2{?;}5Fi-N@9rXO z&4&LWjnK0MjVQ?b2ft(e7jc3^Ewcc&ek9hhD)vOjEYfTR7{@nqv5fu9M=Sbur87>E z4*x~^V^pz2AwOfa#7vYff-ZG_wBz5~vpg(TfCIzj3V)O@n%p|Mp}9PeGF8svlaldH zsz@V92QK+;tx77$|?>JXFaFNT1_Wq4&<&T>nu!jvU#UCw~7{RbybjpT{4i zpJs0#h0_N7e0)^2O-TJu9eq2owSQsBRlQP7_$q|8sBwX4mS9(3sL8_~M zG8{6=9&|>mS?3sX5H6u?V|RB|tLG$dDqa>|BA_+-`mQmd#NT$I97fLjfBdhOz}F%N z>lgmkRKJg`&gT5=pYYrG0~C@XzY1mQ`$(y$*aS>lkmx!Y{w&2M89n7z_*5>%*8a^H%F;HN_2vYuT?*^CO8}q+XvKS3iGjE8p z_8}UmzrbX+c8rt>3L7~ptO+2BS35}>@{Rj{B@L8(q?IEy(XZzL2vK1Y19YHPByA1` z{3Ta7i(W1e8p6i^N}9YOY{r>laG@F~E;J1I*vOTDJvQU7>S&LXf+5!d|F?2qAN&86 z`~NNX|F+K!8I=PNf?uw?ua6&dkpNNu^^kt%zD$4IBk=VqeE?&xtu)|>F?$K+4lxIQ zxA0u!x+b;8s^R?S26bKFxvvE55xO3p8$6*ytwvk+d~n+Oh5BK@IPJPq%O4w^sSZu6 zW_m?qk-yO5@&4C|7e;M+E%Qy22>zZVS9W|dc<}%M;`uLc(71q%{EiA6h8I}gzlRu0 zn(oP;dLtKLKusOt<9t>sD9gmPB`ddw7DRw2Dyi!09^dUB4|Y<0gotS)~iS zpYYfy+|cgepfZ<^^cA^wnRz$T-hF8Hl+_{v;YXQ_Ec?BJg2Ef%!&4>xMuuIlKlL{E z8m|xZNcoIbs?ANw{HOX)tx~N6M`E+s`j^}RbN_)+bE0cmEOyKs!Lr?KflVdVso2{? zVZ@9R#KpH}Hsqp0zlNoX&&dW!PDrCQX8Hg&5j>md9!D(wpGZV&J$gNYr^tF-io_|r z*3QIf)X8fWDCYwm_@~KpndW;+8fru8w-vY)(r}zN;{wR3DSqEQr!Ur9^!}TG6oa*U zHQxAjo(ex;QH#q)xaMiEQDdQoz5;>$lA2HT*&*g^vm9`w%s*7?82lD*nEOdG=O_H% zXZj|n2$g8dO8R-rm!?Ez+))cT*pKs%((?q}=_Nb+{5ndgLa6wqiMK_`GwzI^bbo#{2xcBczT4^@0`^HQvp?e^wij@pH1>k z8wEC*V=;P-j!9cogV|0)T+R|?&5MVdYTz6tRl3B8Q~Zy`9}WOb36#I45P z&)s4^<|vBS+>O`qf>7`M7uQREqY#aOq)>CPs38Wqf@Raafe6izW~t z1_VhUXt3ZA+y@Bm8e9T|46eatfT?fnZ?`#ruzd~w0|34D*2KXiX`Z8h=lDDFrKsZDxCLwm39 zz>s-`!s1Q9eER8fUfo=)k>5^a=SJ zPol#Qq~nIuE~oLqDA5aQziVFXVk3FTy;NX2L z^7M$izsf|3CO(wC4mm13$u542R5FhmkubPYH=2wZay*_vF%rFz;fd2pFnw<@=qth^ z2`=fw&f)*GkSDOo{gx`2M*nP9G<+Dek4^tZbVMU0Fby(j^`%vQ21~z{p2p$HOizL+ z-JdNIXIXy&;5Lb~jz7UoO#Hgx@K3=}*JiWuDlntAuR<>&ItaODJR3|I@czu9c5#(4 z;5%^RF}WhHQ3|3}i_GVxGb%CKo-zMx^x(y9UQw;LP5ZO#@I8m zYk#i(BwC z$ex<{r=D>5!zPLLcGsCg6Q_5-u5A5+cR^82hpIA`j^)Tg1B=Yt#V zwrA~H+XI6Dx`!Bkq{n6)knf=Czf*gC#(EIhgCF;8l$DN&#~cOBYz9E?HjKD?Ry4%X zigmE{GG2lxBHD8O9}>>PGv0tc3B{j3-6Ndw?DlVR+uCg^5?s1lFR6gmL{ajeV*f7OGwOXTgXYGxP4FnVs??S$^ML!6#w zc`~q#O?l(vOFz)3rEdQ@H-Gj-Wytg8NY@=f+iaGk?=0$VPOkM!JPu;g*Y(54NsGbS zF|KFK{fXz>LR=p3Z0YQCR%_8IlQ!?JZf&eg4TY_<`>%pyY}qhpmes|GYoe91XKy!% z)=3k2$&0QdX?_l7T}OGC|A|asB5`U}^j^PB(7b*d*ddb{vn3=kw|;P|!*56a@>~5B zd4Me5XgN|SCNwEpkW7bv-AZpRJlD*U?*B9b1hx|#J}b%~+!pm~bGRSp9_me#iz#{` zh{HG}b%YSu9`=$wrXQ)k<({Adw|vXIf}qMaKjkQKhkkl&NfF)hKpBQrl|~Ti>RkEl zj&ks9t$OF02zp~?#~q5o^}2beDJB|tI2NBd6wC~=93}gf6_l`jas_H(HW?^&^&f8x z+K&Qli<=EGv`gux0RFTwnpt)`v%r79rl~!h6Nebd$R#RUG2_&}-@0YjJyNj=s`9{z z@2}LL79O4kHLPz4MruxbEYHU#~s>oQQl9u#Yj*=F$LtI0S&RVwW$xOv)B|dL>3L>%*U!9scIBpm z_ObmFCauj0c>iir-g`ywwY(4*&e$?Ao}l%~;HFILUWnUqAX_g8^?+DrQV>T?+z*cl zMI6$`8`)#wG!D-SSz}E&*9X2WD{JH6oGH3J=j!*O95qQ52i}{Pt+;<$M}}-fh0TZO zt_k*xLEZy|1Y}~9RR5HLl4JIpFC<);(_gFlpGjVAx){feZ>;!(T>gNPhtC%y(&%DiK@4Jk$yt)|Jy|_dHGXbiIK09OR`F4AzJhXHB2#8s=kqL%drHeW946 zd?cOKla}9SL(3E9*U&Mm^xu#1q#f_{4=1k#j+B!JLGjd4#G|Skhn>zQGUQR}ihHO?`;No%LfzPo#I2=U$6{Q{EXCH#rFEJUF^pTW@VWnS$kR-WAdBo7MVAkyGG= z5^BxE6Bo0AHq(7SO6ljx5Bj;l64IFOWwmms^IjS(-zpUOqJAW5^OyY^4xFzb?Hwzx zB#`TZ$x`5=DT24euKWK#VgsCl`;waeNp40~$CwK@_p@G-p4<+VBjpNPY((tUlWPx zcCx5WmQ;O#i}jjk@8Y8`=;|1v4uZ7et~D=W##dL~T^&>?X52 zH(s~8M+MnrR_@!Wq~o02<7>(8b3#NFlEAy3VYAYfoN>2G;A{W$-~E+bJeGc*M&q!m zV_jIj@}BgvGl!}{nR^yV!gRGC7+M5X+7sNm%q7lT`3!(n?Kguz;-oPuM9K`(zL76T zs_08D|I1wpDxr$Z4X9x*zOiVDI%K75SreRY3?D7OOs#T-<(GQR`FcKV73iJa3VYAIg|lyo*qa=53h5RbICQIEw6GW8f0 zU&(pdHyr^PZ&a;3HrizG$re1Z=~FoEV7>6UFj>XzspET6G>H?d7R0z5)2$to&?w+H z)D!_(5Jq!mJEDyozPu~R?1h`RrBCsaaAxg#Z?!GW?#|;Z%CZ0b&CHy}v;6o<`Tm!_ z7&pN*R!MZ`qPYwLjCEg2TUvLG!8&fYL84Kcl~Z z3_Qu`vp80ThqUf$U{by7ch~;A#IO{4YeHy)=&m;5fGdSmq$@3%PWDDF{{r^L|1Gos ze;NZ&j9tCzZk~`Dv_W9k_Yt&#(lzO{;XRZYd^QYJ;K{^MgzJ=m-)~Q`S(;3$2<2}4 zCH5~>O$+j)$tR}!P@Ivft216wa=agLJNEL!j2Yh(*G|UwSs6JM9V@F@2Z^8XvzN(6 zmfX_wGg)l*X*)-!WsD4*dYkP@#{B~?5m&o~Ee|6k^n187Z$m6p)@wFyamnc0s_YET zL_%8CeAk5q-tRJHO2uNof*c6j$A3%QP!?kz7ko?>)D}H0cRryprKX}f^-TdyP9Bt& z`?MV7IP>raA-^k>i?QIatAhJL8{FV;?X?8sAZ*4VNBcA@bu2K?m(cp@`12i#`Vqt5 z7Y_bB;-FflvMn?5pr(eu;;&vlOQ+Gld7jmn-bR9*0kr68 zghGj>OZN2_bJ*tUt!;E;1N@do`=N~HLzs=4>EVS(6L&O=UWA^W| zwIQwGY+hAoO5dN%IjVjj#r@6ZhnX7%yN0Y?;g=d9l+k!T0s3VVtiWjl*cyFASeOUT=9h(A#}{N&5Uv$agY~Y1q2vZ(ir3-`JiK8r5;w?9+__ zO31{#*LHKT)?i~^J|+X*Zk_r0Ka#JqF#;bG6{_iD{P+$d(|8A2@oBwzNE32JiNo7a zOUFK7_@d8)o0WP~WxYz5T?IF}(04beVeb_BK8YZl$c*9dTD{olQx(>XY=D5mOvaS#D z+hV-H1Zk>hLXYldIpR#G#C;+;B3C9h7Lg5+!$yei`5R`$dgRF*trsNr{P;p)0$Vz3AN_){z?wxb?PY18+MrSIojJ<#&8E1!r*!bB`xE@tcqSuRm zpq<}U#@70tg2SB3G>4J55Z2-;$Hq#VfvdmQ%EOebt=xdMqp}O2?3}tmWIbTG<<~ln zz@m`85L8>%S;jdBPIClt^QU@1#%fN_72UCHLFPW$$)Ioc1?EcvnTB6R63+{*wX!78 zA$5)&IV|R1YUH-^^O33K!(%2-6xOb3d$8q7xcEuMo-TfVNuNY4>q7b|XhsE{es+Nq zxngoBQTK|uNty1)w9mCYr)85}sow|Dk#8KI&qJ0n#_Eq3rrt&yTFQ2L%lp9dqz)F5 zwmrIkyguR$U@Fd+4i8V}UBQl*hxKzVOsH>@k!-*I__wxlXJ`?RXxEQ9$!T935vMX% z#=5j}cyFMehHeir+`U}uW-1;y&{q9A1<1;BImO&y^?v_T5|I51B39nRU>MsAWu@qq zV=5M^xOybxoB)z5a<)sq{k+AVDs##hTd>8uc*|Y-pdT{s1Y*nI09^01xRg@HgoeI2kZjcWlJ1Sr40m)8W z+3|pXsLWkf-&e>mKOuFnQ+F&JEZ!E6c<4=~Oswg-kfAHfm<<<`H4pNZV&6CzwEe9@ z!yg`PGng}%{$)OKwm{wSzp&G17^lwoRkcO3g)4ixkVo~V`*>U^=J$1CvpaM26BhtO z?c^s)zEmz(w5lNQT$TX}NM0ODv{e!<@ejr#oS*xRr55M%$e99PD%rgvxA8cu5$ndT zkhII}Mv#voap#F+v;M;-)V zIern2NOi@(zSkTTb!ugLbM5h5PYKc>R+*)zgQ_*ayCJ$T(-8fMnCUEOYm5NHfry6s z-WZDRSOdR4nXav|&f(Lr>t~sehk*A5{@D2QmTgR?L<1x@QV)gH%jqEbyI0~`9co#p zJLf)GbqSOOtqM1qO%Lj-2)P1mq znX<}qzSk~6C7HsAIo)Zp{KNE&q={z0F2#C~%O@k%u0yul;sv<{f9I`e(fPvqOx&Iq zmS4Hq;V~tL19yflKk*p^Sb~yR4)(-LzkY^f#At@HoD%Inf&apn42UZ7B@pk9wL$dHa!%Y0Q?_L6+E(F(vHvwc z2(K*yK-o%n2wg-dQQYDcAO33gVeUeKtktW5(oSI)MY`o^DdGv{@3sIg<|Cy zO6dQN=vLJ|6Hhkt&o&C=*Kf*fVwfOg^%GW<>zApp-TD)H`#EHNvCiY8|NT$#O|{WA zqBR^(ji|$Hvl&gbtI(H}w-CX;v~ zc_vQUY_?|W`)&L!)=Nz!DEpM-*e?wWP2x2q7hufnAk@pqoQDxrZvI#y*}Lw=)oa%6 z&~>;jH+R?LJKn`geN)iHk`iYIwN~VMFZqdA^INwyLy~T zDo{+8U-{2#;e-P@3?g;rP1-hDQrY!onLeKOZh6bs?n#w(a9r3-)5vQ@gJI6DAwQ}M z({%W+l1x-MX)y!Jv=(!yh0wUXlW&9>ph>z%mlLf>HLdSeKtTt#aCk+|B@iB+O5QCy zh-*%_ja@SNF|KzglTk@;V9eF4#`tpppO?)G*Xc*r4(!|?fpmCaP z8@YqeZWu4sL$YFU^IGY$vdD{@>b9>sUzaiD;JWia}CrE)-yYwWSk=p92|Jl@%TjfD#Wtk$Mf^I2oADqn(ruJtJL?i9|8Puvi z1>TF}FK`&6MR?dBr^mF_|KZuj*C25Xdc(ghi!#*PYy5hTMdoGyz5 zSvDKOwyN_X!h6EMOK%HvOX>FZa$`oidabPCq&fqi+J+mt?hRq~Q$L%xl$TRdhc{5y zV;@q=#H=h=1@i4mDDoCQ$(%;RG6=|yKDSMb^};I1@;vI|+4)S^SDKAWVp~Rn?=&X! z!skixT<^Mx;T&=7brR3EqRtHN-Mz{$7|cs(3xH&5V@+;qE434cVuThq@%On8Vo8E< z14G*^3xp(UnkvB(zPvc@$}o^uH7>jYK0NlYs%=}5@&~m!U6JT4PaZCw>i6GTj&R?p z)F!NXko}-yZCERXWn0eLzmQ6m30_W^y7^(}QC274Z>_|5zL-1(ZNSo3nuBx{2tonT zVlC5~ys5?%fvRS%in982uIi+z|O&ZZYSs^?5YXM?V!c+}Ri z+jNw1VwTF(%+qN%q|yG4gWyw-lOxl%l*T&HH^-)4$br^nk#|E7sViw##mlWk7e%3( zy3FboIpNmz5dn&2OUzjI#eG;#_!ZSJhaJ5pGQ6e`2)o}`ru7!iJ_BzVegI_*z>m## zm!vAWQY}g0kIXH|7Y3jNEDZoG!5>k?J@1CWwFB9gD7^w>mwD8N)}?hx+}^eP!326W z=LI2Wn03^$u*KWGWzv?GEb;uK=rIwB#uVqg{!8W=++MPxy30gcNs9|S==|%;-7mM* zD8D>+gf%MGa=vCb2er|1xHmCY0ZE*gb=^7C3w0qgOk2}?0YkL39B7H>?}N($O4;+} zvBRG^MzY%tI7Sra7yX_%Spy=GO}Lpau0NJH`RauO~?CW|Ei$woKD3!1&ZFqo$c#D z!6=~>Rf=AVSMbZTjrZg#PHl;a;z1R4p=lqd4EPfq{9wjQ<`2A!5tX@=o|r6xI>ZaW ziohg3b1a_$gtgEW`hBfDt`eS@9xw`JzVc(V6w|LiC$sB5;UF2OZ7uiO zt9VlAFaAxgC@pAj6+UZR96nD^KEQT;Ws|)x@HgiDM?7+7BXPRXYEF~vXv;UCzv;jc zpkwowP4Yb z-RCN4OS|?jQ5Q?fiYllJkn(oBNO5gb-8r5B&Ksl^zJ+u}=5z$^rD0UziuqEa7J~8D zjbRpbUS_YR5WVX>J3 z-2rPIL1oBE8U+)$yWiLQ_$Gj>62oy?adv9cPL`a`S+;LylP<_(2{)Hdxk+D%@a%-b{_HU3t7mY{wOmVnU zpF;9=dFBUGLhb#FG;w#3`;^YykF*z+5IPfM3zH9x4e&eiswNOqhO@7Qdl_hfJ2CI? zwN)}Ow&XRq-^No%R*21-UxKaZmB8%dM}bkRze;?_++>J+ydJ#(WM zzsWi!qD!w4f$ApyC+o>DE&)<#OhXQevEagxA_M8P{s6m8M1I&NMWBm;PC||@-9Je! zj6kHWxhw0l6p-$gu4^+?H@-;SaKM9El-IpDm*uy+E{EZLf-s_74!P}})em(8nQ9SZ z@nx@81sS&0I7Ec{cmXzb?>39V zjY*L+YgYCnwa7*n1N+}%NbN}>3JncGXh)=MDy$cIcT|hcitu&*EFXWbZ%)^dBu{=a z_r3vW`!$MgmczT-?iVGBL7(cs+H9R1!4zCt@pN1#4=?pzuXUZ;tvX%*&OKM29ssrPIn&Y}Q0uYC3ep5Dbgqkh2sm+0Zaeui3wvi;0W<;;dJ`hi)913yl`NvisOBwyG-Gk|BCqezPtVIGkv7nb1@lXz{fms>hrKmv2E-_ zpF!ODt5otXn-%;abCRnsO?`O#f=3Yjg@qa^1BVq6k~(X93IU4y<#a#l7=K_h$Mnd> zKDwbe-4_;!5dlmT>jEv(%qXGv_WZ;4_RznQ6wnqX+uIknC1_bNI3aq)aAEDoJ=K22 z^ns56dgT17zKsc8{!llnWN*Nc=a!o>SLFkPR#KU=ilPZ1z zw{V!$H=P+0v~SzJap<+a1yP_)JvNcQEps2hDQ~wtjp5T8uEv&8Q+Fy8ZtLekf~aAC zVB0>7wKO*-PQoj;0p0EPZTs6q8Uwd1gjS7I{gh+v*~x(d2&TJedjH<^zq({Zw(yM3Pa+=w4?DwAiWiJ{`5YMtguqhEMWy00 zA8YKg;{ac=(w{B+da2U+-C&%$IS)yrj~bc(P5y*1a{>M8cYnKXV_N*$Eby92B7R_v0mZmG*Q*=^mOYI-ai^p}MkGg{9wy=HV_E!VU+Mj)&F#dz$I~T7GrW$4K_-rBiQue58CUsQk5N`0 zkf+Jl2^8-@mrLRVi_)grAnrQjHue@P`g=xq>;7pCCehtIS$)S;c<$W?#{wZlFb;mJ z4P-*R_E$U!m91-=Q-?-Ua}%J-zuy?#kiSlnj?!VCxgB51H{X|~xJqhs>MdudGvD}? zt*@CSqBaaky7|dMj!#0qX;jv%%1wLxhLFozP~Eu%!C-IASGlw)W4=C&BA5+^P}01e0xMVwtv|K0?}U zFqu8R>U%5bwDzSJ8Rk!%=}TB;sg1Q%*8a&^P&OUn9%Ccj?hPyTxjIz}>O{sVhC)29 z%zEo>A!l5Zw$|&zzBWb8wFptYZMo_Q>fxVQUXDq0>L~d_`6>K|xwm_o zZT9Y4H=4_vrP2-*BCwQcEX(@@B~~PqG-8G1vR-Oq-4o641q*&(KoxiRwO3vi1g@KDcgpc=POi*2RTQzXGH+j| z0^lb;EuMvYg6BJ`a2!uHWDd0qpwOM3x(~-H=v&V_1m;$ti)4fP%b)n0UENjHK~|iv zj9WDD?pkZLudJ|!TNyP5iZnDR6zh!@H4j$p>X0gR)O2drjrqW{G%x%r zv1-^hvFFT`@44r1uAf!xPLN=7*X509pj623+Q-&!=z3n8&GebIVYHywM~I>ryBr3y5R|C-D}33l z4pU>=8cEY?VHW7l-1_6|sj+I;I{BP=ZuQs%pN%VWm*a9EBeLa)Z}P{F??WIDYoxEePAXQ~Df=~LG3N((7IARa*;oC1l;k1=zrs?I zV|fM>gWbg#e*mMx#3x_rIHPWSM#y!-3WA+A;yc*yS{2}l=5GmB~ zUVZGgp3IM=5C@6+`6_>pvigarR9THAB~?P1L(QwJu7Io)^uR%NrV)dV3gP!Q;c@wr zk1q4kP%UazV&hu=GKycM<@3a5ZLwc z;l+0Q!kP&%+URloMe@yrLnbAQjz?NvQw1{-y+TBiWqPRh zIR8-YMZ%KAC<=4ilwy3Ox{osoBs- z>X@9i2KH!`f1rDOT#oBl-_n)hRjVeZHr!R?ft;L3F92+5i63ckRnGXVww9$-owzR! zgbM6}rRiC7H^4!7F`v>}S~~+dbkGa0Sg( zQ?HKM*Px9u&vy-s*>YV;X4(c*1{RXe;!%m-hG0t*K(L==w9bASn5`NKlIEcN9R=H)%Y~*qR3^*rT$>m%@su3;zS} zs#_5R=YPX7t1e{JasI!5j0nl}tl z-VImJb=$)A-XRC^uE=ItUJ8nmi0IMSq7f0Sx2DDi#KfqyP5RTJ> zd5?ESll)>mz%%^xgO#_-=!w#U>ZfJWI(59u_eZjKs;}FKpi+G+MQ16<03=Oz+1})x zr6Y`z7X%#L6R|>i{ImDm0VBlk z7J{p)wnmfJ?7}u*iaxcTZQ#q?;!^epPK=Tu?2?c7UErBF$fkA02U6vH=N{iqgjocqiz6k-mLUx=PIx3o&_ zxp^bcz;VKO!Ya;6&YjF7rd1lGGoF9z)PgjYwWLfMVTO z8C3sjRTd;5-6oe=#-$dGjQbA=NZTb*aa`XCF{8BFHAv5i1-CVqMp;p(^0Cl|Na2TG zIZBPH&vHl_s(BC}59_&OCr@-xU_A1do-x%XhnN(xPjj{Q$2tzzj|pp5)g?nte2NcA zlO%3)AMx0UwDSUgY(HUP63kXD^u%E<^wfN}Db}eqqE<+KFTZ3FGcB)Bw7eP z-+H>Wey{uM!R(`7pB9i|5-Q4f@^Z`IcDy$0Ju}l)f{V&dgg7DW^96*)iIz3iZ z<2wu?!U*RnzqWv<^yt(H7_t`a&nW&b@Wx0B67K%}FBLy6%;18Iok9fXhcT~`zH^BI zw-WJb(#O(fvHyPf4^FyA-W51+UqqdvOTkJ+8qLLt*VZmgR)d9wqYLcV$6yTfNXd3H z%^k(hqbA*md#XK`X?2V-;ck@Hp7d-Kyp-~m_5Y-gc46(NaV>(RU&Ov}Ty1aJZ?M-do#|gdW`@ zq~D>%T`Vzl-#b};oHgEfs_~3ez}4@w8+HTFsj-3CzgW|#?XXZYDYLLil`^HQ5- zX91p8oK%By-kO(<6Y-L3%ib5`0hm!bA1VIwm^AkIh_4?LZ-e7HDY>RN;Kv*v9Vr-y zs&?p(IE#$N?->Hfo#22mtIZhKT^P3uqw$c$QDL=&`FC6wxvO?u5vKs2&6u-a2xCx@ z2a|px+fJPOF+`>R_ZN*yCjGs(0Q{vnDA+sfKY)O<({pqR{u@+@0)b;+|99*vFAxwy z$rmA5R%6!x0~>WI_!}ZayT_v|Ic-v)um`+*N~Fkz$IkIP_ax+#U#p}KessII1!5yW zJ8im7aSZ-GN+j$eX_s|Ex1dbfG_94}&l2$0(E=L@OE^!eQ0qriu!pJ8WO4gk>|G51 z3kiyarM=s1?=K%)y0uU&IVPJ$xhAEDMDAfHbWSd%C(nINveZujPU@I_S`Im_w2-~uH-kvV#XS$KJHF@oW4A+U8C$$_^3b#Kk{zKvC z%h~E4c6*;r9DZc=JDr)VvEIi!+%ib-ZN|+Tr$LOqP2=%h%`lVPvyr5ZcpJDA$g(Be z#@QqW-^Q^}^YgFZQg7%S*EokAUml%A*K=Pl7(uv0A&WF<{_U;JVI0>J^(*ziY#23N zqP#)pfg@X#h#%FCTd+j&wFO|+y6AL)Wnup3S))kU#}d|=+YU}M(~IAng&u_->v2Ai z>8Ic77S~RmAthNoQWAo`Vq*VoT{=`*RR*bEtjgG!y=Wkn#l=28(6f@T@9l^i+h%uSpKdb_@W4GXTZI^(H@yc|(;%ay#pN z_d2aDG65~0R_sl#jA)-a7wlMmBNE>?!~l&no`LpK%Zl6NGg16q-RVtqD~EktLhbEZ@-+fAgU?sxPdBW+ z9A5QKu3c6zs5_KL-d|A3wE$Q|K?Lkh+e4m?N@Zdh$~P4;%_X{5U10Bm-DGV?#Ww^$ zi+;&p9-J&8a+b{`0RE(j!4$+>75sk6qt`vziJlxF_G>BcU%ZSX=(UC>gcU`r|MH9A zSyvuQnqR+&5`4jAmZ@{vDfrh$t=C$_BI_STPv3?VB=g%8M;+L;-t^H=`QeEdCR3mFL& z_Pw>m1bszvM!!y1j_n_9$A1IztKT}ticCIde{iZ!$aHz4eMFC5KxNi5)I;ub>#L^Y zIN-2K^(~bb|IJeZFWKx}j`S?!I0^LA?|yrih4$|`Q%atw0() zuIg>IWU^^nwWH0VCZXxM`wK?ej8#f@JoaHHJ&M|oP})t*oAy(gCa8YCIP0YgxuXEd zk5tL=

    -^QW%UR1bdfe?iYGCZu$kOWsw_`YwF%kMlvues+P43K{&38Naz_1_d-<4 zj5o@}W+2&MBikbQjdnHDg7@iGRt9?_cr~=WChP{Hw!Uc62(E zy;-K>Wm%+i<`pke?P0vt$}CVG_;|70cH;J75t2PyEu(fb8Ox{QHxHp&;{C)=&v9&9 zwCl%Ep?ohtVaru1ktMzfLKvt!*>RfwLvW$ZiqN;UIQT$iOtn4|^N$)5UcW@u19aeZNR^MB;kcaOB~b+WQbgi;2=;!mEtYktrG@JAjn?wB zv8fx%5NxpSzw#<}DB7*rwoQ#qC;;r18INDIqE8s(O+1v*i+WI*W&Iuy5`BbxI|(Q&<3)Ab3K+tmi43`!9sAaSaljsOFJiIOo+{=^ z`eJE>9ZtmblZLOS7++&5^5ZAHnW237EQ7(rY*sCgZ{6n0{D|5rC|m4`9w{W*Xi~iM zrZ05v^23<919i;P7rMxo0XO{MD%UZVepe-gnf{?}K`bKM)Xmd&N`C-ZI#KE(=)oIb zS-;7_(5Y)e5q8;{og3%o;~2-Mzfs|Z?Tv3uY3iw!a+qVs!-xwJtv8vs|5?ys9 z&!i_SxG0@Goxi;1@f~%O(0-4%`?dA@k`;#nk?|OQmap9tZ~FwsixC8jD9xhZo2*V+ zFnSmm*j1iLCaRz-BbWNN;N7s z0iwc6a)I_dgg}MI#?QWw2prK+UlS)Nr7AN_5g$f)C((7gy@}L#yn!Sn_i_7@CgYd% lTXi?MHvI~BB%#UUOBUWYzrUJ7P%kHrfIZ7Q%zx_d{{ki^6QuwE diff --git a/cpld/db/RAM2E.db_info b/cpld/db/RAM2E.db_info index 5367950..26eaa57 100755 --- a/cpld/db/RAM2E.db_info +++ b/cpld/db/RAM2E.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Wed Sep 16 19:59:46 2020 +Creation_Time = Thu Jan 28 14:22:50 2021 diff --git a/cpld/db/RAM2E.fit.qmsg b/cpld/db/RAM2E.fit.qmsg index c9e12d8..224c92d 100755 --- a/cpld/db/RAM2E.fit.qmsg +++ b/cpld/db/RAM2E.fit.qmsg @@ -1,38 +1,39 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1600301679744 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1600301679744 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600301679774 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600301679774 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1600301679804 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1600301679814 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C5 " "Device 5M80ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100I5 " "Device 5M80ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C5 " "Device 5M160ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100I5 " "Device 5M160ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M240ZT100I5 " "Device 5M240ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C5 " "Device 5M570ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100I5 " "Device 5M570ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301679864 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1600301679864 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1600301679924 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600301679934 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600301679934 "|RAM2E|ARCLK"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1600301679934 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301679934 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301679934 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301679934 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1600301679934 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600301679934 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600301679934 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600301679934 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1600301679944 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600301679944 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1600301679944 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1600301679954 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1600301679954 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1600301679974 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1600301679974 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1600301679974 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1600301679974 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301679994 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1600301680064 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680194 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1600301680194 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1600301680404 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680404 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1600301680424 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1600301680564 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1600301680564 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680682 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.13 " "Total time spent on timing analysis during the Fitter is 0.13 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1600301680690 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301680690 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1600301680712 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1600301680752 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4767 " "Peak virtual memory: 4767 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301680812 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:40 2020 " "Processing ended: Wed Sep 16 20:14:40 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301680812 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301680812 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301680812 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1600301680812 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1611862636770 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1611862636774 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611862636827 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611862636827 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1611862636909 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1611862636923 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1611862637106 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1611862637106 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1611862637106 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1611862637106 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1611862637106 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1611862637106 ""} +{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1611862637227 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1611862637232 "|RAM2E|DRCLK"} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1611862637232 "|RAM2E|ARCLK"} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1611862637235 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1611862637235 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1611862637235 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1611862637235 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1611862637235 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611862637238 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611862637239 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1611862637243 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1611862637251 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1611862637252 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1611862637254 ""} +{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1611862637276 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1611862637277 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1611862637311 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1611862637313 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1611862637314 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1611862637314 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611862637364 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1611862637478 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611862637674 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1611862637685 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1611862638084 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611862638084 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1611862638125 ""} +{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "4e+01 ns 2.6% " "4e+01 ns of routing delay (approximately 2.6% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1611862638337 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "18 " "Router estimated average interconnect usage is 18% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "18 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1611862638383 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1611862638383 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611862638534 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.23 " "Total time spent on timing analysis during the Fitter is 0.23 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1611862638544 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611862638548 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1611862638586 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1611862638665 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4758 " "Peak virtual memory: 4758 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1611862638764 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 28 14:37:18 2021 " "Processing ended: Thu Jan 28 14:37:18 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1611862638764 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1611862638764 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1611862638764 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1611862638764 ""} diff --git a/cpld/db/RAM2E.hier_info b/cpld/db/RAM2E.hier_info index cba7dd3..1472b2a 100755 --- a/cpld/db/RAM2E.hier_info +++ b/cpld/db/RAM2E.hier_info @@ -326,13 +326,13 @@ drshft => drshft.IN1 erase => erase.IN1 oscena => oscena.IN1 program => program.IN1 -busy <= UFM_altufm_none_e4r:UFM_altufm_none_e4r_component.busy -drdout <= UFM_altufm_none_e4r:UFM_altufm_none_e4r_component.drdout -osc <= UFM_altufm_none_e4r:UFM_altufm_none_e4r_component.osc -rtpbusy <= UFM_altufm_none_e4r:UFM_altufm_none_e4r_component.rtpbusy +busy <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.busy +drdout <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.drdout +osc <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.osc +rtpbusy <= UFM_altufm_none_a7r:UFM_altufm_none_a7r_component.rtpbusy -|RAM2E|UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component +|RAM2E|UFM:UFM_inst|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component arclk => maxii_ufm_block1.ARCLK ardin => maxii_ufm_block1.ARDIN arshft => maxii_ufm_block1.ARSHFT diff --git a/cpld/db/RAM2E.hif b/cpld/db/RAM2E.hif index 584c4e4928afbdbc472d38038738ebb216b61cbe..86c37041b215c2171803c53c75c01753a40f6f5f 100755 GIT binary patch literal 576 zcmV-G0>AyN4*>uG0001Zob8m)Z<{a_$M2T*KUnHzJ0!JDAkbTyk(4Qc4wCF>8T-*% z<3|T1Qq=Z;pCMgaHPN=icG?8U=KJ2`{rFgX-io^2HaG~dGa6j#7HyzzbX8(%4atz= z%dO58W){Q5Ij8KL5o+NC>YbK=uZ8@IDNa7&FOXti>DELddxTuaVJMo-UY@YO&2F~g z-Lilk}4li_Ci+XR8wYLo(3_6Qns+0O5VsrIz@nqKU zI^@`JhM{bAyGQY4$$azLv{fx`0IBq$mxk}jhoLO9W8ne;U@+Vv%cGW^3Cp&{cr;FxNI|)d z?O7=U0;xi-3Dji^bt&?fyrwB0bm=AwKAVKmdc_yPGFbKFJ{09A(K?>`Jh__0K{$V9 zIWG60M@M=v52M)U8$S*f(fm2%Gzybdyq>O-{;NOCy*vp`DN%I&RF6#vd3Fe+@T3#7 zNk6e$4F;3E?Lgh5hh8g$tSd9dZ(ArOpq`$3VYr?xPZFeW3DQ4JaGMo|AEh2x#3r8W ziLrESVY`%OG<6*hR7RbDn4dv&VIHo_ru|KnT;#2pD=(`OxER+b(XzK_*?+Wu9c=+c zRqy-pm>v&*uR>>NOdS|8BB^i`Q7p?+DHUOv$DFZpf&SuLJe+`jaYEy=D(=j_{P{`h OymDv(z55QmYYyxs#2V`W literal 578 zcmV-I0=@mL4*>uG0001Zob6J}j@mF3-COEESei|{Amf;j$Ltsym{gEV6QE1W*f)dK zJf=xNLc{!foj~UWYP)Dxtr9mIp#aC?NTxZ$3_sZUrw> zP8}B*ibgd%v>4CyN8OYazXK#!`*=8`zVY7tV2C0;HC*7Vyi z`=e+Q2J_%B^P>(GyarZ7QEPy<(AFt8l>nBi4A3UcjV*Glfpmp!ny&4ECoPAI6(Ix5 zPFKQ}9zm{7Q$+(+!L#S8dLS0G#fDQh9){6k&ZfaEn0HVgTJn=<5s!S9+zjI&oV@Uy zHhZu~C-z_xMzPP9ejH4r$y3Eq6eja{F`6gcUw^ne^Dxw{MA7ZBp8+A{DIko(vq5w~ zI=t2jG(}?FlJwA0bEOwkMcs7noQtZ|cz)YJApmtG%2!k}o;4a*R+I%Wu&T4v(zn#o z|J43y3aHrxc)K&1jsT%=ujv>9?Gv^^y#zZZ!!>Q%QmsH_+@V~^@z(hw*dCWBPV=B zx-$3)w`G%-@~=!-_{6q( zgXS)C;i!Y1uZzDoX!mXx6mie}+ww-D`Y(^F^!;f4xgUxS$Ie^gx#fVN@YIZ+8N0pL x&fMd_(YwLw$lnczcoye7=oDy(d-ZFqvfoq6ux{fd`QO*AdNWhLvQFIV1^_DzQ_uha delta 138 zcmcc5)XX#?xW1eL0uDmyP$j^BG`e$?)G#QN?4+UJ!@Bx|j|9=Hz YQc^Output only Bidir -UFM_inst|UFM_altufm_none_e4r_component +UFM_inst|UFM_altufm_none_a7r_component 9 0 0 diff --git a/cpld/db/RAM2E.lpc.rdb b/cpld/db/RAM2E.lpc.rdb index 97e4bf11a5acd4175a8122ef91ffd01cc9f2cb94..6502319c8e248d2d82e12273d86584acb2476ec0 100755 GIT binary patch delta 278 zcmV+x0qOqy1N;M!cz;U+K@9CGC>H!YdYYS85kb6KC<=;RdR{_ztOMIjnav&w{&v@w zZf)ZSR@=aA-oBT4Nv3I}dai@wG-i0lBLH@K{G zvcnB7Yr~BVut2+27wI=g2;%tCSDpcmmAx2i!vaH4Z}I`3(I6FIN?;bogt*9y)z& cvTSN*y;!ow(+jX>R>S@bjl;j-JClI{dwu$c{{R30 delta 278 zcmV+x0qOqy1N;M!cz}flSX*<}=H#kfO~v1(96h^9h$j zPI7g`WodY{0T!sYY9T#&7i}EB&ui)o>6vCF*S|7rFHpgj*ncSg0{n=|!eyG3>V6(9 zdzxsz3I4U@mFu;3)q=e1(pJ+_zw*_BO#A3FACVD1rd7O}RxI(P&w=czmtIhdtRTAjsO4v diff --git a/cpld/db/RAM2E.lpc.txt b/cpld/db/RAM2E.lpc.txt index 9ebe4ce..686f237 100755 --- a/cpld/db/RAM2E.lpc.txt +++ b/cpld/db/RAM2E.lpc.txt @@ -3,6 +3,6 @@ +----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ ; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; +----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; UFM_inst|UFM_altufm_none_e4r_component ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; UFM_inst|UFM_altufm_none_a7r_component ; 9 ; 0 ; 0 ; 0 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; UFM_inst ; 9 ; 2 ; 0 ; 2 ; 4 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; +----------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/cpld/db/RAM2E.map.cdb b/cpld/db/RAM2E.map.cdb index 78c1b513b77baabffbc4bdca168a3544d1d8aa91..2a9c12b35a84a218bccd0089632591b0c53a324a 100755 GIT binary patch literal 17154 zcmeJF1yftk`#%m-DnJDqXmKgQiv%kgptwUR#l1y~I{^YMPH`_@+}*vnyK9OD4+IGi z2!Gz6@BJk1nP1LK_GB`R3NXLClf3g&~q3#p62*1OLPZ z6#mJMtqa!)l?>gJ0Jv_kVOwa6UV@J>0`T`pd!=oqf) zWj44ImVd4o0=5O#pPdInS^csn_Np?9sk7@?9+e5TbL!|=oB!5cn9A96wR0Px3u4C< zE$c!{1WuM^#D(~LBvDRYnA(i0+mIBAhDiC3vv z6KKb@*4|W+${y5Dc_Me)3BO6jCpdbSjR%y@URB6+M-7w~ES<&3E2c5D#*dtY_j{g? zdKR~tyo>`N{^3&vuZ!fK&P~=l2@j`Hwq$573-9LiSrZWIPGus1CDyK~Y~EnMV3<7% zVeHtilDn99i5rxBE06PJvNo=CVfTh}%qcF@wpPzX<=lFEx124eu6)FzkHgixv6-K1 zbE}?MnPgPo-Zbic0y) zd$Imu48~_D-?FVc04=MrJ_bS=-|$T|%$uv;99)d#3qP;>H`XgweEH%-g|?#K@}~Z1 zI2>xyFc@~61!B#N`Z>B-{wnLL{R`=GwcKAj z-$9XxGZmk}Uz^}iYz~e6n;~$=mwZ*5wo(C{xXtEenIj->NNY_@-eT2Ysdes?hx0$47NYq&YV5mp9^}dd5tGN8e@{&N; z9KfmgpRlV`06t47C*_9)qD3_p`9gsOrLdyZSS{^o$u2U#6|q-&F@H@ofrK+gq(Muv zl7<@jyMt1uK;+Et{LnNy!nesGNSSel2`Y%Vn zX+TO^gzGr}9mfNV>uN+-j+n43Zr>LMRiJ~;nwrGpxPdmVaAr zC!oV#b#oyAe1t3a1m(QneqH6wNWJ3X!HbAe2@ai@85*|H7Y#}L>416b!L8QLznk0vAlYIx{?MZ_ENR0XN!8Dq|+ zp+Z1sh%8|8!T~;WZtS11?QE1qkkHJtsc$`EC0?(pz_`RCc$cgrO;^KU}tk1_dqHVG+;gEP{An({TJmVi2AdFB_yf{4jzV=$P zNY^}*7*MNCuPq|k>(w1{lHye^`qLBm$yP1vl2gZzXX-Bm$Oxa*18}iSye2XkLpKXG zqn$|snGPvlAz1sN6RjMwLV_fO>x0Ear|nyh1vmDVm|Yv#fZ#{~JDLN~X~l$(!oV#QRniwrY8`)kg1FC4^=yhMFi365VCQ!xq4*;;As9erpU3D z?-#)OLO{Jch_p-u@Nj{%A;2=?Q_~B;JTfXkqd0L9enZrWyn&_dPy>IArG_91qJM-K zL`?+`h5LQCzY9H)3?HKgg1rp^RokymIryLaH+6R@{m~N7_fkD$R?-@EBclDGA>S;X zqk;dMBsoBPPc;j}yAQTp-9BHut7b7Q+bCP6;{6WaXY=RFmx1n4ri&g32#c2G4O#Ab zuHg7U<+Xd;aKpK-c7Ts4G}VKo{dxsry__Du_Lua-{-y?dvBaWAKjRog)f|G|(H>)L zm}QkfKhq5cW<^TA)ed_fOJ^6Al~Uf#tn!Gn^Q52=oM=j9q@R&B^J z$lp()qM8&&4%qPBIj_T~7ZZGuNpsRC{momgWjf)UT619`$+N)o901z!m56naf`R{lI6UtE*FBZ+mqclaRcQspT-HI z-9qXi(%p#(G$%s}5x6N(AFS`o6S)gPlFwoSZk3aXOVX*k^Lyc3ObO(G3#-niBX558 z{Q}9fauPa;F_L<+o#rGBFSBw(!VmA~EG|6L*-68TE8Q!n_35%i+3NwAkG7QNW3-m+ z1*7gA6nGkY3bD3;%DG!(PYW(P_76ZyLxk1oOhF3LQ6-hf)+Z{t z6hCX*m|KnY?fPfYX-Pjf*HXbV?Xc%1RurFuwu4`!6_Nv{Z=>Jwv+{d8s$4(vV^mD| z*M5sNlvbe{tRQhq7Q-pr6*Q;&oqnQq^5(N`r$5m~j=peV*`F4da4M}O2bZLy2F;Ih z+Quf?8~E(*2QQfEaw5YXK(DjhDVqDo6aUQ~-LW^6_nz)0m~ECY z3)^-KIA!#;f-0#@e_lz7(SK?ji3HWr{L7t{E36>1i>-u8lecok>doi5sq&VhQaV)< zHe9FbJhEXjE8mxb;w^PYy6_13gw*OnerLR&PBGDYIzGSSQY>{) z9$Kwm`PAxNgWS1o!)@vLtCn^Xig5 z`wxQbm_TQI_exSTvfxjW1umEn?H^@%=4)`N5yw z9rG`vyfScMhVE&I3%(NofJaBW$2^aL{9QNavjRTg?%GU?DkVArH0=5IvL!=fLY2#6 znX>%#=(we>l4Oa?$#-|-h!D@%6_sa3hq3gsHy4k$X2R+qX(nr~-v>LnrzIveh!1K! z+pE$feJpswzn>(dY+5G=?CZGfAXi(2cKKbMyrTpYF`Ajp3kKk%oHCpLhHpO}g zLF?Dx=M#^O3!F=Nhwy-GKP?zKKp2dM6`Nh|sV3BpWj;x?tM`_aB*6Rl5+Bc6Ioe&~ z&vSZxEysye3bymIa{4b@3+)xb%Au+R>5XGux*oD=<& z#uUUqsDzX>=7QeQJ>Uz%h3vpDB!IZQA={AOY~qsq0GU|nKxly>1;F0*|MbLPah}{T zT&jBPB-1ZFO*l<)JON+0k~PsGe@5jBg$?iR`U%2t&%$#agxz-s;cpJVmxSmf;JLB5 z-3(+5fP(z6m%xpty?lCZ=&6(k;eOHeNpDU|)M9&o&X!tc#gD*0NmB8-ui74UJ04KHO3DEyPy^fln6zn7SR&rR9AoP}t-60^K`nWI z@DrBi+scvgF-%RwV|&SAeRD`VnVgW8pbDmpH2NwV$P(kU$;(-iv6xBr`%F{M*Qjkf zE?OdsK5H{d{=ZPU1Gv~ghk{^Gyl-f##LpP|z2rv*G4EcnJ|w9ibPAfpO&$q|{>oiu zC#EtSrgt z2oAXW5YG2SAnQ{`Ic`gKRtAYT?~12}nb7oC6ANhJOnQ;5e~encG%Q%Un_y*KMCt?{ zBuc)IFEjb6KdUM{C{KZ(p0WY=X=+#iFK?cp2>lN(0hO6_J$Ae}26|14P+Alj+w;=$ z$YTs8A4A60E`oL)^7UO?l3L^zIKOA_cyN~MafUu5iMHD}>M|JMsOs+VU^1Y*1Ci41 zF7lE0V?Mv4yBe6to!9a5d?Hy;LU*tok&i}#D@dmV<_!AZ08OSK{Q?M(>|!s zhphUxd!Nv&XgvvrsikxJu+*901rIn_t+R{zJ1jw;FKc<&!p>U zzt!Q!vEQOgFnWX8sa2&Pp##|n7K}U|Rh|`@#F}A}d$nO%pj29GSqa^zHCw3lh$5Pm z?1`-=^-UQ8NCmX3fXbylH4!^Z4XyG~Qq@-MaLB^w zon^lnmDtiF9b&x0iu{+U#HzgW^vz8CE0R(xf~PW4mhLIm8qFB`pSp)11K9t3%D?oh_sklNrHB5D z4JY*d=|yq;E26hoK&wK&{aZ+U8l=fgheS7b6B=XmzdyV-aX>kwJtn1>`ygPR-RIy|xP zuPdhw!rYMEgg^NYPjBRnbr%p_bXCDm-aQKrs9Ot^5D7eZk#0Er`N2UP0f%*WRI!U- zY~sUMgfEZhpI5i*YuxbhEH#itiY|?c%aPvDU<(oh3xne^awqVQ^!V>OGr14K=n$1; za(Yw`;;*C$Ub%$(5yxy*{)8^9DJNJ%j&>E5}C;gmoa7dHmtf(|k_#b!29y zVq2yXXB3v-spUU@_Ik(0V7ha20Vfsn{f|DIf!1h{`1H@s;6yb!PHfKNuqRRfLs<=# z-DbL1eZar}QJX81$*3SLq$)*y>vg|~`KtVmZ77=g@Yv=VI zA~G`((w0}A9?GO*dtO-ZBO{$%l#m;byc0}?yU8G0tZq6V_Q#md?7hQEvug0o2XR9t zmr~LL3xEu6*ILGLaWtvX!YMz|KPbJ8M(8Oo%JNtz^=OiE?|Sh0_rm;hed%_|Xhj9% z53y&BN0@B-C`%7(A=UhRt6r-qnGROqOCAxM5TL4{Qv>z-5wD=ym~HAAV`o}DVy1Sm zCrdtE}w#= zyJ7g5#JAG_8Wbnr;(e3pz9CxoGf~VSPR5n~Ctwjy3CJcV#3a2I|6aXk^1)VesFJ6z zLYUEWjMQCiA7(%?Bq+R4txNd4em7jLfAKVq;ZoE0>?yFA4lNcO^G{$`F}{%ZYKJ}x zc0u-aRsLVCmD-ObX2SoWO%?yG{M&_#Wc(73RRX#P@~wB{1UAe4)9$V!aJy!^d{4*+ z*5Fzr+(~0#-nsprkKJ$U8dJ$4^-u*D0VEFu*pK_u;DG>BITXz(d5H}5=t~*N>pcjk zG~DF7YV1nr(=rV0fP|51jh~7aenTUP@GcYIdV0-0NIDgP`EKvJ>CThM0k=$_XaeCHh3Xs zkL5V8z`)LYVtUI_HR6$z-Vknh+2!x@JCg@{PitjLSg$xI+4YKw{`cn0PUZhOkLZtk zJ|EA_BE$m^7}h@m+)TP|?pxu@{$*`5Ew7xW3emh_Vx!vzIJW@KH_qo^VJLggo(=75roOl>$H99wc8#^Shk_ zuVnDC;S49C;UPZR$F7{(FIyp7QtOfRd@xg6RtGErpSq5~QO6SYZaM937f!mlxB!A7 z#*tt3X9=R(7P*R%W^>+5CG1_(J~+0evI}r+^iNvhou}W0Ki(N<9|27~nBQJZkL4&~ z)=9)ZRz_WR6sI6{ZFdch+^Qox`!d&>Td(Rf*+HV(OtjGSm6)hvKaMY7*u9Bs3|cul zOjf3~{zU8P=hid~_VB$eKHntSM(yV~pbZ&88;#}o$q47l?+qvHV`JZ*4THNCnNf7f z;1}-y@P((XW$-Bnw}xPyLZ+A;e~p%y5etGuE7UvlY`Wxr{KV_E=m9Wk@-puseR`=B z3C6l19sb>pdM2JFCY9ri( z0Cx?(nXUT_EGI#WB|80tK~uMm|s~bV~OL*CW$o<{NOs= zD#49dif_v2YL?-4w;NJYA3FSO(0G^81Sv8Vtz?X$Za$q-^2(rqDw|) z){H39p9KgGduME@2PD|!{mlA$-S}{4*6wVO++_>F{q|pLUC@h`I=L}9)iIaM?G{!l zw#8Hnyw#s0BdTOa#zpnmhaYczOV|dfP zS4+P6DgkbCxX*38jloJOgsbaa`(3-yA;mcus;?Z4CSGmb$`?Zml+)4U*8y2pvS zrhZ`i=u63Y`4%us8vo%lx8j07%L!!!*TnEu#@^dNxRba^t|!1028=m?dtF2>jFIa1SLN3 z0L5F^hQfSyS9PkDnezMJYkV@9@BtlhM(RB#`RBT;q%5Qd)JGE>XSd1VOQoGUoBGB+>+D`P0a-Hn7d!3S*kZ{MhsbX^B(>8|S!?k#bdCSOf3U?+$ zQzixPCjRS85{BHfT|3sgZ}824+O$Jp)3)e>?~n?^KkSyT$@^?RMXNb9j$5UqiWW@C z91_RPQz}&Il(QT>cW?6Kr5Q^PE+xhF+yh!aaf`)AkL4JoEVON z<@HoH)P$4!+Q8%IQWBPtX2GghuyUW8K_SB*qF+i;`itA`;<{M?wsGg$mc`Qnda+LN z4Rl<}H&evC(AFY39hBWt!KqMmwU(%9-{dUsQtIUw&J_7ps+Y*lgowbpyymAx^Njg! zLNEe7Jn4L+VO1w4f;&Xq%=wy<&YUvv)$teD%<%5oAQFOYeJxOE@l?n?Gbr#jir%ZZ(uesi&vOi<*WPKyb)*VcT|n+C?YI_dg) z1!9sO#kt9-cU)>~vGn&00LE2IgK4%a;DL$EHjRl`J|d&w<Hp67vRq-1Tk$TL zN{cZWf3*vq`r%lXFNU3(R;zl!+e&<&uXE!?s$lNZJ(!@Qgx=xHzBcK6d=C1s(Inz6 ze;m4tdJJ!D`UG+E4eRgTO&qKHb>rl25pwEG?lu9t=yYGvg|Roif!{(_i4zS)e&-o) z!+_3LDVJ_j8NZSF^#fbSW2=OUMX5vgNj*cIev?~Dn@=?j+NE)l+zE7}y*%|lz%G6l ze_~A?ueDc5oGA5E(i9h_H=X@%aI6>V-S!F2Xc{ZIeV>=y4m`t!oX3cBcd+N;zpsS| z---2pCgAoRA9Pu|^Nmy(K;uGs-yI2wfPA2@k(RXM5&LCs}noVOGr(v*dHs4VV#|@WBu^5qFiwYqp%hYKH@oIIvtH-cPzsDVA`gJ z^XXseRGjQDrrVrwASm0U#SOHwe@ypLYlY29N9=*J_4-+kir0QV(zm0y!gB7YzDTKo z@Wl6Da?MxV9;;j#-f}d^5Mqi` zouA%;)m;87Z_r}u<+b2qVVU8td+rxR8Gj3($__Hv>p7nsJvb!P7gt~LOjd={w}UO> ztd;TBiaNGP>Wr<`B3Wu3f3GVja>{usbX79y5S_hs=kTKyhY`)8d@GG?XMwDUAZife z@@sEJknOY(6y>`pwpV9X9Ek|GhKOLp4Q2r`)FLXH9b0&I{D#RP z|9)D!qRU=X_}JfX3t%#|Zk=Ily7~^!6J?;&k2`UL=LB6m%L%oC z+wV5MO5nF&@!r_h61uX2n~D1H!UvU(J@?bCtd4D0J>f*-;LhNt96mN{Ylj5^5SCR} z3Oou|YyPj^ukNh`1l}A0jSbAI4gy$An){(HV%@p7u%Wl%ZZWG5p*^>^Tm4hkWVk8+ z;*LPc%}!W{!>A#DG@6xOD87AebVahFTaL*iOvV zf>vjfQ=tWN4H%Da#qMR7r*Ft$JH4>w9UG(OX+D1R`O>ETHF_}Z8hs$R?0%GX4O=SO^G_ayve!tlB~9G2+*_kxgIu!Y3vE*S!2G=cjTYFOwMEPNQ*w)prSu% zK3Gh-cd=QtpI1}!Q9)@n@o}62#nWT}WETXLKGxm*XCDV8P;@ng zmE=0_Ld-KR+a%qN%0|cRt?Q!BM!-j2=!bcOc~sGBLKbL&@sa6v{_(|jYq$+8b;pJV zo(w^{Bi|o+g`R9hl%I_#n$nRD>7EVC54J1lqM;l}j_W{p#!%hUg`>71Cdn&gLf~% z=z=_3pB3S~)OjI4bbTw%EF96n*mm_X^fqBs8c&WUrXw?H4E`JqD*h?c=>Kd;aX(+< z&A6voiMP?Ffr#gL`JO>ZN3$Ep9XQv5DnF^2SS;__>&q0)DYq-{kralzed{;D`IVO+ zr`T)r`CNV^DtgBLh7K*tq@()!a#O@J^xK?}r>4d`o>+^U(#Ttbc(ySE*yJ1ofv@|# zo5vKzb;*3l^XWwfM9q|YB%g=`+i48V)$rM3j+cWW6WRdNE{>#LqZ=>(rewEOA;-#* z*xKf~HQ0d^bk6L^AK#})WGb6~L9F#czG5FQ6}0Q^y)k|+12+;DTRwdVNsQ7)@0Rpt zJvGL&&H7@#T=?b|dZD>te|MK->21`MaAkeE$lqSEpm3{<=t^!`_uMr5;JdsN6YwTg z$afjZfgI2}OY0DpUz^c6k~+PeN?E%vyB;d{8i5bMV5%5hu*)@CY-qD1!6V$^VK(Jw zlPs|ojrEPdd;uD@<=gNvSvX^ho097ms9K51b*ul=3X>_#d;s(ol786GDr_*z1 z5SJbU^)0~g1fos4q%p?{H_E>B2=L&Na;kxrtbmPanQ+AgJqD?Qv>F_f1I_#2bZ_@U zi8zo+2eU8g?!2Q~`wK9%t=`JWj2XJ|E$vml_I=j-$V(VKf+QB)w#;Kvl8}7~Mfz_K zR+rP%HmAPr^SihLmB=uPNZ7o#d8#tHEnF8|q+-tybY0P29@ghyCos=LTwr@f(zc`- z&u4hzFA%*LhM4Vp6tgBqoLCq7@o6J7e#Y;c&QCyy2IBBchD!_WFBQp-pJ>qf%>p$@ z2kBuMfB%oXXrtz$>CxuB+B)^5b6X0g94l1_BAx^Sj0ek&MY-HrU-Ke2HL4MY@=Q*2 z88F(H=s=k4mh<7yX$#E|Y{Cedf7Uu2Oph8rH6 z6y5>f>`q9!-7+E_22S#ZVKnkPbYADk3KRz>eB>$wW+)qrRi)7`bhWMGZJ z1dX`sM)bPk7Ge!~rd&OWbTNemB9p4>TCo0Wa)w8Z4k8$Zy;eCrBcDE_W8o!Nt)z~d^-30w zYD804TGr75wXZMTMXFc~_@F_E9Ok9SbX_|R8;&)+18Dpl8Gz~0hxyF8&BQ<*ocuNv zoPKev1P7v<>m|J&V#k0?a%CECIG&}8I&J$R5Jz^c2p;b5a){~Z;Lur7uRXI5kep-b zYUdpMUwI4|_4!-8+p(_|l?EZ6FP;680|}IC2}b>Cc_DjzBxFyk2z>sv*P($;tAj7V zMr-JRxvvbQLJ|vQ1XUBHr%5*7{uSlRfKz3YdjZjZp$d)t^!llWoTb5{IY*9oB&#rw z1WpobA8;WPtV6|V?0Ay3W*7`Qqm5xC_{>oa>Gp}@Yj%Bnx0U(-nn#M}`{ z15t5Apn49PFp`}n6gxRg>~-XYfHS_gDJ=DK$0^l%tI8#v;l9A>nh;DWbDd6X;37#d zGv~Cf)dsoLrv)`zt8)i-$~0!3cC{#9eFe)xB2YDx7Ko1UULI@tbKOvn9o;bNsP@*& z`mO#2M=@SQLwcGJFRy#XjpPpgA4Fc`B3)$mno)02rLQKM?5lZxd5y{6a~z7|&A%Oo zy*}=I?9hr+r-g%`-pW7X8rbGOS?6P7@D)`=ht^g_+6A@A&ICz<&Is)?^2vRcFg_Zi z+n&2@>ujLf!N&~|d~?=N0pu}L-CKG4RB#Q#eEsmQ(vm8u!(rj#_A!LGzq*bMFs`LQ zJTIz0{@A8Qb#&V+X5^v)ReFyRUwB$Z6eDdF7YuD}=n?EW3`fF*1I5Qm0t=|E+sQh1 zbEU2kgVomZd`nHV)dYso-K6TW0yo}dto9DJ@|2Xx4;`MN%J7MgM*^%Vj24a9Ea~jQ ztvLOHDF^XPuKz+vuFMR51lO}YWI*Hc?Y|uiF82!Bg&lXHKRHz=%r}?aU;tlO`ni?< zi$43(QwEwp;|gyI#sPK%&3A2sKEn2q+rfJWXOCCF^GD-}VACZbwiNq<@febgUzB6Q zotHEnuGCgg75;NfQSa(;EMBnMN)GzC%K^dE^;1xW^r66p#u186hhWm)7jW@8Een_3 z1d%-D1v7>e`#Jl%9q6WK=j~sT7MhZ?{bv!VYO19DE+kpiN4+iCqsGZ{3vGMDTw7RA zOgT;?V__1uSd+b*3c>hBiASu1h5NsM2uqUz-+Gnsjen2j@!^1Q^01Dq`MQ&S=Z(9# z^vUapYne3N))0Ru4oLQTZ$^g>CSuNdK!P8xY+5_0e&%xbw`P@t@<8S0VoXM-VX#}Y z+!r)&j?&3FV}SUhtK59clrv@$?h_)MU6TwQPGs#i5k=>1vqp#hL^owpmjKmPe^=vR z@F4SwP|ip5Eu7}N`+K|YpG}{H#wX1o+|>ka`Z#~$rWjR6^I8dBpj?jJ*F)fEwN%SL zyG85O(^?1GpRRk@tDLSCCAG?qye3#E*c^(0vhL1c{-8Hefa4AZMDG6YXp%h^L)sNG zk@>ehYtF6)KlKl-Hp$SDB$1d*di|bZnv#3nK_0`U+l1d!T30oYH+$PRSE=&+H*{?$ zVd=g_uk=&FACl&iIuKjZjUc@44><-Ewd)fo^7Zz@qbzy*`2Gr|J4%138ns2l=zX0~ z8N7``uYxX&@Kpa|OmsPerl?^Hf5v1=b(N7|8Ik34Y(fT7^XY??P;wyMeNB#mzT@PJ zMmyV&#@Yv9?zr8QRcM?BB7U2?&&Yn)weBr`sO@R?4pMZ0DR8+4r~f*{3)cWn(C6RU zSBE&3H{=!DGU|j3&k5RMzS6)~LDpVwvGY4Po+N>g0=_W+@LOjFr`N$rzH{ z#jAIi$7{pvJ@b*&B~*=D)yb#!)USgWbxE1cdYnuX6t;m;>pHo=KWz95^o79s(NpG` zH(JJjWzW)1MaCBA8SO=GMdXC8?*qhOJa*wYmwHw;s?fj-J*w;Bwn6*SE~7b}fkSem zx!9nvKGbWItNT!<^DA^<3ds5*N&lo^tep=M4uV9WhuqeFA(yf~ptA+cRQXD_VXzQW2ambTVG zrwwYUZL)w~*m{?boX>9`Z_6`(>t6=}P?_ovU5;yTD34c1+pB_lZj5&3l84kOYBP8I zFsyI$AogkB8jj_3$uV-(ac6r?#OLI8Cllx7tDDX$alw^Wp$l@%Wd1_%(y?vy0#j5R zLv}rUeTxb}OFalQAC?QTW*m!oIOwR;Bo1VYDeh=>6PCVhqa6&}h=&a7_K(3QTfx4J z1s{ET!mi~h{o;(a``TFpmqG4yVz~u9UHpFs2r%PZD0f%;k{)Rvn-bE0m|TMnX&-N0 z(m6q5kgccL*m<)b=`_m0Kq(Ux(-9SyCfc^!WpoT!72Z2~VBPw?$lGll=HD&nSDl_| zttMbuN24VHEQ^Qv_rBOPZ>&H42#0jNX1$NUo$&DxagMJ^KZO%3j=3?DEbEv=7<4%-PhWByLw#fJ+>#ljFY!}<4dD?9}{cQJnw|* z6`lKj)7j!@gpxFWqjiG%uvd3xwuSJC?T0MQ6-+u$w=h9>WVb3ds%wWGY&~cBMO0Di z7@c~@HdlvOia{Ph&4%=be+Zt~i$}IWVOMlf%PDv^xu~ixs5H2vkx5060MjzP%t(Ot zQ0a6tjU^c+=(`Z*C|}wdJoG#L$RYX(n6^=Ul}b(NlmVofustOljk0IdsgI1<2(*wW zHThRHEZX-NKXb*uMdyx&5ML*L09NdNN!C03cH{TefoG=C=U+Lo28>+D`I|DkRQnU} zL>DI&EpK9DIS!?eTd55~DD&OssZXeBz`5qj87P;cQn&@Fo2?v918 z0~I**if~A>tT~N9&gKnr=yk}Rj=1&`xEMU@j}L)UF+{=M)WaB}9Nc`fVu^=qd`fb> zU7Pwg467ZJwp4SI($EUmj^52;WY^XYW)hijGi)K*py>E^G-o(qQ+M%Rft7DgA*f-~M@u7X2{(#7RFg>q6lC}Z`L^cJ&X3>(s|i3p;ly9K_C z2$JJ|a*kh*k-PH&{`Tjxt==E+T8(TTg5@FVpQcqH)YaL~iZdXY2>Nzf9ejw!S)3;U zS>U-3Vu>9>V2&cK4x)ECkC0%d!>HcjJ5yMk8H^;BMy<8g=x*iPL0D2hgCKNXZOd$K z0*agqOR``R&VfjQ?>|A1)_5eRNVN-JEuU6~_3Z1@tC?{g8t-2YCyx4EF6)}z!xB@Y zTuUH+-&PwIj@XJ7RQ=_y_ZZYar^h;GT(j1R&Uz@2!m?YjeF8b; z(t}`bv{zU=#v|E#+V4?$EUopQib7q1+>lESyCMb@2pEj#f%dkGx=w5c4z1&Y4Uwis zX?`sl)f}^PB%o4$ZGQI#%RHlQk-OyWNgV4XC+*#?Sv98nd#BD;PuiK3^3_ zz9fBc451v9LhebWieHw|<}n>TkFF7R59GV|R2H1t%CVBrw^pE}7Vz}&<8_m2KMfpwH z*0J|@mR56qV+hHyIt*x&1ipByUqUzzZOl4Dd5#)=9ojMD_4Sn|-N4X%$q^>!uNmIo z6>VOfH-3eyNtuvJCHu=F&bc-h1 zEglM1y}n92-1JO!J#9T)mcOK;gRBskbCkNaJJS5V1<#1;^-FCI-yfL2y0dubiGI{0 z(*8O76dJ-g(!@mHHtCJdz3E_E=_V?-ZI@S0?r%zLI5_865$%E&Nm5_`64Vij7R|mO zUpNb_gHsrIiZQKpQhAoy|qKT@rS43zMZHjM{A zMkHoui>!=7FOUeMv(H{?cO*t^0dU+Z@E@cX4SPCtv!wgQ7|pH>ah`HTilP!tq)&?- zYv3MT_4qJ0#a^3?&-rO~H_kHZTQ611&--LE3)4Z4@izRbyeAM>zmS`Qtggnn8@;M-E2cg1_*l9PU|yJ zL{*ty%5G7QAhwt5I4Ht9PePlvTi=J@_ut=pJPP=tY)Pc{I>UBa*LwMU{JbCNm;ISe zD({mbn_X9T$G91eD^~q6W3*Pst^Gr3 zPHb)Fn#?w;lY1tX$QM$F-9r<26KaOLZOs14s>K)}UWQ&4dpVPn@Z-?0AfYz!GVt?M zRjtbu$ITFocK2_urdk{}`C=#iC^;i9YH94r@$hEHz*O2For(Z+?8oI(@AXp{6iEZ$ z7b@vJ&n$gu6KJTvYIJiQz}5*i&plBB3C0mfAh))E5R>*L+}rI@>RA55@ub7~7Iji~ z-GXt9Femx4j(3D>rf9?!?Q_%gOU2h-<)7V?Ksn`YbB{{hYe5`DVB$8JD$sxc3)6SI zN3{_-6Z~X1jVDuF7;C*{owdBZA+u45<(XPB3wEnZ1m~OA=%cx{bp8<1LfLJ0)n$2; zW7m9PWHQ=J6aYdnLtm)@iykqT{GGnq3I6xUeBZ~wQ-SQU@%!}I ztA>~wYxskIJxq2#qkYt(X6YvIbTlF2uMV%RG~e}=|K;FlAX$$0_)7gQU*J5tiF%XN ws3Lu}%O2^T^|~!xoou>8h7r?eOn4CpqTsircv&SRmd*(^xqbMbiu?Qj58fiu8vpffi_y&=3j~E$$R|Z;@if-8Dd<1&TXGQmjCM;_i^(4#C~s zg9ZsCf8L+({Uq+0-()6dCfV0HyL-;=Uf1SKh;eXmN(dg@zq{VQB<@Eoa~D@@M+e%E zTx=X{A894rt?kTcIk`A#Ie6JWal4L^y$d#ElItNtoG4k!D$ zO4pyZ&IfAhU?anL@_ef&XWWl3#Or=HQ}BcbGp309I@8i-cXvL(%^+1!ql#kyYQKMt zD;}r5bBXeVb}+Y`Ajr0u1CrYsfqb~u8eAPy?&2__{8PY&H`M(YFsxPb9W zxQFV3_YI_P>HSSDj51)*-fM@Mf2_5bNwMY44rHSK(iAnfukLermvV>V!&Oz^*Q+_9 z{#CR6HRzui4JVNRVK*gSQUvGrx^srB{;)H+nWsl@SXx=drL?yJxE+4dBRwp=?wa9h zFzhZc&C_G>|GxWwe))fA;{V^{;kCPKSM=QV_1fB6@Qk17qdl56WIh@Bc4B6@)x18n z7^x@U2CHkV={J%`5uP3~saC>w+78Hp*GY65OJC?6Uvoup+i}-l!@j3tTrhMRWSYjO3Ra~;($M5A-RzH3n%r4$$Qa4E4YOy=yC zE$pO7Ki9j}tc#j%Xh0WkGZ1do_lEZKbsZx)R^k;R1tx>xe(C!^K5#X8$J9K$XN0Q+h^W2JN3&7D$~xEi)0 z%WgJzi~6srDK0Lm5qXMvrF@m0dr@g2^-5|8<)VBZ%dkB&%V1X-5J|8l)@l=vsfb)z zY=KsHEtOJgpDv3t@q}}&jyJSS<87%+i6M83L!ea+lr!I>`RsR%mZbGXHWOFcn~cRf z+vvO|OYU^FhA)26$#-|&{Wn%EmsI<*UyL`Cc~W36&ReQy6ENN)HP&2NQ;oJKHIsf> zTlB8-PC}VEaVG)nNRG>R`wR2kvyaCOW=}XDT~a;i#h0ZyuZCn)Zv(Ka1boocC7A_P z>ZEL8YQO6g)QxAy>8Ib7ZsL0Q`8HDw_y>Inj;^kv_61-|`~B(%AfJrfL$Pu5bmUi` z-`YG(JCfn<5So0vByRugx*fDY&;Cm*!>uML(#ofsb!9{7SA+QllE#IlEN+g!|E#6jt=FT1EsjsjLki-H zoIU-+R6Ni(!eWu=**IBH^GGW{5~nErV%0&6*lW{LTto^dorhRfxT!J|x(UtyqB+ng z>jxcvTHY9Kj~0!5>NY?6eGw`yxeBLIuc)T`e8t zfElYM6_!>w=@%n2++AXl>q+4ueR9;ER!*DcW0H?Ynw}A-D7ABs&%^YV%gqGnfNFc} zpDZ5R*OI*>A($hD;zIHprJ>s%Ed4WI+HE$>fEpk(ASdy-=a-`s$&RPT4FS9gkbj>` zTwQZOiofC>&o;*A_FS8R^O~mlP2OC-?JW{A#1aN`J|mWS_^c`Pm|s;2X7l&yS0mtD z@DqldlE}BjX(x=pMw+9vcp5v7s@oR$NQlBB)Y+lYf;;P8Y$>)mIMh_(ZIWux=98j* zDH-Cp;D0LzCEr8mZDLNQ7=WeAHJp^d+U9DL>?1i5;7(@ONJ{Y1v#|2mp7PSra;DnV zF(_lmmao;Xpy+*#EYqvdWKtJ9K`&1+SbFRY-e^~C(c36r~@=|zc!^0OJ ziMN`|igKF7LWob^yc zh#UBQ_;+ZQ9AYp}W-WFYSxZp`j&Xk%jjz zizX%Boi#0}{hwJTR#M+G;XyY8R@Oc}c*%&PWaSf^H;#)ASydj2Hy3e6R3l%F{SmNLae#7Pvs)DX2(ZQJmcvj;MqIv!b~U zM&w^zO-4OFd!{@9%A(mK#&@SGZ2kHaAiHdcAdrdgDM#i{i1H~dS|HW( z8)Vuq_AJJC*<*Bz5DZr91S%_3i{3FUe`w~qPsRj^FjmQFd>ben*DpLvZog}5*+`8XoIO&T4}RapOT`%q+9*Sm>l__Z@Up;y1PUfX z{}Bvi3gBucx(oj z4Kj*-8d;E_uV)wg&bhtckrn^aUk0QUQ?6;t%0pshXbCB(U1`HVQ6HwB&>Ari?2cx( z=WiT92{F@xip@>3*9ciX_emM)a-t((M09OOF0q{79|x{?DwMvW!ak|{?61_LA(E%7 zOHFbxk!_BH|D*?>S@4Is)D`dknSF3hjEB&G)I)4bxJi$$J%#I%%|5ayL^=Tcv)Vkq zd`zzX=yyM^+|11bdb|=)wSY=0!=D$DVj#8pp=ie%PuDRU(8TuhI{BFl;EN^x1kW

    {2@ZD}8Vo$skI*8j zL5^iY!ecrE8==ZAM24{Igb{&~V z%Tt21cE9af3pU?dDev$h@NPMFjnRKrso`4~>(rL>6R((5Ve8PuuA5@R604L9e^hO} z!?+HeF(=EI>!9Axbw|0O4{vFAN?rSIp%s4`?`L^?#5z1>|6TDt+080P#Ji6dzsLJ6 za%CP4?H998KB-&ygZybvhQY-0Qr%S(LG;LO7n6dThWAB1Y_fbd35sW@sj0rs%w~Wa=l5G9C+pcP>3i4Hn#d|--pYUwGucYQT!Q9?YUf>VE zn|}$RB>w4&(R!XBS=WWM8f0&^2?VvcXDkIO>JRk(uTE5iwTikf?Tu|c0Niy1{J>bb zDx*cDj1De5T%mR)#3dCVs0VR0rEr(;`MBq6mMVGZCz#<$C ziG~vieTa3^>=Ji$C?Bb7jOSk~*_`MrD^!2yqJFW#9rhzES8HDME}E&Q67neo)t zJ|Z-c_yn~og>xb0ls8n)26USt(T`Ur-p(){PG|cY-dEkI5)`NA*^F6?29ObNy^+0; zdaM@cih`=Uq|6D@NlgF!4+n$Rez_%xOWiK9E6@mr9fKyQ%lvzI`wK}wMr57bhIP0BlZ0h^jY==I_N2uwhsI%Klr)TJ+2^(Pe&m%LZ1#-OFsf;Q>pj7@i6|;@X;^!pn59(*d=gilM<*WupO60*BoXkM3ib1` z{6`WyxEBhv;64ZD!2m7Gg~(8 zZeYJ0BHh8;kz))1+dU#@c-5Z(HPz;xI17jg7Ah-JveYTwykkym1X~OG8U2}hb7yXnE z#~MWCGZ8UCze#GZPc$v?+}*{MKA^whdxF+c?dr}Sh(H7zm8q248AR@lOhCFJ2o;Od zxxz305!iTtl&^+QP#ozCf6VmAZhWnq6s|C^J7Z8Wt+@9?j_%Nt;8CsrA|gvdLSniK zAMn5rF4%Yznf-`_aS=a0pY3%iABkc1_z)t3k)JPu;fpJQT^c>N~7R<%R(}!i#B|lAX<#5s}N%mW1*9OSTpnEY3iper9)i% z3yD;E`I}byH}sf?m%IzGN6W!nBzw`*@Kn}2DW{>YZS$3sAAlCBL1JAa(_GyA3A=U< zBUy1_Y8I$_^eAyBw4F32#_b~YSt-%k!By|Kcd*Qz^yo*|$6LDG{MY~K>JC&;yimfU3U_#G}3r-bCrJ&v-n7Y`HwypsRSI* zm=lxb-%FsPJr2tR@tLE7ZbM4lZhkTJw>y=`|9<~P&jj@L{r8OYCSQ;liQAJiC)!Bz zs~vwW{BDvpm*9szB{xpx7mv4a7zfXSqTiU_eJ}}1|E2XsE|UG|YUr^S0DmAX_)APs zqpLb-!da%h;AnKgm2W0TVC-!C^@NV}ZbO7`K5cSh>xXm8iUUkMD8}l(nyKXF$#*ZBe+xSg=)N5oLMI5 z4KgoMF#Pd=9f~7me2mxfbz+<1>o$#QKImQ=ZPHj%w zG-S}H(kuMhkyOOlZ%Hr_FU4&tSO1SUF?S<{-g}o)z_Tad3B12t-OH_OK(FjZU+3J@ z;%%}SJ-N8mUpxdFLqc`jN%rvB z!-E8)xgLAm7eX=vvVMN6_sz9(Ix5@?oAPp=v-J3gmi&09uigz|a{LOb5(OrvHv%dk zkfcJ5$k}6#Ck$!(#;}FeZ|e_88Zlq-ydk0~cTVMQF?kO_6ux#O95E(lpO+P?8tmx65*LuEq??sy9?BKX#*`wG8AYmCz>1a8XS4UR1 zXUbExkMX{*C!-zz2skvDQ{WF!5n6QG#2*vS2I-D^z{c>-BHacmdee}PYoxvAkR?&q zPtjDi*l)ej;J7)|7qJwpCMgOU1?ju$GsXn5t1dBGufHgG?(b7U`yGQLkAUd5Zli?; ze*ZCc@@;=*@^_H*`N){U0JhJcS$)aI4Vu~D#tT(Re`59Yvy1BbI(c6go+H@%k(08k zs1_x|PGNGksLzI1q?d+)6_UXceK z6hX-{K1G|CO$U`FO1j1rlvk7YRr~vzrqv=Az`}cUSUjh z*Y$PYAZUqNtsVl%{NHCUp_DuapmY{#a*S_#nxpc?lxs5$TOs7L@pBvF!PhDuf+ zmYme?e;A!x#LWv>_|^A%lt4bG{dc3eAuAL<_p2e>)h*D>VfGg^_}6T1qZ3-h^vtEt z*Ge-FyUv+dZrhCSH^<)A6 zowpR+gM}}(LoS+XYQk7k>;6s!|+&E8u-}@aaaZh^3EO&Y}E$ zqfp#JaKqTUgwz^ixd(W_h7-#7T)Cu=JZGSBG8)=J3wJsuA6pZnpQ9D_H5!A3 zTlVtjtgLTqxILMgs<|Z~L9-x5b7)sO$cEAF zjT+ng(d1I2zfSXhBQSMvKj`XVruTETgE6UEuWEmvplVt~a`R#Q;DmBl8FP#GyYsIK zS?_BbQVUfuwF zQHJ7w0j3WkTsNv|H3tHIex11`{dnt%`8{JVr-k?#hXAPO6PvjF@>8-i& z0YR4%Ex4TegasR$>!9UvrYvj1a0Cy{5;U<$>6#urQZ-Zs23zm+jkJXVZ;wr zAyjGzP|DyaEN~h+sHJ^_OtRPDn~YYD5nVA8j49PGe010-_bL;>&3j3DM$Yhe+z*>O z7Y8nnB~qekNP*WD+yQ67S`yuy?)oRy9x-ELDcpTl3`wF%W{qdVWsWbjCVv`Ir*I&3 zZgw>&IF0Wd69$jYFdBWgY7&AmSKG;oU1UOaws2#n=Z8`Yyn)sJ`h$Sj;kbl^=M`jT zdvN_>xZTTB{&(XEilmnL~%()K5rZ|k!z|c@{{Z(!=MmWZ)&@YSObg5cVhHdUi#H{AW@JTt#4!T zMsfaWfxnIr&aP{yDF&+eb8R%gYYfSKt^bUr1}@u6n(9f)+H2|t@z*15AbXgHd+5Ix zEB|tmP&vu)-Nxw9wZ=f=zM$t){+v@Ad37c)1=BbI^^iqCtfl|xPm9-PB$F>jAgIK zzc#eS0*0P~)$WhY52f0q6vxrh&-`V%yCyDPHRGpJ2a98+!z8=D*o`r|(F5d_KJsf_ znL(_cjgNCeF4+cRUbk?_I<8MxU^s2=+63JZGJJf&*LLo>8L9JO%%a zwIq}f)#xoFlqe?Ww4$%Ic^`sJ>QvY0^VMAau^}KoQRmKz~BA4^MzZ8gv&b2;W zDEu1ofuLCj{}>l+ZC><8#9i}xxlBu>>rt8#U-T`JFlqedw%%6wZ<*@=53Tgoi8@=c zHzp;t>{H{+5cd0ULp^FZfBknW$i7$XtG5|mWnQ`w&f@2;v9uBiOrhO`7JC<8!cSY0 zjMjg+vI28n^S=Z6TS|Ft)--mVR><8&60%ls7ohm z=~Q=u991D#<(J$=PzE5dUY?G)zg}~>!X2JG4TS=wzZAC~{?1iB!&;|pRPr1xxzS94ZzGa#bPCcBf+a-g|4?EW7t1bWbj$ z-^?d#%~^D38v3L$`HQ7~^!Mj8MLVQbKpfL6AbdkJusW7;QJ3eYLT};C^O9IX{JOE* zb~G?@*>)VFFnuM>j&x8<(49LSuuU*11_q25TH0d9E6m}GveMTAC1oy-`fGG)ed{f6 z&t>>;d>g>d4l0PEa{<hg`KW`-3cpLeAZ&MSfHC60a$`et0k*PMT!BocUCBX{T}cHq7p^q^`9e z*tN1OehM}$y+jW`UeIi)#i2B?G|aqFHgrp`_+q8U5P8bgs7&S_g#K|L^i|*%3vvLa zC3pF`r3)Ck{2B=7qrZ!5_Ai|!zb+y-es)ehZn})S=burI+;4L>*n|*bpNR2JDX62D zcG;)hx*2+;FfbJgC!*0OY^R<^7yf*G56Sz`s>Um`VyyOOrs%(pT4ztE?7>8Zh~;So zWK+#7*Ie(iEIpb6X@F^`zS9C4P8d=I3$}xwqt%7?6HCA?p}bfc`xPKKvv@b^mf8lO z`gZiPJ_Ag#e#!L~E_;3)!eRvU7l3c15M5pkT;El2pn}~tkUw|~ffyL0t||#?T*N!f z)G2eX4Qw@~u*b}rZusg|X2jsZY0zeS52b<=EHjLPqC-<%g_n4aOL z<096>niI;N+@Ah6y#8XCa8UobtPXB) z4Nk($L1Jd9uhEu$MiC)w{{E%Hn2>flu6C$sQPZu6?&#<0K|u-@6===Qj@M z6Vk5q7_qpVBqpSU?(Z$$qjBQlbKS*Fb|ffsjxGi*e&+=CLj*2s2w^Xo`De2p@vrJFg(xBa@;s_k#Hwddo#{r;pdZP!V%{?OFq{b+U8*ey~O@q{k4mL7XpK^xgJvIAUu1 zNsJ~|xBYP375>{#`@3>_^Php_(CtU`A$1k3nKFLO3Ml(zHz42Ib$a{pt=0bdk}(LG z5Ehe}w+$dxImEr0$`fq=aYBFU={PIn0Asx-=|fwLS#r|($8}V(q7zL(h~d<}2&dll zx=6@&$A-?mr=~H;iz_sn@ltUK(!0TPZPT7hkY#}=_&=~&5z0E-6*H6{7D0h zAu}}Q=p^})CGH~o+|xY!%+}enc*z$9ca<)NU88u@eC(`NevS#bQNOU!8Z8HZDxaGV|r&bt}a3tLv^H&X|KlK}9U z1fz2ncg%C+13`+uqH1NaoHKF128GlXa+1C#(Dh0GE4(;3GLfbFXoi#>5!+1q8n5YM z<={4zAr3O-=)S>j+vG^>Ut3Dv*Ti{Ugn0;!gAW?)@acn)p1H|9h>pA~PBQ+f#6DL# z^nDizL9KZtgMn!XvX4$BXPzXxb2TCNPPMW8b^#FwXc1$TY1BJ=3W`+>?TIl)ihsXO z@YK_1*C)gbb?qZPhQ7`4g3-+)76=#PAWo-(U5Q@IjZT2qNAq)pdu{JJl6#G|(uJ4j zN@Z!bFVXGL!O}Bk06~W|1_Lvz$g|qFBPP^kAV9By{udi`{sI882@8zOndbSaPc_lS z4sOrghiPIrS=0}@OAmv(Ryis0Vv3{au08mRck_v-qTy##LGk{=2u-o+y zh@CJ(NR0<2h5CU`bGmUYqAyiur`VD-8bNgiMNIJ-(hugo1?(czgM_h(8@`pYWrJ*I z8`kZskLW97ZhLNLGni%f1^g2ZkUp?4)~S9n$QBji$&BIk>{@SVBw#A%Qq(GXM0 zOqli-ZCwpnbu-+WvB@0~U_a0wA@AB9peKNKD{l1QhmkjlQAUt=H(as`!m*nCTkDYm z$8iux#k+3LpfkbQ7_Wl$PM>`*E=X|9E$y_?s&Djg*`|5Iw1?lM_LhMU1BqP_6F?8EBXniS%z3gMY3Jd<<=sV4>H>p z)7~89-p__kQ9X6&#!&O0mrg$6L#Wi(E|)2&3IGHp`3DH%;FS0Sz9mGmOLxV*0>n4Q zs71E4sWnA?ZlbE|vp}B>U8;fnN-1F@)^t0>ZY;xtO`o`pV$bshBwTMMid$95MsNL@ zKiDzMHgxvQCfpN0uvnWwRbyungVEk_F8+!dGZ=44Px1B5|5^ zD;=;0Rc&7;{;dl8!sAif*CCqO(I$-|K2sg5H3|`?HasX%_LuM`A+Yyu%SshUze_yk zrAjH2+r~~>oWXlVhq1}k?u&>&+9!I)zFUlwH%t8l^#(O33wz%QsZa**pI>s-63&Vjwx*^TK^v?A8{6*u75ZHRqI>tBVFJFJY zcD`S98;mjYh+g-$rSS5yS@o<2gs1z8-=Zin8`W~rB5AB})Jg-qo3KAEhv;2Y@tQYF zfl2(!T<D#jF!yoe>8h4M*-J^+H_(0hW6T`*67nHF#! zWmHN4Sx-A_yNGv>NUx4j9=(e7$DKYEAuSy;I-tW6BM^%9yka9l?1N3!x>Rmmcd`60 z^KTA?X+@h3^Jhj*Y0IYR5c^ZDj^(@D1h~*uXvSIh^)ak^HUvP^;kUtl znMKatvCNuqP+mUwv(Gt2KF9U;?0^s z8+}>l{^qOY8YqDHXrTRo7CMM*tzDhaGSr45-y%k@HU+she1%mi{r;X+$Re)mX=ly- zs!#G^?KdUSYcUP4!3(!m2_f(#qvzA){&{@iaFN_I@6A5s`5=b?%6HOF*bA2DD!T=M7LzgvzL`;%(Fa0`{N0;JBK#Qxw>>z9Bb$oz;B{XrP+GCu&}Lr$ zHeU$}3_N~lK4%*q@)Yf2ye#~w>{?+lPkZQR6hTMrYB^HuFKG+AvUd)ev7BK=vAw2G zlKt#}+@|XCHE`i2_**^J_s@oIM%ckPnBAYjGsDI=`ka$}Np+t5KI! zt-G2r@=jGWY2Ow=Jd6?gx`EdiMY?yNWn}wBF|L^H?-LWxZDNGN==uc*oG~ zWK<{9w0H(y$`UVlrbm!>UNfQD%9n$ErmyQus_^ayen9Wx7=g-1-5JM!t+joU!{eKe zKANA9M8zPV22!Q=$egqz51}2cbXUABHf&`@PFLqN3x|7HzSUUiu#GP*;|NB>Qj(rd zqiLU;cnzw!1J1BG_&t)G_X^zR%1y9sT&u#Nyf*etX-?d`lN+<$N5m~DCK&MIMV`|D z!DW*uSrI>{rT9#(WYJWk0=6l+DI;5S1QYDFwh-H3zNI4Jy6-%Qy&o?vA>m0RpVQ8i zn9qm(P%$UWee2>;pnYdsiM+!vqE?3w3(EM@?^P`l5$V^;=Plj`bO1Y6aGiUv5~ZBu@A|?W zUHUTG#~iz?T~VR+tmbvKZi^P$4mNUsJNHVpPYAS{gKjRFK={9^!TN@)+&2=|k<=pF z4Ey;!CvMFXiIFsbIykcVkSvql;#o7ZEPGWOF9&8K?% zHw5dJ%&Vme_lPC74$eU^H<(%LOZytP`H*!%Mnu?OslMu`sjrD|s^JFpuN&3fwnf!D zS-GX+H6lMSfUu#`lrVbM_XqjW8(nM_x`Yu^tK!=%))%oBV|!f^f1MN*;dSkK;i_ut zJ%5l;^0O}aj zXE;r&VNSiL^lQ@1Nys$kWn5U4<@(PHoepW|Pc$uZ{8uvfMu$uymQ{GcaRA{=@!=v( z>eZF?#77(N29hhhW_3|5dZ>%80cg7cZ!3|{lBXRJyS^%R8V8&~it1T2*PiWZxlB#~ zs5hr&VX%CyF%0hoAeZVJ=366>le(|X!tAqeqUhG3*d}lFcIoD{TnwN$2CiCf{aXbcd#eEjVuK>~Yj!jZCBBX5bo{cwP zK*}}sY2(&m2>xXOAy}}vdE5BKehxl)I$!sZz+A>cj&=`uCh^hAJ)f^vrZ`+W-cLdKbEt)|3oo9IWF8&F-o~sIaS4yaSI(OcW za!HR}?m8I%(E-cEKa;fIAo7hqXcE2%%#!k6-F$0ra7nOZp5dD4wB_gqznO3Uwmv2A z|2KL2OYeLxo@}#zK?wpD0|KL#djPa&ygo7_xNH&G2aymdi{R|VRudV5m>uyd*tW2Q?jCKxi<#ld z{He>b;1|UQX}@^Edn{NoL<>FQJMr*R=1zN-D??wSQca5^*|j27ESBcaTj>4TomGAN z?G5Y#oIU8{em5;{0>Hu=C%; zdc2-BYRA=r?BB@wUwFsfIkN!#`K)78>H$r&)ni zjlN+={$i})Vy;`|O4kxXlQY~@L)O8lxmvk;#^q(beG7dtyG8Z&!VAb-v(x5UM{0ck zxVnW)NaO9MVOJ@g0p=8jdpYSue2tdAaCJ~Ne1%7%QL4x~9kbK$;PR%pzms5p!t?1oy($Fvvar(?;IMPmqPw1)QtA9A zYB?WYasjT#)0lH+;h{@ik~81<#Pw78+P{SZew(Xx#|!5r7D6D#>j?E>72_g<`Y6`C zxEpm~8r^YK&J$GXC7@LQ)6D18ljMJLUnDs-O;V?ChA34 zLko6HkAn>IL6=jP66ZX{W_!+lsUmtskLsZ0h<+HW`LcR^k2i;@aqfDQ`?u3GHMAWK zX}S@Hkk54oo)HHr#^V@1NhC>M4k74B@zEHgjH*KvVr|3)~}5HZs6fsN)~Mzm9pWRZ(?O0Hhq*W>McP{f&sNxSFl<)h8) z4!4ZB`S+?rxX2jloXcm8OPbQ`V4L)f!;B5-vx6#gN@QME!WbEz4I5VL!N>Y^R9nq# zh@nZwMb;rH+i9|MMTL{tVQk0}Lms9St$0qut~)O%2K0vlfcvJ8ykMDTYs|U#kTQ9H zDf!^n>^uQ)&$qg)9ZyM}CG5qB(58NsOeKSYkucT!T!P%<6kZr&5m& z;uzlK+Iu*L4fYassXJ>R&|x!(uL&g|Y0HL0Z@;mQA{g4H2C#TII9ROLgP_mKR;21L zGwjE|+G6#_0TEBb6{21yO0ZVc%Nz2RIe3gOCf5px1flXmXgc+?X`gC{KaN=|q3sM@ z=?fHjeNHQVLJJh}`XUud`)YJ;5R?jd>txJ{9P&LILin&Ja6DAUsV`Vcm%!~&Cu8yP z;NA3Y*Zyd@ZFbszEe8>hmBTdB26>-!7D~=PRRF%34yzutnP^uxG>kB(7w)Nc?;n&C zDkIO;8RJZ-VR}5LM#vdaQwvi_nqnEd1}Nf5%|9?9l924=46lSIKZvsPkJoxZ`rGHw?BQkCXr`I9@<^e! z1lNxT$<~ub84QYI&%UUo*S-?feKSM&sJ^l3be&i?0Q@)iScyGu81EhU)a4Up^7T z+~$*IZr;r$H;wlluI}#;Qr{8v?t$Poi^T}xrz^v&fFj5A9yX0|;$L6Gao7!V0MA12 zyiG5sY^9#_WuGSH9|o1%uXAJ$&vj3VoOjHA=p~7cRwO{WvgK&jT6fvtuW4M{#VDyx zXG-%m_l&qK`$7dNipQMBqt13e^>A4Fe*GHS(neit$ezKLLANOr|(Wd6jRmye=Mhw>?*JA}6KG4ByzF4H4%5X{dDOkIE}Keh=^;5p8JT zFbzOL=ZRTT^z zPj}U}%}noBZ_jNw^jtV}oI1dM1`YM!MKAil016fB|KObT|3NS46My7PGMTgh`X4Av zF5y2&aN}%$b8INlaZ{s+q@~eNyY{8Y!{igwry;||Bky2oD5(+{&cn9N-{^QQbiQe7 z8xr6d!hL|#m^ECu=|k-c((i^ga1j&=`hp@<#8hEbI_;>cHIVmB3tqx8J-t@cUq{u_ zL;yWuYd+W>zh$I*Aiur9KVL-G9!GUp%Z8y?b-I)bdh&;EGC56sF+PiyRWTvQ!(RVC z*{m&an3l<66HQ;Cx^F|m^v584)(R24{h}aCGz?a9n)^d+WHjK%p60f0CnE0d?DEi<`ok-VYhWjDTXKfan-a7^cf&c4dDQ)ki+9`-T<8hP zrWAr8p}hp%XhfIfXIwJRmz8K{bHa|ADP-f#N8!yERsOUb+c zm-=z~!`hCTIg{4zwf;ihAIjSJ#`=M3zI3;vni-AtsBq*w?A~0nBkPo?ayRv2BOpb$ zct34Es9d|`(7N5ANV9U=z}jR^B1)k`=b}v5G^^N4qtc0~<`2{S1Do5s!KEK_6oPX+cR63vLOAdF{CVFWW@TdZ_(j8xr(uTx)1v42&=`@rjqw?Ulx_ z#H-(B+63FkK~F>?3bq;;pcN&O69AVi2@fU+{I~yRsG`wd0mvXjPe0knTEe)-YsZwj znwg^0Oer_sCFDMEOKP0c*D5w+5XBu84(b`se5%~O24zqi=X;H%GE9Fk-2dt(qO~hz z&ty&OXc;ZFBKzWAIg-K2Ny%gKF;Phb60erzFfx10HF_;P?9HHdcAdp`xhwsA zba*?oXb|2fxH}u|mNY9`V0U6iEq0vGzgRIwxgl~uNHeWG$6H@-kckX0C5h3St+Ikm zhToJ{WzIVJ=`vy?DoI#oVK>f#tbDcb9k0RWXPNvX-V1|{%JcsXRQOO02--=Wp#0{D zKEWlJ9@AOu8k_EaS|+I4aO$`OZ zZAyX|w73{2?>x_WPdTXt4hy6+!KLXQgfS4Py>^%d-35G3%~$8q>&>`L4Q`D)U7|DY z{gxeAm5uj8MB9R7liZe}5{D0_`F98LX871lX?ENlRwO*4O3BNmoFDM12qi(l;`sqs zQd8n}?Zj8DLyoIU(E5!f_^6w>tHN2|&H-i1@X!u@9Fz}}y(C+~{l#t$E*HsXiTF0B zojl{AVz#*cULEGII}T=^-Kb;33tvR45RG>75PVjduyFwzo%LeTtg%%d4H9`){{|@? zpE`V)Oxl>D*v#my%-%FZ&;7RuIU+c~m?a|8uW*&(dDV!nNQ2q;8FX+{gFxNqu)zY? zrV#Oa|KrqlVrs~9>elRiF}rk%G`9K^zTF`5n0d}^N%JV2zKOQKoqCEs*_KWUglAOp znESzj^*7_J(oup>c>O_zI?T$q%i*@p8m7aC`|T#0p>>~DEy{S#eZ?|n^~-(0hKf=p zpOuU8;b8?-=aSs)9(jHRG6-8CkeQl7KJ>yS&)P20YJ8Wdnz+u9eS-wNRz$090aMXS_j7v)Jt$YGHT*)IneLy5zp69tD@Ws_+}N5coyPB` zM~A#F@v=nNZL3uAm}PcqsoVk2qbsPaJbPyYH_Xdx)f+89WP|lpPJkoEDw|;E0c6x- zmqk;n`cbI+!~>FZc&vT7L}U(L8xJV|-dX_!gC^PnfKTm+NwzZW!o(`NHrmW78<%m9 zuKxMQIsce+Z9LibMf*=zMKj(y_sXX9{yG*UjW*kBU9;&Ew#%G)a{@^ZqFg`OV@|mG zZ?soUIg(n52C3**D|H3}B>c}3pKe|Fk!cW2F~*;-!x=_dzBfir3gpLplATzb!qkPx z7)ldMlM60Wq|)YyYK3(?W8ePXnHe2>92qH$?$f;wUX5jWlx|4r*GQI^w&d?50o3$G4t zK7HM6KUYgM1SKN8wQfe-lSlAI&574`H*jBf*-~gvFB7DbPA|`Nc2F^~?_iY7MSdw2 z2}+-d*{C+0vCb+Dv<^2Gj9!b}a~T#Ifk_;VJ{SsN$~RnLde`t>V!&$~FO}Cc@8P{X25*Lyj!yWDFXb&Mo4_B&WAOw=X z?ka#k1qU$6eHm6k!3_AReglJwZIZ3goH%AcWK#Tj-#>Op=b9Sv?uG+&lsw_SZyopD zR&3X`Z{488F9x_4`+29)x7S23qYD~PO20xHOb|*`fbsd|ZD~Pa*@J>ZrFIrb~3)$2bq@>(Si`CN|^d1Y#{CxgFoxC9| z^?SEtgGxij_SKhfc8?;4lLZGUKaF?cIQMjyitUuUZOJ!Mh9{|TuawpvY%s*;O)54l z$&-WJTXg{A!ev#i6?R( zPgELt{d{-GmGq0ncHEU|?VEs!u4p(l@xT8Jk9lYH&KZemf0@=d=a&IhpKt}?whb^P znlw718O@GQlQf!QIm-est@MGB@PD;9PoCk+d|O7sPsTycHpwpKEE;)A$bT(7Z!WFy z6T04h3AFW>*#JN4j!%pgQCdXYxCZQeoMMG{Y5Ng!zpnFvvtNnGgpJ8jI@!NfIxbC7 zYAg{9RY>vVsLFOIZ6R?%ljtQ3Fe|9?tRuB9Y;1iNjF-kr^$Ym*Q6P@Ql{@8grX{ zQawP;L{zQw+f2`>$};58hl%&|>FR6&aXV(;n+s+Krvd(4bDJHC@}|1^IL8=lBD6DF{3jY`Vk2fJ_g zz-vd&1HgWd9@{jIt` zpPkoL!@sl}iiq^KQ&ac0N0MBo<4BA!40}yf-nCgJ8|aZD5e?r0uqlUC;S9313e3%J zd+>&7IxNDLsAJmB*~)pfLnG?x^GmIAE+;Nt28ak{zg6J$r`jF-KD?U$zFLWQf@(VQSDnY#z@C~CfLOdm?`xq{w+7G08Mo?mGCnB;fn=WL4eCxZIW-zG#}iACA_sw6{zhfbKiuesX;>UlitsO=6qV~pjWe# zE@ZB!Lb z-CRyVV<$LkzNz- zz%9IxtZp9|1~rnX}9QS;|VQyN%il6O|6mc&1`ZKv{US}Z%(n_-}ToJ`nbA1 z*1bt4C+QjYL;7g;k(oSJDJyQl!5UeUV_0OmI&YZGnMe8 zSN6+0!jCLqH|un1UeaXgxkiFQIv@Jp!rxoudy7VaoXWktiO>94Oke@{kvXVnzUlRK zFv>rb#r?33`^EvmMk^Nl*$tBANHwxYyduS%6DK0V%nX5?SiLhdJpEF9;^N6QwR>uo zKe{$7-MThBKXU2aoe0VP=p|KJaPdcUQrp@wzZatH*3=%3(a1lwbxS9-x-K2Ml3~@@ zesgAV4h{=FVK(GHJ^={aooPHi)_4bh^R9_0n)=C`p%tT?y-j3Yd|iFvEL^+bA05Vk zZwcuOSy)_-95DKe?ewst$sDbz$M}W+u-)luaoL;Fift(G@w_jjop^xn%aE}=({HL6 z%`cj9f3B6Qmu7<&@J7;ebp%{J&^_;Ruu)Et;UoVYZT)s#sKfw#Tq#@mKc*p>| ziuiklOp8)a3zer`!{$B2|FgAL^xQZi;dqNjKb~0PXkZJb*0rK*->nHmI6~o9Jrc>H z98qmL|C@g0{1MA~NSLrw=Q4vk0i&t22+W#y`WtK5SE6WmYqy=!1Rme9J>*+I%6Ahx zlC!RR#BwNh@-!Ww!btTCq)-`VMD43S2oyT>Wvl8y?!m_@%?$%}>(_qeasQ{p*J^nS z;jNJGB$>P%dpn}nJt36Qxx1Y54Zn1X-^J?XgW~ueMs_%sH za7NNnVwP&>$=ax0`sDY*vvhLptJO1U-114Go*}{MYv9dK;G*)!b_o$If*LP^+gA?Q zj30MOK^NDXTT`^C=RM2kaMeq%4xjxxU=ZafjVt?(VJPt?m+mjWGbHCr{V_2?%Q!hm zN$|7flCFljG9UlOu|a?i9Z5;lbdRIcrl(IwP=yny&CdqaD87q}t4GwYbm-7^it8C4 zd<+ja;-3CR8Q5H0cDs#$j|oK^ugG`@2;&cvFoRN1EU-5}zpmAm#coK#_14OZb;6Kj zoHlta6&;VdVVKvJAGi@4@lXp|d+DtEUwjq5)*ts6H_{XzKsh;4e>qZ6ZXyr*;%<}w z_A8JLR+x++R~-^@yr;0a_$tS~v~^ixreRRc6hD^+v{q%#X{a8VK9Q4}*N893ZEaoZ zSS(=+z}81W@ae(^o607)nzEaMs(yAo+EeCF&rv;C5A)GE`W@G?&U5~$b8}jra~K?HreckE?No*klanPZ!oc@q2rlZ zTEfPfvG{lE>cm1@IS_mIaZ2=So+^F}`hC)|G{g0}>O)v@pPnis27wYJI@#negi;Uf zKFklP&JLPQ>XGgU`M{4`%hgC*BDsXytqS?-rsQ;IO)tsfm13M8J@h5Rj-I|;Hs-|x zG7Dl>2gUeV1oN;4%;qbGJGIP3dR+p|5FCBE5>_SeKOJmge@<`g`WW@cWUK1%dhp&EuKq)$}fJo1;lM zR4=GH?^!u-s2>;`QH5&Xlc znMbcXN2(@Wr&Y_3g9kJEYKg(8VMi(Zlf#r((ZBmE=u6d%t?IyJBa4q1-M{ax+zm0OVO-VeBkl zaaGb$u5|n=Ex3z``4af9mY|CxY61=CLL}3Ed(1aDm`raqg2fV<&}!1#6%q9Icw^_& zGg_T>$0VuP=|bLI6FbHCCA%v_-x98&Cg=W!5%A=Ue^PuPNO)VcX*lEog+o3|`cdM1 ziX2B5=^2pe{nA>JB|vb|3efJQLV8knxrC89bCfl10*Ohkx=|@J$Q2YM$C}3zA=Afh z=cgqCoNq2A^E*}iZ|0#L?BZE=01nuaQq7T6eb;qqS7!3Jr;~h`H^4X7qV$?UdV46o z29n2Ny4f$=-1Tnc^XXr$(IIN9i8fjTI8MHL1 z(R7nn+c40yfru^eAuY+~kl{6$PCv1H z0(Wzy^eN2kC(`1z3~A>CoDhLMhvt#N&z6KQ(K+{PC{o#gfk-p+w;DEaQ_hx)5Iwc$ z4WS9gIk+AluaYv!)d*{je^#Xo0Q{=DI@>GjsoaUMU@Ific4|(hYVdvi=du`vtDX@`5G# zhgVKc^ucdHoH_n9D%Km!gZdPyqpZ?#Q_M}*C3*DWZjMy;o474S9(nLR^$__FSj>b1 zPpCGsy5TFW%qGJa`r|1GgXKN;bX1wJc8%K@Dz3D*)#5@=HaG}@t zEO~t)@QQM1OkGUY{-;||{t>zlG4F&w3{!gS@mB7cyKj)9gY;r5>`dD4gURjO?=VN% zXR5wNiU3M*4OIX%xQ6mn`dOxLEzD77HSqp8Yz4a$pR;_UgB$}xKLg^n>^$`R5lyH{ z;n52Cu>teyna4KnmLsn8Lr5W%%weFV0EJ61&u0kuC)jGWUS|L5@sJ^`kcQPD?H zjK_c7LvQfc+t}OeBDaC77CVBcpKFe`QQr8@*Q0}Q8Fz)@5ziDg3_%GBGvu%E58TcS zZA|t3B>aWAzQkS|5vh%W{F~q2n6pQJcj65u<^B%kvusx~Mt&3x>+X07AURPt5erEL zo>M6QDH7~s?GaoCAIfH8vrB`EVk2uD1{tXQQ=&P6v+2h(h9`ia@lpNI@|>#=oZ0_V z7HBbzxb#dU^}FGDX0#Jl4iaND#u4qqm1G$gaPDZediiywY1GNB3Zi!vCXRl?+w)xh zW}w*Hd5m$UvZL03()OjS>o{8BO0NuXq3aqh?F-{{8-YYvPQ;7_iNTW^J%Dh_3wr_a zx(u}?dto2=jhg696(eYpBp10AhK*c9amV81KpTY^%Kio)TWXAL>3)!mkdN(wiTWo< zFfNJOnWADdU1>z`i*6=|K=-unoyw&v*3~Ak57r`a{P58LzwtCv2nUARmoDaY~~o?)zeKo(iN=m1qt zug1mYfcgZpkm-79+4D*Qk9*m^70gC++=Xag1vUV6AppjtG77)X!R~~)2*rBi1!GbN z5Sl!OPIu#rO{kAshMYlLpo8Be#x}?)FA>(2>WyQ2oMEPCx4B4OfFW1dpq1JMs=e6r z*#0ddL^*Nm$A_Sd zwzX|o016`eqNA)IHh2`9I^vh}>d$KtftcV;b*wjbE3wcuEy`E99eS*px5dz$zHS*P zNC(2jl7NqsFp98f2GNVyq&CG~F%iGRK-?=V*pZ6v4WNDnbf@V<^FX>=h|D}WM&T^K6Aas(8z31 zqsx(B<|tZq%D!?Go{6sp-xG(;k!VY0AKD}1%VRfT_qW8`Q9g1pl;l0HggsPl&V-2t z-lLH`(eUL1M%6*DJssb?F{h)NFPiwLJ;95(*6U&CK0g`K(SO9df3lLJal6cnl1k#- zD?WmR?bD>{$BFXFpA`*1;69%FBs!ZCs;TD2o(qkkpHuuoU>Jfa$u;hW3!gAKxS3bxvivg)x6-;^6LER(v;wQhfTnW zj=o$~LrX)QX~2Hp{Si^e9Ys(zX3ld|jj&9E$J|KdzEPV4tIeWiMlWX)8lmf9LdgeL zj_iZe!Z{*};wpejdR124?r#bKoZ`rBu?zT{l>{w37y)QIu3|eT#Y|}^u$1m?&*|E3-?{L+6Ly(2u5`SXWXMIP&g;kb3eW`V2o zA+X6~aYfZ}W%MWmIn8ek?+Mt6HI5{QVJe`&_xNpoaww~7lJUzhxRb|^>H?9IPXLx@ zK%tkONR*OgGL`x`lX{n^Uo_^3HQ_k?h-){!RLHp9hwq-Kfp33;YlD@U`KpMk!)J7- zWpy1eH@}%Lx%ytd6p@0{(BomUo@9P^nNrNj1FjK^=JM{GW*9x8dI9B6>Lc6s z!sL$OH|Fl(ls48hM|KvU*^HGKKq|>g_G=8bi~ZLRzf?~2M86xa_{BLk4BT=pb;zdu z`O(l|z1V+>i%K49ZsJS0f#{K>*=V^WdX1|-5bOA1h2H(J;q+LH(`VVfo%=A~Sleor zChS7H*phHVDe+%0n>oNmY(D4jN%!baXExGdUtBR5-WkcG&vLv?+*r-kYG@&;(mA$7 z>$99A^JKofqRmHk?Q6mGzS2SyljbTE35|9AKdI~L5?kckS=v0E=8h^|Pbm$t)VnXG zvN2~Mi(crP-^Oa7|MyW+>~dhLAM>?ONr z4v{U1!z|kg=3;*vRK|Y~>aA?%h;=$9G1@mY_0_yJ1Wz~|*99zUj+ni%)-KB|KiX6n z(rkFs`g!Es-2odt@sAN_Lv@ygO0Nvn2RY_16f3H218T1C3z(f9^m}(F=~-sODp6%k z^n`!ObA#!c8IqB-0-0zw7~lWfBP{t^xjN{`zTy7(rd9F6_429mc6bB=$ti#Fd+vCw zzj&CKzct@Ab&uYN>TI(7O}@deb3Ac{jGu^iZTwB{Vx6AOTh0F4gC;RGQEw#2_ah@EdVv44 z=-WloQ?;1NtxL{}$K7SwGCwh3ESa>Z>{qj;!mjgxv4oEq~%Yx&eVzYB}g~klZm-eCv?Dh z#mxJUiteT^s<5IkA)RN*lAjDp-AB}=@XG2xo4~o_iJSa+l1eh_v;~rXXQrOqHCpRy zo2mlfBC%HaL?mFig1wCL!{k}08l!sf(%uT=Db3XizGBI!xhP=6X9NsNe-LWzqB3fP z(q{toCw8B0aup)YEhlow!Ep73)8zrG_M~v;u^>HKDTb6;hJ2K;p1D~^PEGqfeEz&| zwJAR6J9bsA$%00Ck~gu|h7U7h+nt@@E0KH4c73&v^f>u1626nUkoW2)1c+|#%XlsX zb@>c^nOov1NWvGv3gEF)L4=qh*b7>b^IIuOd?HlzHQYZNivUxjFK6Zc;{>c4gXYa9 zPoW^+3u63L2dNS49Vf|ntyEwkDhQCW+?S=?t(jTQS7xg(`|aYr1Vyeq&jh<4-!ysT zR$xJQ#31C!b=%2tXov`cJ-XEypVfZQ?U$ei3{WF6=qA_j`y?A2qzb{F+R7C@ zlA7SNx(oEkmGFh)dEVbxO?_aWv_XLA=aQOF24E~pAV2~p_Xt6bKRqHOp7^Z(AwU4} zUg7ld83OtQ8wITTKQRng_ir8_zb5za>KGJFEU+**3<#25VvPx}S>cfN@RPbAtrqU; zp5v&IahlGE@hp0p3un$*1rs$$}(Vv--CptP{R!o6w2NGUK-ysE({V8P>m`d+oip-)8Ni|ErW=?c${$JP;R^~#%X31*< zPa4LkTy*zdP^dF3Kj-^_PJ zycoqlBa?mwEM%>8+S#a&`LZx@@jYfsqz+V=il8<5_3j?fepbB^JXrcYTvEe-#l>Pvg zLZ4fdq zh2{b92uFg7sie>;8qs#$9D_*Ks%Dz?m? zpi%iH{So^;{bqjuUtgp4Ii)uZ^n3#n@i)DDhf9q@0DH6q z3;h$%Nf$JCR)Dx}biwzaUzj|8xm&R4Wl|({wD|jQNxC}86XQfY>9Febo+_SK%3gbR*>h8X>{9G7 zu)-_Y26EMiTQjTf5imd)Lozl2)Rx?sa$!odb2biJn>DP)J^E*zbXU20yfBjng zRbj+UK|bv(BjXGct+`E6p%VMD^Dww0y^i_mdn#$YGB+`R^tZCYitj7eU)*9CN?Vzq zL(6ekb@(*FN^ik&);U;QYq*kfz3E}j&3~rD^2K-_E)zgZq>ulDCt9q6y6$5ASp3_IY?E4x8YZwkd%!b^IZ0; zgzxTHQ6JYlx*F=&isFt!n#ZZcA|@8R{y_06n}0nM3Ti&D?u!rVngLu|AEqIh{SC=Igiy!kE(?IyD>(}P(|E%Fd^1> zvkR<6bs8Z>`5#I_{x&gIsF&#txuSM}J5i)@7S8Bmcm1sUgn{~N!Spvn%2`HK9e+jIDPkocj7dScBtBKfvGjw7_o|=<#DP3oScW4 z6=I?@$zkIrj!=;GNpGg6QV7O8qLP*dJMUKd} zLxm?W1?MpZG2$)}vO;B{HBuW1%vi-Xpr@)Sk4fT`K7*z(m*74(HxN997=ZCEeLCYt z+a>Rx+%wk1wYJzldr>@@?&#_7nXaLqopn|3=%Qy6~Paq$iffn z)h?_$Eg9bR5Z-_{p62D6ZmevyF7#}wVHQKRIe-_xO7SyXD+u7|Ks1zaQ^A|Me|CeP zLS@doXg)sYW2>eiGG3_8y^VY)@SdU6Jq0!>E9n_uEwKW?@I3z_e@nijlbcncKJ!0X z#Fy!FH5cQM-hiPnaxRpiyh)5+H><;g_@V-3kzW5%fpdIxOjc627)C#ljgn0+@sSc7 zzvRwkVP^}?U-ji&Z6K!JB~3yjgkM30{Z9zi=Tlo937jF(w?fe`3v;?!8k)y=nuG)8 z%zx`_NGrMk)t440wkF|%8(}fHw~emt4%>F5XEC@Ox&$Li;up#LkBc)Ve#ILznc6U0 z`X9D>Utwm}cvmwrW8UFWC{ZGvQ7Ju`xsU@;h^Dn)$R8iYbWjP6b>B;8Y+N5gmx z{W{g2H;PgQw@71#*YLoa1UC4-&ON_&kN%2TIE(6amoWtgFuk+MT`I|4GRa-q z$X$K{1?YV@ zSU)>)%=x{sGup!%p|;XU*Z1&TLI|9TI@24UD9_HN)*+#Olb8<#Vmrn!aj{T6s7vCG zDjQ#KL|yY*-wp__Io_o?hsZrklEDWP!#4q}$E0L#8#Qmp0yc)W4n#VYubp}l$6~M{ zwy{qr_XJSq+WA+e47W||d^K@{fty9Pl#&v|!GB0UHe`N8Ac$EG-n4)RTfl~v7kF4k z`@$(K;|e_Ek$tpcU1Ba9Tilw(Qn^6&E>{m**6}C8>bSXBhfei};g~?`66S`gcZ34= zbfHsUU^t#&alulEa9|9yKGF|izI;yi%vXg$YF`@NeQ`QvPOx3V$X!awT~f&}4a5bo z1sj7g5r6!1Hnxt10mD&5tcV!~DJvq%C0~2ov7Q`5tcecwHMpGl9+I*W4#0enivUXc zM@nEfv`t8j)|VCme<(x|NBWk@xElDFw%~)E@`TqskJ-GA`H)Y3Nh`L4AhshbJ|Z`m z@*?SQDdli0)xCK6vuus$+r9xb2s?1gN}$0!!AzV-I#@mD_S|ehEDGGSdiPZID;_jv{Ko#m<_kvHZP=XY2FsELSz;8jPuHR$8U$?GY9cx^8e01`*T*9z&4F$bYMn2tQYvOL|_Z1 zCls4!LstU57Y?(B&J#~Bafr`xzAtgD&v8VLuTDHT2~*(pYPCv31YjaA19S1<=*;ox zhm9IQ0b{P_}w*ZBhe znBPv1bKIvscUTX$ys8C_M43uicfVRM&^XfYPuiKBZf{7gk6Akcmgp{+87lHI6n|4W z@%Q?any`*rN6-^~7w}#GdC$I(;5Y9xaj9Rkd7-U%n;yp>5V>!PrF$#8AUWdlGM?=n|u*o%pRq&`HB+PQdi9fNau=UNF-I-$q7(q-9yYx8j!83KbIPj~P! z*9)Tm>(1rfwyGZs0vmjv@O8d;j^f{4*Oa<@G*D&1D<4Ecf%v$jq?wk(3^nser93N)BMK+|fwR;3xB*}y`g;XyTUN05(5$>Q-*xAoB z9o?Z2Iw1|0ha-?;Rb^AYD^u5p=^akag%&oEBm0B#EKd!P8GVl1UH2KkrW(JbGCI=U zIf0w*oNdLfd*U31Nd9k9FYO z(LGv?uXG8+^zp)oG5eI^z~j&{p8Sh=Zv5a?@$a@jaD$*!a$QAA%*Ro?GRc2*FL>Am zF^-qFbj3_sgred~-{M|H=@;|Sgv~!!ED_li5Y!IqkM6Ke@9>Y8!}|>@zTtv$AQMkD0VtdLR95 z>yPxRAh_UT2cuB2O50A(1q|&!$4$`3#TNk&%0Ci~S3CDg-gJ3-W;nl+lf%U$AqEv;pY9tWJ681%0GBHCzJ0*jplBB9{*c_|Om~1mKo=w} zrZUTTSYk|vHHsdG<3>QSYv|o56*jMO2L-mhg~j01s{n8*_8Pl%uICaTf)pdPW`LZN zuZe0{SJX1u@&}AfaJm{(!NTZB8CdB5H@7ZHN6enql(xL zk=Tx&_`RE{xADXQ7;hYsa{L@$jo7!JVT{)0#|Y&bWSm6|+vi94QBllFwqrQ5X(_S^ z9x2u}pda(0ocxkkY)4CM=NJeW;)h~vT%lxOlOs8jA=#6$gX=L}TJQ~N>t^3^e2MdX zq$IzT5=9O(JyUQU0Wc-~nUHSCB}yxPs^(xw`qLrZ=<+j-D>?rqE>bS0?~)UCsDs~) z)4UX&&|(LFWd}P3ZgTeoiay)?76Tb_@*D)LypBj`KY3p~wefarL;_7r5>1WkVp$Zc zXH5pMaK&)je4NL{2Nnh&n`${1BaJ<8TL2ahdZ*pBb5wS4icw$9j~@f(>;%utn9Za5 z6Kh6&B1V1fMt%N9eJ@6Rutt53ZDAWe*qHCPH+JV0RRCsiiRarU-lZHn_&YloyOS!` zC**l4@;;K_B9)*PKu3!G_oerj(Zi%LtW-o$+q_U?v$dK0t22tITm%(%6W-{?(O*zo zZq+vY)gCV|x?9%V?CR}vaq`|tuQyIc*3)k{g^6!d7KP1s%;ZWnI_(w^>DN0UXM|VY z_{_%3Q6tERGXcud!HH?`&`!_xkT**sk*C18;hI3gt;yhf{`FT_$6;tDLRe7_NS5^) z)f)+`NgCATIAv1xXb|6tR3(1O0YyWSikD=G*-*;r=OZmyc#2_If|> z-x$FuL`j8Ef$_JmPonI}0*-3Y2Nr zoNagW`snD)2vDBzyp)w8I^2dL8mXm4IMuIYtC}+%ISBRQmbV_Za#x^v>ReuRWseXi zG=*`zREKduj4^xMN`1_fLdl9<$D+tAYEijC;kGG5Xcx|O$l1Q5OUjJ=(b#u)hCHe~O1 z_lK8y2jCNdN#(@OdDp{BU?u-JK)urF=+9?TymfK$T`%4A^Hg(d@pK!i8Ijx=F9hf21&=YQ)*&qDdgkx-RXmT=MeS$fnC%?$m5!u`L*YducZOyr$4I^Y>G! z09#=79hj&qI=U6hR5TWI;DWYLS9Wzy{!tq_#w(jc=dCrEoGX}YHsNw{KMJpX{r>hT3Dj4`6FWEXE`4lDF zD$;FOG<7PSZu-kK(tWxn@!#i?fA}O>O5i>;&dC=u2Y}B^`KK$yp7a zEQ5p?B02PTiWqeMxBcTN9$cX#-}sG; f;J34uhj{ke!!0ZN(Zh~hCA9qwvtC-X4&i?Qb>hOk delta 16013 zcmZ8{Wl-He&@L1T6sHvT;#S<q=c9QHaM8QI$U=!5=+DllN|B9^e{{a{j%>PA*sh#r-?QqLiuTIq ztwxWt#cJhUSxnD9wpEV#O(-!{bCAwaeh{3?dC1;}E2H>_DK+QS*zn+NPwOW*M7=o- z+oztqprdP4{L2K8A!2m@dWxc10^IP3^+*T>&EM}M2aJn`ttaF6W3=1~Rl2`RZ{Nj@ zF0%pzj#~B{)0(8*xEDXplg>wNHAShj%L_8ZzZQ+ci^6@={hc?ys#m8|l=-cDU;h&i zw#Q%$rCyBbo`&q#GKf8G2^#{l?kYqfCml6UP_V&1W7~?BiuHvwYbnm^1zjO^tSB2n z>VerhfzC8}R&Yo!s5yI*QVRq7X!gkTc%%>LA1F1vF|)=ff5Oj3yf=9(k5)7^n_bhJ z!q07fAMw`Xdpejhqxg(-#yQ77l@V;oPCZ3vH$-SR=dsDeGsl#kJmz83PQue`K05v4 zseo#e<#>C{PIBh@RDC(UoS`$eW@K(m)Zaf1GM#3h0Lw=hI-1!N&@2K9 zb~%S!W9OW={2AVg9OjJ%Wghms{x)X!VzEkRI=3f+<|(B41oZUP+cnxqTKF20)!g&{Z=rIsCYzGd|1JX$qv(C3JR?zQo z8Zpdo)n{l7(`$^62skp_bG;VyHA^k6g^9)lLkCB4p!U1t;JhN8LZ9;tx>?SR{aJU8 z#RD1#b{l3Vzc}+X=~u<7$u%}MHcm5qumZCCu z%(S%hj%K32eYXEJ^nkzGe4^+!Rx#^vrryZS-N@X-{>Cng;LNpAm~3KD<3MrE+NWyi zaHf6PeWJ*G;;eVgl29Gz?5TovqTvab^|?~mE5%%2Kc5f1xoIH#{^BR@@#;Y=!AOmt z=<5Pwf^mn-!iwEuEv6rwKY+mKiS&m<>+Hmu`at<;1~mC4pj0kizByr#-y^do&qsT; z-OW#TIjBpSi_83FB~E!cr9NJGQY}kp>*L+H+)EJ(5#e|6?|nHjV?=F3B(WL(sARZo3kEA0;fIuTeg9@dQe7!0q?_WGYkJspJdZ;K%d1dnO>$MBS5X zh})y>fGyr*MX7_SSvdQ05jj&_Q8RB|K1a%!glq*NTlugrKvRB-Hm^UK-0#d#8VHvy z&0#62Q5eaqj0zKsY921$KXRI@7}Y&oV(yumL#DXU%b<#+pH=-VT-B{y?fB}oNPo}h zECJk{eI%H&zc~t^dh+sX$h#1kcw2jXS;8;sg(ef-UfYeMg?&H7tKp&P%JGmLQBR>i zQjEiryC*`L5f}dUNSH=d16-HYa#|P|RF?U^9bANCW!-wYy~=qu->=;{&2XE) zaa>LWuTdP~H3mFZ<&;&7dKfc~D=od97>myr_Qj|q5fJU>H=GErTNq4wQhqhd?0Buay>12!*cj>?u zg|RoN70-m>lFh<)g5n!gHMAnYsi2hbl6$N93&-E>O7|q+D7SVS!1QKNiE3q1AWSiH zOnfx;Pq+XH_tHWC@O9A)(@tIDi-7o}CC^Nl znuPI0##E_DFFHm?#>(7{Z-%Y-Fv@Aw{|$`%JtcvxP)D+^D1a%w;q`zi>F;m8kHx9( zi(O>#XF^XYp-vH~pSkVCX}IWJo#vVI65NQIFsN^G!_phsfufS(;$ju3g!98o%u5$M z6vphIBEA;2_}Uz5;pe10#9A1GmpkJdxyJzydACI42*GfFIl{;8FCmM`?qR94h9Yw{ zPbEfQUEfn#GgE8b+^RC3@ycKxsIPPy{O*uE{kh$8pMJ6X(5cRqB5J zc7LkX#%_fjfDFOdN*|f|oN_Zx_-KnhBufb~eKpx&s9|AupyT`MgS?WCTYzqid%p%r zdPxO7hWqTR10&_t6y5YwdWD^{3vHbS`UbA22K71fmEnP&kFiw&P?^;9vs%ZlbD&1? z#&#}^MJS$Km}%e6Y5cy(slfUSC&c2}c(X|JmgfKYL?K_>aC2HBd_nm+D-#R%+7M2AK_@0yq^yZP~Oae;LvcFUfNM8M{ z3(*j4kdi-Jl;BXfgTyYV#Y27^R}WD#5LW9ZS?FoiT7{oH*>cIw&+(|$lJKn&8jV)rfn!g0i<0Vh%^v8Q z6|_#Y$&y1Ip&x4BSd0bguY0LzG>mT};z`zKqk4+Pc zHB|=&(_Hp8-0qIuWb2d62xOFrSK0lBOl_Tc5co71Z`(I-8%Qi&b~PW(pqf-GTf2?X9W&ebi- zE?5~|Dx22m%5yZM+4wKnU1qxmk;k=#b)(L0X)vX{lGB9pGZKv4?UK-s(}Plt)dN3D zTWCf^ws}b+Q&E-WlV&IuK2(znVcAK{{G2E3K;m6L4bJ*lAYOr83aA)eB(JWn3`Y99>_tcIIhx`0<7RP-RCO{i-H+m-uBO9AP5y#0{M;6%?K6SycIj(>IF^bh?#h$ zvI8?6a|1eW<}w_?>pk(FGi@x9FOoQ1L*d&|WO&F%T{!=^8mevvNIxE23bya{|6lP6 zvRiAGotgo&frIZs@)2o8@u_K9cOW(&(Eavh&)kYRb8YL!SFY-}sU~U!uLmkZmt5%^ zLg-eb5bE2^q3P8W3@HM%YTtDGJ!Z_m`A>Gjm|;v^mLJ2hA1f8Ld~hxSvYzO!-$>m+ zwQRj~f#7(^I|F$?=YBhuZjXWIUvu2({fJtDYmn`$=LQLXlXy?iU%%fH{@bU(7{#WD z67eqFS+PVXpuyLVSQA9D`)WQ-@w@WLb(rG!nm|9vZiih=p0>;eM@?=d4bw=6;e)W8x!)VIf;zHPGWf41X8SRZ6c zB6~z8|8bMd*{_;|1hB0hWPlLtKY#79Yar9l6@m+K31@o-fd+U-53Qt=*Kg>DMS&L! z>qi8YIv3;3a`ri!AJ3LD6FQpE4QBA>+XUb9POi$i=8PhrFRg&X-#y2j!wkidQ}a3A z(K)XVt8TZt6m`24MWnC@42X1OA5I^)3eO+6D*dZQ|ElA>H6Q|HK@qbM`hQq7eGS4A z3%0@fTS|3N7W!_Ee8yyzl2E}-GSnu{n)TNz+MxhxdoU*_DKE-e+e|4RXZvcd{mQ~0 zi=xVn5ozs?lsHI7O6B*3+Ysh85w@#{X~{j)fa2QOP069P>|<{~Y!WA@!o3Sc_s(BY z@hv!famNS?-%b!f#@Ycv${_0p^}-GCcGL0HkUM~;+0uHt=d6s zzquulteOkAQsXJaNn$6hNTKKB=7{3j3IjbCUz zmn!OfrxVx-rdFlhN>WdTCnb=a)40=y#Np&pKLzg4*uN*D@rzEqaD;@Rb;Ty~k|^AL zKM~#S4Rfvn6|l%3&9cJ(>3-Gj00D z^h7g;aWQHEdn6fGqBz@@=5~uGc&Qu$1SvtDspGg8hHMQS-iS}Cze)9|bNj?wcXZF5 zuhA`A?dD@?J6YpH8xaO`)+3GT$V;)nf;1!X_+yQ?*Lr35jM$jBj~dx(?sS`9VzK0z zHeZOVf(<`~;>?Z8iYVfF+C$KdMKrT>O{dgQL%(+bMc_E@541QsEQC|Yyn&}|CYTH6 zqO?*RbsxN^I0=<8NJ)$Z$ylo5YI?Fr{vaIOa`EyaKblb+;epD7CI{0o%Ug~+J61+O6{kAY@wyM}G(SgMp(4cGZf=bcotKo|Tbl=w7z3^Iwg4_Z5~dZE6NSNJs8l!*1q*G6 z=5?LW*}mQU+Et#1WsWa(KyyH_Ye$M>ex$qa=50X!cG`(=&Bsp@j=-+2MR{W3dzP~Z zLhk88{|g$NA%eHy7tykI9G;1i?d3NambRT6pv*$Uz)16FB?q9-S9y}ZFa#0dA2kdv zPZ;bwaLL>m9CLynA#Am`4)27ltJ{TUpn_>Te^1z{s8deBbUEE-&95`FYxeTq)mG~< zUSMpBRR+fnphii3_;J`A|ABkGHO;w}nvdf&zdhQse6A@2bG6gZj?idiD0ceK1)bX& zAfaEXCI5>f&ym`^QRWva@mGGj1vY^uKf}SCAN_(;G5*l}*+K$!GN0$oKUS>2Bu+xb z7XFYNqR+WeTzfLNyf&%L8?To{ z?rZI7Leu^jE-A3qVmzSG>d^?|SXf^o0Zb!@TyFCg*3H9n1YjPjVloHtU4N8}Lbj0&nm&^3{+3}@*P88j(^iyl9 zm0NG&R-7qq_R@l-JBr-INpy~Ry6656A(SvP*%)2jwdImrKIM_ek4M(q@hod5wu}}r zgyU?z8z1jSjn4CN-C$Z$bR>Kf)&K{UfC5O>YZ}+%F}c}hH-6mB@_Nzg9==7#V*?^2 z`lrT7LwPyo+&7X*(poc+t6O747Kcm+G;ma7tg6RP=D_@FQ~eRY{VWUTEU=Y~SLNPA zY2DMgzOjh7Lgi}!`emn0ww$+*%>FB_pEAe%(#S~&bE&wjo8xwXr0@Z;DM0b@GIg%U zW&elugwa7Ng^?EIQf9v?yRT-Vt38ANPNJYT zT(qOVz4^LOl$ZH<=0cawCdC(RsGbU4RZv3Y&@|U$Mzod4Q)FPt5s!C-1B5j z8E}Ug*S*KLoz!($Ph|b256~swoL~B1o|--dczOgQ^9JLHkLKvYu2t3cbb65=a^YvP z81k+oa*wi0*nZ2{#6t`llP@}W;kv8fI$Mmo496|7VaukPO3yj1-z!0=1rq>5qNKJQ z|9zTHq4vS@rkWT3{JN!CZ0pTwZqr&ylI6J#lLaQbItzY*rY!9Od4hyqX!I6%@7P!- z=}(x7v(42M%ucjT1fBu!S&fXLSxVGwwheG5Sa%qmRnwR2yaEr|L3(v%x&7j<^UtNDlU=HlvVj3pa}oRJ(d^neZsnnZcuLHZ^?8z8!5hlK}DoLrNCy#M#Y zS#{vYaxfFnkevc#%=~8B%4ODDtygW1z}QEh1DpFv8-?&2J5jYM4wQTh$y$3j$U0FQ z9&@R1)FwTe?emOigS}WLq8`%)nz)^c9&3H>0_aaIo;79jA|;DF6w;=w($ghmHhanw zZ{F-1JXT(K{Lbg%+HJ6LZ10agY##*tIy|UuF?8B^SrP(#C#4Jr<L+X zI>AHh>59O_T9-y3$apdJMnuHoJMO7&Pn&Hkrcwal8xaxCLd&b)=3oW zM!aQg)N-35U2a6TWH0KX|X7>2Ey*6W9wLRh1 zy85i*Emoi9+bsIm@JxE9kKymnTWH2xdA`o#G9v>nqZ_Wd%1M(PheRSL&z%3B*S^S% zCSCO1CiU&tmeQ(Ec{EcJzVA$hI_KsoF1k50EKxPo3}C&uQsv2dUqRVrCOrRC-o#*M zB?e;A8){dS$I|9K+RgWo7oUU;|Vq{Ea^3C<|po-yPX{ zN4T|X7_o;eMY-XTgEB6!LEr-_C+hkjhym=G{7NS;Wh4dd@e;55h~VCJNh=7o74;VM znSVHjhGg(x!Oh6*JfIWF%6Obd{P4WCd%~`7Fqm*XMZHcZ@6ow zelH4P`0ELfar^^rKa0c*T0e`_i(>yRrg1_m-gPyo0}DWL!Q15_m%i86MU1Q-13YOi zWGw;`!>BTBLCMBNE;VY0DxtH%@Q}?s*>$#Iv`p zF(5oCVv3pv&vL0kDn;;|!G1Cf_)*qlUcd3C1xv2mfLORs$+gI8MW1wt6Lw6VA2M&5 zoi&=%1MVb<@3LQ$pd80C6obZ|=df+WWW-*@aI=ZEU2vU$x3?wb_@=shoY$4>`md9{!0Y&9b}_Y>=@@F<@@eDt%(|wmn-`tIM*) zHevT>jkzD|cxpe(_M_3{w@#a#^!KF?{B}24{23QqXY3AzmaLGbu~es1lYgx27W+>0 znV!zj1x^9(vxYJE&HfDjZ1*_J^Tw~Jwe&;jkcG28<>bk;AAsb2ne43*JjDUm5)Cn! z&%?~bm;-YwoEFfzJqGCG#q-C_oyR{e77jQUgTk~E{SbJ0um?l?(xJgJ^R-bN?TYen zGpGWQ@0WWF*1?o6W-~oJnHo(w4ZNn#AtjA}s&5)GZ?{E`cD0C|@bTTU!)lWZ;^chX z9?^<@+(xdjf#P!GA|9nqn_N^8JkHKtS_J%qt3yz|4``>zx>oJ}u#Y(Q2HCD;G$YQ%{$U$Gyr$BOsIXr`g4zG>UsD0%?DK!{61WeB<5i zl6?8lUn{8qo#t=d#X}r@th##bu9A8&20cDgO7?UE**1`~7-!-*&ihu>A@ZB`?rk$q zG_$v=HzeZUF3zl}hv>U~-Rp3e$s>xqM)87knmf{;etZh9Ozvsua3)6OTTk8n_Q?hs zT+~OA{Z@y87RTNkwPVFA`Zc~=%-B@Fb*3l7p@`WV4vHrW<=Dm8=EXi?+0Caj>UV}_ z?`7ZtMj|SnqOKjN>s3A|chxty%K0x0#G%%(JnYMp&zMeH1mX_q6;E}~MDDp8SMlnAf!NG6vJ7L>3zlsh7-?5^=}p2_mc-T2OK(jJ0k{s3)i}<%pNK zJ(i6}(@AC=?VIEXGp~}$$p$JPAW3Ev$?nL6jfZ_qW)Rs1OB(8Aw#(!!_;ld6m=V2a zU-$zV<>lS?8^Uf>V!zH4SH!d%M@h7)0u(Wm^q`B zBi!W5S8k8@ED5%N*rCgR6ErV9=54&ygUM*|l{z|Iusif@@tn+Ei+7V*S_g18ZWrCh z_3Leoyu1*}KX>|#$Ot2tgFZa_v2E` zFMW%@oh|+Nptbx*HqrZdIz&=?ZJjIkqPlWbYus?7z5R8ZL%T+=slDlS+~>lrL(8ky zW==<)hdV1Fddk?3)MK^%-WkC3ZVf5N?$G^brEte5`+X+v^&e0Y*VT7yB8Gh{@61gshp!#D`?d0xiA`IW!xD^V!Lb}GsN~C3FmsY zOqTwr)uN$8vl2~IP9LfPYK<#hKL0|=@O8s7K|A$AX+h9mlfA6@oE9hy7#hDjyV+xY zYbalkT5bP54#jeCz8!&V#M3<32V^voQEidn_&3V=bADdiwi~h-TM^GYuV@%qBzJos zYTw6`d;fUH1X;+OV+Rm^rceE@>Z%Z&=h5`E2TzWi?pN~6r!fc{Llvb3HXd(wH5 zQ)zK#%^tC-^9qz2jJdy$Mt5H35C3B_tq{SzI8p0YcuTd@$R;83}<_2UTtWaXN64IUcu!R^1~*~YKK#dhZPKlr{C+Bbn>Pg3tShq7KVh|_Os)K z(>yoGX2)JBfU}M*nY z)NlUTyQo8N6?3t1l$_Tr;hDRrQe`9*1>23(B|1lATd;zW@_agdZPe*4SA|tdt&N=@ zdil*pMFWFz#jf2yX?n+VZAI10lY+3pZ>K@|580-Gz}}BL;kQ}NaOs!(GEJIG?JUbS z!{V;ii&>^MPtPQ~cyF-LjiH-zH)MmPL?M2S>}nuP?b#x8ZE>QZ)X+Z9>8U^d0Q-+! zcEZiX;=Ccv+7c5sE^CcLmZ!^ee+aE<=DgwH-{1ht`wQJBjMw?p>ph|a$X7$(+~+6d zxF3#yzLRo$#u;*4MF>8i>8o|ED6`^ztVZ3atF`4-RRY+7_Yb%)XcU(jEz3Eg%gS1EaZe@j&A48>WpL?ow)+Be>&@x6Yd&vZ@=EMD#)>WH>;R1$$T;@5@ zHK5cXt8aV&j@cI|3z@pO-FeejQ8j)f(S^jOj*ty}e{HNFvmhF^Bjzeop#OWLMx?7` zG-3>i`}Vkh(HEE!@OMM4YxcKK+uB>s*n$71R+h|gUV7xi#({tmbn6ssTm!Vs3H!8l zyrwi6gG4j{VPTO&dt;;Dg$38yx9PxNrs%zA1gqD!HzBkYo})a{=g9q`bYNiRZ9Nc$ zcIEBnNgWK`raI`jAliC6*_pcF5xU7cg@m7CGjF{!0__Wab2-DC?a~!) zdrj&D)*)LnV`Xb1;*iWgPqd8C@gc0UyPxn7$*`Z`pm8%ZgrDk_6?IW`})@d8<;ogPnh$T;WKF4DnpRiZ{+LpJpisfe_@rUcVk zAq8ry(!Jj+Rj{VC0(}fN&RP5yw-}_x6|0iu?EZ+$PatW7ylAY*Y?Fo6A05iiAwFVe z)N8?ck9>c|hVyGnv}uhfX6rPv4ynhfw}_ft26RN7_0tUN(K1!b;w?okB33FvPVAG^ zX)1*r_v`OCIuFk%DWKC4wpqctgE@4o$8s36y@|jy4SpYFZZBTGih#6As@tR&p`x@v zS4aq&wIyuMsA<-S$x~@qJ`scS(LbxT)KdAW@@gDg^Rkk6wPk$$Ncz&aIYFoJJ@uW8a2>#27a3Ah)T`L82|J9G2&Z;aMr zM;oGjcqJ&DFL(!^pqgOOwfA5QT`*UEKzCv8`_*UWRlVs=tC`977ecrWLe`fJ)-O5o6T@w;B&zs|O~X{?lxY7~+{AB>)5VV_roO?U*XOz*v37 zy?D$QGMRzEU5Ldrvsl+QSp#sZ`pl~|(_dPw@q!@$5AH=%zTvkSBaBsjrd1+QzZ9u8 z)YWe>5Sr;tlbOwTdL0K6&<|w3zok0JzyZvwq4_%^P~5?BAZYR1%x{#{7^YPg(Y2{U zAsD?fsb_TSA${;=@S^R^8w%%m(TZR|eU=7CNJhA#WK=h_r7y8QbnOm^L*bB26gH&M;Q5VvkqVR9WHz|@S!IhPjvtE5on*qADnA6dIVO0v92 ziK`+YwK^Mjfui-N%!qQIgH64qpvliKu?DsVQ6*z0KGZ(Wxq2GsmD~keCRk0Wm?cSu zrx9wm#@~>BA-pJ)RmPyrCJQ-&t2c9$W3cTER>pE4q93iq}2(@ zHCjfM1T#Zs_d=4VXOT5lKhr%vZT1F5d=OEl_;w3~I6R5pZdKA0jGs~qHB=JIzVDF&>J4jtxngz z=|=|;898Ma6~)!V`Y>X2mH*sjUc0PORP!w%*f|7WEY7@SOaFy%1hzotQuyAgNpW9%)K#$FQ}I z6u7XDse>&IC;M6Uc|u1x+i++OYTC>Sw0Q?I%>|Mz($rCzNe)&{B>e2O&C5%PR*#3bS^E2NW#cR+43Re8 zZ*T?7mke2PsRj-M8lU&8RY09+(TOdhSgx-)6iY@}t{XT79ov%A_C`t5R?7x;cLc)u zNNJ@35r}kQB{q38P-Ih^Rjd2AS=UPxHAgPb1oDGbi{f?H|21H7OtwvnpxnAA3ivP2 z!sG8835~Dcl~|Pjyy9IvMS5I&)Nia8I<0v6X8%HO>p^JK4_L@6Og!B*gn+L8>N!-3L=%U7hu; z)%n%uY3bdtY=+ z{c!;+{4}E*2qv5eFI0+T-(wMu=Dd6IMFQPqIr)WT~Q}AS|CuUa^b?I#ZW8o^IvbRC_+U)wW^u! zVy%$h&nUIZM^!5quOz_hldVzeuR|%ccpsNE(CI}Do&0!Q!|D2eU~8`ZPb7`D%IEU) zC--Feypb}@ca8!CV88}vVd>&~gM0Y*Le8HJ0lx7W*auv0g->;Tc1(dD(dpTHWlTC% zc!PG%E1B!$d0r{aWUQU2Ts5+s8becvVcN~Qw;sXq3DWeIu}nJoUp?2~BLVeGY#n>8 zCCs7nev#6H_OX+D&z>J@GZV(LYUSNu!jWy7ku`OmPfL*f01h;(Fa~>)wz=?t@&d*b z^^!{ryXBo_o7sZ+3DTMZCz3YBs`K@c#WVEoNYD7tM|uscfEafrQcJw64@7ltYy(maRo3bOOhb1csau!Fr# z>a6^`vn)`XND*Z6r+}kid})7F%*f2RK_PVbZ(GIQah7zwYU!V)nK=Y8i?Sp>SvXr{ ziZN4Ryr<#>x{&aV1mp#9m*W)Vb@FtPXSoGB-toYL_DxNPcY?NAu19&*Mt-(_{(~-Y z2&)Ec#IT^D@WCbq34sHX3HH(3Uh z_54jT5Xi_#c+u6Dy}Oo{0o^=HAHyai!*o-rfD*06YI7X?(^?d(P)1h0sSgrYmuT<) zbbJAIcS#`Guw#8{U}FkX4u)eM)P91S@Ik{Yi23ZlWNhN=C;g1#mX*|ok000_$1^0+ zdUZD$`Va{tein^Vv;?ar6uB*e)NcU%A$&3{tf+2P>Fpx_Nq;w6r}BakszUn_Z7aiKe?yKqWC2aYhhiX%bR7{nF zm7wVpeSMl%^KH~A&5VkTA3~I@Qz(^<+V~A#_zh0@H{znavNF$p2#A9W2q!&&scvK# zMPvj83$lb>Z=$a0@PFIHbU3Cjk+L_DvM;fyH?gQM(fr@h8qnGcsY?tu9aa;KmlSIK z0j_n_OGArgvDIDNa&sLsvnL^Gq4E>8NN7jH4Cz9)Wcio$`5wy&?lY@ECneo}H2H4s zj_oE+M5h3%k1_tu4C_8wUm^e?O9+2J!fPma`yEXEx1(=4FV74M;>fmja+vhyLD={*_!U1+Pm&<>k+`Qg5551PE?SR zX+P75!q@y>S2V09!7HKr94pvI3I4DRE91WvjOjkd30@(}z$aU!{n+9}<=7>=ejD6p z{GIk9!L_}5E9HTHHz*OVHxaHcF|;=k?_F=ya0j(ND~x?SP!fQJDFdgv`F29!sLL+c z+}h=3(jy8Ok1coi0?|>8)K%w9B{5rInecF8?1&dB{2Trfxi@K4CKaS~I>|R0;{yCl z9(b(uNSrnr)V43EZNjLJw)n0R_^y=X3q^Z&rW8Ek6g-g>EnyVKh{bwqPGRBe$Vg5p z4MO~D818TSrn*~b5`m~lTT7d*j`uf>Jk|5P7Z2RsymK|nfcs7a!+PHiAx3{R1Qld! zmnU?D)IpjHP>3#+3gRO%2n)_On3&WVbF5xd1c{YZ&_94o3}qt1iE$!`QINPuMI5e0 zYoW6!ZbV~cI+uLO>A`9{{Gt^kH@-Z*KM%bH<4TV(?sHZfG*ED_qkO&$ zJP~?t{%v|8`Nbzk^~13F?~!<-+%56jy~E9C3q)x>+`q9bgmyiBW4MB+#Q1vb^X$J{ zmDSt?)s~Jl4PgG9rdqqFNP7yX?_^XM})-oCj|Ih3M#TS5?`igL&*)-Db0HA`3k!oEVMpf z9G5=wS>PnsRG?xQUIZ7nr8Xw*KY+wtxj;n%>yi(gHKp|ePyBt z9n9uJ61x*21=OL-?Sj=yUAz8-)-p2qvm)4%{@kF4CGuT2QFwdLf1AS%|HfRjg2-QA zXPCrvn58$-54M28VUsBJIRx~q$#wBqyCg~vgvlhKWI*a!_Y+Kq^Li7RgEaK>Slki8 z2P~KC;&)p8sN{6TyJ%0DK_)d!Tg0{m9bqsX6>`K=Bj8x8cfD--zU;{%KYRa>vJLLv z8DLN?uwTW$BhT?P=I%5#i>nt6wLs!0rmG2%zt)@F9_~H3BD@^?CId5e;&@W{yXU|G zxIOeB&qb6t9)oq~W|yI*h21-D;EXJGlth>H4MOrB^e-9B(SkZ3YnrXTwzRyM)=B6~ zqzCf!){Tmn$d#X=(Ze~?+o1CiAZcgEMI(fYM+l1F*e07|^#8Q#hhr;dLYGkbAR!I= z;c*V1bwP-ew8q*7zkx|-s$8t!My&q?FqN8AN$jECj9Jir*V_}<0{5JDxBDyeZHA)A z4f$)}LujBT#UGZw!~@ge%DzOLpesibJTalZUvDk`TGG2(QoC9*yNi;$lkehr6qY~T z@$8<iyv6wp4fdI2R>z~01Z1u! z?BSZ(K&`AgV>IEz02~8@?5(ZQo;1Eg8xoQc;U^p}NrNiM{jMC`T{Ns(&CmR|zA@!X z^jM3YwW=d$8%Pr4)Q({VgGB2{MQQRI|47R}r;G7<6HC$nR?>PFD8n!ZKN$YWipA|h z1a&7rZRRKb^$ElGeD=fWSAhWPJ-)&uxHo(l{nj^KKI9P$#&@Ez2!=Qj{`9Di4Ivr^ zSzGY3_q%V3%0klFT?fJV4sXqfX5h!Je>ac9OSoRf%bvHA*U$m_KFmAH{N>V>A)@}? zrblHVO@fC!*pGx%EsP2$A9*LgTw=766D;}4Gv@oQch;Bl7g}gqFsk8ymPJGFs}yz@?EFkZI0do*ca=h zu0}&)_H$t6Em!Ub)a4p6lq--9)T&1DqJNpEjRa-XmvSS&XZa)dE^uRGiRI5DaM~gti;a!bgh)1R z{i6@&*V;ScG<)$Zzpk5}yBm(B8h!PbHl?gkAdc#&8rZe0t#@6I6X)iKexhIxzoK%5 z9m|apAik_|%#!yDKT@KTq1yT&p#F5F@`HDu?HPHzQcdS*S&bHcPdQ^M4i zD=4;ZI4GC1b9uDn?$9r6KsHV^BLtWRd`z@2;uyYA+IKNh+CTGz)R9zR5vUhLUJ%a} zMQ)s_tHzjXkNs#M#$5Y`4tjl*K%^2Mofkb@>i_IzUNaN&mvfAe3Emza`;zit%+CM|;a&LHFm_26PSx3;ngC8qJTXz` zr4AC3SVCOR^`yVE?wQ$KU0B>)omrd_Y6;j}uUT<$)7KZ!TRZghX!3OTwAvath$H|U zn*i+f?>k1@k7uLHqW(;2trsL@MO!t>`wsCvjT`PZ*QNf|@Ofg}+-8tRyD5%j_n4t( z$Bh^Neuci3xzJe7%4v7UsuNBpn9RYX8`5x_^|$&sF>r@+P^5`hEPr5|RR& zlLG7I;L=Hh?+$o{A^nRJ^l=ugaz%mzB{yTK7S*$C) zuF3Gq7*1)l=cr-153La!s%?iCSt?vhELBkKTTR)0lAr&oJDz=z;g;MIJekpK5j%#O zR7<4(X7K=2K2S&;iwV&u1WfA80Yq_RP5XOsPZ9gGkdzt3vp|XahK=*RA}p_+=>Q+> zy8>w4Ia%Uhf`GaK016xou+j2-KP&uOlTQBw>4n{L^~8g4JXrZK8u_=!5B8_WHo7yZ zfcb2^OD8oCRse6d*f0iPBpy2>k70EIeH9ON^#a+eM63hbCY~UkdGTu(Fw0Gu%bi_& zylUhZ`W86-y|%uq0WJZ(0sPgggo0+LiLyDl$j89d0DMcaB;_<)y16)KqwayRbB9r- zt4nr^r4&ngD%?-c5|P)%8Qp_remb;Pin&#Q3@3c!I2Za?cCCH#Tfka#LRs%76a@wb a3iXC9*7mdiB!!>%9+~-|kxMuq;eP{d%} z6h{!=4JZ*4gd!4hKpg4}VzF!lV$s5yJWf&XY|prpp6*dU43i7|FYf%H z+#(?6>*?7C4_|YEky`!ws=Df{s_r>CIXU?ctjmWGPS+6qh8Xi_V8#KU;v%aWOTRenA`he4z3HS~n`e@$@g*NqV{`~n7t z+&ZBOy32gQ?cBW8zWV~Re_`TkTLpX>e-;efM#h|nrw@3+Bt6tlWc*W(cmF|+?CGjD zqBwH2wzp2{?tgB&G3ck;G?b1TMtjUWtvLJ0KVQNMi6=%rm+&yK6Q&?)@VJMuX;b=UjiW#Q>j5?Bql3pXhR888u$~(%1 z`+M~TyoH6XIIjp3PAGZEQnEp2HY zN1NyY3{+q^nfR&AjHm*bhK1og9NwGrK0;^_8cqi-y-UdzFszJxv#OFJV^hfqeRBd^ z>Rd3?qkrV7a7UmPuu?={d+>ods^`JSbqU8C$E=3J$|FN!QY5R?#?VF1EtO39oMp}% za5=5#euSVyOS6=;Tm){B2h76~BmNHD3+s%SdZ&%uBpOT3aG>EiGNk1=S#fdUT4QML zO_Oso?wF8vGwF7IKs6j{hvJAva@nxEyi{L>a(@YLOhi>eE%%<|g6&*f>>Uf^JT$$B zc^NQ4{>j0Jrc!5rMfon4LTkU%-y=}(+as?5Jn>nE+{(SA4LUsXbe}02+@$pSt?e7P z(w}M2AEZ&~Wps}`%Ew!}caL_iZ`=`%e&NODs|3y?q99#DU0D;;b# zrGGyeQ%aNj^edmze$3Y>7@ncWD59W>9F95OK47Jg?du!nqv3C`J!-quXge)dXR({^-i*+17tm!e&R}h4H|~GG*#9_a;cp@E hf-k7~Aa0%Su$Iymcv+*g->0jz{tf^D|Fg&g76C{My~h9m delta 907 zcmV;619bf23El~iQ-75R00000007AY00000006K700000007{d%} z6h{!=1q8}~5XyrC%AqcREv@aHHL@_LES4P+v1nlpB&Vo%wrAX-r+d^7!*GHB#ho9- zElR-odV2Q3!`ED3rBT;(fA#vRYI;vjPEJ1H>9oIYx066DS%3AX;gvRSez3ZB&*1Gn zwEm6Sub}lawuXwy+6qfIXi_V8#DhHXWywsvsy~;%gV5Oe2X?~qf4#u%`6dViei_0c zw@#>ner3Mkb{^j9+I@|;zY*f({N}O(@0g0g^EDYztd2i192&F}7I32X~E;U!ch%)lcs!EECO(iF+jR&^0 zxnQVA$$xXfe>2`j^G#qM&;fO(U*|59(sJ;#35`XTPh^mBIp1r~cw)6es>{uA*Vd*2x zn}7-Wj}JyPl{$MC^}E;#Py0LlJ_7Z=b$J8eiO(|hR_-Nj&{Hrn;T5GjH0a++Z{69x z4PnraMz3Lc=rKOt(!G1Mb8F+SaP)*5i%yD=fK0bH`F5hU zAFxu$_Vx|NM#JCfNi_CTW9+n2ox^FmdpkleE}+X=oWkfz+mQ+ hvURRtFQqNevPNmYPuFSvKL7v#|NnRa;|TqYCNx^EFjuH zx?S8#MFl#7ryx%U3e9<`r8BRi1FX-hyiZCqLF-K3>CNl4Z>s5e->W!(waF+vZWo?N z5&<`is2oke@|{!M3bJ}k5JYTe33ia}O4s+PMBGljzo#n^j|%uLR`!;iPrw7s6Yc%G zjU4F^ZwSRujwj?DTma$MDCQ%xyIBG*o>rs#XzG1lJPsN8uR$($D&;XCsN1g0D9yjH zri#(>b8ESKgGLnsttRnD7*PUCsq{CNEy`QOa9J7Jl=3NQ=HFK`;c4Ma@BPT-eN_%j z0r^Uv3-L2p{uuwvmN7d=At!!dX>$r(fq+26MGM@{TxWHQH1kvl_`(68=lZx21P-`d zLFTswZJig<$J0rtt>(Ivw=1m!=8VhD36CE7mlrFy#u%P?cQMlq+qM~{CGWhzSkIniPKFSHerTMh_IMw2; z#kUhItHKRQT*fI88b5I_imro6+=GqqI50^enV2Og3brDqLwveotMCSWil3Ie2FvjC>}V?BuVRE8L?)Wtfj-< z`%xJ#Z}YhOST{bm;?7<#6Wi&&5-D{$$&nRy-VnaBUTcluMb;2m^tJyVnPcd2WjspU z$EoO#IT?DobC&(KLqBZMZwudA#2SVt!}pZkAFhGJ#`BBZ@MC|+r;oC9%vASj_dQdS z4K4p~z_Yukmx``18+DDd0{+;#ynC~kTo!iQz06Q|RlOnX4xwA#QzAc+VNz1SoCbv| zn9UX*m(p?DUPQ?r96+w`pt?`mFuu}{>rdzDcQj;Tg0J>VIaB->f6qe3>+&tJddC6DE0DFF_2i(19Exs$?A z$vY`~Vg4Jj)G{}l{;WC$j@2{M7rA+#5nGkE*VoDgvA|Do_Mh)+I5<$F5%hLChfleq za(b=x=@frsC+mf1jc)3B$XrLg#s`Ex_kJYfbuND*#3e@U_?tMr?d3t!D(|{Fzr#G# zoi<)<=Gv@06#@K<-)$Ok_3mC0@c_QhuDm)ZfvyS*1&vCj@-=%$1w` zQI`tyxQt1}7nibeMG9Vu2QTlL^yIgdDa?{kKJ(;x9NeG(o{CI5M_FEPKL)Kfiz(w` zo#ZACxyCcK`D=k{mCZKWE7es^b%r9s%HQyB9%mRe!vGxY6Y+bo%8o4uV+9GP`%cGL z5BXLSkHXg35Os}4ughQGmq74y_OuiEeAC{CbO?Ut!DMQDxtFlNMW-4GnA?4oN7R_- zYD=~tV~@|d53sN+yj1*p2bnQy%f^$|tHhsHb?Z3$Y0x@hMzUg=oOCjSZYCo%Gqp$V zI!j$C&H!)bZIl=|gdwr2=?)li{IY}-|9A&-a15nr=t<557+K;c|Mk7d-Zgn5f&I#} z-p{48Xa4b6dVKgWR)WHrwqb0gVQkr+J(>~b)#9V)B6+2uQ9hjYWp~eT(A(4OQ! zTk*`|a#pIiP#NO%3rbg*RWYyW_A*}xsiDh?Q-D}Q-unFK;&$%M0hptRe}5VTaerR! zeH|U?xyabIKll)D(Q|z$iiG5Kko1vJ|H2HGmZhPUb!2rt`@q69)~esY64$!bi?BFX zPp)PS4XkU3S17^TqE;Afvb77}q`ETo>Pg&a-gG^q01v^XjrrwbBD$tIe@+sH#Ea*n z25_&)Vb&b%kRwrwS-xPDkQNz+dGoh3ux} zu<}U|!<0TDHxj!1z#R{6s{nbqAdh0*vM~SMBfy3TcuA+dh~x1#IasL91RHCR@~S%| z-sugi%y^QjNo*!+<7cKB_6A;;8foO_i)2g-cuwo_e-};V}_aX|yq^D?%0qF5c(~1VEzA`I?#a;1Q>W%u4Wc2^TcMtUuq&c`|03t zylKZ%KjoWe9+@KH*CXMhnAuloy|Bp?fUQFPsy(FNKAv;UQvsYlmVdT!_|>xiy3lN9aNAWA;JMHZeHg`9{98j z`d|P&DEL9-*3y8?VL7r#u{L(_iFVF0Pg#Rik6p&~1e|Kbxuhwn_yC3-bjBcil;Pgp zv_C8EV+B!t<`PGPd5;*2j&pC}JfFM;$Wh;33Sz3#KUg*!4%gi!551>>am=TNF`}3b zpR=8MOR?u@OttdWs+Tv6EQ6<;1yM_O*$5zYEbpV-zD^~m@rAfr}mVnTtW%d<8xuE4b;$fUg*n~y-8NrJ57eN#`_;-=`JEVQWgotKwrU4)e zjUNjna_{Z?CnNeR=q^%eLfk0yQm!U3o6p+4j?q}bR0?R zsHKHzWmhkL$M|h2;6As!OcAl=18CSniSjN6)xyUZ(HPyppNQO*{M+o`G(RHjxm2X( zqNe%2-9g}*+n?Y@AHr(+zuTMb=T;BjI*RB8j}iBhYJy_zAPJh|hF%b?CXm0l{$Zm_ zb(U#lX=jD{02wT^7m3?8Cz4f$QW2sQm+RwUw^p`@yu8{TQbU}Jf%;k+mSE_n^Xn+Uz<9daU!at-w0Be-Wvr+ z8O?UGO*%*8@<1LnI%ah?pp6WxtJM(_d5|47c}Uf8UK zL(y~br&+X)MLwXNU>{>zTN_M_RK<~tmOk5n;_4I=MR&v;JR0bXxw;X3dW2OF8TKJ^ zdwUHGNUjV7I*~*Z&M^H3JN3lfo;Cl!Y0L$oOF-%ceV`Ie*SaN~&izcMbXB5iU4-+u zXS{u&l*BcD5c=s}3f;4~>v`QjQs$H1jJk`!53xU^VU3)~OD>sW_F-|DMGl-r;)lUQ53+Wr^mkK-5Vchu9w&ar&d zQ=l=fqrUmIj&Yysb2ujZut9RFT;qNZ=xd^3LvflI`qrYo3P_aw;q=⁣jtf9lT3x-?cVm?%0)-DLkZ6?%QPdHrFx>G_Ym1VoR20Pc-AL^F3#4fyk*>?; zDIJtSjaKJRd$|KlmsPzJ$iu-n<+t1U8So`u;-YV3>Qb1$3E;ox((9YP(R2^mNEStH zu-D0qIb|uCwa)J6oZ}}10}ZXh_$wO??q;6CUli3z;Z;ce4X@e^EHgnK8yYN3>1C1E z)ig7}6ZPEIHuwEprmnL+^r4Y`+Qsu!E0Ghq8=_o=?b0NzSTJsh$O`$ce_>#-JX+7G zS(~gY!FhURyljAMl9p)Qk{*$_)Mq=X+F7@y??`BQF4H&uyxJMSjBK}8BGSfYz}PrZ z8peujlyoY>Bn{rdEWck>OIhnfkW(iZtg&W3Z<*4&&(y8Ni#>DA%^Yp`ce@Hf z;d#RJ3GaCmG};PSn@^;6a_;iY>>J|2UgbBE@V7WP8ig-vgE!uTO zBDvA`4N~DQkO!-JAf9@O^^4gk3RV(P`g%r67WoW>l3e!f{Ub8;AX0;jkE)r?Qf(C1?jMLNshh?4s=tR z6W}W&%>r7Sm}b<6pw|1^+2f<&J0=#?i>224n)TylDx6FPC|WZ#`#jm@>5z4v?%zzY zQh1 zXZ8dycJ(Pa4^JJAcMUVH4B$+Hawt&`{yEm7vbji_6_h1rfa zL3E6v3^am^TE|oHc@`hI-OsI2`wsQ6hwd=Srp-DaRhNI*HOzYthGDbs0peE0iGYEY zQNzI36y;Kjy7@$hcLb~SzLA&&MvQPLQ$GL+wN=1JlmDMV^@+wZk>-2(8H0?=6Ybtw zt@Ypn$sT)7h2zr-7(EdP5 zp*g}@k&sfk$wARASV?bTb7g~PW@c?I5-0qpcz96$6wDJpnbtE`s`)YG?_>Auva;*j z7&^;ioj`uj%-)v2&Mn`a4S0>9=tT_Fj@1)^FMFWu;$gBB`kqLind;sd!cnhR85}>e{3ML%{v^_xNAo@uK8dJ@G7dEW-1&p ztsOm|ibY&8f^y$awa_5@yvBHagE6+eY)-P6-2C%MSirgxe+p{SY&3 zVhj0r;p740-Uw z-y&ce8WJ152O0@WoR9~h_IyvY+C=^iqlD2sM^P_*h?@iCAO^=a3vLlc^Nx9y3KIt( z#ujg(exc$@uvn)ia-c=(a2u}zM!yYMfs=I0Lr>ZXohOR+5yt!VIZlRxzfmOR8ENu- z#i!1VwT2OdE24?4@a9c z=2ZS+aio8K0XgXllgE=!2DwUcLmX1TLp-xHJd1wN_rAQ$7N>G{3|( zZpmT(Z?CX&p%gCn4q_5n%RfZ`f&{gfP-I#_fygeW5AKXoLrO$n#jwP4l$xt=JLnt4GX{HG*E>CYgT zNw*X7%RdlsLaCA=sMgnDvl7tAoNo$5yjEX&nDWUj*D%k69i*%dHT(c@INp9!Z@;k< zA{=I)oO3)3LLc_Cpgt&qYa@GsOjL?UpDsngccq)}0nmp4SO(J}(ZEMn%BL8I>0=W- zV=sNI$yhmS8*`C^p4gZSd4Ary>%TsG)(Pt`gS*~v7_uA^T=5y+$OTQev-!ygvf=z_If}9jFjcR- z`lyjt?>xb8n&7$|mN9*Tu;iRv>vn(ZZB>bF)O?g)T|&@{NddY;YD69Q_K-ViNM#*k z4R5>%6O!Vle72*@hw5xX6h6rGzd#%1wIqb`k6W!H7|j|N0Mq5q&gwtRi7pWI!W2I7 z>QhH@jdBVf6E*&2=_6ThFS9I9@i(N~!f%35{kC^`GgM?M1uE%MyXrpEL6L{d@gN=8 za%d!y_gWf+)_`v_hf4VT5+_CxqwZNOh>pR+Lnx5m-*O&1A+J*UU!-u^0%Ri%yp4}2 zM6A5+@sOC}n5W66o1TzyoE6l0a?l+q*-hf`2ZW#c;GTEoPJRDg0Zmo7rv~02&xS3N ze5Ia26=QU~7rnHq_$bJ* zqD#JSn!y0yuwOoLFnj*_VOpm7eM!@jA~j|#WZ}>BiX|a69Gn3Hz76q?*)XmfMdi}f`+p0a~q%+ z`jWx6s2i+j)p(dlPhWF*ck`||N2F(>+_?&~vK_GI67pn2zs1ehD@Ib|)4(Omn#Ec- zOgEBd(aiU@c8-4jG}7?>dHGj^%T27F(PC~3^JJk~j~KjP3_nvyhuLhhSL6YDz22T< zLgst{#iUNB*Ixy^j%2M&nMo(D^1nbVpFq3SgYmQIb43GXjbWa#tO~hSb43f<_40}> z6_d43(nF{v(?`O@X3{nG30nPVlC*AWvK;Uq|A6B+8H5k&VGK##SlL^pcqcx}SlZea z(yw^vv%A8oPLkFJ{nn?TokSsBg3+!aZwltVsr&5nGZ*^vnDm}#%LIZBV3O<8>Msp^ z(r?Ntyw(H|?nT4>${J7B+QSibj~(xScPfSvu}b(mAF7Eq967+pXDzoF=+$69oygAm ziRAh~gdW#@`{B#Jw#69BhBU)pO6GeDJFv(KvN+VJDqs;$DyLUC?@ zt+~R5#^RIsZ>&yp8j)odAb#+@*@ZUl+0p)dsL?&onV!m)%*bI<94YXIUwR%c(uC6vuUl~3Uwav8I)scX9OCUk_HDhmlCWyYB~HE-`#G0%P4E4OXTOjbFw zQh}GcV`Sj~OL4DN)Lpbgxo^=-mxX@PwllZw*XZnX!}c_NW~gl-a9-y*^ZNWE3KjVT z&q_kyqE~W*(kk*1XPZoAd$>&6au6bRioH31`6{!yu5**?^SZf~5>uj=kZgNORap~$ ze48kDrpuQzX+4z$!jFsM&10n7b={Sjr6VkR%b%&yD18`CUx+pY^mR|-$(z5MJr8|u zbkmwrE=%an@fjrnf@xXG?jsZ-)U6?`NBwfW)IDCUA@p0CHzTi#(_AkV!>Xd)qvRe$)q@rCm)%yzAV& z-*%>IefIfC6{>%p-IH5?c2JH?HdX@fra}DGn`1+p^Y@O4m!&{r&R70R@R%0a{~W>5CF&;HRy6pgbwopffTvK=K!L zL|ueYXr7IXV&2eii50Fe#V!I34V8(8SxDYFG@N%-3lUT}6hnbV*j&vbC~!{RXgCYbfGVK5Q2yS17DZ@sx(>G9X~*dUK9Mr%rjW{siit_M>LtYT z*K7)51&1=uJVt5RNh`F{^jv2GpdNi}_6`x2VNK&wMlebfuw;NeFBka@T8M{UK?FN| zh>pZjG_&YQL3^m~BvCr}O`)6at_TH%gefwkB>bh)m=X3f4Ov(kiN5*>Ol1|zzU}vv zx-2vFUjrOM3eUcNDr_2>1k$i#Fk(kmXDw;;G|GP2A@zt)m$2>$gYxnWVE;+n#8*D& z1=$g$uUu|tvwGXhRysZwTN1jPZR%?CL$R(%V0AE?7kZ@w;v9PFf!PcIu?GAfILs#5 z%%XiC_Ro(UJP#qZ)!lDnTxb1z#!5%HUS`{n<>W_&`@cAkG!0G7YEjLCyvI zA55nZn9RQNvCRM?#6^uN5wOo8Wh;zv7dh9v^-vzPQabdXk z(9bP?{KEUKLl(uvQ#K7Is5jR>7TC`~jp~RZX_3MPD?L(x#IdOB(|s6;L^fms6;xd` z1o@;>aWDXGCrdgBt|$KL*SJ32dJtZPt7U4K(AtGvggN0@iotv!+&|g^=qy&~zd=2n z{^GSpKT0dm!!?8$m`jE2G@~Q3T2ABaM_*az1AUniN2|uB)pdpO$CPHncr8#e%c?^Z znL?x|Cs>4m!k1Swp-S*i=D`yKUlzk?3mzH7EE_qO=psl9zJVybHat`i&CFxZL+|5} zEkyMpF-;_KxP$XV!k|lz?M#Ed?^)W9)dqd7m;XAOv8p$h`CBWnuCMjHFAHgQ>||yy z>EE+ji@miu6;{KLR==yEARG7<`5w`X=s)Zj!xNDKG!aH*U~)Mv5n-ZOj19+5OW&Cz zk1@IM!kUd)PKt_cp;1jRB2Ds_JjYE^Vn5={&&FzYLrQ{Mv8nnJxThkT<}&B#um_#h zCf?0>Np{;?D{o;Dg#vec&&4%bzYc2aJh1kJVEap=hfE;}9Wyev>kwSkB@4V$zQhoZ)dWkzQ!+&h{$^~u z;F8J+s=`2FEkO>?P(?{iFrIx%vDY1{#c@7hN}X(A!YB0Hg14QN!!@OBM1hzZ2G>|P za$Kl5;$&7@(Wo@ttFyWeY2SWuMifa5dKc~K(%6>HK!VnML;kC2wLoWgG`ad!-Rg0A zZk?`GPUqpLOqmOEuON@lnS{00Jn4GF#i{i?&J2d9iTjjb?#;AZaY6j^;DurI^3E_Y zYwx<#Q9DHz)m>r5S??lvIJ{`cby2sbd(;rDrQ}MVsXauF=XCK-;cgoTsFO?iy?Wk$PwX#5)Cj>=Ku`TEs*Z)$FKV@cX zx4LU(N@?+(%s2968^2(!%+7{)1Blha)c+0#%bzGGTFT)8qp+M)^PPLl=>^1CMaqMpoElq2`>TwjtD?SZ+VlN%?YC`(b5tdlq19}2yd$W$ zhETb+)v_$>{LZKx1CnxZC%hRuUDMQ9eSQ2POJ|ZPSHXTgVLnAUwxkviG?SU*WJCeW zlrWLfBYGvuTAT-y93X=8BIGLN$)DU(LXR2OI$7!no>??@;cnciey}j{DiB3IOF|VP zBf+g4C?OG+tspL;mXhG~h5uiW-?N6kTKkm`EgxZ|oTeZnrRvWYDN0G8K#xZ$H&Dz~ zm6p8A#RHOQ&#e_)jJe^e^&2crzUPpdQ>8{Gh*%FN5dEx=3CTx@i*3QmUaOagYI?NmwDkV)=tShA+8~u=8DvBhhMsS zOhl31grNbaj+#uADq`d=UEXFUT3cgp{n{R8g54w(IabVhr~RqXs$F#_yQF@)!WwA& z(rvL~x(N>sq~dMA!ouH4)$Z@$u1Fe4d=We?A2l1k(^23s;`%i5foN14W8w+;PRf91 z+6bkHvqw&wq}8pk^K-(ILNV{V|AXXTsZis8IJx~&%iFO_$A%=5V)5p3zv@ z7+1>jx5MCZC4ChQ!M5LN9u=siC4a?#+caAXrb-(f-qobudx-0Z|LeB2XWus+|PR|-WW%b&~%~HW>#WVZ@7tg zFcX_+aX^Rhp6XJueUU*hP&tyx$B~WOkDCp_fW#4$1p93p&&~RIC>zCa0JEk4jh65U z7DY#zD7iT>{hJQnN#;>d`d(1_Sx`EtxP72*^bgPk?8a#-s`O|Pe35+;-OC^=2Vu7F zivQ00ZHSUN0^7!E_h5>UIyx^HMwD!Zh>ke>Su-I;bUBFvM(utO0!|V3steHk`Gn@vQvj=~!V;`RmnhZqj=IDXMN2Z^X;&L5r6Az(;rXCiM{P%cSs zGvrPBFIxMehveUIVRpK<=mkPpasA5io5MZoEX80^Hg)XdXD|3!+>RvApc}zf#oCK1mJnSi1_@FQK z1`EDuNkW~uXfOX1kNC3jzN2QX-^es<_-@2bZ}`S`Z`+KcDh`7*)(Ns34Z&l(2><%V z8Ar#p#?l0M87<$$7G~ybpr5%(1wkYus=v-TG#;VD7<*cAo**k%5VI%xxeTFgHp4n3}bpI0(W zb#Y*1c=N-tje2Fgu8Z=w1&h(dl#aNXM8(zY#13(oU6(Sd21hMuOu+Cc(K=FP-z)#q zbeL}I2kciLU@)he6Oi@X(vd|J3xD? z&b|k3Gh}Zg9*^VDhU4Ie`B5C>syp&BMbXIy~-ywUEY1X!1jt^Cnz8Iw+|SnjUcPwQ)^ck_a6Y1 zZVLv9Q9?ohURTvYAH$N}+yEr|ASyd12O6G}rqtS~(#%HJHvMgvK-qAuk^Tevg!h`z zLp_WFY}qTwb}GT;rLTJfL>B?Nb<}|u13ZUxc4C`y&%Z0s zmfv#AvW+SnihWnM8ZrEnz4P5)CfxJ&By2h&8XS%b9lo2Kdz7o6b}!IoDEwQ_0EsF6 z>3M@3w47@xvmUYLZo7v``J^2{oa$@0WbCUn#A-uy85?8rnm2?9>Of=BRrKl>HO;Gh zS&0}i_wvgcF|Ieig}}k`N*`8amHUbnR)qTK^bZ>M9{UZlCZp+=d0mreNqcEYBYO#$ zqA8gv5nWXjq|c^w1Fw?+nI|f~Zo>j9k$B)R_6MV-9j>e=WLnbvuOtM3ef!uLHPiXw zf&S9=hsFY`0xFNw3)B7m88$&RF~tBCp_n1?qtAyQye6qWaOvsb%Rsf;pKu>Eia7!fYO)&e~6dJ}WNH z{_>1}LR>|EF-T+P#ShSdg65+pa1=7d3E?=*;?QvVr7HW|BaTrSKQc?&V)uU1t=}l- zBV4xk6dM?=zhNK6_Jd#rorgS_3B5-8X}?LmKw#>VkJxz|fgxf9JBs?bp(89|T(-e4 zTBk&l_?Nx+*85b~X9Mm83v`ng4SEiIAWpKvX5d~kp-%~@*XHEF4zmDezjJY9>8&h|miXkmxleQn=ogi$2i(dFqIPg#W1myy~AA& zO-WiZH?dc8zIp)3SAcno8e3-XpX@LCE|RA1j| z_24I_Rc8w_qo@AWh?FYM-L*d});Uao_9z_k6~*{8#(=PY1;ybt4uaZd;ITpb_T_rJ z&>E*gTC>q@h9pfnD;$_>3niO8C6^ z`=}6JRIbN-87OC)AuPf+oxz{QY*W^o2el)pjVq|^7ollaeHpO0mo`;YW|e(kY3v11 zaxRcefg(*nmfO7@^1urbuA^c1NE(v^8B`O%MSKA0z6mcxfUZtb^o(Ypbo}+8vZ#B=f)VCG9?v&joAr*eWckpbN=W6&z*mU z{JQP%^DyWQ4QZn7^@RGw`F`jXTK0BwD!=I9pwk7@nVPUd)*-DAO~%*uv1^TJ zo)R!C;wJ5D1DPrHMkM5vDPiqt#~@1md-1(bo~)>(X$y+TZ^#-*`AF|Z09AB z5-T62*K?G5;Uc8ld<%j+;6fa`w{f<)LIQ4Oeuu4YYsvOCW`yAQ+HoAZuEkuo5N$dN z+kBbIuaoCnj6o}&@0megtZbixY#$jRZjyJJ($MO<5S^>=#+R8LgMUUNOsc20=6S2b zJYm)?p7hA!I}Chj!#si2E&i;_>*+(&tIMl{JuSIH4o=e!^BSUBnyj4CIGMk)EH+$h_CrrloT0uFb8a zdvVbBkac>CYZj1K{f3>`73T$)nlbhhx0-ZAfwLkia;VS zxnh~XP15q`#?4Y$S8KWq@cHtyp~daFXA_orRYoO*x(0>TNsYnVT zu@L*~76$)|2rkN@tINU%Y6?;wo{-SEv1lyR6drGKh|YEtg_g`b?7GGu?7F0=lu&Hg zR_Rm<^DhdS&lJ~XHZwGgn|k8#As-fak&bD4?pkSj>Hrd`*_hf3*ESOOy3*> z=nxrj&J~Zk%O`2ab#(ADGRE;>TQ$>G4;pFA%r%;_odvbDZhMmUT2J2?c5RJVpBeo# zU&1ynUXFG&0ozSrxk#98aqgvdwNY^YF8);nGCA_@;j!a~w7+nk!6xXl+1i+mca7an zxSSST2JcFH-2!(^0%H}=YuGpJVvG-e5!dpfi&0`1`H1v&wIZj9K8(en-M`qfqVg|` zkQU)Dge{l<6uf%N`KD-3#)BBMBz#2*L)PCt397tc=h=~f%WzVghF$NwRZlHNQ-Zc= zZzs-G9xSIeNUw!e-s75mC9mv2&zWb;igp1h2iU_vtpPThjT-aw70N8TJ`bKl0`a>ILqug)_Lt${v~0yP(zg-y(hD zq`zZkM%F(AkB?Sc%(H&GA^hTGI#DHv$~dmUo?djxitO3zIy4qukwuVSVwN` z6XuXB!ul#+Xh&{=v^+8k;H#BFjKYIh;T(G$9`o zV+vJBluf*^S~V<%br{ZqJNj`%%h_6UWYAsS3T3F0ji)K={wJ_6{}o8i{{derk^dJa@%=A!2RrkD%ODg>b)zBuzm9;XS)J%QLIEth_vCrOAG) zGo%JeGNzY97i3W(fR)-ooU}r{&1C~)v<9&`Rs&F@;j4QYZI2?U@mA-nIViFs5kh9I zkuYyUEFaoZqnRl1hQ4D8B8gjTATWE$CJ=zO+MSl3bq0$X<>jK~8tpYoQV@ZF9lMc6 z*vi`KnxpD%mY$wJ>XfRr>3HEJ3E#?OzkJ&!8HPGd<2`bR`#|6Up;FfLhUC z?Z6sTpyJgSKidq$ptZq-=UehsPoPY#x_DS^=zV=~*?96)Ir_0Fi_zyn z!z2GZE86#!jUU@n?=IVxZtj7ihltqnuN~_MLpt=IlBZtjYe@3 zlgcB5V-`2#(wl*|Tc{~awK94|t(;mxvtOg&iBPogs#uy_Snhp!ob+D-Bwqx)Z#6q- za^E@hxmwJ0{~-P(_`Z$yM$n8`(Kren6Nsb9_1^;;30oDdQNxwv+pgR$f+jId8p zve8rNXZdfkksBo`sM&|y@!M3f_ZBhQFC=Z*em>+etg7KrvhgK{Q);>EA?rjwiYUqx z^XSRa9AI%aPm;WClHmth@>sYu``4Mwz!z(3_aE{1LP}r?Hu(!Q?IK3B$7WT0o-8c^ zTY0~S86uvzie@=YSNhz}2UwhrS%1N#I8&}F9!8bWOF6<(udc)kvVcWZbAP3pGNa~m^q1HD2i_-bZhwso#7Lpe))g3hLFb&+&rWwNIEL`J8R z5^H0mnw{g;ml{hCE7wa+{3=tYUroQVo4t;6WSp-+$1B(yC~48~5&3fI-)fe_iE*sA z(!$Co)T{LC6NEw3WI0YO)R(6ihG&uOtmFES!9LmjRN@{xYlU&=<4WwE1QKURNlRzw zT4JQHRmx94=w_G(5Z{N1EGr)(=sx--c695qaRm}TRoBbj-(n0ZV#1%`JJaqAY;B0? z**b}xGxs7G1$-_RrSojW`Io*nB+x94rer1`q(udNjBqo4_pSRRpaBt_$7C#A$2U>d zt43`tJkx1f9NP1BimlaO0}5SLFzfC326qpM1VCa{VIvO-S9Fm5nqn z8Ykt8xL688jMQ2Jw)rnbRgIV#A5J9k1x;DMhgBA@aPDHT7E>#IpO5P<82zN;&kkk% zC>SM^|Nc1#mEQ3t!F@AOAyo6XPdAP8KNV!|(guk1nXXB{)|dal(a%w34B zj7s`%!TVv}6-se(HfTF{k}YD({JRmAdWhdNg?-)huz`_qtMsB~B26HL+xl8)h=rs7m|jY)&c+8`5a>&S`@mPWIv8kcW_i^o6a z?1foTl9qm(X$6X4$h>WLUC?+kp1N*MY3kFRx{Ms`INKtiJPvtjufjN+1*xwplpKg9 zU2oR4>I2~+oV#AxoYiHhMIXwt^Oc+@QNlW!x)0)=DZ;mFjj)V>$c2k}jgF9=Ru?q~ z^3eXmqM&`jZ^S$CTJ~ws$iEJ`4n#+s4TV>JXnRGQ>xmFK#4hCV?jX3oh&K~ta#Uza zm8oREH-!o5Upib27K4$J&}Zpjv2hJnM&nLs0NiAvtP_imd3#p%tBM2{u{#%EqmwGK zKU?+6E_DoJ%DlpGr};V(Fd72e%ze%li=m%HszORW){B$vcU z!x1%BUkZ(pqeNW_6AecXQM+iww)MH+_?32?$rom*R~?>2aRKJ(2wLiKO}{bVW_;@WRDmZX8LH4Y zWc#lEH{yay2@&&@=Q!$0e;}oh=%nwd+iM{k>|7xw^^g493Po2%z@m9>q_9V_d>oF2 zhwOy*klyfUU_6BK*JxsAlBLa&oz2gTjv1;cj7bWHhRIhDg5LNof%)5KbB&zJcUR~h zbhgVsCDIrlG-&p3>1{PpI!(HffCncONyPZTq5DRPFm-Ga{#K{Mtr+BKxnoYqvZ!{ZQ4f~9dsD2of*sKo%Q0D6ZmY|XI1zQj7iUMSb(jg7#euaZ{c0cY2qy2> z$p{}lr*8%JxLwY3MJykC1BmHOcI_nt#dw|VE+a+f@g|hFKuQ;9bkpe7!pDd@TvnxF z%((qvFnk6FWR;{sZko^D>xO)l_fPJK&xZ_9gXG-`X_#Q@C#_ut>n zZ(@ln3B~$mLpt@8VC}fZ4JBfzo{r@km-}218%Y{gAc!{qtluhRjxYwRrz^rgZ?Rx3 z$B-T`(t%%1&OUl(6Y);n#?s*gr|A&BqvwOG-S_!vUW_}hO57-J2aN#?p(d>0TeK*0 zJ4g_OJ<(XiH(`P35A;8P+r*iQYKKB33MVRkWkq9|k^?1b?|t`PzFB}^Cl@YK zG;_`c+R-T75_WYeFZ)>7r?$r9g5cqlfQbH|?L>c-%}{t5^T@k$M`;I&?A55mjf@E5jS1 z%c_Ua^|Bn?tRd8vA()%Olm1a2^Vez9BFeW*3bZG44&YP~pnTtjdu5<1wy!U-(eyjN ze)^J>QLivT#sFQhS2q<`m)LpXzu$$go*v=hn701^;a>G4A1MK#?`fg8PEKKlCZFk%0$oC$<9{is2&A^sGiE-h0tE6Iyh$?6TOB+VDtzNN+Z37 z*+NI?#GabG))whJIlM?P;^|+o2a79XFzg>Sqo2_DB6kN=SUXqYf6|;k>qag;XAh!o z*Y2LrSmMF#5}5k}a}V3qLypnzLpYgan}pBYy99Mr_}34)d$~$U4hq4P6tlw)Diko4VW`;^?!KZ;u;wH4VEQ$FW>)OTNDGRF-wKT&$;a zdtI}3&9BHXFDK5XqhxkK2KabaGcS9!2lZ@*Fd#hWTLMPak8JP(9fwB`2xzWy+?8%p_mVRCps=U2K z3co%p<^t~-CDXq8sulvAwDlV?Ze(RfU*jwU>E%}CgcDA{9SP76+jd=K#S^7#!P_w5 zzR`9+S2{zv_8qGFwOAd0J?k7T{Zh9ui@Cg!t^)SAypXP1LR20qr6#Gen;&mq)sNfS z0ZP+9rY}K=pu^rGJ>{6Cc={n{Ok|CW8rc>(gcqpaX!5XV%fFd+EkDdX4`62MJf@=5 zMri`U4??MM%zQjhu5N9LzOJQP0AA?l_V#)2=W_KMn=kpJ8KK)?6^M;TMGEu8QfJJB zsCNU4l$3v(44hkZDZ3L~o{KU;gT;*0Bpat%gyO0`Yn9g%`mOy(!YlJxVe#kJ6UmWX zHh=_)E-o|H=E>YJPE?chT@E&T$S$@3?#g-L^q6$+_kY!Ax_v(IHiW8a!G}mZp58|# z-SuI&M>t!X3%3OE@viCt>hZ;Yz&2$k4xaOwPa{RQKU!AXerUD{x6Adpd~@yaUX=Vb z)8a5qFa4bmB7x5(>J!52#(!+B>6`fku$AFJbo@|*6cmx0Ghj_GxgFF25*8!n#Y1Rs zbtCN{U$^Gk4nT2d>K~%TUn~w@@z|FsNA81FqW(_H` ztuLYp{&2KD<}7RRb0_yOJn%U6;09yJMFEP%6}g ztxtmgwdhDPt<4CzIvOOX(}H3_hYfCfAe=Kn2WiaNka%mQ!(G+r;gLv2Mp;8Kq09)r zIw~gErUCgg>6g?(+BvpO>7EPQ;~5KwSDVK0u!kR4d2&Tg2K!ove&GhSK@w4&udD;isvKqf;7WmIFEsm1W z`xe(K{vrAPsauTAc4*41GMQjsduaLS9gsaQ-L`B6K17lA0S*fICV~z4-_%X_X#Bo> z>!iJfvYiolZ&KlCb_%X#z2{vb!q2->KQb_b=-UD1dSrFkp7)}K$QPPUK;?`~!|$20 zku#+#@72#TtP~BNSH*oxdqnN>L}~D+Z4M@sk|&z0_YWMJ{(i>T>_z1A4$b>NXDAgP z>aHmy-{0^Y?bhn-A01$zcAaAK4iDZ?oV10J6)InT;0Fx#y1I4qhk4}T57u1W1ybKE zaQ)?s?y=cnsV?VHqGp?&1bBzWFmCSD7mK(Sf}1Se;u4rIJg)1`cZQQQ1kK_<&S!O; z3KV-GtrRn!l~rjTIcQ=rXmz=1+IzJ)rdK!L{AZH>{w37aB$v|uMjoWz{mHU2u{0wq zvjr!^1g4i6MHJ($B|}907LUShlfF`vK110kQuGtV3L`yKrr#Bp1Juru^~s-Ad0)?; z)ivcz5$1c+i}#C*zo9ei^+d%^Tv85WIR0VVnhVh04b*RMWcL8^@sH$PB9_FT zC)4bI&x~4R(c@3ov-6K`pP^J4W?OPTWqnp?Tt37u(eavUNDu&^Hpvnfuc(%w#y!Uf zv#n-s%}XK_N=GnmfH{zv*_7LxiV=vnIqmqeE6|n;h@)x8Pkr88l>U?$5ftZ-o`SFFZQ@Np7OUvJiR za*LTMU29z%cj|U8(IxHgeK5JMqZ}FcI+-CF&9p(+R&3NeK!dtwIF)(`?+cU*&O2wi zq`P|?<9SfpLEoCuvt~fT4rb!(Rlc?u3C)QnzMv;YlA07TLhV)s+vq9!2K*hQ3bpUS z6h8Oz<)YE8%A!^^p0}jvuSHPd;h`!1{^=k#>#Yn%;_J^`0$vA)**sjkb%}eH4{j-T zp(pc=#Z^affVeUSleGe=N>B|gIsf`sBRFAT>0Cl1Pi$u{y06lbUk^xT50aW+QfiLg z?As1vIN0lzORFDchbSMHq_(GW9#ekE<*bKtq7@ySkc9x3#F8NT57A5HhCD?bSft*D zR==2&YLoi_j420Csjq`=%d|nI0aVni50;2Omq@OA0g3r$DNX5b7kfiAd}a|N!}uw` zRnIIKnCC4QbUZtP;m;}XXkm#8;kh&;k`>2bjsp@{K^mt3tYd~V-Q`$Kv&qIpjKXtkH3Y#BlM$AbyfT@+1|?QSN2&>6``#6v>hyVXRvhRM}@jG!f{eOiV@u!Ulgt8vAGFja&yc(4wRb&cSftV5`;V$7$zKZWxtho$3)j$ zQrlDlKD%U9u(uBL*Yfj2PP(X*>JN{eT!6Ofp`fgN z^>CIe$>2Yy9KETq%^>_yT+q%y0kc!-)JaOqm7m^|qw8ZcPMgF%%Jg4%R3walf=~%Z<5bZRj{X(t1 zknK5-Ms5~Rb?I03 z36h=3=aab?yR<3&D9p5>NxpBM#iG!+W}6{2K1a-RyCuzp^Z}Pfi8tQvMN&W7j-Q5^ z)WP(N!Tl^koELUYDyKnYAas-yExfuJHgfkl>jMp9B=X0VhZaM^5Y%c0e(4h|q%>`% z8eF5Ir2O8Rw)UMz`+9A0g=G_1;9FVb>#S)jFPAgg>U?-75~Lt~dur|;taxg2UK`?+ zJ72GH9_k-d*$(e`ofHOZYA^Vfztp^lW7%jn_iPw+KKUgqU0QC~xzSm+v5CXp2KO?> zBFlpB^9>QiDgUi0Yinb5hI@uRtm#XB!Res5Q-||fQAFunr%$neHvzbp8V(qAF4A9(OjZp zqj_hxFxhU!Tl(tC$g6|WMt48RueZO)&xl^9!boKGC0V$CN)qXrEM8qaq2jCx$}A++ zB(Qiq?`1UkUAX>oeBc4#cyq4m_~Y#p$7h~&d65y>R&IvY(W^zeA3f_)}aNnzaD*#^*8gh%LZ zEPbthGg491nyEJx{0U|1qQBWVbv%8g*%g4*Pql=nSb17TN)kKJ+I05llow-R1DVBD zI*BB&SZsO69uond2k#iq$?T9MfGO*XP3L;vGBs_44P#}oh#JX*c&1iniCEma#o6A* zgR{=CIS5Pb|MZ%qs!C2-6SIjPEzLn-*&kC+Uc( z-ap7;Cq167-M@?DLU|b_mqcb&juzO`wuQQv8Ve5w9D(q`$~u(?L^08O!545=wsCML zlx|*?^37(v^V-KP{O798zd!c&`gw}| z*zeZoYP=3ajb3b@Vv~H^<(!YKJ@PE1l{rHjkm=Mbt9>_5KFT1ot1vg#=(^xEaqCPF z^SSMMHWV)MQPS$HD6bu5{4uVqIDEIZe96u;QxX4yGkGzX$6EcIAhWt$nYi(? zKi+4P!CU4cKlXL5W$jDA3BAtSd#Qr!_Qq_ESou;T;P1vK1CD0IPw7sOU)TjOe@iXb zmHYve^unLQPts+~#B5WdYFp+Xf^x=eo-8;_u~u436tEK~g0tr0Y4m;I7P5+yLHp#;{8TG z+Occ}QM1%XXfdLQ(SGxK)@!sx%=<(W$0}d}uK~By1zKqX`Chd*LeDE1}behT!^2Zxlke2*rr~nA0_I!6P(k0f}#=obr%1JZTBmfNE zSn%49M3U_*jOx8TAKVUoE*-2g&2-T%@k@F5z7|^xV0V>&6ac=&O+Hf3?jrA;J5!Zf z1+S0nE%#I}OL(>Ry#n7K+(sUmK8@{O(O)Yve!SKlX4k*J7Sjl9b$*;@6MXdh){%V* zHchE@mA|XCq-F09g>?CBo*s2!?*k7^!1LS!Q}!07K&9i-^I@F+MeUX_Q?{Kqlk+S2 z`Q8fG|2%kp0iu+T^rOQvp!MbUvk9x=b9DWhZHCE9APlc%*S>M6ShQ>KY;RT|F8eY& zbuWATUV-#88~lb)_$i6(NBOqdY9d~`7O9XQ6-4+0Wjho}up@Hlp zY`(g|&j-)qx9ppfzUlTE6h~(aJ%m>@$Qmo{VbVnt7tvtiN%|nzn$2=D*0k`ts%Vs| z#nV89F?6L-j3$mbtR`bz$WIu5>D?p$0PuK$9BE?e3lq{CqDszsxs9{ZMDN_5djLqFg-9{30HChMOfS zM9Aw)y#%Pf%ilFcsEw$82&ev4@bzuexx*(S;0hkvmcjxX)UM(0HlE{yQjZ9Io-G98 zwD<&H-GM&WpC+`Pz5qLi4S2wwj{ar=K%YB6pW97G1FRNqzg+8uUePUN5LStVUIl^? z7yF<;jix7|&bJU(J#ya5g}hKLh`w2v4@5F4-*Nb9RU<&kXGNJ&zYwQnJq&6A7X{PFf6OCi_WzVUvaJE>o@zF=EgV)2S5 zh~wL%u{NxmjB?G6__GH-a2RYSJx2~)XTnkdkd+$_rAARC9joPuQTv9fM^cggR5+qN<;H`94-SJJ>oj!@cPRz>_o;d)-i%q zO#y8jMYf${8d3WXrk`UZ3vX_tLe!0(;xX@%)9mlRxf8uf<%-Dk%}H5zVsQ<(EbxxF z;$vG@G8__~lBrt>w-P#pmeoel+al!-`Gv(|^;FD|zwc_!JRMQZ-$+fV10(~z-htsR z-+LKETylDCc&sJU^jHL)*r3<9BzOw?WwXxqS-bjN3+}|`MJ1bUyKUBPW>)Z#|4-jghIu}@Y z-=SMQn|`nWXDk||9+Z{xz`6$3YCj8CY?g{OHbRy+-;Z7CF@hjFuV!|arCPvPmZ5Fg z_GEEB>Zi^)L*IX`PqgDBbko4P($S(bCIP9+KTV#TBGaL{2jAe0i~Bm{#I~c;6#13J zKSvajfjk1yf-h%gbGvb37IN}cW-QNtk<#XQIiHr;^}5zcOn1WC=0^_* z<5ZpR7cks*vQ-w$gwm_Uc(T&UP*K9ttvv+=Ald&1kR$$8sp-&hJD*R(k4K9#R?btF zm39u73R7mGnW3emuo@^3WmlefwUYtV+~Xt||Lm#^qn-&wH`!vz<0{4-X8xt3LbfiUK8=j`oW zjHfxwPCoxYlEMN^TNba$)h7q9#Im-_bm`^q0d=G=n}6j@e`RmY>b z$va^tGd)_^Z7H;r?#;nOxvg9$2ZA}l@M&rOT0@fz*juuuLY_pUI4{;O&_hWs)WktG z!imZyPe$T-cLn*YYpPNtK-nDpftpD=QQ2DYpjpr=8^1+WLQ8_?g^NhNd+9=6=U0k1 zi&14vtZ-wA9Cd~X(n;$4;k%6!zItKRbnLQ?^1+8*jxu5!d!-7d+0G3GCMNSHkLMcO z)ENCteXxyVH52pPw!{ve_d8mIBh94bkkpuX%xL?5%!6UzA? zyM?Mj;e*5g?GEtPg>v`?_Yte>+0KEnl@0yc){Z}`=Y>AW*kx;D`WyP0`D;^X`kNPb zwW1-(YTw)GXLc3_<(a%(++9}9e5tEA80qHq5x)eH<$^z39vqx53Tu`13M+k8UFK&O zr@swPGt=j{sl$r^45oMIo$oy87E93g)KXl_cprzr`z%X1$Yvr^0bb$^i@^y_Fa;4B zGlU9FBdk&rZvn<_ke98@+NAcq-6a z>MdpjjX(x6x5nzJZ;>1-FCWc;1X=ttJP9=`dK7mIWKF$*_+(}@K_|7>%XH3H3@3NI ze!*=VZAR$8d|lh~qgXVPi>8)=H$LJgcr-m(k}4;7Zm3qCdAQh4Mc8;*j?xj zNCrr1HoHDLTD?G7LeWH%t^vfAo!~Rb0?jp<4PpIbj2pbB*s)CErf#`kNHXC&p9^ybU5MmSRCz^F>3y3&84%*$0VXh6zE1L8+T{r77u@ty%GB50MiXQVBPS zNAlF`R1Mi$Nl?nUAfEOwF1+-x6rBF@=Wx{g*G?E*U)oM?Vqe3rU!6UPa+<9el%vl* zLxm8)$5dk6%&MWiZ8IkfspO&i?iHA|#*+hkR*ZjDX;kE0MLB2Ge8{WSv6AAPG5550 zT-74m3G`zE+J=t!x6The;Zw}Q=_k^50Hl$KwxRL$eO>uzp>XFc@~2Y}W1eJhpJp>MLZ(TZs+&;m>a=@Ds-aW zn+~+D6re^+?{{kv_6`zP6&s_Y45 z1N@Zy!!?1&_*$NGpHMJdf$()*m>THG9o_L`K1% ztOq$pf*g5?&kFkPwSg^3Au7HehEq|_eHy!ADLct{kYg>#F&yMr269XTIktivgF!c{ zocoq`!>NATRfeSwK{~_IoTdcC<~fApR1+(5b1$7UYI3Ki4GVJC)y~d~!0Hop+{$UA zFzmEv? z;Xci=;r3N>i&0L)zEUmg>(@gPJCYFXppu{k35cCEBBH;)JR)KK))1zU@iI$z8WJA< z;vR)?`o_%9Qs0m67D;uXsj$BHtIt4*oDb{(LC1^yTBRR}M8Q!6h;|amIt#`}hJ_(L z{e1=ZrJDPJ?OaNb7cungM!~k)@_c(Z%~N43ywlTuPI7D1|5x2e#o355cAI7P36^6K z=%#BuHd#jPHgCQ^+S5(8I}&fQ?$^I+Y*U~kOW-OX$E zrr4@BlC7U2l`bNk0Gwn^X2t3um1K^Aey~@p@jQbrQZNT+tWv$WY`h055-5SL8+6P>|Z3xq@_$ zv2HlvEE~7Ny^)EjdKuf>VqS6h`tEa8XRH!Q?I1ka!4PSIwDGvo1txVm^Q9(@=*PK;79!n~3z?nPl8jT{4k zF}#exzRuhtfW2WxjZCiDVb8NmC06!_Rj(3-ZA{wfj}-BF2F7{05jmPWO+T z3opU!=moXu4*M3z@g#b>WX9b#JbVKc1U7>FLvmy2z_7UKZP_fTb1yg?It@1I*zMeY zA-4TSr<0BUJ*8B91KfQ33EEHQAYL=QW(S1JaJ}}37>R=-+Z-O#4sevs{ay4gbgv;v zdqN3uFGtiDbkY0akk=E-hC1!n9Lh~b{E^^{4>9x5{qax`)~_|r9(FPBk+-NhPWZWM zS4vd7fQfwnP-dvYm!0YrcDrHD_1J81<@N3CmZ0_h;PtcMbtG6d%NqTh^&Qc5nsQ6I zO??Q8#EZVTzWT>D669tQ?dFq%ewqBtjuG0w}IppwE+F+oMi9`w5iupZ6S4lY$z zBu6l$q{RJoDiu~Fs6d~_qsd_-SC(yzV_0}{AmL#7x=Y7l*=KQku~h0D67e_3Xy#Xp zDWB6TP5IC1Q5I3T7k;%+;Z%8;q`r+-wT^cahK&u|GQl(U+$rekh2g_5@q4w*akziU z&;%7BdQox53TemE&Np%;!YvyNHg5*D@om}lPM%>WXz2=R#VRkylJgeo@L(mlBm(vsnMT0l$i^^H9lAGA+dhGqAXV#@?#;Is~cg3&=+HBV%kCqP! z@!7gNPqmvZa#ngNGO|T(!styOQDix|18^D~*+RnPDl!PRv%o_s)X+ots=^QAbpY`> zpl3BpDXc?vU;D#F_&}v{IISjknfi_XJ{iQ^?*?=7c@0E5!D5UEQVGWV@f3b%~?DltxqXdpAL2M zbf6sQ)AIOFb<$;qYH*__bEDc%N(7cs2wf$4i-N;$ro~LlCu@A!!Mm%v>uzTNx+vw= z+`h!QNiQULn0KO72wm2~&JbU^itwNPIV7_q$*vZxrvzrfXVTuXu|gMfrdmm|okaMV zNT5`1t00uU9X}fj2`eVHY6R;!fF}SR%0AR5!p!uS0;()Z*j~lDg6IcqQ#_ ze*G=^qRj3~|ALfVLP{RZl^h|El3vII<=ZMIJPuD>vC;(gdrx`-uB#4qX59HZapjNC z9)`a$gvK9`veBJstdH)J9^EuS+0>(;!1{2c9-0!(#D5AHrFIa}BMWpx!?{0a_fH^b z?4}Plgg?d*pZ!6On8fWZHJw#%&I>6n&j&JgQU=idnCS-B-MZ($S_XlNNe#Y!Z^RU1 z0q>2lr(kpk29)USw|{z-fpdS&ZrH_c*lj)b5?tA)i7K}l#~}m#+LX!|1_f!XbC71g z!H&fKr^x0`u=g z912-9a|^;jtlAaVlpR2|yCB~W^4sR?{l(v2Km2Gj6byZiykNXta&Z$sSZHD#wNz!>=>fi*QeU$ zr`q*xA_ZK&dQ6A84~H%9iJn3A8r3;f=V+|6Yvjw+#5dJ5*xKP~#dl`<$He7Hk~0q6 zsC6tZnlqky{_yfrnG-x;FZydXWnpD2&!3KM6u;$bS}}{RSq<+|`7X{`G&H$Y@4@=s zDxczKFP5~Y?g^}xXL8$#kbpA1!%<*L@0g?UlfDyaaPgP7PPrJs`Ai{l!^9d3RQ!Z* z0igtnR+rfyl&Ly3Ky;EnXxcP<%rwwJ4?>4PpK4p81PbNYeO!ZigqxTaFmoxU03!Sq zkJ5_56S!La4TDSZwXpSMiFiV9a?-*m-$(cR|@fzXYgI|dzNc)KKr@Qt#^K%xHUT zVP|J;cYJhaV`qIC-W4&1%=RJO7*E7@R8e($S_;Helpx;SXM14<&P}ciH3{wC#ZQGH zRp6e3j=aF!gM)|qo#0LL9oUdhN^_c!|~>duu9WxN^`DMMI}Z-#_p zq;1^aN_b&V6AxWdN1Z~IpD9>lRQfLZ*nt;IR%Bgwo||M7ufr^#HV@u-&{{bMg$BXl zIcCxLCWS;|o9PKxqJ|f!s(gLWJYOYC|1ZyC4gd4;i5J5zB(l)}zuL_YubB8b-zulZ zwSBtJ6|cu@GKIYNW);3YS1$edPtdS{6ycU`UL{$#6VsJd)gAkzgq2GvtE`4-I^e65 z$3Jk|27ECA)ud#Ewqg)L`#MA-iwxYM=j>H*6%kk<2dTYM1wtiG=Ln08pG1&=Z~>;IsvB22Apq{PvQK9&lLUJ5UJuocc|~ z8b~-Ff3xh?tZ^s)_=tPMGGZmkXe&VA!wguJ=r^YG`{F%hE+;T`A^G6w(7#ITJFwsw z6H>iJiWN7XeciY91}Qay@zO5-lp*{3igLq|x(DT*&R`aK8=<%u@KVCb1bq3FU+me_ ziKRN1S>Bt_`1M>^;6oDffE(qS-|r)x|BmPJfcwC(IMS@lL6*s(!+1QZFA?y8oHM4G z-?fYQ2({NPKQ%T-)hr>pWjbg+Ibnw2IW|__S6~~U8?R3A`f5|T9A0BG2j%479QkN# z%azGkbt{~yPB|5!Y|vgw1Y&a3^Hzw%xe9vq+G9WZsH`iq|9tgm<@o&kQg_FZ1pR*i Db!Pk| diff --git a/cpld/db/RAM2E.routing.rdb b/cpld/db/RAM2E.routing.rdb index b9f2234dfe439121ea550e67f3c8534a5da48c42..0f5bd4bd8273cc6b781954e85b02aec2fada6d3f 100755 GIT binary patch delta 1162 zcmV;51aqJ}5?m@O&Q(=Pq>@x6RV6O`Xs$r;X0xi_N2<5mR7zW1Da}so zwP)UWXFRqSi^bw^_-w8IdcCd3;c<6%HlIw7`kyDKhy9QHCx73Y_V~N^C`f<8%UwUe z7K@)@qUYiDPqX>{aXro6d~!Vbu|J>8rtvJz^Mmlvdl>%x4l}lW?p4QNcQCnf(Yt`! z%kW*JwmmyO`!>zsCD{3=R)8WgG(cLiD~3fBg*dbM~O#K5#=v}Vk%hFL|l?Hrw#fz|x3 zM0-+$77Dvevj!o4D`Hh>2AVBvxr`aHYUB&d!c(;rnt!*(nEKaRbhe1a!i{6mjRvvk zosC6X_*gVvI-8+%#=H=1salO)JhB_fMBa<&RK!qbSjIycpVA8NI;f$dx5Id3=VT%b zWd_pO6e_AytXkv%j*4F2r5dWUHKSN(GgMKBvT6}VrdH}Bwqj(cF%}Yn8z3PlS`6e} zhuI5Q&DeeJwQ9DVP@`TxQjxPHKSdn~D@rWmBRZ37_3asp6#7mqJ2x#!yI%ZEK1w zi*6vIhZ-unu>`v_vhZ0WI=8GT+SwL@21e$*s(&-08{o98DNv&nG^hsVh3F;qQH|u9 zvMEm9iFuLRQOKcUgovct$zH5SEo(}tp`oiYO-QcnP!blE`Yw_xT9JV<6edcG7^>9j z8g)*(6-ag~dvpr`^PvVrm_Tl*MJ;Hkf1w5@g1saI=IydifkR=hA4`6Q*rEosQq}<+ zAb$yak)I(VoJodGYG?t8iJ&B+`v%njI2f6*cX2mIPC6xbmaQeQ!oFKqXRO`MN@0W6 zPSqEkf_YJXphUD1&Y(AxG&(Zp1sW>CBCqonMrx$OYvEdG6{HrmsF8FE?DaYkMb+7M zQbW;b(fQtW#-_DYr<4OBAzI;ubs~kVQ-7MF&VkO{F0J8xgcU|ki-;qm(51I&U|m`b zUGH}j2`cz?aC)OifQ&6kG-AH|C zWsb$jX*9G(LXp65)`|kv2$Y0Hof0D~LT=C1*PSPSl9Q-pP+#ThEA~Dj6e8j%SASnI zbe%F1I`hzJQ+M=w+LOMBYo@ z2aS3#F;@QoGatL#_GSVX6TJ|LXF?F0U`w!s#EXBlSGCS|*)Y$KFiWX`!jP2i%k0ei zywAK}JIj1NpZ^Y@wUeJ-Z|z~Y+?*cG#*@AN$MNBA|NZvC*MD|A{_Z^p(yuUi+0T#p z{CiOJG)(_6oo(+oYPM$M{qeW$*?2mMwY1mw!bR_X`1Lbr?E2iQ8-v}!Hg7|NhUAp4S}tbpPIa9;kqGjb9$VaTY|Y4fw`@N{zga$J9UWWsO?BYt=lzG z`!a|d)8k1d@PERoSqXd<#Le;E=UHa(k_^@^_-^-8CUAa90-w|_SoE9v@pdxXJ=~d2 zCLtZ3dg|%bU2a!e7V3(7LRu5LnY8m7*Os!TT09P7@U%s=X3j3gS?y@+IXcfHtNpt= z+M|ZF(AZ@+YZ>CVGFFFXaI-}(mpLO>t$fN^xT;H`d4Fw;Y5v-Xb}))XUk+lCqt3>o zu9T&mE#gtkgzuUsWN2oYQXBw)Zm z=!Ix2!Hg8-)Lw5SK>;KzGDC4B=!FOvu!wroo1zvGl}0yUq5?!d&b^p{Tfnny;`_8U~yY zsDC(`v={q}xoH@f3m9JYVifjbDY!}+1~7AF zaD~w{&v`U{@LY}!ZK%vh@+2#}wra~Y7`ie+CSjn3@cML&7O|+L{lLvwiZHa>G(c5p z!Ns$cl7l`LqA!H!4j4eCBKk=fi0&F98h^P}VBGq-c=Q-(mpjx}e4x%ys`JAcuw`i` zv4e$|N1jq$l(C>*hv=p=C}yDQfT4kd2<-?-=+&hNLw5nF&eTxJ44@rxL^hHzfa;Em z*adhyoB?4~-a$5jnpIG6bN3Zf_ZOWXCm1+Z;;5cMo#|BN@ep&cx)ss(p&I;$TG*H8e zA&K`3`hOIRl>9zr;n3~VlfFyU)IP^u(0(JGk*+OLkotg%qgPf_;QWArHy0R%i()AR nU3ybsFIU$61uEXWLj0lt!GsP`vkI2V?^EJ>bk-UElgR|e?Cf3u diff --git a/cpld/db/RAM2E.rtlv.hdb b/cpld/db/RAM2E.rtlv.hdb index f1fc6e6381237df4d628e2eada48e9e1ccd7cd66..367bcadafb8dce6d6ff87ee6e38ceffb9a900b13 100755 GIT binary patch delta 13399 zcmY*XhvHV;-QC^Y-QD$t;!xb(iWMux-QC^Yio=Kd?K$Viy*IO)C)s2- znM`IUvztNRR^J3Qpz9GF>_4TI^S=NVf$~4(5%?c^gP#Y$R z_xAU%X(u{^8jGc-jv$cf`s{A|)!qiQRaU;_W|~vFzn5X$ztbJ4vWadH;v=4V}82of2?W{f6*ON*}3m8jPz7_l==7;8w z4>9hLLOUiLK{r$4k9@}!MwYBhdtmc1Paz;&ZwVNFQ7BH%rlOJ@=53}`p9lwfoy*L# zBTE1LW;xs0Iq40i*M!FWlZ+Qln!^4|9*lj5<~BZBQGp?&dPbhj_*x+*JD&A@5UqOP zw<~MtPc^@#Oe zya;&1ZEmUio%(4roBZ(&mK}ZpUrYKw&_BT)2F;w7A{=^HC!3TT0I`Ofklg;j$KZ#tW)qu*dloBODfa@F@nC}709O-2v(8`8(Plm zG+A#$pyZ5=>IAk{Y z5qo=anVU+pYvy~!y~tonQw;GvF>p@ch~<$n<(o3P?e~F@puJu7qm5CdRH~w3X3V6< zJe-Zf1L;5h>Z-vF+$lDK_2oj%(oiJk$Fq^-J}HHw_U2jQ0zeI`1p%wzUJ%L8tO_c| zRQoSvtJdT<=VUZ#Ry>&&p$W&2eP956;ZCMP8jJ)Ke_gC*sWl8H^Q-e$t@(2@f@zTST0u)E0xTJ*E4%exlx@1GW4&Tw&M}Yx+Yir z$=fOfnAV{)vXpGUx4foIUA}3Rb{D~_+_n*!@cGgM~l-fKYV>D8z% zY35<(nw*>FM#Mv)k4i08H>q8RT2(gajA)foh$%_!aCP{zbGyk+0%soP_~u=!m683n z=yqg!qJs-TG|&*uD3#00q3Jb;#9IIlwSOs(u!z(Mhr{X)pVjmtDS;PZFs=uQ;4@S9 zn8He)!ipO%xt^A(&S8o1=qup9S}ZQb$#&bqRs<4feL-uw^v@Dt`hGaxaf9aJkWUB% zjI-T$R0x+Ut1V4e^{?i6FhAeDU>&B;xjkNbPn)GuVvaiBf=IYk<`mKDcrmC!-qr1ueG zo0`36EMQJ@*Sy)xn;Go~^6LBX>WBQAohcjz@)+ zJl`)&cjUm%M}BJ5e{x9l(>!iGZu=aF7?qW}F=68k1TBSP|GH_<>i+)j@Uir+x1fOk zdH3quGdX%AQERk%186lirqpdO0;R`H&#TOfkgvJ#>VpqjpVt-nJisS&m!yW>fOd3C z7oSF+r1&?=`li)K z9|=yns`e549!n%p7?gi~1+s*1G%foc#{7=e(UYqLKVbP7>mi^ZD8M8eV>Ii;dUf8hG`rqh7YEVrY@RI*aVLPKD?2DES|gq4oXMIWhNYWY$B6IWz~FE5m?9+{t5bA?5$f8b@8h9^CV$heuz=*dnLAD={NL>tx8R#IF4|BW&)k5&VtPi$JvW zZm(r1V&gW;C{;Nba~6>laQ|a!XY-@Fk)^3=Xk`uG?9BRygNm7bt|R9=ZxK+gp{nM3(=V< z%Q=HTx+LuMP48dF9_dc#`lOhGd`(%5^lnX$#~G; z?=tV9@_#q;i=Pvg2?~VX2kM~p8Ib6|jvVdAqu9w2R}{l&DNf41{HCw`2_sjN^g-7u z>sxz3d(xgX-tXpS-?Gw4Ke~JB>UL61PtYZGMecfSpUgN;20~6qMrF-ZDJ?snp_w3D zbTcGpT>fvR=1G}~$GPV!U~#OXqxnxdpKJsx2%I}!`nL*-|1P;lJ*AR&Q{h}Sz-JsB zNPRc=;vrH|o;Gfc!BQ!E>DfC{J&h^~mtCSU)m2jz>TPHn503^S=G1sPmtV4UmH}&-CQjAa8?11v!%4$nTC5-w_2Hoi` zyM5Lpo68^+@Zo|Zy*;q}ZHlxPU`7!Nq!FyQIqru+p0&FcL_{+n5p6SP8cHE(Lr);| z)>W@FYBSv_7n$dX%=;`|u7vOM?&soGeO*tTQZ0z4-$Cb%cOo1fIVpy*yhn37B7@z~}s-1tMmu z*=+II3}X-+ygsas;j+*D%8@DcuD9=9iD+~YUoU%Y5C1UoW?Ln&Z6kIa?Ti?xz^2dJ z@zctAQ96-^!pqr`=yfM)_hsgEEvd`5y3DFvke^>Y*##aO&b{oBuw2$-A2&Gxo(SOR zV)=qM`V8}7cB)7dtx`TG%ZOtvXB)Nh{L@_76O{nvKL(F3MG%zk3$KQ}Qrzqo z%$+5Hjms%P^D%;6$h(X6WK!KIf}6Ne!~|JCh3=QHd#EBd1W}j7>uFRk%|V=uSsn__ z?42C2AIQUW&dD(dbRww73;dtVQrM2)#NQdv`)>jx^-@KyCnA&H!f7iScBpb7pXOYu zESGe&65nc!ZaOYIH@$ zxk|XRCvzhDfqNzYL97If^oLk*(y_NSB>mI7yH#i+? zW0HG%3&VBSb9!kPlt)6uRnJrnx(Rg18F{qZgS=YqgWKBb0p@@X-ZjLVd4ax{6GD>< z-rH@Zat~6gyAq4EGO$-0dWzQy`d-i6d(U*^_C&XyKmlplhcf~6^JTYhE4ACwzl~~l zcg7`e&e-5Dmc##XNLiIWXWzSH+O zN_>g^!n_TnGWIw=<$U?A$zTxFS?vnnW83Hs{%|x&19rAJ2el$VRt8q3Er(-FjP!3T ztFZ;lzIWJBwk&RotASy{Jzvebeg3fUf+!`Tsa=d{ZL7)0+E47CKyzAb9md4azdrY? zwaA7e{Z}0MRq@yLY-_*|0;Wp}RBc0chr$_4GT7r*$L!4%4u-){*T{1TAA4 zaUEH})Wj+1*!f;Ge#i-)Rwc)2Z^Xyx69{)=I1QR9KGpO5;cz!cA&E0q`hci!AMeoN zeeSUizdIIGcdeN(J7nFVQN-6t&4bzfG&{gT8IWEK}pgQERn8JcVJ9_DzuugnY2@-xh z#Ev#Evd(WCcHBE5sNPHi!?XlTR1r(w0Lq!a)S#53sz@y}p%fUN1K z&X|v|9uJE3BM7X9^>?{$>;0R8M~CB)p}>%()1xbHD>7=m@9LK^o%+r3(H61+U)nam zF82zhSc`SvD5Wa3sfhLQXJ z5o>tWTQ$i#zt$URJ&qFKix(t8&G*9;U^oVSjMHB>KQMT=MS@Z~;x zOi>A$udW-!p-?})6(#8R^R({kF&-{)eEkoY?qK^s3*qntv)mIW&Q3>|tKDb}-y7MP z1Bbge$e6C?0{JDQ@B}AePTe8(XM4|Q2KNI88eCDs2Bk*&`>f3XbdNV?@MRuKjFMU-fM^xe-DMwWd>Jk33>yqI>H670pQY4g&myAt& zKN!{V9m5#8^`<|37a9AL&FybnT0~n{3%KWm;lz4sZXs|}dF&^R752}Nv>8GRJzuwmsA*DIh&k^=LNYhXxLHx5^ z&DM8@(TTN!j#&7VDpY@9UaJ?esH9`ny|_c(htRM^trw{IA_8=3l7x|R*-uy+NATR*YN64E*R z(C%B>Yt=6@vRRfi#qjBLjsv?EZ9$X*5nN^qKE5hNpZ!(Wo$w*t@>stvzCjkg9}sjB zC}iw*epXn7tpSqGs5Ns?{`wJwCHX(S;}+dinYV5=Nab@0sz)N{@$7pCidg&OD_oL) zr&w>?$BFrlVkalAaPiP=&)OQ7&;MG!vqZvKb7NOW6_mhnE;wSc*`8%ZBrnz7h3=d$ z9g|t4vM}r-uY}#ztuAQTHG-B%9Z=rIReC>gm1LN%feY>x z9B!|K=BjSmH@@ES*(8i--GOEDOJRQu)5Y}FwC?_14Y-quV+)>Ff^kXML8iSD=^b=i zDw`4VfT^LcAx8}#e&ZjXVMsX@4Q$@HqBq$C-z9-Ii)hee8lnE7`p3a?era!h3KJah z9)KcB9eC4!^Rzdq$E1Gza-UeF;bH;4`Q_H7h%;xnk^SW@5SO`e7)Q9i`a2*LaAayS1Q$SuE^?=|>zlLFHW_>4u0eh>UOkpHYL zM@`am%2iB*)9Ag!-#dzW%E>K@>$yp}E$`LTO?kxd4)J0)H&i+4w0o;84?Ml3N&JE} z$nO^+F!J+MU-z(M((xWGjirKVN7*`TG~So2PO^^V5#chvKs`pAv7pkFz2q6`1>RZl%-!C7a>2h z7Qeh_okqMRid6Q~v|gazY>Il15aY6UCA0b8f}6hEd*s*#7JKH~whF#|hWOq_9@Uem zLyHk4VgvQ3v>se|mhL^2bnSyC5EsHY9O{WDeGyOQ)&f8GTaY{fzoCtz1@a;Asw;>*xr<2>rL69}J@_ zzbAVEisZVlxWHi=j==lNkh}r)=+Ln5H^Hn!h2!Hh^#eAxM6H8IHWfn(w)M-8kNiW5 zl95uC*FT{o2l0rK`IG5-2lx^`iGq8+yy{S7oMRKG;tbITl4itL8D(dpg6l1R$LRj# z@+s-BMyN|eJ2+%H%}Y}eaA`eh3jLub+{zaguF{)6gQg$avyA*#TTXv?b*YWWmOX7#m zc#HLWm4LlN9H~T?UW(R%oP>Yuk;ED3?SXF0u7N)nW!x(e&Wy^w%piHIUyEAQdZ0EO z*C(g0l7EvivHM`XWNh8fGwO)I#ZF+q{UtBxWZ8{^>9qNC5C((wJ_ZDGFgVokWC`d3 zMYSGcysU-OL3KF|LO78_6QGNnf0Abg0ORgmPp2}$Z!m;!{$FUTi5ZBWx7VKt z3}ro&a2ioEEoPReH1b&C_r@5Di0L14u))CA9VqcG(tT7W${NY?le$*LAo?kKEL*`x!XqQ9V^#g}T_ioz zx;QgI$Na2F&>)2i!&gzE)cc-79Rg+V6i-B-JcW3?*t3NR?6|7Q>R?$avQwmfGsa)= z*LH1bW1z(22j0D1K>SjbQL#^=f#O&-;Fpr#ofipWy+Vo>#6FBtGO>807#n}=9buF@ zGOXmVt^W&ACvkXqjCCX7(`}Aneu@*DSa!J7D-ig>tz~Ime+U&A-~(^Dzk$+<`F3$R_YP6Idy+-;=%rma|C1KN{XgHBj+cb$ zw*Sy93GsdYyx8WFcz%Akul)ojuBjffai3q?0&749_qCwKmv7rbZx^@VQlA1s&A{_` z{;$C2H^xtw!}g;s@SxHrBYDnatOX<6N>u`E;D$1{Xt2mwh?A2BO zv~wMv7VkcP|7Lpt>dqv}M}NnwkC*!t4-9;jC;k+7eEkOc+?o}9egRtVYC&a9LP-ru zUGK-fAM&peTBarYnRSK5y$Hj$5EF#)o_|kaUnnBgW(Hh znd9VCd|^#PU~d@U4S@2OZGIP;u@NTp9Y1_NW#N1?3PDnFDP zW8q})Gf49Apw;BLMc||1aMN%}%i9J9Yp$0y)q71p*xqz5ObV)}Ayl8Ipj|tQ&!M&_mcFIWH z2;1T{Q4fs(E)&|UmvrSBhwAGqhC;bISv$ zWOyPvC2{KRDipnNjouw>1oI z!?3X5FA+b?hBDj+p%BmCB7Tq!W%vydBCmTj?ya4-A=h%y4!G7hxE;$uUJC^QUmF^s zFNj6(*{q4bT%d+LpjuBeTcdpUEEjpju#Wg=J!@vA>}Vq~sn4b58$$ zCtCS%fWEx%>D(^JMOoX%Yd|XKTrMzxU28{Lqnz2YnBffZY!q4lvc`wF#yL~NZp|mW zZnCg1yHMO;5P;Ia8S(%zV`w+Cg}mk&;)!Kl%W3@ysSC7=yb4<5;5X0-uiMUS!L9w9 zhCIU@H?)68;i?=9cL{eO4Hu=u>h?RMglU$ZnsJCH^sL|eI#Qo3=-GboAy!S^2<3nI_(5G(3Qb>NRv_{Rk`6$UXG4ee98<+VYD-jo3=Gvct z)E^V6Uw}_13b7yD6ue5X##p41<)8F~7_5;1vkoh)Qr{VSMC%%+_^&4L#yIg7EscxM%1teQBFJ#&M$Wui-BW`ntl$ya;YPD9x zasJrD)Pr7Lj_MUL%H2%77;1`;k-KwwHuGOV0zi4EdoAQs#mFhm_E0`Fe|LTIVE*Xs zTYhAAzS65#hgxu$*=5&@Q-c^7AM5`UDtN%S7aY#?X-VypUUK90CYG2+vg5Yt1wR^1 ziSKcE8H-%-<@RbLMS`Hj=4JEnGk~u#o{q{^56#rim=cYY(i<;ws)*nSOwkUO#l+ zQ9|mCj!BC(QnYV(fP6r7)9ZdC-Y}Bk3t&*!-J8U%5xq{7Im_BV*K_fGqB{P^%miY} z>gCAO3tC@L7MzeAsqZ}yr-xi|+AW1FTDQpNdoJ<4=0t0vwctQ{&iHltA%vI7Z&{om>^elYsn7{CMujlgmtw z*$SePY*{Zc*dI@4@uKJSV)tks->4Y>_-V7qXv!Tq9RFV7P}%l#eMw+jvy;@Jjw&67 zkdl`8Jye%h2bduAo4{7ei>t(V1X9DAoDm-^#w$7zc$K<{=3Pn-A4Ho4HnQ5}R`~== zn+(o5J?u^;TNsYgcK=i76>oI*IZP~P*SnDZ@OGNAA3mR_ibCTvh#V1ean}iAEf$}V-OZu@z-O{{FH_OR2Md-) z)-HT5t+2t0nh+NlD?%(`D|N>3mq9u$@1r1{4ey%SoZFhNc03)AJ-Ed3xa_%2SruS9 z!&%>0^C2E_vG5fSyHTDa(lAj?BD2O+ox`G}FfjRlp@KO-`eL=itX=akBgGms89mih zwMb1~HYHjnN|S{~g^rTKcQ)=nNhs=e;16N=3UfPqeeg^YJJzJ6Y*CZ>7;2KPw74pa zjI=EYT+~NWf;AId)Me6d?nCjJDmsO~v-XVIzU;&akYe*hlMvtfW*VgIfGB463l?3# zqv;-srVcM#DgoWWRMoWge;YU({3%|Ukno~l(nn+F)X)RVz}6ov}%8mTG4Y3ITk*XHRbr!|*$wdm|-#=FQxm1W7Yb z@ZQsQcTO8*Ed2vV^lMkDoN>yR8?}7*duge0T3x`rL^HY$s$e94+sD{e@NAp}ytE0u zau_CUU`8Ufz~Ax*&Q!I?Q^Y$_pVXD3h*JcMe#p_N#UKxh%cha~bSIf!d*XZ5oDOaeWK@6h(y*OUD-l1F_NP$gTi&y@y zr`Or09Fty!&2*vHf!97Rr+GTN|xl-;@W*WgXo+rp%Krl#90L_fb9iA2gwu|NMusBkHZZ@R1VfulG z`UVxnSeh`tA*vW$gY1!i7M^n&o^uqQgDmY5W*CC^B?Y&6mX=mOQk+QqkbLVP+}=&B z2|~5%f&y?Pb#jOIiP0OuQY7^UHce87HxtNb+@kF|z#=naw$ znSdr7R}zEFg;ay5(`x&(!m8YhgKz6kVXp?nl3?Q)gD(fk;4PzIiIHQDw~r3Ae@3@H zTrKr@(DYk6-EOKeH|-zqD=;KM)&)Q8@ZX7Tiz|Rm9@M8sL5^-M<2bXXVMU__Fs=;~ z4!Z4w+Ht*GT5chIdg$s8;NnGl((IN?mMKeca3t+OIxlAtyuB))vI}-*h-OU7xLi{f z;3&1BN(bv_YPs2KAx2O-<9^}=tMKUUgZ&ouze^cR$G?XS zvJ!4l2^#C! z=xq10aq29yY4OtO?O8q0d@!$w*=(40L*SW5dNy(#IV+(ZC1?39OxvMCmL&D8y2|a7 zjNuZdmuR;MB-FN%8o7zp{395_n+ZQc@%-FYSDPvOJ_dM*q68pzZ8P;p(F?&R4J<^Zob!AnNE{$2Cn6`Ti+V`np9WB4!8ug7H= zN!x(pCj3zo)lHNZj;8{sqRripXs9BrI}PDzLXh1vY;{Jx3zx2b(SqUD!g{v)mkPI} zdQ9XR8JT&-Jn$;N{u{=W6X)seR?^3R)I#dj zM#^CQilHonC^>%Ch*o6)ttp<3vhw%z46yrkk8DFJO~JJ{p)$WuVxa%cbikgMVX!*4 zN`kj6GwoY~hx8FvN$cMhD0E6yM&Trkg#ij2hpn~%_nbpYk>p13g^oRRXXYq&3xnT| zm`*VU4I$G~hv5tbyyl(Ys9T47 z323j^2)p;a+3z130W0nN&Fg}P{)m5b!e`*0S}<W-2g-t+SI&L84ze9bl)!0f zuBSId9bLp6J;W}dLw_}B*D7DSkX@z@Hc(#G1}DLz>`$1iNo^!ZZ6*wFBp3u?>g7+5 z@H8B@dp(OT7!L50P>cIjqF7Zh69cF}QdcX*DE7f{c7%1Lr(#(t_A7#ZPh)T5@vJaP z()gD{q0@p9se*;}U&cUlDk)lHuuHE|Kk657KUNR9@dSj7OYU-<;A@bwT5uz2BYRb* zKiXyACUR zdDd*(7H-k9G}2@=qO-cVjG{HC;7JHPF5Tcyyby4m#h^D6QXNOeHxfvN^*`7V%_M@XHj~`QTUGo3f_BDFmYO3D_9L$dta1H@PjaN z!MqC87|L=xh9KMuVW5rHUEE7!av~Yf6FW?6XlRr4D2f5w~hOnXINOXfh|+#S|ZPz}lDDUvF2~k(!0m?W9J|VqMgvP;$3UV5!6!8~iYwpZ}Ab@)K=Qt5^qSd4=d9fYi|4VwPQid7$liCS& z4xSfQO}UO%38IhGyaXS_%5}izIAXP#zyzkMU&z!J_7;H;0w}l+bcMaW%oLoaM?flP z593-JNnP-f<6PZG!L3seZk>9$`1}L@gc*CDPvICh3RHWNBm`5>3|t56jw4RAfY$2le_1LNkOZwSSxLx7E#oazlX zbXnF9W*k)9nrr&qYU6G3;yHGC&a-fQ&R&jHcF4;aM&9;sfec_ zNU&Z2XUo(YQZJIp&h5WLcYj}y@Tumm&O+gykiYRbmZW#CO}J38>YN;yg>uV;ZF0M5 zI||e|`+IsAopzzWbm6-qlMG%oEHE5^3-6%!g0?Ki)fN{OpVC&?oEKgsH5o353BMAQ z+qH_ILI<}!4^th`#N{RS?TDLvK%F#fjSIs8jYA`3j_iW@DG~)l6*I7VOseSL3(#=y zSOv^2_?eMB3g7P%7zP`LVG34uja8JeJZkaq`qcDgVeu7EkXu%XkiBIrWcwy9*UQFI z7B=0(1Aeuiw6j$rdTGz?_XM}WkmX8b1g1-5JUBs`@T!xumWrXw^H@rv)GwA5r{ov_ z3~nQ1!5N(6!5P~^6T#lnnM9G#4*f&!EZycA; zPUU?J>+U=qay1bV!N$`u=`wFZgkfOy`Lu91pjRqJIHs9H^6GB`ycrOR(8h5yhv1gQ z2Jiw;f8FHVw6vtGtlWn8YL=CJb%e*Bj1e~s?hQXQE z;>~fpP6!!Xh{`{;unEDoyF$|L%f6>w^m}m8iEgi_DO0H{b8F5Wto;?RvY#)2u!9V- z_+$0gL9UHch|Quc`>(fon9}CaE1TV35aZ3t0T4G`+IyCKTTH7A&+(OjK^>& z(1cgu`S+emZT8QYPS(3w?z?G7I5skZeXSP5D@zxAO%5x}hZu3%@#m}cw>puTL&|7G zWhJYASh;>(w3D51r7oca5*b?n5)T21dKQPKjbUyDI}B~AVL=JX>|EIxyYsG%v{CTB zfYSNu=nT5zW(I4WT-=z~=z9BuY*bVA2hbL={)lupw!Pj1HY$gDivU(HqVofpmy|=;Xp_#Z(A2AsuJtHMZKog ze95{o(bb_viNRJWTbD~I^A6aTVrfE)`4`cayD{0pIerpUmlp!(-3oSF3w}`6#v+E{ zH8eq(PVwm7*DBO5H1n4vaLv)YF_SJHS2w}d4jP|-EELczioFz>7zE`;vM_~9?YAf% zp*{L!b{XtNYPH~-6Fmb?OMksx)J95~-VklZ)Y&I?Ng3(WOLz+ytDkpg^ zrykkKn4whAM{|Lkk(u8h%q8-WO>SPgWi~wok&C7O(VG5CL32k3tNa@F2#3vLE08^j ztvBms-)5|UdVIrhS&dXSf9PRYK0o%;N98nT`F>xbCg$o}6u41KGm2rzX3GObz3GU9|Zo%E%3GNWw-4E{WZZF@xKW@FLnqGVF z?%v%~UA1Pq*R*)_d&DXOjSr9z|6TnY{{s*qsQ-&%eE*AXkf+`V8N_0#u2iJq@D5>M z6nOY-jQtV9D#NZ+_xQ+EiZK}s^fmi9JkmHB(vTc-d*>@SfB%E3*7LHqm$Qo-el=Y& zSQQmse*Q(>m^-Mw0q6vg=r0=|`nvPFx@*9^>f3}2D`uc~}}3ANZHFCifjkWhthX0Eb(L|b^PME#Hes53~y z$O~6Oo?wd`>R#Jk+SEob0^LRH*3Up;?>fc@x^=&i>q3ZiH|uz#LOmaL`g8MyYf~1Z zw~M+@{#{nIPur}(!~LHnpT>NdHB@9DR4HxO^OcEZVHy0CJRi6f?|H=)P@M(mNEV$$ zekY6iZS`%+_fD0D{#Q%H32fC8dIim#KY}KHBT$L3w1_G=OP=(0{r+?~FfRZ<5q6q} z=fE?=$wW4oi}4=R97AM>_^ziDr6yq#Kox*`%Jqj-wd9R+NIB*;Xie8q0eJlVkhFo=K2K?QCc zS>+ExsBwN&UtL6jM%JEj61s5K1{`z^5a@5lp-GH|ec8vMm73>FEd$GUF&;wy#nle( zQ&^e_B*~!4bMV-z`v*z}TjB(!o3E5v4zlxl=t#zwhQ+;E`aGZh#$}R{fs-tX^!}9* z>u-~FjD)-R)e#Cd?^m8HrXFX!^47|`wplJa3(xTr#fEKXxh@Y@>CoAc);kvIKv@@+ zWsq@_*)Ps_~Awb$T^E07nzB&_P$2VwiKf&U@r!PXnAaGXCib2bNEnVsrAO840xuEE>o z^^Re3?q(ksK@O2({=O&D8pO3$EM~ z9gg%m$NohYU%kh|&2+;~fcO^A&G_A??t|zH9Z^5r`0?YgHl|j|z{RikPxp;a+7Gsh zoYt?i7blRMOoO-`J|=_u!2E*JfS&rta#q)_S+5G_sq?)u_Nw+s#+5zG@}9 z5zY4V`4@Nmekzmw3s9Ih)0jNd6^ zE2fhN*vl0)=j3&e>Fh(eIG5vvJXl9GWmBQ@W4_s`JEMtLuc>RC3wPh1ZriCDe8jps zntR?h^6n9X9@h@c6f^h5-4k{9#lN50WSiHo5$w^GZCDM_(@t_u5+<7(^0JxW94R?< zF=9pTc|Cx@2brOt0Hgu2iitj{A^ji5-A-s5tKrl_LmGP$cM4`uzehf7;ebAi1E3Sl zV)8>V+KYufl#irnrhOPsc^FUb?=Jla+iJ<-d$EG@kfm2N+o+|=*TqN0wQ%RK-3a|F zX0N#geAOS*)IUd*jX_U&mWzG<;Z(b>i}MIZwCdUd{oc(bKs#&?)U?5s2=wnORoJ)` zuswY0OvDa8iNmCYkBP9Xk|-2DVWg$!B!oboBzLBMEq_w?~6;V{JbL;YLdaP3* zWrMLc&NhQMQW6P7mPs!w}%ZGl?jJY}*(zNNPknB>C3f@CTYTgyTqHwj1T*>f`7 zgNArL^n%Y4FmR`kc`HmQ(Y2i;2x_cpK8^BX3EFQWLf3f@j=UQ%Z1M;HIm=t(#s6Ae z5AvW$w94U(tMpr!Z2ade;~z#D(=8JO9ayh4s=AUNp$(3FwHYJh>U$8j(~Jpy`q3&n zranVu*cm5>ev^0`o>E<)-RDL5M!s*^&7#J5VL1&DLCdCn`gx2*1%p~V=n{P_WcLXr zon&tsHvSnQb*fE3;^t>Hw;=EwW`x|Vg|ERJJKjq|vXI2DB!OC$k(PM-Q&UX}HA#o^ zP2C~!R&Q8!#+5QTNV9NP{ZCI^a|YX73E@dohxz_G=-S1P|~P2nBdF_ny?BFDTk z0Zo5j;+u**H?g9^g+faNwqo(Kfx08jov30jI7$;DEezibxm%SMgmQiU-hw;djdw2p z$@74}12Bs;qq)h`Q>MPaPcB=(*)%zUezwj*^;bA`VvnGFLU!e8VgGEAn2MHM?t z1}!pa%JU6DrRugp#z@4~C}8I_ir>A+&c z?iR-RKEAfpDoeoB*VUfx9}DZircn#J>a4{O2Bf2H^_sSz{O@$Rr$qXbK=KvYKdSR# z>7n<7HXrvH4zlTP74nQTgl63rY*ziZcy==JDn73!PAKJs({Ez%$XjJ~4;|&hS#oXZ ziu~eharYW6h%s+HE@C+G%9(?j8ZNab`IfAKYqRdOzJC?fc{#4lbZea|Lh8NqNO`gt z16+|XRjt)ntidwy_h0T+Ml9N95wfKYzH0A$E=SToi)s9EY4z!T==E0x-=u}eWw_lJ zA6YgXjz0yi=JV#iX((Oow1{7(;(kA09geysCZFFp_$mYqaj6_w z*o95(3kZ(66h9D^{BYjUJWDqT9y2ewCW=q{gbmPivOwVvKf%2l9lOwkE0)asV8k_$ z{uQ?L=(5=KyEazX2nTJueqx<1&ak+T^zl=)BtyqZ@&^+*dG%%9Uy84yxF^r$6b{o zI*97WjFI|cy=o=mR;UW8>|ITnwT=_?I)*ozy#>hbbOjV zrTl!l6A;w1zQcfY&u{g!=HUloKu5Ob=TkljvrQ97SGI}Thd`a?wL0hKcB;_9@l)w? zuXcR`s_VBjuAY|$JO3um%WQU_I+{b|)hVXN$3sG+ZQ}I-^Ox=rp0`En9!<9ozVxsU zt#pm9S;rdXlFcq*jXr8z+?z)X%*!1i!u<&+#_6IZ*W(|>*XKy#mxf&77xuh=`0r-V z7hYYxf^=h-A%j8=t(0n$3RTh-JU24zq+1^>P%kA#tkM20m=7`L3KSyN5bzg6RH#bh z8rYU+K-Fk5==yfJJAUf+XmEmbi5a4Spj()MU6Kg+S7*w=2%&vhn?6&T|A1_b$hLNX zwQ}y{Zr%pBmwR>|8`9WhO}w(M@GmfbGj%VNg1ccw1=2!mB0wB~Rpyv}zyG?ju`c?u znr;qwVxHOB=Dh)Dvh{20&-o)dp_`DE4ICGy=_NvOOFwzZ4;i1bE#Q*B*X;8=e6;8+f+GA@?BqW_ z>DyH^X@Gh><~hYu|tCVVtuQUJAjt<8B*a zqns%BTDAir&Yk(&6r80wi?Ipa9Rpu#+p`I?FFk}gf1k1YQ`%LN(ffJcO~dF-SZI%O zTU+MNmTgh06*XkwHhQ@KBwrha6-}?gO9d;8bZB+FQYsc^Al4^2`YkvxPH9cRu8gz@ zYIEb7(;Yxr@9E@>kHT)7{-Rqfv)jOM`oQ~DRMs-b|Es;8Kp_(05kb4!&=X=IF=!SgQYkc~xbHxLHtULOs7HAH7&-T2U zJ2Ft4JfE0xp&pr|s-=o9ebSh4HmZ)8{B+L)_ON`V$NtEvR!uf|-f_E-`(zbg?z1yr zDd_^Nx1MZv_K}TO19cEpb0aJUEo#w_jGN=#v<8_+Q3pdTysZuhdX@NDypw|zyBf;= z0c(j&?^{>$*-%^@oJ6&~fUYO8O| zNlouvJl3S5huo(D&raIF(s&9iJbSHx2$c?zx z<9|M`KW6U`>kYamM8*!3pN2gxf0ABttw-t&Emdn)yr?gAP`Z;!O}-eS6>e6eqqcsm z%PEQbOv}4-l-&-C&kSz?(2~j3k7LqPQHt(fN(9~3qz8-#VLmAk5 zmLTK54rk65#k&x9&)5mKYi#dI^l}v**pg&&n0tHaenn)?wo7p3XMRoQp|hJJiJxQ# z^>Ad&%3qBs*}-fB?4j1r9a!#QkU`5SqJVhhhe$^`5!?&Z0m^mKoq7=P-Hltzfm}c0 z5!4rfz7eT$XVSQLVP~f*%yZ+eIl({p2VM9o!i@%_IdDY||5`G;iDe+iz4GJy&j;`m z+KlH6NiSI8^=oa;bhb@q(d(KCoH}=+z|&nZ-X+zZ^xqKRF#zq~E6R?y(`|MiajwP3 zyTDvkM^mt=TR5Wx`2c|-ioZ)8By)BJJEc>;DKPePZ9lD&*86{sjLI3hPs)97F#Vf# zM^0RAjN>K(JW*fwQD@sH)EBLF;Ox_roX$l^Lpvn(+GzE(W%Nd%+M0>y?Zj1tsIl`D2YnVG{n3(ZIy5na=M3s-$ zC#Rn;jlRZ4 zNa!h~)y_BTf3|3}e`_%aI+KG83;84~>D1^X)7ZpOZHaf0VxDEr_W*7^a=9dBx|elz7kz* zjZR9ciasAc54)eZa<)uQ$8B;ItgQ+=e>C;2n(Wz3*YFfqS#`E#(sUXgK&FEE{;;vt zV6Y?30D}cW0XZ3IS|St7EOcR!`-nRXkpOu0ym?mc0L{?q1|bEn2~MIq{{?QIPqF>s zr_u_fG)93nNUajq6uDES&i0`!w$TSi#SpJl#Wx?>JCXOHocXOjuuJHp4JGex3=;kZ z0$yhjM6FLFj~r*0Vw-%E4$1q6P=~wdjV2mx02#{gB*&kI_?TDnw$@X_S-P!!5MYs+ zYBuZ2Gd6UPGIFexa8RY+IacDcG0ZSoWY$_ak(tQ9d7YT&rM`-_JiV}9;i#Be z<&`Yg=uq5iX4!Q#RR5&8LRB^XsLb;b7CEUd^jAu){HHFcxB|!O;`=bokQF-l>B=&qnWl-n@CZ^7LIARX@ zwK1+^v(9AM);dmm*vk`{R8^-Uv|j=mImVkTzQp5#mwB+pBNVfmKOvcD0>U8aFyr?! zg9_T2LLFl5sJ&&Yoe?t&F>9-M>CR>IouR7jr%E)j64DhG+KWz|Hk_j;)j=eP|;?i;gk#v zfd+@nqvAGV@W&(e->+Ho0d__APVu{nM_TRl9kbsTID6PnO6dCe?$fQjU2oyn_zdy~ zy$ZcdKdnN}`kD#$)<)H-h0Xh_gYn#TDplNDb;*I@Ch5ecms5;!?(GGS0oOY|$l2-x zX}vklj|kMS#>cpOd#kM@<)?P& z=CzAE(`?hx+A{88&;R7O-d9?C0O^|MN12M4{;rI8c2ZooI^^a{-JVZYK;{i?sO1HS z)AihMw2RuT0g{|x$Ca$#`XuD{pm7(b>!*@6fIa_?uJvIND9JwZtT%o8w;rbsUN&p_ z?XP#D3CZrZ3b(b?@?M|lc9<0P6!p33AG9hJ)5S*M-gA2!=XA~pRpTyy@n&a3{9$Z$+ZFgMMm_Ea>U1L@AWkwX~dCH{+-dJ zFyrgO&I%>~yFo-HiXtHK=-BPQFo8(?M9^KPWpO~;J6C`aG4}u z>#`kU5SZ>-i1o&NU#{(v?gZYG9Z#~CY?r^CWM=}v%>6}n(W}?X^CIB#i1{)e{mQ2+ zp#D_#tp4=NJMMzy{ocJR|064Twd-|HUcJl9C;6lKTK8r$o^QjXtAh_{eeY;mr79&c z+adi&K|eiw*4f@Trzch4o$d}k3>eBj8d`SD^7QY0FxVZQHmqXg!+fHa2MYmmfi7>; zXaHbs^2T`j*fh`fdeiwg=Ikno)C$$c`wbt^_||KZz03%-UO&{oS6vsU|9i&fN6fc8 zvxP?z?Td&`ZITjHksEQ5p=m`YmOe^&!^QeovD3lhP$Z!-`XKn)jdBXswD4thiBRuddQ&3BL~oYC8UGx4@4TRKvU7(HdJ>z5i+2j zCJ#5EmX$&lOL|=v36-g}fx<>9Tp%B*iNz16e~K5938|UFD&x1+5H^;~9ixg>4w9aiU>r7`Rl6{j7yGsdMu+3R&`F8;NY(FL( z%8;b^HU}R|+V_SbmQWjd%F4=iof{8NOa}`OirV`Y&qYiJh_WIEewvTbi6!-U`utvq zKk?AdikF(3fW`}n|8Aroe$Qq8f>%E%=vgM@iB(^WSKsB^*d^o%Q(sI_A0qU!9qrWO zjTmq#4YI~F$Hi}7^miT0@%~)j06HTPBH%RtfLVbAosmst{hT6(ITH-HH=9CXHUFor zrGm~Nr%*Tm^M?SJP@xwJ^AP-c0pEK7iJ-y{`F%Vm6M3Zzzur;s#Vp|d#}vQS)PUd% zRR9Od3LNqZ&lJDG)K{TifnJ%XQTC}P9%yyAbDV$$s1;P?6}%kp`J6jnmkyzFKbL$V zPXzM~W^*Rc+1G%3^&Icj9OnR+I-wJoGf$8;i@5;6Y;K*yXFP=hafTkyC}19gUtjEh z-z?<$$^1Lg3V+TPKw5O#4p9#qeCFD7Z_8p=4SntnI^&&spUA0%JL3bLK~1fjOmX<% zqXaY})LSC0h~;#Q=U79Y(FQak*ISG8RSEOq5Y%7zEtpREK&kAu$6a{f)q78-fSoL5et9Bw{kGfNO9J~oAt4K70S8Drdk+b~0< znLiRPCI#k|WFp}Prdd+DZj&tU+xF-9SeiW{I5RrTQ^9h%DzK#jF86f^%O5JC;zFz|VDhJ`HPF=seV$PGiU zoO{efev=0Lon2Op%*K^#;TxKoOzp8S=ssyCP(D3SMr3P4s6dkyS#>eIpO!3zXr7F# zQer@U&3U+auOsdFuVCtki3W~2eKjfSLa9YRF)PC4oQ5b3o@kMoSsI!0+uOHXVA1bm z*vPq7D6Lk4J*y~0zKN=Ba2A@K#Apy@Ju(P_Ve)n@#AmB)6Lo1wLJLh231y8+C=%?l zt=k{^!r!??(I48hp$B-gY+IOpJU$!tNdWJe>2;yK?6O^LuU!{8_x5|D)^x&FsAFHq z`(Wgur!^CLPkSwp$-X*?v10lI#R|IO{p69KSDn6|`XvsGA!Z`y%E{OxebM0K?y0Ojqsa=6ctVunkv;%++*$+5Sq7+-apd=xoUPeO2{z^7=PGA=k~| z`;P_2ug1StsfC#KAa&ZN%>U^(eO=cMlmXOV2xWMM>F2!FC*KZ(mdK~D0Z*!=zEUOd z{ZM2QUJ}R#*Vx9}IMCEIXUs)nU=bA^G$-?@`DwcR!x8Ktsrs?zfEHevHEqO+;UwE- z$Vizj8-El!Dl0lb#0q_?EelO#g2Bp}&W23+P8nV`*ko*r=8||VnoxTLmY_!cV_A0k zQ-Y9@mgFr^i|1&0q%b8Fh*9XYk!Ut4LHS06Hxf`p(OX&S(0Y`>ikVjS?a>a;@?Fsqq-uB7(1l_mEWa>5yt}X876YeaWcG?OH}Bv$>ics1Gu#q0*K+)17j^ z{>NW*3{AMLXIl$%x+CXTEDdLdO{Ln(oPVuZnvni09|-r(k!>PcI;JX*95_Oea(^&0 z)+Tu*4&eSeVr6xa9~~E6Z8cGW--4m!HMjk<$d>gfcZWc(B2ACqp1aA2Mr16Nj;8UucnjVF`*BrQP!2&Qbn zcDbpRC&d#MK9rMAKSt?T`9w4`a-9HU>P(iP^iAns3KH0i2w@zzL0L)n zkT%ZB`Zix4DoPyTvWmyf`78yk94!ytNCy*-u(1b3q}o)1q@==g6eXq9Qxcs1+5ZOx zf4yiMU1u9BwGxe#@)Tu$sDw*}$+6HV(tf4X>MszjOi4Ht`(CB9zL^LSx-i znzcWXT)JQUUFL31{8o>1o(&541wJYA2)P6~%@u3hl>Sqs>d4{Ww*m!t^p)iiG&120 zslbVXw=PNG92Ad1}l*cLAb-8a@dF|u7=XXlL!*)0wx=qOWP>01y@Z=VIU@|BhUTwD)n?r`lZz(lJ6PxqSnqR%U4Is*7R0f-E&(z zPUp|&xm(}px8_$e^^y)R|NII`rsQcqUOF~oB?7paw3RJeQ zv^FRt(bgy=Kw&S)N1;b_w42td6Etc@^)s2kvV$V!EkYVr$15{Fnvg#YKjDZB49sv zI=wVmo(%yd=BM<|l7gTHryR&g3HN@Li-#n9X6qbc*tdo3(T_be{L}(w*cClIR(NQ! z8IrOY8nzjt@x@XDZ(~4^DuYHZ4W&*&&e3x$DR|a>*#ZLfGcArB7 zM0x?}hWDbZT)#x`w}xr{7t(+jvV+E!xX8~NS}sDDnn&%&mco}Tzj9lhqx!+T2eZcX zb|TU7vG2L;_(rtuyrflEFWlXBXN1cv{Z*OYTJE@ogtc(`>Fi{EC9@8Ld2!KRVb$=8 zCGlYCwi!8LNDN)-w-MKbFM|#?6%Jc}6|4g)1Qz&JOxpAM1UAQY6NyYvy>*zuiM=AD z!Isd_-T|X&HO@3L2{!>T(r~ZYbQJjwJ?krWwkV>p6SsX^&#IZx#Jm1c9u=QNb9O_AnaZ*74K($cwCVs0Nij7*fR)mYDkg;N0C zkK7kO7bKZ>=TY3{XV8oI;4?@fMBL+>yd)*u+DtGw_9v%tA2J^7E_LgnXu7O{{s0mma%`_P4RH_EqpF~imY(F33(HQdWx z$ywL{8W+S%cU4Wg)uAK1x?4lL;95}h+b5CT(PFoUjgqzDDY;a*A<%fd9cQszksNyc zFqG?89b@74Q*E44TtrapMNk|?q9Fq_otpJdE(mB23kF+Fhz^1YFM@doku4lV`WNu`%S8OYGMrTCL{oo4FE>=RoT|rB$h1M)*YrBPP zM`NA^Wn;9QKK2GFtLNHR_#S<~KiZk0)^dIGf?VPuP2Yobc0_ef825>6hQ6=GJ~Wek z90q$WAwO-{40S>N4YSHwUv2uqH(|x$cp0lb)$6-i-HlCbkNAX03I?dVO*FmTrhGcy zsqN-k3tKDo=l|#-pL+Wd> z)h%R;ZDgFyWSme+;P0L1IM>LWyXiJV|H!2Yf@a}<)rO1{`tN9gTg=2QwDY@eQ-wA- zHXG{iK|_;O%IELEsum?U5&(UcSh=#+=EUAQcTIM~GOd3jEh}`M-i{x4n0sP@z)ru` zAT4>^RG6LlxBYH4{I;_L5g;cfaydNlN6sG6J&WMlOR(hXaGCDK<5c?ZZ00e2T&UVf z-7hmP^l?G1)0r(Shf3|C?_Ua*edw;eeF@>(F3ya3o8@5&Wy6lul#yM zorgU5NZW_|sUVW>P#Jmxs44EI6~XF+!`KMh^8lBDcKa2FQqxcVa3q`im^n~iJS=qi zbFH(xON{rx)8{E}yc=N^IhR)ZY4-X0n)8vX~aK?+`SN{3O2}%||&eu7gS{LtzCK z0LdQATSUknjnob<71n!u$os^gT`-k0Yt|?D7pv*a-*0Dz-86swa3ra3(673*?U%f# zH;YQ8to=b!1bbPCSO=Z+ZuG@~`SR!Xuin|TqD4ql(4$*6ch~FhwMpma9EoIxvWF3n zPO8_G-Mim3Q-+Xk&=E*WAh#|fDU}lYf${tEDT@C36(-v!L%Zn4G{!rxK+{AMrNq3V zi_zq~#5yQ=iOiQwVGvjtv=&RoXf@W6}ReU@WYehXnmAyN5PEIV6njq=fnG9=l*O z=*^Ok`C^DkYW7}Na96f8jrC#zH&6AD4~GnYx5H*gB6H7cjA2(9Csb`uUYk@a@na2Y zn)cS6a~IKO2$!OU>LKYqM-!AyJwgyz?|uU$Ec@&(n z7wk2F{8T}34J#NGGSd`8 z*w~dj=7*$ILo0((Htfhdk3_*RfxX;a|B|gDKSdKb)Dc|ka_)NiVy&J@8skz#JCH}a zkT*hX)tshh2(O>wI0O+~Gji@y*bGrY)Sl{E>}*kWC}dv?1Kul$cX&*5X8*h!;kPy- z?5{vL6~%ug2yGnjuF1+b?X%A0`Uo;Z>3lc&{`&E^*AG2d4F-eGP*?To_LgmN zyEZhp;W9!eB?G-r-s&)Z0)2bZf(DW``d@u8F`+p*Kqqc3bvA(dDQ7n}XRmE$=xS!@ zcVFKDu}K^Nd=w1cqd%~r+9syj)}z|yr`mRv>$}Jd72rY#oXF2z$%*aA-*-h{@!%!? zY4ljvv6xpim{+0Nzq`1Cc=ttl&qaB+L{B09{;XrG%~c1ptLI49#y8b7{IbEumK&Ai|^E7jB!v@6n{Z4k{{iPZlmy z{G&e4CrDEPRgCSu2YDR~y_``AEvZmvX1ENbZo=GA=rQp&B#&=f=GNy{7S=YF7AMDm z`PuoQ&fLs27`ywNot<}Z+jrAN;i@^O6n%s9Yk`2&r z9WOa2^F8wo!Hv-#wr{*&v$RPGqSD)^PFfG5tKGnJKnde4`Brp{B9&KhYnen22)qWm)y1w&4WolF4b_V z#Bp`&--Q{5LpYgMI6B==8W~O&uIgGKJitrMJ0S&kzjWaWc@jS&_GiTJlLjPcqfPCzuQAPT1jdFJ~xr&L*QG-;krgL`o8ZN(S;_|v-I}oBW z^HZR3%auQ}6r`#K@!3K$%4|g3YlLyM;<6+UyOIsGe7AEs1vJXj%poDo%@`(1IFol?k!wBkIc!DptOMH`8Oc#J0M9EB_Zmxf zo0F9=*x9QwO%O%Gv1{~uK2~SNhv(VgZBC)3f^+pzr7x39McW7Zd8#Sp;X!i8khzaX zuAYq}bGzL#w(+V`WF64Dq}K0ch)TJjkX$v6#=W$z*`1zb64XN-$zN}WcqPyTP1;JN z)mM`aq*p`TAWFR`p`1D5pDkiM;6>dN6fB!9HEDc(`>`D9v|<%jK<7yW^}ON7=pA*2 zP14Ntx!Bo5FU{KDQ`9Pb>~XB2 diff --git a/cpld/db/RAM2E.rtlv_sg.cdb b/cpld/db/RAM2E.rtlv_sg.cdb index a0c5003b20d66b6c90c671d686d5c855425c8436..3327b71de93f1f841424bf224af3207d822b977e 100755 GIT binary patch delta 25792 zcmYg%cUTi&(5^^RX$sOyM7lJQULzt!5l~TzG^vr^J7g(JZxRHN5|Ac{AYBj$NUuR! zq=ss!(gK8#a`}DVeeQGjk2&u%XU^_9@64QRW_L+wigPqYng!tQe(8UXTB`qT&prRQ z4WihwqW#0GJw>`=^|1KS-tEUX9^AMt#GQWs_U-E}8Gg4isGe`6-_m2vuzqp>6E!sj z_xQ`TCevb;E4-V}sWSEe^g?zU1e5Q(w?q7A(5@el583-SnjehGm4%E>F3epVKkpl{ z0gGJ?V9OWCOO^ztgH||GA8sk%JIqi8L`n?pEsMMwCaTsiwqL(rP=;BM=yG_ewz9mJ z)h*Aocx1+e(hvQo&bKlV0ioB}J&tRoRV3v@fCve5F0k78QVpR^^}N2CUy=#f^Cl2! z?;`~mXiS7>RPSeV$3jwObGgqHhmHVHTbpdbk8YK)5a~XrA3@wLYX_h}C>=&|L4FnO zby~e@`S(^#_W_FZWJf3HUYpTGTs~3{l~jrVpEg9*et$yxmaD5E2Kh?J>5-o82>4X8 zJ9z}iy+5{3f$UkmQa;Du_rz@L71fnN%-{KuINNS-GY?kV=1pSR1fr3#^#DK9Y+IRU zw``mAmhR}@uQAE*y@+ft=!EL-jK1dz>SG# zCbDKoGllkfuDlZU5EafcE8zTI(`dJC%XR6$i*sp66#Bd_6_f3)0UAgwTQ|3s1;z)0 z03;;e#O`d{38`*XRA=JJ_1hFVyV_)r-IxPS=Qv@<*|=t&$mVVZTb`L53mY2wcI6LF z<}`PrTXRLW8RpHIS10~Ds*f(a_jOcDxaTHae+dFMp&FiTxK;psBBq)=C9bG;NSY~V)dAY3^oC;(gnMs zX=A4I2R6@{X5JjB>tn*%{8A4LkW2Bflf^ga@quznc-U)ny61e;S`Jq7tTbG|-5i|` zRCo?3da9p|wSNFqVW)-j*{w|Lqif-!fx>%Q&9cFu!MuUxG_ID7#TEH6O!e;R^#12fAykqPC5f6z1-DtTQZ z%6?;Qb0RFhj)mJ|#ZqNtqUq`1cUZ}c5dLtwb!$Km{a}E7yy@x5e>y0Cn<)9uVdxdd z5vkwBg{ql*)0OMjfIwTdjyvvZ&Ug(DqfhNjy|B`~=!4?T^Vk2s%Cj@bdcU_Wl&<$k zA}N-*$v>B+s20*xFRkIgK5M~cCkdr|TE+(U@jB~1y}c@TkjBO=;GPKtInZgvvAq9i zu&of?mQy-e^Wa>VrrhESMB}($V?B8?eCX#pE-59?LTKWoM8Ut1Ja-u$p8b}m~tAI$rA*kK~= zXho@L4sq7rmkL*M{Pn|GJ*l74xRgV-?u$-R?p5YHcEx)yiX|KdCMF-AJQnqJQW>TB zp#D+pbc7+m?qB0BBfM9}Wu>@$0#_;W;aEsbGSs2G&L$yjZB2|8sH49Z@4b8zK_fM! zH!C*F6rEZ-OSeh!yG|oT)%)q+&ecAYaKrdV_QHYv`!7^-vbLOr)A{A@eSTB`;YR42 zvE=G-mk0%XRPd*eJu5DYMaghEi9HV~wS{6<%;;&|AUW|9y(!s#mpl$X_xf{jbhc@= z@7Vha^kr7XZ~9r~04;L<1_~eTpq)GrqmtpUe~uQ6sMssvN7?ivW>`=s~(kXWr*ev7Vob}8O`>23~yndq5 zFwb=+``kMa{ZT80#}_*pS*Y915~Fh^%=+Oir_9j5XuZyC9-v%z_mgugy1*kCKGf=c z?hvE8CFzrtw523vtTJgdx+%Q7n&Eqwt!z!1ZvAnr^B411UzkQ*hBTL=4-5UduCWYU zLy3$QI7ALcIZ$>y6_T#-aH{xFfAevLl>f`Buj7v!TK;^{*{W4YmYGg^NrGO9b!ZxH zs849wYBQ$?-Tw$YlHyVoY$w{i{PYS{o|pdpJj0Z1)L_KSxbOF9d~n;Xz9Al`5 z6C1845B6V;Wy-ndwDp!P$+#ftqn!8b*Z}O6Ca2ZZjf}T;z-#BqbLW8vKk1h4eV+@= zafnTlJX(6MrGW^iQ(&;)@wa@TV1+qq8T#D6JI`9Et}rral*2ds*y_0h^|207KdaPOEW$jF7U_dj`*yvfdzem8X5 zQs*~KmoA~VO8|Gr$l2wkw-7L>U!-qoNrg9)S+OCDIlE#;j>7b&Uf(^cS*P>QR2&>x zqvkDz@_X`z9D7QduUwzOUI;0ly#Og`&bIsbFxUU{i|U!38UT=uN)DT5b;;tzlg)+` z*m4?6HKXKlzC?`F-U~X%aeSLgyM)CPx~~=RdAIJmejpI0QM~Yj$(i$oHMGFEdhCF?iBGbf^Ix404-w7xOfE5x4mK)u6iLtgZ4k)iQ*Q zV?!+n+@wG|@_@uG08FkvvuDg!2q$al8vIgQ2foPU7(G&?qxLTPuD^X(4L(`O`!M%a z`uc1?wIto+3Wej_Lg6BkBKnC5e;&MQEfcqN^3LsHnrpIc<3 z-|)-iC}HF+U2KAa(poNkiw|ZFSU`T_n60J1sb!z%x9edyxMm=Yo)#{3`|Ivu*P3|T z(ga`)TUvx;7FaneyNiczn!d3c6lBWzc+a^(IHpo6VZp~5Y9csmF|2t`7r1^FsH|(yU>d>t_YIH(}676(TYp7(q9Rlu?YQ?Op= zT+*rPrnUo%HJnF4{8OS_Lj!+xPlcC6J_acFJQ-SJ+eAE9&%K~!GQ?u6Ggcq!kz&zm z(x-`<|Df9aW2o?z=R0POKXQJrv-A^qo2IAMc`Rw3<;fr0+R&Z*?s%CQyN}!v6c?Rn zYOx-|9<$i&)Z)b4*si?d>>^A#+SB{vrqA;dMMt&Q& z^*seQf~4f0Qz9-`eC;gz+kE3Pd>gppc+=c{vXl?gNViE(TP~?$;owSm*3H| zf4$$=u5?Q>=HG0rQ&kRflUIacSuvo$l78eltnqkqIC;^7x&0mL!C&mjH^`{9!zbnT z6_njyianpj3KmZs9_sn`i9VF^wSS!JRE;t#`iINIFw=q^)gix|0wPHOCr~fG6yQgx zLsRu4>@{QanHTr9ufw1FiF$gzzZ5YsO|O#|2bL^cyV}QeY=PCc-~7L{MjY~lFf^LU zC3Z?Ncj=q8-io_}BY?L^UW3Zg z*r-!)3YpgXHG|(8-&|6*-+tp zzn!96XXkR)Wc$8To@rs4TS~>VFeTHCZ+X4^f-LBn-7u$>y4F18lQ5vC=_orsl@xHR zuKF@8-+qnn=M$xeOPH+PdyG|QC7d+^Sw)G70gm;2ce9_(#xvv29Dm+h`I7KAKj38C z&@e73*7k*sTIL3{Wa;?4>O}xi1*L}wV`jOdx*2#V2_t_C!8~AJ@A`F@0lv! z+!c#sjq0cF?&9@Ud3+N<>bLXxN$A~=gIYGnAECJmn9L%4;?qA0YcWmERvsQTg*@IPYT6p5K7gm4QF4 zCBAXaPR9WCABCnTWf@Mr%3X%~nUEN=XtqH1??PX$9}_^-!auI2*#H<`>Otr^ID@{q zMEYd4R;vDMjjid2#?)2<^suG%`tPb8kB0$reQu#AJltD{bH#@?mT%*B(}(BUVQz*D z{>B4;C!Z>g{0Aq7|39drI?2mO7dSNwtUdb^2Az>wMQ~1ilI_{Qt#!&qZi7L9Ne!k4 znMak~pkqH#aZGG18)>R+HP|0&QYCDPQGY2}J*ryq$~y1ZSSydSCAIQuV!!m<%Vt8z z>Dc=}m*M~Gn@e}i8|I}n-)liMeU0HbeoR~}{afoEfFxIKd)E2x%Eo+3@l6^F@SS1(TgX&$p_siIK@!`nrpfdzRF^Y+w! zCaKJMvsG+|fu|o$o>@zrZhrrj0F>U$|6-*Pr=(FfdQJm3#^gl<$nvAbPRwNR>5^|P zv1`umAZEw}dU{=d#`6*+_5G>a+J5jP76$+EWc4w0i_Q5QA8FqC2){hermQ)u;FAu8 zHfS5BH74g4gWkKpXDN0U5ZNsA8W>m&`WBb-nGnnIMt5!Wx7C*2p5&g5#`%F4~ za_~D+p~ZV3iwB=?JE?zdH{-60w5mQooeAaRYc?jEje5Y}AoS*{r{tnemAJEO$2V&z%~LVakY zpZGXF*Y?;d?c-_eHSKKEmk?Uk`$tH{r!R?5;}88sQ%1fmQYOwbMgE~C$}_4|4o_$w zUvV{==szIPrNj=c&jg08(fR(Xez-4@W1Qf4EKpEg!!?(cJKz>Fo)!8n$!=9^;h??u z=?fc{N4GTsfI~~lg_7}NaB{s-)aR1{iByvYWRtS5tz!HxX4E0Z_x^d#!(*1!dh!$S zBq`ykoxyoc_+<7ZF?S650;FJgZgi}*TTL%CT@U&Vc=XLVT)o+OdK7>;4{or^wsT2z z%z3$mCARJ~3grKjdX2hyeF~H!zAK_)HtSXr&Yq0|WIXsRF(U#*aWFia{Q)fb$Dz=` zJ%jzc{<6~fxOPCb(v@4x3`QKzYphbeb}eo<`eA)hYT#H)hcDkN*Z4VGxV@u{!VDFe z%}V#}G;aO(-*DQ|Uy2pac=W#)Z8FWDeD7*jVU&8!#0Sm?h%1-vt*QKj_GPvmvIBpk zs2L9&UXgkqoHI`_V5g{SHj!3OVNG{l`D-@ur+%VK*m%{{Ma=+fRE@PZ9AKSss0%Bz zvR;0NGq@eYSpLh|;L0}zr>>G;HE*WgYzLRIddaT!OL|PiEkE3%$USvYFw#t2ZcO_p z_YTIzqD-SaVbq|M1v6*S6xbY0{wXA4$gS!$P9dq+^`Kbp3{0m)uk8HC1@JIlY9Y-F z!`fKbDY#%$EVo`ZoyVTF-}q=FyKRrk5uRTO+*fk3otkm-qD$e}91lS~w>z=UKI(5@ zvlMdTyd@{gGX7$=l+AME%P%JZ7L&(e#p_3slj1FxvRbV`Sl{PmWlo=wQLx81K|*vs zrdn0Azkd6S#mcXJPR5N5f7_vH>WB|I<=tc>-1hx6cf}|QmU5Gg&NCvz+@`t&$%syb zRo~>H2!;*c6mee!sgJ<5#)Ipt``6nlwgsNFXZOJBpPQMA?#Ot};4k@To65fW|-_1Cv#DR?2D0#Vg0&0KFawM1Idl1hn$$rwV!IKtL=^IB6e8; zbEeBVJ1ej`7K|@s zzQ?Kl^|@moxIOE*t3yhspgFanOJRt@lI)e!sk?~7$8FFR6T`8SzR~qu(TWq535KYU znaW)YhAymzyJ@+?;|{%wWadh-%Fex1*ljjBigz$mHgTg;XNxDfCEu8fqPu#BJ>_>& zWzCPe?>SFRJaKh^|2>MkTTS^w-GD=LYQo{=m%nndyx6k*zsooa<;-NJkq`|ym935N zT@&qdjq0Bdw?eF8zu7n``eEB_VvG_Q*2g;G-nRq!aE8mnu-ojy3TIc9r-!8WTugiu zM7vxJ-)B}L#SE7OVzM_qCrm;q(q4X3@MoQo+5Hz#JbRdCk|^a#E!s5?gR;vo&KsLe zP2~Oz=gAD~>70kPvCFdWLit7-o?f%~HATnm(UN|^xS^^LEhh`3<&d}|tH1baMZ$d| zM5h*?`?cHmQ2gEdhAmUk5A9)(A@arSOt5SzH#+`Msri z_jAfIyVxBK31!L+NrBMs18cAlqi+!e((=M|a7mIxfj6woNB}0o$-ydhuUokc`$D@$ z@9c)=RNBaG@fRa_m^G&>K%1hoU>1G6{;*Q$_V?Zpg}<)qry&f!2TQ9AK3U_FO0O$) z)P`Qj2;Jvac5DBn(e|Z*XZ730b3IDscc9YnT;6^&xR$c`>=!Ba5M)WTrNsI7mF?!+ zE@qUKlP0aY-=yP1Tpgg|53b>bDw~ks?>efrUw{7Pss`fK<_-Vsh{soNcUy)|nu$%b z!k%%la$T3G6fBNgZq0Z7{JQeFXrYrHpO6j;OT8@zi{N6TnP^DWc;gGp4%Z+GhicJQfylhmO}$8{xW6A)huo7-}iWnFR4?m0P^#SaTJk8Ngw%~`7_927gY6+Mw59r~|nsyf%$l=yV z`swc9sOQtZot+JPmxp}I{0g)B50`#nzU0k)qsxplksOFcezke2kC&|GKrWd9w)kYc zi@7J&i>?>23LX%k)m#ueA?q~wLyJ*(h`ar_>go#FRwmN@*@;6~BU|t6u)T(g?HfAh zQobK#Im7X62d1&OQl74`^X-*!Em=%#9o66w?ZHZ6kE&@`+h}$i%#)X$f$hg%{(L!8S53j%yBaVUuOQGF@|T7( zB)x%s;}I^xWd2;nnw7GDq9e(KXtb9E(z>H#NtLwo=)iQm4yE9`Ob-uc6RT5wL}q-0 z3=-->z2OwuWHSFOQfO+cHe^nhPKs)JzVp^E3}RRW9_kUW@{w}dY^S6XH}%}gQ%T~yQg%9L^VV|lO6p8!d7$g zUGow2pCoLSPf-5)Nh(4sFS7e81y4$>{R{rhY570>c5A z1}dcs%6i5#@3PDflLZONovN$%i3ZU+a4RORJ~Zkr{D^WJGl0~-|M~BxaL&6${2@cg zcCH|YiqA&M3{NU@N4dCV3YG{`kPA-Xo+T5%IQ}qDi&heN#Z-*(pl-GQnD*f7ha_+o z_)0OrQg=zZIa_wv?B3+m0PVw}eFfMtNSe2gy>bE|skPn;=L8n75gW+gW_&*)5a5T{ zR;g~7<}FqrGA$CDK>Sh0nM@EOHa!KTeF+i*poHyEZ6dK~iTv(Kl?q7+EKPC#I5xHI znBJeDM-b3Pp7vZJP;>-1?nG|y*SX0O2^?6sKS5$0M1_fd7`z~f-NRk|;@UJ>_LLx1ECar_?Yn%28nWSmiDevynk2F7?h2c|#dU{QBlOD^e;n<#;AV45 zIkgbnmNL@hqv=lP5Lzsli4_m%WK<6|RnaR1!1(w%80esP$geBnS<3}TNB3Y_{8o~! zG15bdI%LY+D7#~(7CFBJXvRJ{$W&I-N$pdN8C30Q*+!~2=XEmdqjXy@Y=j>Km?q}& z%HXmnK_?k^eX~4y$s+NGEdrO(bPq^nufq~POmkjFgGZ5nHb2melW(qW-!!-Jxs=ge5eC}=D**44i1U+0s*X~_iZ!#cJNkd!8ZW&C)%~b}MZY1n)%qydRocO~J2$+m+v3D62j6lhidR&kpr;h<4ea1%Nciw`V`yI8yT3O|~zImURooqJWCVqwS z3q4@L^Zt!R^F^LbqO^bT>pC+o{N`;r8dv!(yV1VUFSPVm9W$ z(D*8yq`~%(s4ckfHE{UBJa3uZjpO;;pi~{2l8Weu4If4J(i2kLyY3Uic!_fuOJLI^ z)?Vl~Q607=B!1<K>D-32$+<=P{UpCP9Uq739ibcvwZ3DUyFmGWNzyNnA zxk=Gg4T{izjJLYoyQ-lz-iM1tUxDUVfIO!+F;tr1n*4U*FF#k%GKc8|0zpfCfa{;Z z)8=90tp4AKl!lFw1$=v!cyW(Kg!3(u^=FdC+9AVcH7i!NAZ*X?i2u8gJkI<20c~`a z1#jEqBt0<2r$b7j<@)r*vdARxJ#h@#vX6uk>;|@14-_%hSZ8#C;9-S>TzN^!fG zwP4BUZn7xu0GqC3jg$<5SFgQ8Y4Bl+SxKh>yQXp5dqO1E?~2u+o1s<3nohupvvk+s8%xUPTzEmb{--bdVL!EaL$6ANrwuM(@G;JyXt zo1~}QDY#ZRQx1nah^u(*3(1J@`80->+97BC0bTJ9`gkOg4k!b^k$dmy9=dN z;n>xJyCca_@PmTBx6dAMfm0Yag}{AX==>MIz#xW;eedy@5hc-FXhS5LG|!BsjU;_$ z#lrt>*IwhsE(ImDWnDig}3 zNA>}zG&X!f{w*Blw1>5Z=EB#q+@LX}W;pu(EBPRv!@AUuOwZzPm}ipcX&(}H>l)Q< zZ=MvC6T1W+5`V2H6(t_YGJXq4C*;zH8KqkJ-)Yyj(UPz}{s84fA&vvg9!hT=*=!^R zhx`dJCOz6)rw%p_DTk*q0iGAZrk)2r4+3&w?MtDp`)W=5_JrWFb>3TlWo1yEhsRs} zQ)>cW$V{En)4oSAsrFG}LE?fPv}-06s9Zt3YxGN8A)UqGfZia6`&T>d_H8IgIzsy( z$#^o=KCq07|Bd|U<~Er|Mm>Ed2HHd*{0g{eizS@}k^&(fl zAavzB!F@uvz+@dVThrB|e?V$l))9YxzB3o~iYc}+<_jE@a8PQHt=Q1KLek~olHKPnv!nTc-Pi&bt4FO>7(5btw|fpnBx*gbeS+%%?sH@pAM%u#`+V2 zZrN2oHQEDVFbU+*O<;s^gKSZ?X4bBlcp@%6wq%$4oxFTw_nFNOz~H-gwH9L=L!<(i zp^j`!ZF;ZZoo^6;x%DT++-IpaeJIgL;2{;%2CJ3dap_`(^&Y!&&JWd0_JJpDt^W9o zbAJS5a5&lhe&*F$Nt#X2_t?9qp8NjYRbA}m`VBUE?Ap>87VAnBgqNHT+NxvOEe|8F z=*jSTpG|K3g zbs}%=`!;XGEfQL%DbnCm+kLwHVMve5%#87&AQj*TH+C@#rS1EVZyNU-w89qt6aAOUUv1 z_o356)9uWW&jOp<#*qA?=ngXKw>}_#4jUy4Sg3bzO_ZgS^yRO~rI9|lc_?{5V=$`0 z@d3r3Pd|y~|EBHV1(%ZWlX>GJe~-rR6Xv?{QAkC#A>ymNCD5@LLtZ*+$ap!_U<2bZ zg>(}!lpq)AYn5$4K2+{SKOR>-nK-FuIrg^h+Vdm@XNpIdo$s8G3VHUhw4u=F8z4~B zBd?(@dK}bZv7IbrM~EZxI>$+(L#d%a67+ul5sQ}e+^N67+HpeMx=rIAIcdzuoRH97 z6CUsr>Tu2{i=h4agmTxnzzlH|as`>LQQAJte7sG2qwU*9#Wl*_pQ7z zUj$*r=1w{O8>j{DD8FL@_8~#G;|>aP$-z}nQbkpP!+E1sc*@B6 z+$Ph?2?6$1tZ$O64Fm$2b%;YVA1<~&ohzYpjCG7fBrSvWQRC3sTQ7y$ zZL9~~jcJ#FYJ<>F{~{9P=jD_JMH@|3?LD+=Vox#)CZ~r z5WQbj!9&N1q`THR>q?0Ya2L|Iw&Q32jN)oyE85;vlK&H+y*Rt=^Hv@7=E>y{Bdtmj z1c*No>IKu^c?7Qh;90i*ZT7U61hKZT2?aOotqPpLUThmA?;<8hWxqTxP7&@|2_J-V zZI`*Ax&N;~B%{~KWj1UF8k-eUxZ4LBwZD}E!Z0#+o8sh&AJCVivKlBpha9O|eo_}K zGPcCC4N`lky(eD?S1p0hNC7|1!p2Gpjj=C77yf`$jstgy+ZAmdm1NSqb>GgZs4IA{ z?5yMCah!|B_ZnhW13aO<4WGMvbMS=SwePA&@W(=zYVPemaj8b|1bXA^NsIT{Nz+LP z1>}Bx)A{Wan`6aL@gbxezvq+lIcGrr>l!Zn=_7nCzI=llg&su61Jg#pk!M~b#zk%X z58vPxVix#geGynd(*!c$a`y@R>q+=xO%vyh^XiI|wi@*pyP+5E+Kx^>Hg4EUKAza! z^(;GsTQ%&(qr8iJ-N=3Re&TIpxXmH(xSRB~_RwVY=L!DnAi2pD?zr1Lw+XCMy$(-3 zBkj6GY5YA;>i`V2D!~%^(xi=p!Lm)?5)&DbOAd2%!g z=(~W)oZfWkT}E92qX92dKsvLFtlN=V5{dOPE9YH|A{PePf1`&Vz#kA;^(Sph)`PAc z5=K@UAjMhP!O-jM-Ix~xHpdV#sNu_>Z@2)*6EK&CwsA-p%dLZMB@9;6Tv%6y7$$Df zboj_8MA62Iom}#HZ*)_gA9)-ygiY1G3K!>pw!-nU2a>Q^#8(T1JPhaC-Wu|>I)m(+ zpO0=+Xy=vfYOF?|2&RGu3z*z)mF;;Rm~w>#{f=wG+7C4NRltWykBlenzgg0#0zs%K z`5Y1A+s{z*oAd*Hmdbo_0T1zQXO?R5*2v}1^zwq72(>?{8@nSoe#Xr(vtApj18HSM za^FBuF~F!4Z440CI0YMuTgMNm4Q^MhJ6?w`8#ASU3CAhBMA*PwJ+n?d6V=w%Irg1; zZB}>Uv2<|(F8sET=IMms<1jTyod{>7#xI&TAlel~`afSc<|9lG>8;VTH2oENTE;o5 zlSskQ5h3qJSuf}_W{5?sR<97a>86(t1GUf8;I?GHFTgWfFZ;VXO$j8r(X2e0)A1Wy zXZ9#pkv^9xvpaZUd52N`Q8Sx#{A!=HqGmNSL}tnOl>UhG_;j@aaZ`Wse)}ird`pnr z=r+yh3N`e(5=rokRjOE>Jh!}mhSmoA-X=^*@4J=R&HC~96)_`Q{MwkMj~a+ZBaDgI z8Qz*;;B|gbZvq9_jXl37lB}WsaCQ=|mW=xl6{IO3?KoR{u(nQHweY_ChvBsEZhzrz zn{jei0qE9-8r!DsC5Zk$=!6BgqudP?f0UBUnqUpt!qltrmDi==5_jA-(VvmVUzOGl z*tD!i-+j0Ap|VVaiu9igt{{>^?&O!O$6aFqc%{b&_2c7%^sAmQtzlv=ljTF8Alsl( zAerQu0{!`*CEtN#13?!uEieOZTe-^tFIt^F^@T=bYV&KYZ4!PQDCv{C@I%d%qv$tE zq0~yC%eo(Fwsq z@H>lT&(rdEhxRn#I+M`nh)#?vz&25GP1lXME`=BI`HpWtZRVGYDNE5H_9zA>QaPp{I&gvIbI|R0HKIFhk z3CWDq3J$Y##)xw&rE)5*8FiU=b_CCNnE&Z8AMMC?Uy`s}l5ky8vt5#KS(0#HQgdDk z>*z2a?+7035F6zVNAt~S1eo+iSV_N8a?_Q|&7$W?VVC1+ z6yO>ar(x`);~M3n8Fi&$#7xNNPBG-77&dWtHv4;kiq3ER` zkeKzL<9dQ}Zi#<~6ecfKq0j5&H?f%-Rvx5&`B40YlSytjZpC8pB zgkHwnjFr877UZ)+PdU05ar88zPAp$?87tv!7@*{{n6ES!d2-rePK!zhZ7ribA;F~3 zZ=WpdeUY(BBB*HV`Le8T^gsb(v;aL*00AC!ft<(Ck%!|OH4(*!0Ps^2{HFjhQh@F) zKui^Y?8eY`V<7u6U&B{0#gAntf&=_C#4{SGc}Ue){4gQ=#Or+|5TOagYa*ekeaDa$ z@>l`Lyx7QA3!@W1JxW}}>AyRY{(273bD>5599Bh`Kdl^)>J&SlrbQuJUG2};%h z25P6=X|}*$`3Iu_0;SDnmB{3mHKA`M>mITFy7pKYSVb0NR7w%-7{Bnx7xQNguX^9U zg$dsSX%zlp-PN7_iV|L><-p#9LayIS%ik`Wu?pFfro*M=BCp}@<*&YhoAv5zIJU0o z_STUrmit4*H@)*Fq@U0I$?LnZ{rObXMR<=%LL;*4EDiV$9zMH%5SJ|qRP#=0Xjjhw^VcoqdJyb6Ji8Vv_hjmMArd|PHD)y&xW3-ilyWMwNIP# zk{48G6*ED@c#3c)cp)xK=XAtS|D|HETrQG>(0UQCKt{}wIVDDjGWJNDYjm21ap=(m z5&$#gYF?PF%JGbz=uL!A#%5wNVT`&$RK1+w~={ zMf=hy-^fT`&J}0zK>oZ*CX9M}<&x!_rLdvp`5PDgtAf;++mx}}V{5TT!1HuaW3-64 z5<9n2k_h9e<0GENXq3287Kc(smXhwWg!dmtAM`V`e`_{%@FRwcPOec!hFstOL5xG% zs~ES73>kwT`whR-Sac% z(Q)X-sey2Jxs`S3$9J!DMC|jEL+`cbdl{a0mHi3?ee1sXSrv#5dT(Mae5hmj^#J=7 z8D%uCE_Xfbfh4kL69uqJBQYLe{wJQU-{EKryDBCcf*~BW0iqukmM~M^5HwE$~wG z?!8UNX7W&vdwie|Zup(U?ftzVy6v2mJjm9rZptD{2%ZX=*%!7$HKyO@y?B15doS_y zSMeg_+g9MMhk|x%M%K1`ci7!2?&{hDx<3ATvbu3Dj~M@GVh5V*Y>-5cO0A;R`O-81 zFhba=G1NUF7tSUgK(38;GD6a#x>WY{BD#*pa#(Ytc}B}vbHgK!f+D*77cC;X4l_@b zIsB3=y7PjQ(@>{wh?h=GI0Frf;vwJ*ullwL+wYuwJ%BwZn6t0_*XTi-LNZHNUg_+zt2Ao^j#Vv@%ew}-9k0LSE^_E<=d{g@|31mRu4K# zy#RQ9hl`yR=HUXr2#!MI09x$O63?p957UfbA97r`IqPYquYQSAv7Xki5CBsZDhOT_ zdFPYI#B(^%*7#hCE_U+~Xgbgp#CxjUMiFu-c6Qd-#b5or>gYOIim_K8TFT+mw);jI ze8*erzS>c8k1eOv<)o)ozvGV9MbFOiyM)^_5?w2xOg2wKu?3M`C-3(b-|Za`jmPw% z`D_R2@WKw;8HkUg*nUo$CjeU0U`X+-_A+C}m>17*>wbX)abfm5!Nkj8PTFHa&}c~d z998=oBrftOq96;uOp;5zAEV_Jznurf7KOSDad%P&y^Aex5-4gMN03+p0mjTJZN;0cF$y@BLfe4 z^q~af9BVQ>l$tC$o9&PpobmpEAl%ik7rWMw_M z4mZ~Bg`8cMT-J!}8I#7FkAXMeS;Do*f(j%qUBEbDLP|rj*@aMtK76?!Y;re6fJ`XW z%Ep1Cq4v~eV4&BtYX8h}AE;*r?yOWlUpdt#Og$!O=%`_q6k^-fN|@c7g0E#Oq;_kA zQ}^oCF%@x>S|g+xveUv``-Dm|uAnMY5`7=WI9Sz6N}l}0CfK+Nshs8M!P%3j zr#irL>>HvFmnUM<jfvpfr! z7jQz8msNf{mc#3D)d$&S64rt}a|Ee4VYRTSTaxs{eE?1n#5yWfM zw?}>(wV;F#ZCx_Aer%3+SG#x&(;cWJ1p8rljKnNlm&T;?APmkB(m3eFgJUabs8PI) z+&Pm&dBRQj@dKTe7Tfl)KKWv%e?<2c=_p@TxLC1KNZKwnDl_zM?=80^utO*Ss~MXR z!0;0v=avZ|O47|_ty&8qfy>rLb1E_?OblWg_sMpzYodIjea zYcqI)u)s_AAu#Ni+`!&P%|DQ_-t^C_oYP#zaY^X1V{Ig=N}7`oI4GM4_)%{! zY?uM9D&j?NB11FdhEKE9y|oo`YJe|z>w#8P{Ka7E7)#m<1~A+{yz}cmd60g8y$gm; zkBFAhJOJs3D6V71&}~rOwdY zKDX|(YukU^CY6l&U9cPw=^519RwTTR=O+xr9xR$S;gjE|ZQszY+P;NKNZVFu z6`x8z`mFmv3fL=g2+qqqBRe267s*@4Y08bMUYJ|$#9h>l7|SxPDvuNH!=Gxkt)myT zy`~tDn5+hAF^ZW=|LY5^L4SPxXIZhOwY8VqC%kTGoeR=`{Oy9yN`6$yh4VNrxW6Fr zcZ_9LV6$ZreKT$W=i!lcMg&kzVy#Bqo>hT$faN)tkJZfj#hOM2NHmDl-y`cnh(wX~ zl~Z(!`Gr^BnjmoMX (ppt}7ttNc<#S*W{a-Rzf4)ct^hMVY8OiPlgGQqxi!I5! z<7W&cU|9NY?12J_@^yr?Qnw>|W^;1}gl-8z8kl;~<6oM#r>tKdOv zCNCUVpnECzN4IjdqwH=66WkuELrxRcBk^l z@;qpXo@SIxfhqq_=X2BR;@=_yH7KX4zTdV<=^aLeY=wFmBKEMuKigz8=~k4XK)WX4 zo|t;tfAz5?9!_-7A`nlYR3v&}R%RNI!jbO9d*lnhh6y?kkj0gL1vQotjNVJMOOV;% zY=$~Uq#4E#u)vvvYX520dg%BXoXA7cHRLdA)({;Wm+n~Ot)c`j>3H+l`EcrG?>?h zf<;b5E{qg$ZQJXY@%1*zL8P+8{#VulEfVD9p+x*C+4#)=Pg*xd(HjIToG*WBRlSM( zdQiu8qAZaK{`woqcCIc+;wq>fFfSrgZ+|qWBh!oMWn=MPhgPMc$`79w4=r zLf*4w$&(TfIKgrH2?xoWVDi*4pP(e0e)3-J#-(7{i&~{RgT_9?zl_0A5zyKP0ANCi z-@HaFXV^%6xq+CEAVrVGHnB+WeQmZ*#4qDqlQT3XV#>&-hVY(MMIzio!-f${r)F6M zJe_1$JH4n0stxTW01N9)m!~J!1A9ag)$F}SX&4dWd?a{Jak>(QLy1KGGyu?p2?(cT z5XtkV42PZ9sH_)`%q6BL2>hR-t~;)YCEO~x289Id6hTx#L7zaOeyyT9X4Atfv9zx~Cevq?V4R2 z7|mNoAzG>;YA)^XD&DLJ3{UZQ`zoz3K5yVTvaYJ{&y+?=;B3_z?t)2D>pA&o4!Pgz z%t)!v0lVsi&&Z5XCVV^~CBI8OXXMt5ks9^k#nC;jB~NQdyJ_R&&VtxZzu{XS-l@9< zi0cVMHI2?tc<%5~IpcrHs@BD?8oAQv14*kI2|7haYLdxailN%T1mind8#CP}r0Z4H zC(>MBwOxEmr*|5<`0bpw1sKh=bEq)%5d zjb?Hs}2x#n)?{qS)Kp${#Y@ZzR|b#5o8|vP5RkWW?)!V8i*Xz0LE(KGhdn zC9nUQI{CfoLI({^>0xm9b|Ft(23g>z92S1j&Ge}-d6-Yb+?uVu%u&Nc#HWUj;jkyv)El&GaGKQQn-w#u${ign$H z=b}H7J1b0+0s&P^LvC|Re(zu@+&gz+Sk1Hm=2G7MC?qGck+39BO>_yf9^eLPb^dU* zAHC8xOQb(jdUpWMlFqhNg_!5ZP8e65jh*xBhwBS5Ew&?ua(e`Nc{wcmT%W;6Nvh)C z$YQ_RwhM)J3O<+jJe@~66xe?Y5>JP&<6yWpyH zO;Fb96Nq-R;9k@pllA`^7)AFuNZL1K)>!8i2L!qN5jQq()Cb*+ED+2e#BZoC#>-nHb^ zl}u5`p>_)c`HD#0ie*pJxa03F`@UPQSk;{Q_FXx$2ceKM5}M1lj(W^bX*Qp^;^0@u1%D%_+?pncu{@TR-ap6y4p9I2`1ZX zg8l%&?(pQt*jdA439bD1*6>m>f_Lw)bXKkUn%v4C4*i>=zh_Wvq-}~Us#BihKHQWT zVEtipS2?CFH?2`d%-|>&^pjMMY#C7ek4?8~AiP{;&C>>%4ys4%TFOn)k)any+Hzwm ze!?wg+48^#`2(_A%`$b~U}H+7x<5^^eKO$~@;?qZ z=Tg!oHnWa*NubvpuEOv~gW~XZ#g;hKX2m(~lVzev%FivoXreDBOUt&8&HI(^vqu>= zvM~v{1+Y}WxxBHgxL1yUCpvtHbpPsVpfhSV^yKOg{*G0naZ9FkUxUGtFYBQb88xgq z(5mU?h4C*L|B^cvW+1V-oTWZF47aqmrOD5O9P@Nu%3V~loy~dCX_Wp&6%LC%s=T@q z7^!|~u|P{AT;i^XNkkTOy-y|Qm}zo1luEanY>D|?+N(#x^2~(ZB{kV{Lre55R!>=w z9((;RLl;MXji*}!jhW)eQiTe3I>3 zW3ygapz~==a+7=KYQ^m&$?5k^+P`U=bfW0 z{dCWY#xwY{DjAF+X=6x>Fr@QTW(C!uhJ7PKaA6HxTD2`V@+Oseo9gh9edB}x=K#NQ zg1gl-#sBKQ^uW;vaCCD#1-l61;BzN;TSu5ixvTB`KD<+U-c*{91jj=J!)}gvu@@HI zfFV7g#+Fl=cd49IZ)2jN;-AS@HoNs3`$o8+kR<3oCZPW%NT~)I=e^~;JiH0&7x|-- zMvc8kWxk?vhB#pg`Vm3lQNggBV2t|Vaxd;NLf)~3r9K?OH@1I0yOqZ#G_pZfu$9Xe zs;P<5OO+DEu)$4Ai+6a;?O;I%$dIXaab*8c9T-b_dokjj7!nyn6IowmFhL&A$w_%3 z2KxHM1W9dVkGv84Pl`EdT&U8_j*Qx7I2b_(7H1WWl7d^g7wbOuON9F+kzM7 zDt9Ph*T?miyoS&=-z;q>6GHyQx59)!Ioa}AAuzP~E8JZQVQHd`_-%uW&b@un*^n#h zGo;7jD1{RXW>#DPJV1YI;kD22{n5q-E!)8PZ|vcKJHr1R zd{8GVp>kMJ&pHUUO|Z8k487h(kM2tTy987u;P|mK8bJK+na~znSPo9scl+Ont=g#nJcS=&3lmFP?rC4708y#oGa1xVg$}RXiFL z4izkdUN3@(&eD-&;S--8=b!O01DBXz9oic&r4=+$DkFxOXE;V?f}PWpzje?XShPfs z*7q>SEbG?(zf+NbR=yO>j@h4mo3{)Hbd?Lc?w;O+P?pk6dr2Fc_Ucd?{HiEpa)Fggm%V1AB;)kPK>O!pjIf|7{+y*SVX9oS} zWMVzr|BVHjiAC31k^|Sk*K9H*b{JY^W1r)(j!`fJH%zZHAGa_A`C$9c`AYZm&jVK< zWQPX^MjI(o?pUC=uxQK-`pp2@z9qa^J(90ftB2ut$}V}9q;s1{ZphkuF1PQ}9%ASn zXQYU6;)23bPuZUFKJ>}orbO{F+0y5V+cie`NsPUCLGO)89$e%MZ#9AxLDlWU6?t;| zg*h?cjhN*!%`7dii>69w)3!|>%3u~#W3LK`wfPg%vzh|~4$mb;W5hReprF5@=zA$Y zRQGmKCG$rm;VqZ#Nc4HH?5fV*K0XJj3A!jvo?W$XHdiGszM4R_wwsq|p0smgN|a(n z&yULc3oZ|Rf9~O)C(n|qKCL@oy8({e|N9t^wmg0Q^Dxd{;G$!UCjq34Cqge(=)$XE$uBJIGc~|drWp=wZ!S(|mUP=-MB=ba}pq6$6ipKj#;3ZI4;iwMm}%$O3i3qR1CZa%HH% zb>CcZ85Ye2A4me%-E+lqEZUy~@rI~chdC*2!CE8pSKh4y&1 zaSuM{{8-@9t-g>e&Dc)Aq(#~?L%dYrItv%#nf$XtKZo%zm8k_|@44?FUV52PaA}0t zFUiSQ#$`-}aPxD-$Xq6*Uv5SMpM$(>V+2(AIzQ7;3G)lnMH8HPtFScrF(>*K9Yyzc z!ljzLff<@}0=8M%cd3km9BLiQsR_C38EQ z_ixN6%U^FyFMi9|o?JPXBEpU)bH+n5PEkIGAR?cV(;#lCl3)xe8Du~6YPmUBbf%`1 z&b*@8HaX*7AY;rn0?AM1XZV^uoNfpmuX3ax#nazn_1f+5VsotbiRq=6$0g;v?XIoL zkkF~j6i*Wh@ATLe#SS8O1QHpB^!E7bnRlp}G1IL$96&CGn|j5b9!1E$&Bp#dHYQmt zCp9y|lirF&BP_{_o#FjP3*ICPJkCkHNf9SP0XO<`{JCrv*pT0{{rPO!St{pW--`@9j?P#F zDfsL0;#}~!o|?yX=IALE`d{i}fNwHFaC~o$83mIzc3bv-JmjzGR^k=bzkMa4{4<@> z)yM6YZ-{o^m9^}-Y-cZxZ}U~bRaugysTc$=P6Lt!xUxQHZOq{#f}N`c-NqkY+@P5R z4ZE$~Rn+TN^3w71kXPhn&&T8=;rR+r%9$7ze(V?FO2JFg_`Gp86HW=P*cJx{ijtWg zTCr^$1ME;M@H9DG?#NoOsc2{s)XxitIvB;m6dpU6=*xN0X8M9j-RuoG+9 zgzC$EI^cWoF55o0wZ#tk50!LmN@M<^)Jv-ag4`F0mM~tgo-nsRku+_s*;!^cP2RMS zZ7j;OceZNJ#N+8b+pCuR@-P|nxHZf4>{y67hV&0Lw1Tm=iB0GLxAAFhVc$3|xUjan zt%gnb$~KA;442UkCYmCk(jH#t0LOW-B7SU<^FH+XVo@57a>7a4+QDuG@JJPPkzs?Q z@5Z8=F(fYx=@iwWS@`_;kqYTcs;J>I$-hc*GqL5;=oA1wi*fX4UR{dM zY@@w%#kLqLYe9GZYU^HBb5O+>wo$-bu^xtWD+lbG2;{M&tK*meXOqEk)4Hj|t;&ea zq%xzx#`f^cfS!`OxTVal@7?UL#nI-wS^|%g1kyOG8lei0CzVn~?YC!>u2UVVf#J;i z9P=4fLcr9$c3FzXVbO7HR&@L(x)6(s@h1GiGmtrsZi=I4Y-J%RTXu??duXoh17!yi z)*{TZB%fFV$E_4NP7sM};W%4C_vZ&g+{l5oe4kHkZ?myzr#CkkxiC}^29+5?$wp8L zaSI2)&Q=cS+C%(Cc55SBD7Q8ymMwzzBb=n@RN#Fhoi?jv+#6vQP&p@8J?oHB&Zje{ zPlk6!#H{*b;FICDVP&Se>mbss+gC9LTvXeD)5#!AaXd`0(;5Cqrcyb|X*UCYma;Vi zXkRx2tH+OTmi|}pZHBilO6vT|4h9PXWZV29(g7ppV2Q5{6)7L48k2n6n0RXu6pEt* z4-*(X49ebRJD+FAort< z+H1D{=8Vn6iWd&59z!6gbdP;;BIeriVEf8E-AggKOYd)&fVKKF{Bg zl0F~4*(Y9yA!%~gJaGOh!PoAj>5^GKcZgiWa2kSDo=;dfE`IF<=Q|^?DntNA)iqL} z_{KH@32>0aZk%Jy<8L6&Sg-TLI9TJuQ+#L3=v0Sxwv49X7Lx1K*kY5i_u; z9%$ouN^USMXocWw|C%bD{^6|{Qk|A}Eaks}?GCWGzkwS^P}nZy9}2>cS-xc4#?w>5 z!h`5K(lrANIL-`X)E0{d{#PmjWD9jtYG5Ux6;jpK$0Pv!=-=`5!#D~AVC6W7n027@ zLCF!00~k|N0>J~kDWFstCKygWeK3)%`F@m!22CBehk=cEs^kQx8)*g{f#gPz#}um6 z%rI;b(X7pZ*WgJ1ghjt#Q9B%^)(}z*()SO3!O>q}(Vtj!Iu?a6VkQ<1qj~7BmPwqVU7zsHWL8tL1 zgLTa?B}8BW>S&P=(E-L9K`*26;$s+6B!)BxIHRzg=|>V%o7w(`qb7D2^!h<4h#jzc z@_ojrDHh$Y3FIbt5bPFU4N@YR7(r&Mk&ir$9g`+gX6#)w^-Zl#-7g<6xrvOlcW3ViFX{(_Nbi^4J_1{krap!^Sd zF&2u+-_fOLWpDJivYRF@oJP8HS49}9RGhTyvN)wzkHB0%2U2i++Jz+PfsH2 zRK6s~nXHI+s3zM^=*!DP$8SiKe_k|u%CDyI@}cH4W;t=CnBMz8{gkis-uv1v2xBpP zfk6lKF2{+bK=%1m56L1S7h{cuQ=wOt&Kc?nk9ig%paZ*D;3keg!0B(OoWxmpk`qR} z8uag6W3(5G`T=_D6$YgYwddumjyJ1gzBH@!D{5WJmyd^sz|ufgdh8A`|0cqrlJ8d( zg?}XV3T=LQe0Vof@`&*TN7)Y|GO!sgM23iVBmrDrD3r1e^d9{1Zz0tI>`RsE@|RSH z+Nr_=u->x?4Na1{Q-K!=Y~oln0VD3iq9IuH4-Bwxk#=K92u5szAq9g5&bq0jM}qz3 z>;wJ2cT_Bzh(#5e%|o1mq4AgUq=ffu7Mm?IsNG|%hqxcR+biCL5wE;(*pXg_r`WEA z^Bv$O|9vtRaL;jsg$rH|`KECQKb}{SGc+dA*yo(PjBLqv{t7|&;uYVm+(w;JawBI= zehXY4y8W0-63X|YM=yZahI|(Lm0ngQntYC=s6OXFp?G=&z%YjcMdIH%(0x2T+5nD7 zLTq~r9+8TeVTu6Yt|wx|A9A48(H9v{cXaiK3IK_q^|>1pc~@#=_o$NFTHb*>X0_kW z75Lfd_x6Z``}FS&o*f%412D`KPg5r1#mWC)l>dM6Ab@jO=^Rygx&(lO|0@D2JAnLG z9ebPlC9~uk+`^b*J2755ilscBW3p+D$9a*TKZjk)R1suo5;G@eX3E-3GY^L3@cNPcMfY_Sy<)ddq!VvPFW>0(o~ zjT&&D%6wYQ<>C-J30RE9P8x6(uofMYYBmJyjPXa0L)lb2LKW z8X@S97Jz-*^|+>LB({ty0ooRLz*wNSRQ*>fph~<(IZkrI;!$JtFcy7*B~}3v`jE<* z2-!<9@h&ZjUytr*J#yKEI(8xeI{YcorT50r(Xat@29Q|R!nUBhEE)4< zPsTVh3aysAWd_~#WQpUiC%%;htA3Pp>LR7U{rwqi8IqO%;F!;5b!q28l58P33d-{i{5n)5&m}Z?3}8 z1Eq-<&BhT&N|7UYuSalU9bB{)?gTDv^(Uz?zCLB(W26fb#$TtYfTf4m;>9)q0h-}y zd`n;mqXm5%O_`sP0TzUuaA2lXbetHTDl&2O`#2Ly-cF7%<@Ry|@qI^DkrNyUu1mDg zlUy5ETlMtGh)9%hO(nAwIM{ZWy;I^ZkS_NnneVPLbe<+_kiCdbGoYBSJ*nEgVa1#gJ z4u4|@m)#e-o)Ex81>$9|k;N^mG;@ca`$_tu)1y0nmLuH?N3XzDy&akr1_59cmj8jpy;8{oA zB8U$XoVO3*0p69Ef`#k*H+b9`E?Ng#^A}z`4MU52dex=Mt59eXCTQwBH?Q(FI%FY9 z-W*H}zDJF{0rbXok&w0#1R_Uj8jctLg%K|To2F3&L^IS7j((4Dh-QpH2F6hTp>QY{ zM+e^6$u)RV3H7x1?Sq3IyaFLS#G0^W8Jy;}RtspN6L>L<(Fmb?uqe>e95FQcc_+#v z&_xb#XKYg7N@>tP;ZsUn$#>y;Em7ye$G|RO>D5(^GkK;w_>YAi&)kvz5PS|3to8*f zo3SwwX;=uycz!I6dSwv#xKwKlJA+JWbKC@Pz_pp3%cS2L$$m%i4 z1u8S-KVVn5mtD`=UKmGuyxYfb9c=4Sc~I{3k?sGE{i5x56rR2hZ}RkeD~OgxO$9*W zz1Xa8g8&OPuYn8WX#6>!6}`w!poVTujEdIivfbCNw8c{LTtixf+dvQ<-pM2tZJX=} z>E(G~(K;Py@e3=$yC!|!h?84!s2~M!lga?RScFA0uzEaz&U_3ZD?=#2t_f~-g8y6* zkt$B2rZe-Yp<~X4l!i%glD3nYGp04gChr5jX)qhHQrP|)`s5?opZogM9VG@{T+4*N zD_~dA8&WAMjl_tf!CfY1)8tDDm49`{xJw4LywTky29q~BL|27hYgw1pPv%lB$rL~K z$lP&@WGz~J&?Z%h*%p(i^3WcuZ8c|WT5+xsV@mxQl!%xGTb;upM1!%pThl43gX||g zR#nw!uS9x)7HS}R%4fDPep5;(LFaGSZZwvDk2HBvKHp8vVYgy_P|Tb6Ze4v~wH-zO zNZKh*!bLNR-+qpeG7XQ=Ml`TjZvqnrUEslSaZqtJxBhn*CC#pD=D=leFbi~Bgri9< zz$k`LhyESmnm|r#Cp+;yJGeX@P_Mh(W~prP@nHLw`WMgVh0_Xoi-US^xc6^=M>#jt zV~Gw0b2|>1OyXY!(Ia&m`m(jWv#u!gprGp4TL8&Mf*$n!6u8xls2HR6%EwL@lXeh2 zaq09$!>U8Wu|#Q=F>epCu;5_w2*CXMFyxnzlomRmozTG+x~hxQVpGyMF<({c>Y-5m zA6>3h=^pArp===NSwK^wQ+h!HR;XyWmU)wVUAlweSfCxYTR|2heZUJ2qkj z-b4u%bo9e5cGo72@FtCvd?%Q4Ov8#x&8{3pmkh|j_IUs!25e(FrmjtY7zm+#dM_D& z5-Alfg+niydmnGGEpiD1ee&k?zBb4Vxk%J0mE-nFkL#N)uawRDFm;&;TmY z`k7FhtNJcBYs{1vj9^if9_^^PT=;IN^yjtfis@0GtcOD%jq)-YhEVadTw`#B5>5WjtkstdZv^zr_!%+!S#lCC|7dCzxOB6djY*L_OmUzuzx}jo-NTB% z%I=SGo}J{*!DczxK5uq=?En{&H!!&GBBPf~T zNrhCVHe9Mb`Fgw60I(w;tU?b?bTn${8MwBhv!qsEtaPL-H;f^xFQs!5iTFBj{1Z@P z8R?l$M!*Kzte7nPIy4aVmcp5#6Qp3r3-uRs3py+E)7nIAl{v$mdG zm{R5YVG9^|(70Ph&?r}PKUM}+6APdU1C~|NR12HfcadiJ(IOQIpfHs`hfvK%{Pm%m zQ#8*6yzW)45k^do3JOCtKq^AfPw`O^#x>M-jl6MnLJuqD!$T$P$4!MnubdX+`_TIX-LP?c&p;p>-I>h>(Ja z=7E*&_LfLH@0A&`bgJZP4ir%QNlIW@p!QhQ8cgj0YhEfyIRJq~dB_+IwZ&zx|p6IWpH27oDhhP@ZaHIq6 YpYI5l+6wq`IRgGSiJBQ5bxG@g0CzrILjV8( delta 25813 zcmYg%cUTi$(65MsNE7Kb0snfAW4r{C8ls`hNk$ zrsKH8P2N|DRNJdsd$%L|G@?$J$}Eo3v?~RV#)!<=iw5_NR#fb+eESBkrqj|q|E{gNTGkefb=&qJnUEd1+%ASL_w85Ru|2Rj zkvVnyA_1Cd3;^5@v@7>@iHlga#HICrNc@eDMdf%N}C(;0{c>Mfm1Td9jPrjF~DVKoe|-}*5X zD*1ep^AC1*F@c*@h7q`ax2CnfeIQrvTk?+)*s#5EdgqMSe#RS-E9YJX*+N;%s;0&A z!7qCc=JJ*87qPNQ^wt&05@u-nWuwuPpP?hTs9Qx!QJ|#%pC!YCN}LZ3_Wc=J6tn*o zqVZybuEbT=uf54aAj-y58trHdz}|CGGo5!Kitbw&*q|o|M@4uJrVnb?QKVRnVDRq;C>ZaH)MX1G3@n}vA%jvXp81P z0xT6T#5pG@+J7yO1qgIs;%Bp1>or$@`Dg$0VrlzpRb%sTv9RBiB?1Z4Q)_)7!58hUcZ!>A14G z{f-KZDa>v0sq1w2OM>6>|NTgN%g8l~*J41(W^+471f=G9@Dq@ZF?F^Bch{s0C}ae` zI~H9it2(|Dej8U6w=64=8MfEtUvKn9X-&*SLGw!1&|1Zhyy$0_l9N0{)~9>0S0^r1 z4(od+2);M7?_kp2*jNloinV!{S>&0E4g+&_)67nX{~D7olMs4U(E7o@kWSwZnI=lNw_zpT!T(|Cf`4ORdL}end;&Z-h zR=pHbvf$D-lSxGO99U=}is z`jn(c|K?N)X`%)oE!U^(Z2NCY*v=!k+2Zq7i%wM^_=y_;oA+DX_^_%UZ09iwzGK>X ziz(rW0-|N=DFc}-N3g&j{zUMqKvK74*wCHk5hp&cY_3h+x|x70_~J;9!q{JTYkwUj zDBiFsuDv#W?$)FJ#7_n3UScwDGd`KvXKj7@{@{zOe?|I_Dl_LKWtS`@s7H8OCQ#-} zX?3_SUnl()Hy|yshb6%mX`S_cBFL-q`nLA!ICXRf_J&r|KI8j=I=_cy80&@08t+7p zr<`z^F}79hoVO<$v9GSW7eBv}&nfD;X{`>YX9z@ZxYx(o)e!I zb{WtN?Mjn-j(%;{$rB;Son zg~wn-GJB7PdD_I2vFneG%(ds}&C?oc;P4AyGzy=73sycr*D3iDD)_vEFvyis=1%K+f{gHq5kMf1aPu_#RO2#Rz zqiyWD!qc7y<+S3d+8qBBX?6;(%I~S;?r+}@FgSk*(hA!are9`4#fnz(T6*=kf9y5O z?d$Tq0R!^2CWc{^0!_$S`si7O{=CyBc+i@Ic95erXi{~eH&8v%uCjz_Yizb$(xulz zVCQNM4DRM{?ItZ#fw4Qz^?aCO&JM%Ywx$+nvJ?c{{x0C;EN#rp4zMT+w;od0!RKA1 zQ)it=eH_$LOsDGifsR5~)^*>T&r<)Uv&&nk0Rbd&*y!LLoqvYgBkD{jiC_-iEa@!X9Bvf5SiXny|0 zbB~3enK)L$evGwAE?bzHFTCX+>pZj_xCOv#6=bUlaiifr&@9b(i}u|Hgf( zo>Yh;cX78!LyZf%uiL*4lCi&7anP5SzN65tVs+b(+Yt9c4*JhDQqliJ7pU+i1FWt^ zws|#?a+lG*8M^@}1C63Ok*W5^ac<4^eQVXxxsd`@G!3ufH+@V`vk_r3xIL7nX{_a7 zn^vp(5ou$O4el7T=<<_qy*FJs4DJDpJcPPznneM z)=I3%p!@VjXhhora+BZkqng^h^Za+#h={w2x|j%yD9^Y8-yn~kpY01zhmHYiafjMJ z+ArYsjc*Z)V=1hIRUeU|CfM>#Lgh{_6EDNd>bM;dWZR1J8%6$`r``0He(1u+A=71y z+iB^lGWbCF50|_y&vid1W%!p!H>=1Stk{onFAY_`u9%#V9g9=i=8(ht9NKk~KQ`LU zS9UJWx`w#*l`IFZh7h`}zQ+T*cRYT67KR4fq-0rm+(%!uP)>YD7<&a{sa$o7xh>q; zee>@(ITpjjl9uC=ysfps@ZJPne6pZ|ly2QvxsR4|U|C~9yzgRtnp#`bR$d)}dLG=ZTFu9X=Da~1QV{Hp-!B7t1$Qnt3C_J@ zi;dxOn8PrmA7pY46AcXE7Q_7&;>YeM~z!|gDZHagoX zo`Q_y+soQC%@w1G)0NLs5t@`&%xrT~hfB(%NA;CQj89i~`o!dSP-x6l2`4Ajqd`ph>c4&0i+xx8Dcy7DXDGO&f^L%_(hDQ?E;rh?$rHDnWP=!u}8 zhr6OnFI5-(l}_C`^^1XpW@v$ry5y|v4OR8e!pU^}8PS%BNpBYwMg(nIj7^?B3(=kx zW9u!mkyqyV9CWR2=<_{872EL}+Hfh{g!%H7j0EgR(^6N6nF>b88#K{rqVTjNAuZTBKu&g3ZlW7QGX{1Cf_Ex}5> zWgANBk?rhdAFIk<9N@C0ty&LadVlcOO6xty{iJ#I14V?Rfw_6n=gDO6N!|*EWhM3m z>hAI)R5wgzEjDEKKe!cf22V!MU;%34Uqh}|v;TTVlqt~gVZ=R7bzZ|hfLljF#dkx% zIp2otNYgMVGb&k;=RfpyG-EYy5n}9S;`VYKPPh9VcpB2w^QB>~ygl*nJcU(E_r*pc zxv7eA$LsmjJTX_*yqEdPuW%&!N)Q+LxhhdLril96L0iZa&|u1LE9qM zFFlV&4R`CcDCD!|Ry}!CDy z?#nA=YuWv--0r97Ori7wkkIzse7|Xd*|GD=a)#okJ0#RUOE&~ zWUpVi17;i#Y6opy-;9*YCcdV`bLY%wMax+{Qqh>40peLNic31G&;unU2ktr2@_ z)09QQZ=s#8Qpkw-ifz?bb-jHNDgk&+FG9bC-FtsANKoSUC~`;D!gaErXCnNX55Jlb z1UVxjVK}RAK9btk(8n;+kPgE=Y+6}iXtnGzyHC+&`Y!70K4CM14lkxkv%DWT&ebRD zT>W70A*So=W)sT>P;HkLmz%M?L%YcUt*8p6{_(G5S@t1E z+sk#zoqz7biU~^;>ei;%+hAj!`kcaww2Pl<#NNt%erbC(_>SU=quclc(D(cStB3;z1qK4n)40{J)0r2#j7rB0FGBdU-6FD{^q zR^3*h6?cce5@Zek5Ov>{{`vf=F6MIaDTe>UC+LT}r|KNNYnIq(z1_Im+pj5n^n#Xa z8>QiIls|i}giUVS$OkT{@&w2_gkIQ#pL8NLW2Jc z&;arRF5dX|o;;o(-{@DU}R9UMJ*U~9j2-F|Ol74-= z(~M_%;&|DWhYw%eMI!|#>gzeG`k`y)wv&YyFLoX4h|CO2sC(EisIh*j@7no_lCR|{ zc>WqFs208BO*-&6Y^QZ!_W25>2fO4y(yY`&htVPrq_+j4&SQ9PS&FnCe#<(JvT*Re*kg=TVGBOZm0a$H;-|Tt*}Z?KgN|lnHRA5#k-p{@Dnc;3!T)( zhO}L!JQyFl8lA?dMLjqUX2Y%{y81i0MNGm}P%(UoM#ix7PPx)4gb~c7<~ijBykKAW zLHXAMYh&l8xd|I*zxFtU5O>nvx>>$fKF}Tz2apez^&=TN+1}uQJMvN&PsN(`paG1wN>(bSp)@ff;jv z#MlF@bgO564cGpSQ(h@e#{d2EWt*4S5wW87fAdwdxMsQ zb58KA)ndW+5^R>;mhEd3AEW^Gl=B9+_N}%|-bZ?e-!6G--%@zU^Ty3b>kPpVoP0EW zupgX4#yLUX;eWIEee3vZ!rzZW3u+@4B9SE(c}uKgw~zs)DSMAtxcH*>stUjt3cNl(3zFH+&^%Il?6X8;#Nq|{#Nm-P8+M2>EzFaMf>FoLA ztGu16XcO42;5j~O>irC{b{K!Fk@k_68IR8R=(EQk)|3}1x#aBakS zCr9yHmO4c3#+JLA;V)prT)Z^#uq`f07Sl|JBmF3Ukq}wD`Qq6nxy4lo3~CbtvRE(6 zz;`z~z0A|It5A|D7|~eX4c}4A2<^1TUsQrPr{#BcgUe?Q(kv5YeJ|eVgu|fR@+|O& z*5jk_x+sC{$nKwTSR1z@_XbpGu>Rg<+m3NY{ue(o_GQ;KRAQ7wVe~xGH$_e6pDaoH zjE3vi65yY^>JFverq^#;-NGPfkO%}yvpCgPqn+J7})JciXKHvw2x>MveEh_tzE2FXGL@LT(CVaRWQG) zbE`CEpIh>#wzQhyx{PSVSEChJxW$)OB+6pjL|8?Vbn!RXdowYZJTDKYkV2PQCGL^l zH^bBWI^$`BB2teA!(k4*-T-Zi{+xBp(dyl*Zqctjp(<;hS|{Pmf%^;W%mFzgW8kNs z^fd<_$&20QS9@NRuif^cUSRplx`H9C+FQ{3C^&ncH9}WSYUZmfcQ~rzwVgEa>(W-U zh?g~O)tF_g!56uNaPMbOsoR$cVpWY6jkjDi+pj$Mz@UwMvcVR#@yeJ%q{}X1%vy4S z4R)W8lkbvr)s6D_#nvM4(x+7qZj`tg3W@1Y;x2lt!d~%l(aqJTYI`Wc;C!Mi`(Y7R zXX+=v%bG+|UCLpDe6n0#uk1$qmxAP5P)85aKkJa+e6~)s)`hY0TQDc6^F}^#6w=<| z0pwX>KK!aQdaw$9ADVm^yMQoz4tGCpTbb@~JMVf{Mf-r+QO$LjfdJ?()3Ls7Q6Jg;6|LM!k^596Kl)x^kbYZpzi+tbG;%6=Nw}yf()!Vc4ofbosek$F{3H4t ztpn+?#bktL`0r5hn+xB{#m#sJLc_y_Bi1Ewl;L-_kuTtqu4B1E?c?=W1P*6Hg)Iv zcKj7;1l^T_%?Mpr<~UA8>K3MSG0+I4#JM~Yz5=-21>8P$P;qy(`Umw$->Z!m>i#kB zLs+2Iw?R4g1^#(0I>8h{*Ogc`wR&%a(m(T7OG9Xhz4mNT$RS>kFi!|z z4&Q=b=TUcFPni}-MQw+b{}_ZNf>e}FQ}}17v>#lX%rs-bqEA@MF)uE*y5y$a`J9ym zNrhZ02N>(l$+hGu{;^gV8}Fyr8`xuk9f9NoCDv+20g7hpwJ2U-{xUg;`eiyW7m0-2 z#kI=*g6Ul21YV~_n^vn+#2|9C#G7%c zZAVN&Btw#@9_pmx5{afG*mXO4Yp?FPBALX4Lj;nfH$WFKF?Yk}L~&R=!w2uivC2{^ zpN^k$<6n?8?lfXOkh2o_+_vXc3N_~<00WD7%teayj?bB2ukqa!(2n?UDF{!0Ijq@+ zR!K7)zo~|@%(dPAIe?Z7W91|OmYFpJjnzyl0Wcw99%csUP3qH637j8ADTe_tU158f zf3b1{s`^w~_-p4Q)*nhQ-$BdwsG(MhI&PZzvDQ${dzI^{{=8SH4|C<(CSZfYAYd}S zP*5Ikbq;i#c`Go-SCA@^aPUL%Jeu(iMZzWWUDm|Lc{F4QHMNmNH$vrD-ddc_RRM$? zo`x147!p7+P~ugbYBV(ND$d)fdbh-MEiIYka8M_{4wBSCs;`5jbdWdKLB4lV)%un0 zK?;^Tm{VKP-QK+an&j#&-v3mDd5iD~8~;IoBdSf7t)?_7s)FsG5 zyHK8=OI{kfKCB)lAbRbhF+R;=45lM$D(?DBpQ?KBzqO-)8A@D=Kww|_H+oiqyta?m zgCr)oKbFmbZo=wBRcY2>TcQFtIK4mG?D-pm6cK4xbBcRU`bTas*()!fntlIMFTQ671D= z5c9=at+IBfkDna_kUt|}Ro6ux{^u7VNNTS)uVzfSqwQZ4zOZDgnm{s1tF&h8#PbSu z=u$X>dqdLqEArRbYy<$^;;*S*fL?Ve?loci4WxO>RX4JgQ)%vt|FQM)MzaXn8zwAv zhdo?yEe~2$r}xku2Bh+jyAx+ z$TFjh+17xe6QuVkaPZisaFP2t&x6_DvUOj)|G<9epPE2q=9aVSOEEg&ui<}c0;4B~Gl zH>!G{6)a4Q3HBmA%i6jlz4$ovC1_DK$ai7`b3q4EQq(T~p=Ajze~>{Ukvg^dH+RkM zHUD{-(>IKau3sPgM`+KHD(|*^<#~K49LcVaI6{M`8mGg};g^aYHqA}wP3w&T$M0ZP z!enB)s66<6T!y{_N-`W#v+{OQTL@FmNjVALv5Mcqiczw@s@8xwBJAg!^A+vy94KBo z4vwo+g_!R*QKWkZOxngZ$j>Gnh{|#n7}VAe#wAu=V*9Vsi@<6>a8OsnU2agILEcau zQIS5&C&RED+T%L2^$@OODnVpHETUKL%^;S(>2oINFKY4)C0vJkb)DP$4)XH?#%>*& ze+Wrj6X1}$4*7g%-p1VFbEFvgT`}-J0eYQWTa3tPQ_P+P;Q5{6%8d+X_`P8o5_Bij7W`#v3yADv?&0g*A|J_dpI~k*m+xF7Oe7EN+|a zs!xRqaJ+9e+EZk~<=!$jT<#qfZd<{G;%8yTcubiN06bwJd@P2vTp(Zni?Di4x%Su5 z?ln|%{XgqC)@z>MK^8u|HLzt;jJ(F*nS1P)ctftWE{`eTczMgd?BMl|*$Ga#5Sg<& zyMqhSnLjFoRvkNk_)BHv_4##UYapezE#+fsyW{VJ3%M}4w0ZmkGfbDzVjWPypm`YRpB^uQ0GD)xAvGrq(XL%q4DDjiL= zHX=zxQyF_LlA!wTE@iPKWXe0^(^ol>G>$BtL>Wo41n8UEAvB`|*2)O;G70$YkGabEg1zFmte3wkRm!#_Rdz)dyWsRz3u7_2= zuW9iTnn0K~_+FXvsT$gUB)R>?>~?P_eFsNpVJT%vp-L_YudRH#7#$(xmPTUwP+7Cw zOUo8<8XissL##+4=2Y*Ox2fm=vEG9=z3+};(RAD{Z^^#g!$L>h!2GR~qHAZ0Qx`xM*E>L6z>r}C^DG?Z*X_vL=UpUz_jPVXSg+C4%6>)xj^k58?ddk zXm&i=N}D`E(>=?(HtU>5p^3N@NTqW$*jA5B|M7_U_T_zCfc9cVc+|u`_OwJ>W4P$| ziChZDGFy&sA@msFTCE@drPXhlXMPy;7*zuSdhOGw?0Tn!sLG-tguU8(vhB01oE$)? z=;R8C={&@$iPBurwJZ^&cd6V2A)$Xx*wsx4T%_HOuI_CiJ+YtYdgq(jYkz7_}Z zXXr!yn4Yl`xwR?V$W2hYu*#38s)uj*x&kAoz_ZVB)=`A9Z@4KKoNmOiV31it_S2#y z7aE9pgmYl;RwSHjCijjxB7Qt1bVWMw#RDzYj(exE?#+&$*-2&E6(tW3!ozMx)-aI& zeIewnb|tKWUV5%`kpE;HMcp$B?}g431(#|M`QXWe5Y)?ySG*B(^N`HtLdsK=1p|3m z_ZGv8uv{9n@4)N{@@^978&Z_{+iC=&14tca?F)oDue%c4&EK>-uze#99Fq}j1j$6l zVbuoIi^A{b?Hw}iU&pG9VG7llBNtEL_XXbNsPIB?dxfSXd5Kj=>*k`%_ z`YZ(T%@KDq%PUr2JX<+>D)<5}6RXN$5Eq-64eiW4PkYDwX*i zRZ9q~z77dM?(4B_iH-n0-?3-puL+e`SB~t?)P_UI;~r28i*tw-R$Vib*D${O^TN8D z1GNL&C+@qk#|>e}z^?hP4}e-rKf zG-2@=sXax=E2KEZ#Gi^y1Ni4E4Ti1EUYJkRzf;~H_+CAC@{!|zWLfb3^C-mZy2hNtZLDYf zI2AA*Ztud!>4~D$Pf`E)Y)K6*H9 z;X;126Y+luu5rJGM8yq=&P&)=@Ht+eH&9XUO~GpFOGm8&e3Lc5sb}K>4k3OL<4_gs z1wOb7IVSGIdYd>duo9;@0Jw4|{?GEf-K&P5s9Q-%X#?!*CzFrRh}o@NvXJWzCPoRw zQzvwPkY1&MT6_F(xX}tx2fs5-aoz#{lwnnTv=emZj_&SbcLIOZxPz)Jnlj;l!Z5`j z2LoM{&lERb*V8<)m1IvqW2eR~TL8&=)5y^h!Wp$pusv-AdI%f7lrN*lcF6{Ov${59 zDpmT8?W@0dnX*F-O?pvrLOnF0SR_mVk1glDA}1Yy$EVce&AKYB5Djt-{9F}Q9*wkr z{I@p}bQNgwj6aHV-B^WYKlX&2c{>?<78G7+{;f(m%CY)B zuqD*1-rL})9R-viR(am7Rz4@%X16~9wI z)@Tb+2N#e|2>E5)sH{dLbL0=TujjSHE)OL6)B06$_w|G(w%d@po@-{U?fAE=6g#jE z`UR{NLivWN^rY;PN!-)LhX@bL%{|w!wr00%4f*%#8feHIcXY1w^|8b2q@2Fmnvs<7 zQR@-bo<)xp$>||rJkfQZ%C_g?c}+{e(krfU3AizDr`YLEs$>IJmx8Q4)rwwg0(I6q zyc@1=MWC*W`)ZF5tjIR+5D&*?^Lre#$f>aw!_U zeIMi5g2EST^)II?tkd%MZ4hlqk7I=#6qaPj)&VkEn-X=v2*;7NRL;*K(7YBfxMl=t z|KP}VQTM>#DuupOFdgbE#BEWk8h|f)c6q%=l=WCNJVFr~ud5EqKIYaT4Gj4%`zx?) zAn1n{fJcxpIwKj$nwOC}uz2q<-Y$3iSj=@V5Hy8vVRB_=c9lFU!WyPV*P6FKsU9Ih zjw~&Fa(l|Ay58AA3(+^dZ4_IU`c-?THyU44P82NYB87c}EI#B|$pFvN#QB^j-oA>- zKEr%q~GsZS=~-I43gP))CS4{DSZN20!%vBMjpDXXGlbtK>8i@3vKCJ5$i3&D&4hZE+ z6t&;!V4Z!7@moNmuBNLTNuaJlyRSL??K8=1@YD=DjrI zq@JorSnQZSPl_X7(2fw=2g$rQ8CZS!wuqo6lWMRjeVrnrVM}OSKODQs64pANmJ=u} zog+ZQU5;ir(0+@rDK2{F?x|M#3%J{x`2FC4v&ejg)F|y~^S2Hlxd?4AC5u6xJ)j#P zeT3~T6qs=6i&|Uh&yfy6JV~fw{~e+l@@Jrpg-wl|?msZRg6c$G{YQiGRf4yjhqK0{ z;GBp@;YRRoW`Pvq&JO*Ri6JekUd#30kOlQ%_P^JhkZ#_12Qpz=PvVicVKeSr@eYFk#gVah0uUa0(%}TTnT?2xj-d{G z={)7}izEt(PKtKeSpNJu<}w3{U&Y*C_{z%jyPnR^Eh}wHe&H*MXXzg!(~R2eXP)MU zbA{M4BWh|_|Gfp0**=E919fr|njLrbs#wZ>vWUZkBjJ}z$=T#c_uA#JC{M*8VdU!7 zdnri>$kn)Gz$pCKU(9^)goE7ii3NDPU;^ABMl$i_MO~FQ%lYb|N^ zPY7W>bNY^MXqk@Xbkr|f)vxH5AD5C}wz8iaFPM=RJir6C-~%)AgH3qB7QEm@9Mq9IAw9?rTCJ?M}>C})I*0Y%Qpur%_*bew3x+bN~3=fPBguXfiI-K$)Xd~P- zenGo)Zp-apE*hYeGC(QZ;0cDEyq!xsku~QqdbDxN7n$RB;$shMqdWIs$94wa?F<|5 zSPa$aw3+J&o9kGN{1IE1AAOdSo$ax)x{$K|qwF*9wp#gH%KK4V&iq%pf41jm|FAk5 z=xn~i>@G%&LDI1hwYy59dd3)c6N}~0svo#R)JW7$#SM%q4OuOo==2l?DB%}FH_Zi{ z+NOBGEh3b+=P)R`AtK$7KHZQbv%rvz7l}#9kMd%{&$MRo`MO_lw+$hg$Rw~^GsSH1 z0W^fV2N6yonsX&KE&zM7rSA?UX@NNM9>?{L#edI|5vJ!!;zil+8&+1_sB#FLL10ro z(>rP}kaY>f`kQPP1DYt&;)EYD!5f+324}gYs|=l&_Y;!$n~?XLSM&p`jIS&;o$bc~ z=!SIZh9sEa4zzJD&dHz@tP*sMrmc~|W|x%*EP(a|AM$|($~;J4?I!@gHB~=~=fWBM zZz}g3=OiK9SPoLjS88q$J92lPQ8S@Vho;xNLBfVFl5+XG;=bMHX>jK9d_3zGH*pb) z)n|gVr^JH!`AE{2pz9|N4ER$S{Pg`r_u^GAbmivd4OBT~2v@8hch=G9`idaH8*Up3I_c z7E-7=KDiUmI)~@HeFn`_)q9s#U8#8nJSZgxPyp~Aj$-o+c*52@P@RB*(kg7DTJ`&Y z(~}>4rQZ9|y8+=Jz27tY37R&{ulSPkaCW`8lO=eyMFJ65TZ&R1u_8w z5l1u6Natc4daz7)=tcs`N-ZW>vMUWb{m_QSu_sVqhkYQlzcML@)E9c}s4QUPE2dQ) zNO=rta=5r zy(cSmm_-!!)eDDYXM<-myA~bvmZVc&2DCPpTf1iwe@M8Hnt6!r;FBQ7kQs~#ntr1q zl*x!tKwS^-15Ef+jW$r_*j73@*UIrU-oxs^v@k)UKpCn9e>#m-_$>Kf81JvxI zL#LD0Do@bUSDitKwS$d*HcxddswL8~+u@|~VbRWQ zA#(74(BF9_=#YZn6pI(wiU;h@1Ab1g4EN;+SMvT3k~+Il4Gcrulj^>M8e#xDNB*~= z%^)|LskQ!G_vIp{8VUF)W$)wOoPpGSr6{HEnnQV3PC_f&q?aanGjIX+5|t24+t?5C zyG2nIaU3~(8hIsS&YtuuHx7p~j1YXefbCXuYB@#e?Nv+9Tci^oA73QDm9;285k9Tz zR0I8hg|CIHCQyd;*JGkVLBi;Rgg9Oqv}uuC>kHN?~pq-8=ZEN`gc$~ zKIb5yEjE|6B7FI=3Um?t<{0Vz4kjwr6~=(K6?U9PEE0y9J1!lm0R4;Gr3;9qD3 zs@>;4ESSCoJ3jK$k47Pvnpfhsyj7*Zaq95J%Pd5$lZ#+GEHUAAV0pu21)D!MPVmNuHV6h8I2Y+5D3VPAc5y#)|Mw>m^X24f&L^funT{To=i z^X)6P-^!Q$+Xc>K1E;!j0{qR!v5SeGsX}LgsC_WkvZvJ!Tn_I?DT+88C~|IN64Ti~9S80K zF7D8UZ>UoQ%>y4~f*Tkw`ORA_V}>)p@VGHQA*qDUBM)YG zHzR>+18;C@PL~s4)R~Sw95~1q+p8e^p1i*#l zb{XMFL;Ww?L?~WjP{%IEvM#JkS0Kv^#VHeqo5R5cm1&-bhIY6^y!mWk^*ckYv26s| zccN`4QQZ{t7)qc?!i2A){33<38b?U1sperx=9nC5**Wh2gJ6p&45 zdYWe!t?*HA+;7SqeU6@}kqEpy%7iS=j}8RGU0xjP>35!eI@PP?d`t#av)dODj1sAQ zh_K&FdJkF$v9Iw=i13A`f{3CuE=b2Q*-~Kk_O6{q(|e%lQg6uX(9LzjE_bM?h0_Q- z)#P$YDuQbP6W^U!9$J8o>R6JAD2h5p1*c(mV^;?b2u9r9SoSGS;1o$`kQaJPzfKMC zmU2j3KR;;4Z-KroILUFZ4x_cV-4c2%&7x*OjM70oqz}(NJj9uFVSY5iYfMf!nvz_4 zDxoQUx8K25O(|ih)5mxE!(`|Si&pT3Bz&tF1db7r#~e807fHe!iqO!kAxCjW;Wo_p zgoS=8`VF}25(b#|3f%NRWPr!5Xm%*_#QhF~R%^7TU{wGvjHZ=c9ds_H4B5+Av|5o_ zf$kaA_OytMd#I4G^@tid&%7TX+rM9M2mpJ9)-&Vkq#lO^{M$O}?d(s6`!;gVu2&yM z)`zw)^Yzf5P*nE0e>T5Eag0c4^&P+V9?;@(xuVho0&3g(t=Biw57Q_btdZiqa&a_7 z%PBszyH_uMHG6C=T#GRQ**DaDK_}9jlT+@b`4s#7im(CewnKyCKcqi%BuPA%2;0e_ z?%s`siE^IRE)VKDoFaZ=os6}J$NAs~sGMlZYkD#n58+tR3~23XW~E?snR1%-A318MRfcM59EA? zwN%LvBIQX0+X7*>%ki0eA`1TLY?x} zF1P!K=>*eBPCn|Z7mMt{juJ{S-jg{@tjLX%QpcDVO%}z+`Fb*_qzApI`{yZNLM3z1 z^m#{jp3cA(u_Og?WOwB#P&30&|6~4*UfT+$i@5r11An2p;B;~ACEY^!63r{8~U?On|9*@8OF~?@ZxIPJ3;T@<`g&?Adjov36ExRuK6D z?8jFFb^w>YprD+A`bJ@HDz>(O*ygCP@}ps3b!H%KOXEzuDAo>rc9G*-D+Gs}fo^P; zC)oiS_f-%Yvz0}qubOUi=nqLyYR21l1y^^v5%r?XmF?Z+cO2u$^}^gptAB$5`^cD9 zu2p;@+G5wO8S2^BO}%&q@LF15TJex>;LMNqv34Z%%8TqRb6r`A#S4wq1C_-gqy2U= zWiLp#8mlYY9yjuZs2Z#|v$}Q7N@Hf#xp^f-P)_a?Fd9Koi_eVhz9C0peWaR`LqguP zahMEgwrlB7)!drD4+|h)p{$68VykS)J(v29K8Q>nrFmcM3(}a++UyA%76pWJP9D^@ znh%hGT1OKsa1`DrFl*2hcm+*4-nyh)Jt}OaN@PB@7qW41KbxMfubzo-PNoXSWq#&9 zS^hEQo20tE$8Yc8u*}rlKD`={th_?7%o$MbN!zNG&GG&&Jh^)2#2dQRQfG6Rem2e* zk*bXYBnonCmSbLGNp%u93!W(E=IYIW=9QDRXFv?PcYW<31KkY^*eCkVNpn+u?|gP7 z2^p#m)aM9KhMaYyb(RcYe*TvXa`)?WDZXb-t5i&4tr7Ak_V$BnYVZb$jtW`h&g~4P zr_$W&;1*b_#ctzp+o`_xZP@oxU^=bQEUXJYyHvuR1Z4mHsfACiRXs02L0ysuOUU{U z`GHd}fDyDqzON3AUv*M>)$aaOn_JZ6SYSFo)YcIu+_6YaGPU$G4+OJb0LMh1RSm+_ z|KkZv^y@j#=uqp5!t;qhmrn)7~XCZKJvWkvLD zNAw)Irt970rXrM*+<}CBlH)}qxoUsU{;Y>$r;qnV;?FD&ccCtp>9oT`oB@S1p%`?F zgG#XlaXcOa{D`kwRW2PkKsU910H}~G$2exANGSVBf@&*J-QS`cjpT!Tc1CoTp4Rb^ zrN5zdmugrD`EX?d;P?v4*CSUlKZPvSK1GJrzuW*y#7V5kdx&k6cQ}`)zs)j|2eBX1 zRL+CWEh3a_?E!}L?+Je%R+2ma+u{I@ubiXs?(@^_e`lA`HZYarJEYAhH$?(?#o zRu1jfnM^NM2qmLkQx z4!IB&s6EcbDv*F6;qq1OGiVn%+nVOz#Zi!+!ZqWiCNe#5S=Me5wVCd&slpl=%`bl4OW z2B&2al~LIg6{0`_39Fi*CXQTGn)OKJ94`={gY#2mhADxn@fYluQh4_Bi$*~6jO4Lw8SzuGo3oxjupu>S0t+w zjOFgz$xG^X)rd@H4HmNk7P-j;y<=&a8(*LK(~WjI2*EjsVpLg=D2U8WgV!B5hSX0r zWZnPKgQUi0Dgr~3wcMDqS1v2Qpt;zcF{F0!cg7e}wRzKnpbgrihHKBy=*FzwEK%%X z3=RGEZE!y+=fwN0)4i5+?4EX%gqS=j{nJ#ocItLncDbmk6T)M!7#DA)%_vsUT#RO| zuPO4i++I&p7Lm!rL5QR5$acFS#>kdc&99sgqnCRTy}9 z6*@(4&=^BL$2xG0<%v*P5jp+w#f|sWEUpEmcaCpJ0dIdX_xMmi+O=uq%YRO|rERo}s> zmUVNcDZ@;Th&}e$h1JEV>|yY>d%;^&rVFP^!lC=(`4pUTQZw@s>yM4vzs~$Ws)_Wdx5=n{L5ed-0C zbaJ<1<@n7Dm8!$Z>?(&Nwu2ob@xkRr!-K9zZ2At(tyq?IdrjCjUF7lSi7orb|7+f! z6dNCT_eHuvpNrtFUDm$Zkp#`!tNj-{>iE*#wQlu!GFH3zDka1-NtvVRj%LjWUhRT# z>Lg^E(QbD%ly=`P#lUCM%564i<;;d2u||*C(CCt4{@1Yt-^&+13%BlYIF-JMf2_Wz zI_5z9ddkk)*1;P?#F?F{OSw-e_Y1#@EjrUPb}z{&;;{y$!^5X+^~GTa_ygPcnnK-B zG(3ornZEw}Cd^Azl3#wnl$9Kwx~)*MvULMeFFE>e&oN)C`d$TJ2q+X4o~ov;3?9GSohB zyVz>YfSN)o4$Bw9uJ`pMMomuHjERrwO@*Hs^F@xQ$*AV_MCxmHP{$SVMs9$S!&@Z1 zJKHwavh1`jofa~*v-bG(TbWhU>fpxS^{uTM^b_*mDxlFV1xqth&zPZOWs zw}>A+Z>&_KD`(BmfnK`kk~Q==d~2nQHRxO{5_|JSPA#uT=IflQ=nf~kU!tumeeTB7 zl;H!W+f9o*-#c)oBKq9bUX{NP<;;qWooTl{PfgD|wqvFza?d|za^}lQU!=ytj8-i?_I#yP_`y4Ki6e; z2|zp3m_Fujlab|vK|RRQvKwN&L9jqqvFv-rV9?~|t*m(_QgOo7BvxYgT(0Oqj$NUa z-|FB_)gfngpDc?joLx9!ju5fvR(8ab*wkK9d*ZDDknh)J=bY6czYBgQJ-TJcnduo0 z4JOyem>u9xMEknl{yCV+KIFnSnqkc9ujDl2J+de#dI4fgp&Bpi?k)2-=m`2g@vk$P zGnMw6)tD;!MM$M3jQh=+Docr??>!{0^<*bFlJ(W;{rf7!+i7e3Xs-IeX-SK!c-05f+wy3K7^h@eRd)?yVBqgyWwWaYS(u`l=-B+coB%gmBJ5VdmagBX_zL5{v%87DnD%+v*OI`tE_fl?;05 zJ$gwRoioBYEkjRX`2pDIj17?oQu>un4;yO2oCzZEYDbC!plD4O4q{Wo2K7Q=nH$IE z6WilGyRDUN^N#J&$!_BVlunNbS|t~kk80nceRd59x$BK}_+#+wUXD#OJEQJK;NSGn zG1>> zA2?BY3dA5n$h;k{jc-;CZHjN8J)lAQAA3>;dN&W5)LkYfk@&S3Z1Ot zCApjoz(w#ppM^YOoL-0nLq;NDX9?3rOG!4J>4@y?2&@6B0;WfIRplV!ux(xH;gBzm zl%$sC4)>JPxos`N1P~=nk9t&P_>Y>u6%OiQFs1m_rO+#MbFOf3vGP;erO^BI?y%aD zAG$KrS4YfxqT&ybm-8OsdGA$eA@}cJJNT~~RKvD;7@L~sS~l!#dn(~p{D>xrfvh2k z^aC;2;RHumwvFQra$xBTgLR`wZ>{&xAX7+V#5hhC-Nx4W7OcXAOq(Wcz|4TP3$CTF zKFn;f20d$n{Z~K(ph&2F8Zur1Wm)`etMtL(^T*eRC#hMWOF$Lj+!E2hllpfzfCl|K zDXBVy+`tBDMj?d=2C&JcYU(k)&Nr-@H{?&CiA@b{or(B#9hiv_Xf$^3*ubNQ+I=vb|iZY~HXvYK3scp>a+y(!8)RdHsy8mpQzATV&&_MVT(B^$EMb_I=}< zVa1N}x0;cxU0Pj>)_mk&BEZ+)tqlHqprkFd%k+?zNPry-bkp95^%!NHvhibu*bndZ zrHtmNxMpr$CEqh#fiuyT+Q1{oe_1u^C~2`axC2i%FvTp=2f}dFu@tCC2SN-?u8YCQ z|Cnpsx+ld;bvgp{v zMd?DVY22w4PJ~+Tda3p~6*Mm=i_eaWw&_NTW2QDDgQvtRXNg=v{N%>V7bnO_0axwM zh>UvO_r43e0=u1@&7^u8lcPuIdgIKFaIQ4@W$o7f?NF(&;QiI=;TwmmWoK5EHr-$D zUiNnazen|0^%Pe=>Cr;KaW<_p8Hg@TAp87BH!U``eX~;7z>IiR~GU8od zax6_vfMgNlVtm~0jeJN7@o1AIqVwk}wq_-z<;6N6T3qcny*8tjXKnx~{RZw#a5@`X0fk`-E~JoFDMKq-P$~hk)5W?YxVOfZ|DvWy!;eH z1V7Js`TDL6E3R{9zZ?g(A$M*DP*cdQ8<)OByav4%Q#*H)CIp>_VgIe@Toc#AoGYIl z>VvDkvm@N9BHjwilY@@b-fAVX`wzpP1-_MPJI6V*J^z9)isEK~f{wf)d?{%{daZd0 zctDQ=*zxnlg5C0BfhGT*q<0qO2<`TH9xKc2>KfS=b9*A1(4O{Xk#;-3#3&dkw1hLk z64b+q`cohQ`0)F6u{}=I06tg)0STAMV@Ie!9qUUEG>yDyl+OdghbPZDc?WHK4imM) zUEMy=TCV7s=6znJprTy2U0u=Y(E4?dCQf8>a*<2B`AEzSS-vXTOE+I6**_g|f3ICk zg2Fp1{l{Rb3p%wBLiC@;y;}hS^OfhbVi|~nK}R^=6%q>f8)L@blEgB|Jh~a`uzn)k za0oMYYK$fHm>`lIcfWys4+^rd7W4Lq|Z5=s1<}qFQUeH<2j>J zeePIPERjq?kN~B>9^L|~^dFSUkTQ)YV;oC20`&w=WQC(f;HY3RXagmNt0fIMd)j%B zdl;}lfnJAcBm~11sN4P!lm9e+^R;^F3BAPInKnt7(-Pjd=1V+X6-9IJ*Soz-j#(1f zT~b`JLbGDZ!sc&wpZOyLTIoJ9c_y8U2&DuI6t|~~;)9Kn)!)>IJ*AuH#HI$WtMk_n ziLR2?TQDC>>py(h%`z+K&odvn`5%`sde+Qp6Z~+MT$ZgFT&8&r|JTx%cme@6!6!**joWY{7(9jS2b3)-z>D4p^u>wx z;i$I&M$$*l^oAAF&D+^+AK7h$-p9AKhrHGo0Tq;i)g<#BMm5J#wQ-D@QcIeZHN1-m zEm21|s-t6g-UFV&t)J?93zNVW$H`I{MKRscdZ^$da^Q=_S z@>^OjZGVuXPL5ROYdh{^RVG?^M?Ec|cYnFNh%sYr0Wc&v*lktpjFY!!L=+{<0@l#pIK5#2 ze0Slfc`B}<3Nd(3A{AHDz00SlQlEA*=tNfk z+2H>GwivZ#398v+VM$aER#_4oDJv$k{N@@LJz5YDb3;s%&T$$@j(Hi|eXu2Zj;|q^ zlH3G4xU&Oz{33OL&dt}rsOmS3#my0=h!bJnQgv^e4Bg-L2tQ7qLPq3I$xkt$AP_LCQcDs^dK zPEl%XH_K*q@3ihWV@IbP3WtE^siQ1iC<{ac9Z0cBIkWoK@US^tc@nep$7Idyww&1J zj?5sd!O?hC^p0MiJ6*5y6gGHN+4Dp^&lUKB_)~`8+DsXOny#pUC-*9^Oq7(+OOPVv zJC8H3X19I$i7MM_*lllqSwN)M!F*_-1dw2I1%mmKx8PDR!6orknsur~o<5$(15OQC znRs5(FUu3p)A(hjtCMI!`_`K&@2?<$75ei^hoAmjc~2#tw+Sffx>X2NGLCxfS3f?m zJp>YwV^m*cMx1#gJEE37b+w9r8pjaaZElQtOgFD(x4l))rA{Cg&138I!r$nA2o0sD zMxCM5Pu!hZGPz-puPrsU2r}!MFt(@*kj`Eioq68DaE#CiIiLN;qFTONj;hExw`Z7@MZ#q(C;7!w7;4j=5SAGYBX26wW*+}KbAlu>GzGP|$tr^`FeW6>>a zZ`K|T6U-F=ax)r4-d8-2ihx~%1kZ^cJMc~1F#Y1?f8htn(i~cV#0QA*Qt>>pIyx86 zdxhr-0DmjWYp^`}Hgpej7RZO@MK;4d;Tw#UTEyry23#{Mn9jail)anf1S z8%coL?dAxKC66B1&p??*8UpuEaN4@-9O+?8)X1glkO1fG^1CzgH5pXlXL9ajrf>Xa zV-~Tb4zUJYCPY!pxAo7m5omszd^){xFns8`FJW?30K+!h;SB8)5_R3K_W(U@#>P_V zfI7$sCH0M$#88&WiYv*bm!trnJQ@heGBek1NIw$!Ja!f!YD!Ity4_U*9Y>^ija32Q=O%5OD=Pyjh5&^ATg~rRYnr(J_o1_%UC|)hv^dp)Rekjb-}k1cSe$;FtK*ANJhd1D<3zIiEv}9q7X2EDJ=h$& zpKNI#3fz{cF$J0d{kYBbjtG=dPG~6^A0QDF>HA4009EPg=*Uud{J@^i!{~3U$esJ# zSaXgei^9zE^8j-FJbW4gRUk-U{{Kzu|1(>Go-N#hG$FD?4S>k)|CtE!|Lg$*>Upam zjx$@XWIL(H4`7QvU} zYg_9=MO)F{%hNTpb}@n1#307|F~req%^5MN`zl1<40~F@pR-zF+8L}IgIgB%5LM9= z%McpPAG4!k#pEKPtOKb0h(k6#40!}Wv>ZTa09AmcjpuFnWgWqZf`3_7IMHSt6?pFp zIMHHdQ(~5_I?4dB2MiEI_Ibao*XpR(J%hqF{#bS^4B|zS<>b_hD!v)m%-Xr*-EcJ$ zR?)m)vqIWfvFfy0O~(WtGMi`DUstA}E^pad=u|!^JvOq+6Nr+R%?}=SB8fD1C_)RX zlqoVCo)>}VP2qW$fBt6vlo6}qlUnWh2l&xuj)CE(pqO=o9=d<7vDp;BfdvLUsjUUg z)D-J^l=@(s+ud>d6DCNy#FGtHnHE^*p6myBUKd_NsyIE9#`4BC_=6;iYIHN(<0JcN zr`jaQ^c2&5E41MZdP(+AgQ*k^D_gG8If~@wL5AF*puF-N_SRQz{`Um|AH>gq;qDIYc*LeeSrwo^UL;`BxOS!XCx&63etrJ6{jJYX-1s*H`(Kd zqFl_yf<#OIlf(Roi8L-7C`}-5C;5Sr-6^7mXuyIA89ZnRyGs|}0bjE!_@C0V=~E~6 zCK?#jmHgnF;j)5-v+IU~PTF0jwCd8QYs%)RiOJ`q|E zq^y;ZiCN2lFzy_de@KflBetX+F~uf*`kg-y7qedB&+bo~=`L&i-v2w`GkOHk0vscX zjM!^4C2Y?&uy zr4N~IldMNsMKvs+Z`9{>1Z*{s{{UfiDo6PO&0E-jaS9a$r+XcE>?tr)BOPa;ZUQ<2 z9M^DRTnURFnyBneAT)0U^!#{1$J7ZQf@m8EfV_Ip-AKA=$wzpspCj+Q(Phw4s04P$Qvku$&k=4otR*tSQ32tmAgxW49v~}s+-Jv$ z>6LZbiY>6iG2kNC5Xo-<3G8Hh6dG!@dRWlPOnW6O>n=MaQ6FVd3FtCqVA<78tx<91 z?euwJ>zEk%Duo_uGybW)$Q#dV#3y|kKI@C+`(Q_hlsVtWKO3kR2wR{5#!er$K7Zpwn*CWUx;(afaa77d zbvdl()huquE3>-8Z$7~e;2Tb3aK$#x)O~vBB|1j}K$RYD-qm|8;e{Y82$F+G5YG3~ zd@2UV0OCh61EUtOZQ9w0Fmi5i^~j}JeYr)DWyJdV?c_P4#dd0~?=-CLD=M4;G*<*jvJoVT`hEuLqf21R^7g}58H_7^P|z3{(8TR34A z*Ih)`fi$zrdD}ZX$VR>Q)2m{{gMc;HMh_&{Ig8Ll6ZhqZVUkt_4a}N6_O0^d_EHg6D12V90$QW$kCb z9~9Z(l&}`S?wRc#ed-@F5g#l8M9@v}c`GZGLCmZ&e_i?)TAV{_k$4bEUk5kat? zKQ=f5AGLaB;3-QAJb%5xplSJC74oh~5a3^rF2kHjfnUW^b`Hf$bGm{XvAHj;Ot~)jqVq@VVn&Q{<)X9ZGJ(*!UtK(NBs4Y*FVdR{ZrnT)P5_n!||7M&kea zK!Zl|Bm?~=M{ELpJ!;1IdP(hyX=s>0|fPT_u7+k3baJ}HZ zvMt~14keo9%z4^k@4>S8-`3j^gatB9(Fse0|em(0rI2;7FcFQY~KRA+xj)d=Fe#^21oeMn( zDrp|d=UH39|J#&JcU0snd!xJJ=kl=NDDs1_CUPJGIf2@Nic`r?nzx34x)GsVbrk%( zAOP^o13$-<#O)5H4y3q#)fnoC&=cLC53d8q#_o}eZnwT4)gkiI38%*SY&A)<7KN~oEWyK0?qK-f0O`jTRlMC<~{n0mQgBLNx+@T{V+6PF!OHL2fI2#2g z0aB}Uz?MX^aXQxq-2EW7x!k@NOe+5oaNmdv$ELs#)X;eS;Nnd%Z{2nCcWj#yL*5~r zC|@P1Xn}phvK3jp_=y;s`>B#k11iyc)4@{o90tx@z$bu*1d(DdjxnGzTftJyD+xH4 GqVhk%#XI}} diff --git a/cpld/db/RAM2E.rtlv_sg_swap.cdb b/cpld/db/RAM2E.rtlv_sg_swap.cdb index adf349da61b0b848117c06c78e96f876a57d161f..916a52871b763c3f8102d0ba949439162561257f 100755 GIT binary patch delta 488 zcmVgG7N+(NR)ICQcwCu_HSv zpy4MYao^^B-q@@yI|M0_V5H~GzS)_1GrNi7I9_lZXIc2v@53dtYRT+Mn_Kxlge|e0 z$Fxn1xSFAo@1nl;{#|}z*T+1y$p4r`n^^n&tN1rzQ)j5PDy#_i;?i37`DgJj0@|oe zOkKDWM_qs7?ekCKz=n8qqj7B`H}JBgm0i~rN+@L1*}nfSKv=4dv&@j)1zg>Jvp7Y+w@yID#zyzy8wmK4TQ)$WvI z%`!-u;Z@bq@G7qFpN^FQrl$WT_WfYTj(8_MQMxut*=lmG=d*jPu3z-bRga2I%wOL& ea?0jzJeBcPdH)nY;XeM9PR)8MJzoHmNCM_kmG#g7 delta 487 zcmVTMI!fxu#10^c9ob0% z4L=!)`!?_M#%68VAxMb?BRy~S&Cbl5*-erp$(-Xji^8XV?=P5DOKw-%+{*VMY>4GN zrfq7(H4K%0=k>Ms@A4D7I^wBC{>L=h#MJoo%pMMeu*2JSD>x(nsK|VBRdr_&C_r%$;>Ca{h8(+?#DH+R)ceAS~0Gt>oDjx&m`PIyl@D+GjyLa2hCq<>r11g%yn3;X7HevZ#f{?AZmOr?;{S9!j4#bW$I+WH8Oi2lbqXQIQ&-1}yl^<`+me9|-DM z80#=*=Jk8u_x|zR&vWiQ=kwh2oO|x~obxPpM1xfZq8S88jhkZuS`xN)UXLy>Ml3ye_9r^{NR!s{HB6Er}W=zk#mh_C(mLl zZ!4RBuG!JI7pXiAB9R8IWRV$Fb7-3!ypQAh*^sO((#q?dtk+PM;(&_$Wdrgj-V%Dg zyYat!!ngWlRXa)FztgtXd>UEZhNOu#WOs> zQl9>_EKURRx)Txxft3j0nT-K=Z`s08(;||_Y^T0AzsjV^Uv?fyKa{;>^}|xiPms6n zDK%RZlkMknePlQfRpP;woK>WHOPjaog{jZ+6XO&9Mj=g?PrPTPKm7lQR~Lq)7Kf}A zH@|v5>8VfDWYqCy^S9PFzdgBBVYuHv>icfxT$F=OB)A>v|Jm`^Vb^a!l%7XV(QyMl zrNBh&IceGuyni34EfMSS=>l4xG+zyhDl&Ye{iz^c!M4<~$=6>4vJqR_VT?>)lgq(H z$utY!8&!HfZ8^gnk{3}0P5aU~@ikP9Zcv4m`v*ec(dQ>o04t?_iQH%BaEr)a(E&xv zEKj-#1`Mfk)v0u4GQ<2pt+U2}n4r2zXOSlBj)YMxzUY^2e9uHuL1)abXz843yK~dt z=WJW7TO8+OI8Mvvi{)E%D=+hT;YTl(t zvyp4DH{za7{MjZ?;#3k#f5+P>KOEdxmEks%NWV=!qSsmW=m~@M>5&iFYOPrI(F*H4 zW2kb|yZRe{$MQE{w3JvTIHh|Pe01!2I4>{mLXo-kh4YpDa|xxs2b9voO~khGU!7rbOXxSLl|{qy3nAJttt zZeFNpFUi*pB4<1#jfoel2+6wj(Wae0@0=e7nuW&Ry-k0czWGr69$m<5mmLQ9bY7>^ za_0u~8uO>PIgy%8;rf@8f9Y6P6aA}!NGtnpipnE59_ z*U8BJl}7A{peiRf6EAmzMLBj6OK_q^N+fPa0mWDOAdL@1B%M^j~~G_?Y%zhBWCvVG&$Ryx6{F|NUE$zwhmN*}VfF zJt%^(;sD~k7X@^4Z-=gLJub6Uaqk?CridX9CHm*k8T|0Dt_$e$rWuOx^O8fj9>0KW z#MgKp=_>DibA@Sj8pm1Jhbi!aY4UZJKcU2t$FRx?WrXQ0oE>6Y-F)gcbWJKxA=KwA ztJ9sD*T95F@?Q)NdwQW!`!{fKrO58Z;gbwIW}pmFkl%YK!Fo|MA33Q9Iq(=$XmRFd zIvtlX3&~1{FE-Ft?qpvh1|&je_3K9GJS7OKtBLyq3HD_6#m=kB^zVWa3$?plPoUYy z7n%w>odMiE*Bg1pis-_upv(_${>bgzOw(x&zM1w|O**g&+g(vt5r69@V~DU?(2RAw zD-b5Hx}%VZ`1)Akt5K}a{fswsM)|)$@7JGnXpEP6o--$+&xE~aMxY`-Gy9mCEqJKd zZqFZ0G#gEp)6GeC`pq9+PJ!hW%%*RwkP=Mq=pQZE=YH_sNz)N8S!ZR+c7=6$-%tE* zqmcT!XTFY{)EGXk-VF$2$v<;n>>AJiRns%TAk5@*d*SYH zbldH>ujJq=z2>_%&Da7*-6lzN?HovuU$#iZ^hXlCjm)=(Wd5`JzK370zn5VTSB9ws? zH6T1JOE)+WT5K?Fs?Cu>P};hi-*cqItu&r(cUAICFX!hz^4>a^lz0rpEz?&4I9ujd zyT_!${#vCo>Al2!N|!e3uU#F+PT8F6=B=reouL^qoq-3SYf0pz?|$*zJ9LhXeJs`4 zL+hP^ESkUfA!B3=-zH}g6C(ZZzNO_*lGs;A(Z+vTb?<9lO~>^8bN1bxbaT7@%=~_3 z-(oFKxm_%bCn^8MH?PuiOSawh01E(GIW)UD0D0oCt@6%S{$I*W?2h8I^m6_!f?;;= zgE%_!YY{sMvA5p;lz(29zpm}M`#@3%%&xgE@wckXvhd@yJcjwb1#+LUUFf>c$Z{>~ zgcpIz)B1hmM-f|DAMU$6-;ct`c(#tl{iEnReXa1wDb!=n+sa>8$4^0{&;)fLdsw}u zGe#HT=MGzRnkAPOv)_69^n!fnIB(+x^4+<2u(YV}en8Y& zRb{di2CI@A$*(~}MnmkAyNkZNH5OuT^EIZo0)^m?9mR(qBDp%(7 zrr&C#7dNClk20+$b8XCyRdKVLDaf=2rp*cT={M7TFsI*oPS!7}q;Zf=Ayb z%lL4Yvi=?(u^2-BHcda#D>=p&PQWZm9{s2`Hcki4-wL*u9Y>;80M z$Ww!gek*I&D>>~ZbvDSR2DRisgH?_oYD0rbtyJ0?iKEu&NPnO5x;wiE_sg=jWpn9M zv}2a|hb?K8j!X{bXgICT^#O1#OhI$dd6<_cvy=VF57%W(d5kHTdy8{HoMC#S?n~~u zXi26X- z-iOqEBi2H=VkBA~{Cy0zY2rzi<{7$MW&p1CIXENTwB(6;_x?olf)U!(qL~~pql1wW zc0MGCel74ZzVDa0zTrgs0yzR#(lYX`Q7CC)_F+)bk&j1SG)Ctr$p+<^Q8t<*&N2cZ zD;>H~zGB*jAA4&i0sv#gr;NJ&M^_m(v>BXOoWuvMldt;FIM9A+8w~i@+4%ftpYCnO z+3kN$vHfttYJajxz1!~wZExl}BLy4EbUfLO!O-z^))v>>XNQ!kPlI>@gmPwSU82mt zzfVkW>dkJ;Nk|lR`Y|8huHz#j6|>^YvlS2&_@-C-Na04~7M2O<oRw8v zKiyj;lr^u#97#B>v#WM0u+^xl&CIF`{C1nf%<1`Vi4)-G|=pMrTRY0zH8D zz$e!iSEPTBN&A&~2IQ8Fr~R8NXh!)d`q!1I{wSE93)eeslp$BP#zbwX+QT(Z-3xBT zqvZl#{8MV=KWy~aiLtOe=?iZddvzth(|E*C%HC%_Fw;pJ(3AQcUSR5&7XI4Oi6seB zjEz*yaA?qtwPMMAKl1vG$l~uA&Wc7K`621u&41-T&+XIJyad+hAuAf{nNd1z*d$4= z$vUUQ=BY5Tfakce=(rQTPKN6H9c|wszV|@G6Yr#AV}0+>D!ww@2oF`e{^NGK_aAv& z$t}-?8$CdEIS}~^tDl#J#dMEw8NKGWoDJGW}Sk+ zxxbSVzll80x8!XIjQYS^)zD*Ww3pVC5KyWOeg&GBNc@uUnictxHnBz!m~hQkdPwgd z;@ySpa-0{^{_YF!Qq16G_L*x?_^MYA(0<9@`{;SfwE|#`*8=W1>~SU3oxEXrucmtU ztMvSJRwJq8Cp%y9obUFyuTW){RawMZ_5ArZtkn>m+wo;Izbs#Er!b#GnS(K>FMR6$ z(Mqqdf)>~(6Gp|Jmc6q=C{`5bEb&?I#TF`h7dvlwWHdBF>~3^EwXSzEviO~B%c!Eg z9eL=uaw7qVRT>|Hm8agH5>r}sm61J)eVbj({^03vR?EcVJy*%6A--@%o=q;UJVPr< zCe^vIBK~{R#^SGEEp^)kH_CZN`OrKtix#8Cv)`+E)X3&K@oj;3REn}|Z$?&q{g+dY z90%4fj$ilA&C22M9BD3}iKH8z1}yzP++L48qvRR-fZoU)t=+FH8UISl#*A*uZE^&E z{etb3``UN4F*K;o4)`9MAbDUDenQ%vo7o9?tQs-IOj;iLmz736?ojXO?WQGR3-c_tN?-@_LH& zmDpJwYnD6`eBxcfnw%?S@rL4p|7}~3Z&%_AwF}^U>duzTr14?J-!B8SUq6x`a~yC~ z#-}c2M@ymIpX?r1S8-#}pf?T*@Hgjqe;ipUodYG6OvIWv3EfIz^f`sga6J-iaiu*a z-eas_i7vmm@rVWqfbz*#FJHp{P*zCR3W?UeKxRO zm?J=etq2=_`m*#ICrG|8wD$!EF>{{;&Tnb;oOm3#7gG@tQ6Jy^b0(^vjR6aKNE$|W zYbFx|C~>SjAttoFOIJ5nWOWLhm0`d3Yc7yvq!mW!xwNZDkyl}2xqO1;%6?`qiEN+! zjbP%w5CNb7Nj2G5Tx)F37Gnm*QF?H60$vZri~mqiIefxhwNiw718**V1$C5H#zzf6 zgnLfP-wMG~=5DA>!}~wqT=*G$6kq|Fo;NK7_>EYUc?DRn`^(&m|)sy+@-z8x4>5cM)FZw{F zs`~Wp8u&5~n+e+T&WrW1UiX||N>HH_a=t_L^!Jd!E5bZx*)8D&ngfo3!g;#jQKM$P zj>y69d8vez8Zg1wpiHX&(u?@(C-~2My7^@i zX!wCH*{(sv5BNtF=f2oGzHwY{g8Cx^y8D?|a>v8KvkdCIS8`0QCVqH9y!#P|V4a*^ zh_ji1megal8+-r{@M97?YR<6>bsa_diJy>eFs!E66ZKfe=S>j~1Yp-n_$y@0^O1|1h}#$+F> z99*E~Ts)s*y4Qs2zXP=bU|&Te<&*MiAt{Om7tk_^c4IMzruYfy0XZ~BYdvkTK;n#= za(EPR^x&nWeVbkBHBZg=M;u|7hI&7E8KL-ZSrE!eWjH6oPYP#*-{5Fd`-QE#-p$23 z&+)rM=)x%qF6sn#?Qx28!>3Z8aftPMFQgrz?DxBc4?&dN`v|wUES;?&!?+I$HyIB9+_u&CWeJ!&m<+)cX-s5dunN)6- zWXOpkPT>6Q+Xv|X!|i1%4ggt*qslRV7%$ffU5( z|NN&uO18%w#hTjcKY6EV=r`0gSSx+4Ggj=IKxb9L9KAi2Nj9`10SLpz_sW>k*y>v4 z{9OhofTvpTJHh-z;t*aO7tideZwK%ElnK2hhDonT`2VSU1{|?*bpn+|v%L^CVcZ{B zG}ulM7MtkxHi9XnChXu3d`1OvS1UHrOgxCB@mR2Eyyq?!V}}1;Z_|H%Rw1-wBc9yY zm~sSqt4?AB91y2|y18IJ%FB0PH4imm(6*w+D$l$5i6Z=0NDW~GhpeY*smj&x6A_b> z8xJ;{Dst&cC54E&oV{wlx)D7e4%pX2FTgi8WnU4wU`dYd?u!ExNe1ihOsidXx&iiXvXh#Kg@-xI#?{#kYg zaN;KhBQX^+K?@U4q0JxD@_X;(zZ`?!!6)9pKKubrBGxdhPIt+P5Jlq9Ow_PdzmKt~ zp8yze;zOM4=Rg#a(9OI%o%qz3J(mtSSbq!uljdMCB(K6;;iMry49umZr$<_0SXC^d zg}J)&G3{+;s>9}5P=jG#Zge9uRC>KEDPaj7gLj!!@n8G!#=~&7)lU$~>j3-Ip+Hk~ z9}%<|RBzo!N;)3mkp45t?!-!bNYn)Q2zq~sm8n9c_arUKVC--c!X{fYJTug#hQK?6<)w`3r?bg=r>C`Ho)Dk)QTT{PPzZucP2GTc}^#PtK;cbs%bH?!CIo@w34iH7A+eDkUA#~Ya{$1D4(M%!Of$ySrWeQ0WkDyy6&z5DsuvPgLnC33P0tKwKK(i^8Q#0Irxhn^>f^P zw`g%YholgG)!_s%;TKJtY#1(krf6)NW$HGiYF zc47bVOPY}Q>uvHNNwWiE;c=N-hOT*7uZ;UUe+LnmgSiE&M_LGv8CnMB0$j3V)@|4Kx^ zx2kO<5uGT{Fh1AxNF$7!iFpiPWld?$Sg1xxj+8K7&y-j-2qo-3!I5jk4==C+GJS?l zHn}QZu)1{m;;DVg(|xsN+B<53%p78rC%2<%JTh@&{8|^MKr!Kc+sbn&qIFa~WCz;} z{$oNDaJigX@Y9?O&R)Ua@6~)F2-i=FgqcAY&iHRh=CNncKky(#?xjML->IioyyM&J zqB)nu+~I(IIKR1?&a}r06zX0YyZ`flxiz|KiSeT}zkmjX9xuh}yJ$+yhdEvn&3|&j zuX)fPJn#l0Ncs_k_*P;z$AOd^+Fi)D1=?sc1QkjAbGj+54<$4w>BPzimbvV>^=RD8 zU;Ti&A4IrN^DcUKODqBm)ej6QexORf@+3EL$-@6gNrCqQ{Y%hL`4@4X2fWWCCYvL8 zADTjL0P}s0Gg;AD!CVcB7tw@Yg{qDjo^vew_yP>F7<%<9{OGj?gFXb|Q0QH^+OX6U zJ_6#`u~8X4*fu?k>3$flJp4q-kT)wTjYhHVHnIIJQFU`Qo~uta7niF}TEOJwMoM0b zBBr9{-@|Y0!-gPQB5G}GVYnBTvg0zQHt?!T-;LBr^V$6}5PUTLYKkON7MqA68KuPo zatAhChYn%5J#j^`680~(YQxOnU^D2S#9E+e8of|UlWF#A(>6<#4-bL8p3xhlko|=zlr{Nyl04s1LzH8ZaxJp zQ~up%)Xq8@M=O`)2sk0H9@sxUWQdNIvX&LpH?;x&xyZZ(3{QwVM zt^VvI$dog|WzFWC0G2h-FN|=Ukui|Fz(lLgQeBl-;Psv~4m`2haE1lu7x?UnWM4eE zb|OCOCBKGO1o&i_QS)xDLs32>Vdj5>y01kb%v%o9)>^&sY*wvqSXAD1bApXfR@E`Mxnt|n!ruJpg5hJyRsCG0N-!TBx6kzRbyE8PXI0o(p?)GK>eIF-5Xq{pQ@2zH1?|`2BqX4g=!ge1 zwX{N_ZJfU)Ah$a!Tepw5|6Xl*9d6^tHyqwFaBu7QS(J^edJ)onxb4{c)^Pw(rBVJf zp9yXYE)B4yH&=pNjL@T&2I?`lx`|(ZX_VJ3!i$l9(a+1@h#mz{24I`Wj(9q!ptzy& zQ4d_BwcFtFQ*^*t)ZI+-BUEAt9CY$&TYNkq=VldYo4tHM{9O)GuPl{7AAnWl4a|Nv zTz7tceTa^NHn#Jr)*)87-((fi!S(aXIB zHFBy`ZG+I1%7Go*aX(AqpaCefWH4Jy)Rqu9u%j==zU4_a>yoF3xeluduF z^D`txX&GQU*Ej!82kLfu3j)Wyrof&x$4aarp{;eKH{(8a(1V1*v75Y-9~YClqOV9U z@)g9SEIO;X|Kd~&XmzpeNj$Sg+Ga_KJuF8w9P3VZTmX{nm`&c2by_@f4{rIJ)~ACB(|Q!=f@cu!JagkQ-;&mL+F_l$eFro0ly=F)&QT_oTd@Napu()oV9@s<}v4}M}6`k zS7M{1fFkc^k$mxppUjapCBkJvwvga&5@l1;5W+nfoPbvqZ<0boa9nZRxt+g|AK3^s zpU1Z8HSc2{qZdJFWLRua?Mq7hLh|zrl@8bx(%kICs_c|9u_?I2;Vl5w z;*58Z___LBeAB-JtC~W$8s*ni30lcH5j2#v?34?3@eb)K7d?!P&z750fU-;x-daGQ&YICWeyNIA9J6Wy0Q)>-XRyjWp0>au|`la#3Ym10Y6b zb^H57H(iITkV=|d>Kw~k1ALI6ke(BYE;qi)1?mVucuPUr-6Ll0NDoc3xTLcF&vEX{ znD^@*|9bH9fP5~MYWWHf-c++qpKDa>{&G4AduAW(23a)ABRu{SAz`WbD5u9ZQ*o&A z=Ek2rz4(}(k6GlvBf*#g&d-BxW=`o;6{^854kOKdeG@M>?Bz$&a>D@U0R5m*!o9?;;;@#sEjSKzA@Vwpy4Aj6K4wERr)ySxL3 zWcKDRS9?3Xb^v9Tm0(;!(poinpR5OqGDHzhWHk5BY0g;LUANUH)n2`rI{p&K*xgl% zB!nM|zcj&(+~XD}key~Rpky8(S_T&P`Pb{5#0e+fjqM^PGb6@+CvTXTPSB_GQ?w9m z-!E+Ysef`*FY!C}A#%!AX>i-@UeBv0>iYCM9Zc>sz;D)|nIb5z>XnrWRHkgE^U$|I z{^UY^PEV|0eoEvVOykteDAw7{r0(WMP1_?c*QwA)zfVn;en^Mxo^#CL+RxVChwXkq z2}q4B$;qRX-=Bpr?fb)ZlmRZ5%oqw{SZMk86Nzs~H`@U@gT-`mSAcZKtuD$oCTebm zFTew6J**>VPgqPrKV$;2vc;=Vg4I;%pggS*Li>)IgLIm(G)Kk7^tq!tYB&->X zv%4re_5{$Z#uP<|6fp+%K;U)yQvtC-0B?Dp4>uM;zK6fZ$kaDre>Bg2u&<=KT|+sO z^`ZEsw&9+d+~68Rw#wRAj7Ir=QH6)bfv$YT0m z8(!4AMPn&>cnb!8^Lg_$dd~NY4@a(-1;zF{T{mE%sOPAo1uJ?U%S`fJ982#7IC4v5 zkvjf+qNkR33J-6-%sQkOP_hzUZ>HT_zc3PUN)58;Gf3NYuM7G$WY z_a%M#KP{tBLVV~#GTIf3ao4I^3%Uue^WqcF{m$$!9Hcv$WR_lELejW~iB}li@ zrLgFt2(58<(L0GJW{MVNpY?pn1d#UU!ePmbf`}Iw(*tJcR+{_@U~Exc8T=G&z@Pl_ zPJL^6`}V_!W2ZxByI3>nG`DD*mMo^ZtDryE?L~N&BE}D^?>X6N3TNEey<#|PMRleZ zfn58L22$(#M|d^xds3X}(tEPfN^Q;{2SLA@g3cP_+20`?`Irb(XxliO-9Dcr?`j*- zL>Ksu;_Kc~`Q{J=aClGX(E4B8VX(oTc?kvS)%fqwMnJUbI!U|Dzsw1N1&gczzTstn z^iioz7doJSdwKStxhjIqdK0>gp%1F9fR{LLh8oC+>q7Bg^I4C+O9ipnr8|1ra4vqn z2{;E(7gC7Cd*d=YC)ErXTDi*h!8h*J+QQPETJqvA9VZYnUlGcub_$Xb=$J0BPEwmm z4^)DaqZn8my)Mijnos^*3}o55Gd9jbbQIl(xk95!(^oI(MfZ_IOSHj2b|=$$S$ZeC zkL7eZH!*v|AnX}R(ZqaFcW9uv*zWm*5NO=rmBPnd%|u)7Lt9b$jBcXJEP$|y>2-U! z`h46aYsDq2Z+O*gQ-$I2+dAZuv7XLAxxHpB$+yX3^ZVI>itz!j(Q);xIGe9BE#Tvp zI< zdm=kI9b>P%bDQ23Z7`RWTNat3&gPhc((l)%+ejZ(CO;lXlsV))dJisR>W zNzN7A2}{-q8h_=)kL|b0-GQ*s`9g2s>Te#)idy^9KliusGI=8EqbM4zR8ka*^J$vA z_v?q*Q3mwN+Wm{%=t#Brjfzj`2f0);(pk;QVPnJDi(XmjOJl>*m)X4sAf?I+AIFSm$wV~o+^KbmFG=%PQpyAkpvFw_jo zfP*Ph!47$qK#{nr$q*+nCBxujW`Qz$B#iZlI_wEY><-f))Lv33@;mZxhz;P z@X@<96z6v&eF~p}XPXp0l#s~*<-G3&9JOm~z;3sLxpw@8iQSB?Ps>zstjoG$>j=rE zxBxG=L!0s7dmZTJ5Nh`vO?sZ?TNBrv)Z~$rCuE>@!BPP1drW+3KW- z+C|v=6Q<_9St|K;VnaHtx@d*NI`Ed_oj8W1x#-6-Ml)B+2%S$Bn-~QU0o68unMSS} zSvPFH#763YlK!ObFmd}>r)LyX5gWmvx3SZBg^XzZyFM?<Hoa}Dh)6oA*lfKsQ5^4}%i z?>P|lRFue6ON#YnMQFS6O%^HrU6j%uNn+|iNcB`09Eks(-uKd;TLgC9l-CBsO&Qec zRl)Go7HW%=Lkcfz ziik|xmEZ9yzj;E_07C!2wX>E**4FoLP;aOGbj4LS_Z7*<>-<|g#-sX!zwV$#9^u9w zoEP5JO8V;Y*Yg_s@Vmpl;ih-E+fmfx?G-CCDD>Izp(la-F;xT;E_x&t52dUoRU-0& z$hIc$qc0|Niu)z|{$V8s>l@ODRb8&EWVt`6h67)XrM5xIfuPrvb)KK4&~iY`_LeU1 zjHBELyuB>~90^eETi(bz&xzcq(D<=)ZDA?~eVcp;y?Q#Y(&kVJ2_>p74q(Xjh0a=O zl-M;xl*__x@S2ECqf@sC&<;4#A6G@P z;O~#>qTSC`wq6q&&@V4m`4(wYryPN)B3{=XI{aE-Y|43aFR@h6>e)Jr%w2=G?JXUL zE6MjIf-maYOEV)0T}N?$9bu}2k5tf9q&JJZZthW$-}{0^%6k-}H5k_UH;OCmt0qG6 z04P3N?$g%c2c49bBOk)j;u&ujDAYy@@14$-xd}wxZ)WodPA~dB)O#H&1HPoQWL>+B- z)NZBwJ;8H7IC9$rb%mH2dg$W+?U$e`fKdp#>UAdv)1^Xg&tR)NkZfi;*t5D`SXZX1o^3H|5TvtkPL=xqqf5o#oH-I&ZBhI6yD`v@j5p-jpRW(cj4n2rQ$x3UxA`k5pLs!TW59#)tTvF*;d4K> zSokAq=pK_QgAz8FWK7-!s{S#2*z1I^V`efVCf^HeLB92nDqdT~F**DP+a0-%+}yqf zY|}nXRr!KOW8J*>%?^QM?VLXl`lAMj00G0LNJ)q}+*KiLD5ugG!iIHH+-|%jm6wC6 zNoaiWY$r`jD5RX2>$Sq3C(`khH&thH%Q7;sbP#=z!EKdmR$j1EaJ=kg3ZeiK#%zw4 ziLz3|xD6rnEpE3WcGxp2*$@HqYeBCU0eZaVuuz5U=Dmd&pz-guxw`xNOy5wzn=*KZ zzo07WqrZ?6dw^jLaz#Ja%?W>KGiI{SWRPcFeu9QcZTfE>!b16L7&7d?RrAlygvpNt zyg9Fy&COi1xC4$wc*Q?rL5B}h=)66#JDC^Oh{%>Q-HlS+98nli2o^ptIAW*@!yMA^ zZn)6lzK5cKX!%B{>bH&UkR{c9gS-mnt-#%Mowj7};tcu>dzY&pU3zb`e&=a_lS|J} zVqH|OTH@N-e`~{NYq!&Psx010oy>@j3lt}`vb_Dsv`+YWdMBU@&`XVafYlLQbP@D# zgoZHAP#_5hd`HVU2^g}Y8L5l;30w>B(i>x4M@?bie`5*jX(=!QW>y_Cef+GLwslM$ z>1Nk5#lBm3^C#B8>m`U7Xje1>UzMgaDvycuDBC}qQn=Pylu`lRP}n%FCO{sU|EVTm zC>iz`bn-OIPjbmD3I&6bw!k0S8L#R%3I;*h+J6T-#nAKN(coP5T!bTu(e8tzm_`&1 zu)A}pg7tb@M7X|NOS|<$CPaLs@6>LCeVN``PY+Jb$^J?_DRDC0$3+m`TJ~|%@BH~} zVwfscMBpIEaD!R+z@14n=+oD;frGajlr4}&ud1U>a15`}NgYD7+5+f!(e*;6P?wb3 z29VeG30x?K`OynFOyK5pTIep=F7JWR0q2!lq`QldI9%8jQcGLQZz_`Xq9Iw(-TjdR z1m!J<#7z<MRZANU=I&$gj{rEj~) zI&K{`H?e!mtHbwgo|hjcRF{*f{E)Q&TyZyG^8W!q&%}?{Ru-Zb+0)VNpfAF+ixz2HfcU$Oga7ydR5_x|t)tn`l$X>%r|BsjJo; zHOkaH7|>p264DzsjW6=+(zR_FP!znpy%@V zy@vCk;a$xVDk`dBTH_~3gaUWG$B4T|LQTA8G30KvM+3Wn6LO&bo3y@0S`4+~p*!@$ z{`S&%DyEV-4!!o+`d)C8+)Idt0!K`-?5WOrS4dw6bqlxQc_P2$&Z+Q2qL8gZ+1gw= zOf-Sms4FpdlD^NduO4%l?Jgm5W)q{^7#Fx8zQpC0fO=MzqrMC+?~iPF*-g0(gKC6| zdx1_Iy9|KwdiCJFW|Mt7G+{{SOHrXg^+T7FvzyYdBkz z_#{CQoZF2%^KM<3gRJ`Ww>ybV6&B>8*dC0!Xf4rY;f~z!{+Sf7BBmjS*0~Te9mMv4 ziff2kH~wv1yJJwW?k>Q&8p7yH{LGQt&M2#a0=|nN9ep|jkd4ME`;K4+b8bje!(;R%P9HOW8+t2QNQ_V zMQkMo%^<+EiJ%WYvmB4P+ghv;@_7IGR~6a|wYA{*C1utbt0<+Y0heysTYKgHEqR2t z3_zc8msZyf8sGVGNg?_#)5h8NT+F`!Yx)*{H~TLk zPb89YQb4sJrKa`rmtj`is`f>aop$a!-=*3$<5w9SH6hGdiRRNGWD0l5Zq zESrBez7s@prR@PMZ|xo`2p;2ceD?@LDpq}Mxy<|Ff$TamSeMR=T)w?Q;H7hFo7AIh z)yr~X1fu4|js|p@>H&m$6=m1c$&2x(0!2?KRdZ$e_ik!S&0Zx{B|ZoSUT;{oOr@=S zd4cs}DXpqjVGvBr`sv>Kw#fqn1TilYSqOh0D~`A~xD-+uhJX`)h*u`EUL2D(Ny9~C z4y;1u4Ycr}YUxvMUBtPNLDbp>!9d+*zcGh_Tg9*}S_K-OdktRmk`sqf`us!47GJW; z^UyT&bkiT&xqz+=n)VeC2tJCBHoWEb$}xW^1!wk*chN1rcq<;9LVy8lWA71Y5Ha*V z(K@=%6?}*DvS{n{Wq^wVf%R$A;FDZqdKZ2%!?cY_ zo$&@93h2W2mus9)wSet!hY~7)(H(ko4(2~sT+c=s{}FD#+3V^3Jj`3lN1RB)Ztv$d zGYR(U4mF_a?xiusV8&L^x!AEO&H5T(lB2SgczJZk_hth zg>f`4U30pIbhUe+bF1^v(+(6pRZr{oto&hbvy^?R3x5`8bWt~};z5Wr$ zeVbRKH|4m3opF@<{%L`0EO$)>J=zs#RPt8}xbECwJa`)U z_d(Y4y7(JKdU2cq>T90rc5go<%6wgX9QU(WOVlO_sCotgKZ?X* z8sGlY7knBbuIOs1dtLnzTrDVl(WUQB*L9k@FZvC75^(3;p4E#((f-Wh z=B>#Zbb=PE0qVD6TV3$)vpQ(5u6=N_RTt>=FPQ;HWQp>{^BKR>ezJP?CCks~*r~&?YbB?G&jW@eOV`N-`RX8?9Upg6}eYf{o(FyZr zXz-HOwg7h_Pj3bHhqo1>f5Y!-)0pt-zAfa681a;0z(-~DDRO_a5=m>%d+=t5GxC|f zzO7$5&b95+yH`qiTK)F#Q#w}8i-mVZFl2wuyAT!I4cfBmKSj6e$?v7A8+KWM@0xtp zX)|y=ZtcGCTzfnBSwHgPA+Wr~M-8J+DnNXDCYM1yseXLIylzruaP3Xsvy9Nk!v|vM zhgsYF;sc|q{Fv5;e|2P@fu*CH8c!mgQD;!LkJlmEgFuVwKcdHlD}yR-!mjjM%e%J~ zT6nThm9MM4E7dfrurlN{+DM5Gu;+ODRB)jtu(N2PRchg*z4@x*modOs+M=w;4tR>B zkCbQob;xJDsCf%nUrKXBy2KY1J}48_ySsa;h#F^P0sbzpgTGcfGpE_z(|>K{WAnRH z;N01L-BF#rGn delta 16240 zcmXZCc{tSH`#&DClx3)w>|4qbQe+>72yIl7orGlH_Zd(7Qq~k%hbW@#vd`GpGWJRK zbubuXn_;$J@9*b#{y6tp?(07HbJ7$ja*#%rEn_YN(!jH^TUh3@!Ay?M;EMB*X_%M&N(lK_pv)pFm4Z!+*s_9xf!<|9xM&me19(! zXYw_CRBS%W^gpeb`-mHqi#wvh9}M=d(HJLLAC-1_IoU1VaT57##rEpm(SWmQZ?sd< z^<$ZP$1-~B>z`{gO%C8Es-G)5u+N6B)^MZ-M44s&bQ3_E4H~L$u_F31z$W>UfTyX& zrs0s9F0B_4vI(hNyeCprbXsR)qgE+Sedw{Gv?K!#xS{Y?(5dZ$gXU~Q_vO&L29DeX zEeP2ve2@HxWu?gU>CT~r@wk18W*()M_~FO2D*67)y?aZyV06-#6d9?H^NZ>&b(K+Vw7~t^@DnlF=~8!RJRNynX)vZQ&3S3c+d>rtI{kB5+m3+s%NM1~L zsPD8lSfR@e{9|Qs)ba}OL2EAO#%S&pwU&MfAJ?S=`Zn3mL$WD=E*@OKt}S$;Ymc1! z9rcH$N5VZ4;_#nm7zz4A2vfU$+y@-jxyd#R$Lw0NHnr00Jrc7JwOERFj$~YR*4JNP z&t+FnlF&-xc*?=mJOI0&>zM}%v=LO&`SesDn&^x)0@QnKoo z%z!`hM+WYo$BLB#?3ydZonuR#=@rNP?81=LK=1j3rrVeA{C${I|1-BImy?ekq!s|j zHusTZEpaY%MNclZaPOxjm0gN`-p@Vqr)8ZB1WwQ15>;2+fbfU*IC~d&ifdU2vI|F7 zbN9hKzbD5DV~$+_ddMgC={NL^mWs{+%IF`$P4<|(k-D@E128J)`b1NumF6q;=#9?S z<{an7(C;$-`j%>;B|k#-Saa0^X;FXCz1J7(nJ68y4MU~#N>?Ae5 zj^Y^==xBkC*c0hfzm#)iZR&Or!(7DBuarr|EtYAh)ds*DgJpdqbpRcX^Y&1w3>a60 z&iOy{PA*<9I9ne|Wj){l6SOniO*-vxco(a!7dcjyUm$PET=p~RY!Pe$$#l5-L3+`<`J07y)aj^_= z6bK&30~A|*e#`{U-?7L|H3AK9Is5wi;ol0zG{Lk2{UUeva^}u2AOw+@}c%w$} zEA^cIXHsonLfBKqLrqMJ?MuBkT7sIk>5_I;zJ zwKWq#53p*t5_8Pu+(EuCT1C9bn|$My!_9J_enUMi|Ie>ez@@OCaL<{C^nBp=wO&1% zw*cT>L5B&Qg30fXG5g}T2Nk(j`1_!(GKm$jBeBD+Uz^@*Z$S2c{M(zt9%N>1y&Q@gXlR59(E(34>lujvq2>f~YlkIwz zOVIKsUC8}uE|5ph$0oer+V1pH*R6MS$!h&UO#;}5DTI|P1!hwc0oL6P74Agc_GRtn zO8b``aaAj|iHDEq4;4hWpZ)y!RR1-Q5c**Ab~{}>M*!wi)Wh)i&Ns+PNvD0R!}b%+ zUxE3@h~NRxFI`lu1?pB`Pp0RCSH-xMnpr|k{&#j(Hunc7uXnjWJLQ3x2F`6MPjP%Y zl}|``RMpYX?4OM;oxk-qdvqecL&-Eg{Px%#D=TBT%wOjlEn|9(i4CvRV(?>bfxFYV zmzSQM20LbSdT1#qQJ}G&s=}1DAG?yud$)ePNtfSK#|0Cx09IA(QbXnPeAL5h+l9Rz zlI4bf&t5ft_7pH|dL(4Q^|IDrCJD0RpL+0(+>4zK%??VSkH^XctBbVOu=lmAB)1IdrOI6&b8QX*qkT{O37Z--neE z9sQ0?O1kdLb9}!Jg(=%$wZkrD?jBppxEAT=zkm{?VperWoVNKZ#hL^smnzBDx*D}b z!dchM`_qq=tHnH1{72F!2cP==5Rh*MoJ8l~4GOAPlc!Tx#mWox1JrFRr#=^`Pby6Y zGZKhe9)^^b>DzyWr&9#Cy%?Hp#!A1n7L;0K%Eq*(2R;Z8@{Zg)i1pL0j6U^#46pxN z|40ag)vKs$cySm|F|`gGcco~#99#XEx|(5C!G2aUb&8Yup}dpv0&{$?LWXn)pjX+- zcyYPm1#5r?eQB4<<$CP^>K3m~$syUTr^fU68r8Yvwh5yDyRM4C$hFX{pPicNHy$g0 zd*~|tBBx@Qz4Nf?U>X~$LU`lr^%k5e-{~@#Q+AN{Gj;);##yy@eC3NxLEpzZ*oI%^ z_~xy^gu|ba9I%hz#z>|t#HCb^1>iR)Yfhbk!3N8{+;6!=(smIyD841G@xHF|ncXjA z*vF~gC6bB-#22x1CaT)onFilWHiG10pA=aMv)3h?(U!{P?3^>Q&|H$Owc;rchiEM4gnA0Wr3d(Mp(4RJ`Yc0ZqHjnVor*=k1E$M5=rENO>M-EIRX5mMp5Gjg{>D*s}cgQ>IP!nneA0Mq%aUlSbb&0Bev^Sh@Vl z^7%q4_Q7*R_RRB1QRyFV*UL3h+`sGE*lmQS@W5;m-)g!CefGT>(3>v*Jzz=*I#Df? zVtuh?WuPv%Qq}kJICpMorq z+KA%5)icLTl>Xhdx5Vc$$`3W@aHG=+Q$OllQe2Ev!yk`H*2!jEmbMG#;GzF33#Lzr z_n)ao*yLh9a#)W!bitL$!SFkC+7HFqpl6Sm50|0yC!Z7E7}Vv9Z^YbWi4nJd;A_F` zrrY#Z4D;KYY(RQY#t&3I-{O_~;@Q&|!0y-7eAo32y8*-B(L|pvfjW0JkTN#(IyO)V zJU;mJj3yO&m%C*>z16I2^LB2S;FWdHke#xA*BU%b-cqlK9SC5P*?#oFjp&plEws%a*KNd~QEv=*+-QpTc=M+KX9NmAWOqZ4IM!KVan{6ug-IXs>>f9Tn z4)sP&-pWSH31zy#d>+X1esp`W_@0EKZfeZs zVUfWFz(s7>jfsQvl=fsehs6ruid%# z*A94J>I1|GTq}UubWg;H$N#=Nk02fmH!{nq9dBWejtedq7 zco7HUN91)YHK6(UOXdK(_Q}g(uApZV7I!4kvd%3?oY%&@L0-)u$T} z-%}?#`iE~yWa?x!5*Sw;d_-?LoLFoW=I!{AH{kmfva3r6b%QmO z3d_N&>ngp*Csnm2(Lt^v?FG{&feldeq~@=b0ONS#LCyQGN9&ajOrUs&$M|)^+uRjU zh`0pQD?#f1Ng~@NZjA<1Is!I0mrd5_k)Pv?%ZiS_X>$MI7ek9SnkRAe&(C6DeK;3X~yBpK@r zgQ`ftV-9Cp}uA9@J&@$ZyH> zSrt9qt~#S`Pih@#hW-s_;fj0xoel8qu^zLF4O|gfkSAh8fvM-he|q9P@y4Be%ohq_ zE~7`t-4RPRu3k?c@nqxdCn$5iSL6(hG-l*O0rKgaL59x9U-ruq*5PwRl@R;(-RMoz z6N|xAm7yP`cdPa-$oLI0acU-!E`e@35~F_I2HTx!Vx_ZY&5v;cBW8TDCYy_LuUeTy0s#LD35$xQ zjvu_d$-8v>1ygg8-dU2i<9D_kEj%XY@3OcZ|9PXiQZZVTF!DdX4y!4m1;;9T>Yg`y z1)G5k*;Je4p`vdEovo4`Ft&JFfL#V&91}G3s+y8BX_iX@seqjlRf%dBfqdUD-+FRY zKF@eoI|QNWH0-{HxAP0VpqBcv5jA4I*sDdociI)q`|L=C8Po|nU;N9wA5E=JM1<15 zW2$hAQ52sIFOOeTOCGL8>xNa6J#oDacbgyk0YW0NIX@j~h2FpNctP=}$0=10qn(QY zB`x7>B`L+90MT(>K)lz9>ipfm(=bkY)W93~scpw68ecWL4cMZ6jg{2%&IZ=%MYgPMiExsY&9|BfsirL$gT;$@G&f>1<1Rgd`D0?*c zbS$V*_-Ov(ndc@-B)Wv7a(7L2b={$@hu)Cs;zeng%5#iZxY&*F2Jb>bp4jtx zbqGo%V7+Wa_ilJr3as>|s`q;&RuKezVAc}Uq(7iGV&$wCTQAGgY@#Yw@too`byFUj z2%r*V9cH!Xe$UxXO^RVjxtD81sPT=ZV2sIB<`K%|^p zuaiQYDzPAl)FwjuErlf|3m@g52Uz(N-}xzl?;HC3W3I2jHE`1vGCIGKgyhD1T)iUF*_Zo=0f>CqY>F?ozH{Z z%Kyi%?}C#>jV{RzxEfgY;QnGN%p|sWxd&MnN$z$ff4BUAToPZy6dA&~4i|@_!O_On zIyA{W_($+7mE`U=^3rAeV}_7QvxCL&n_(@CAun|W43UFGz*=`1sFX^rl9MP&)r`<# z|92Un-=#Zg^={hGK4S;t`_^l23_iHPFk{`G8zfe)6$nGvne(KjDP}iIh6E{I!-O=A z-gtN)nMnDvYu+nzaPbM1rx~KRt@C9tRQLh3Em_}M{{myKZQ%~&c@J~;f%aLONW5j= zQ1!PeF=`g@76W1oSqn-|So{WV1DFGoKH9_VbkE$B(hS1W%LGCy-9%H{jPIY>d5VJe zm@RVy#8Hpg*Jhr~I3F_|kaM1@kC^DEH7+>CaC!v`>Nry`wD+6KrZ zIiIdwU0-B8u-lpDouM)0fr6w@MSKu5oD6QTfA8<|!vhieEqU|$)C$>CV{$3~=DVOh zcTsA;4#0>0>f0V{I0*T8fH{{nB>y>GOMEEFqZ?od&!Frhh6;KN00DmIvaY+TChSyu z<0+&mI`^PXzFu-KnZtPX?9o}{sB=eMBoQZOl-)0H*ihKzoXbEV@~HbnvvN}ruE;e2 zmBIRZ+U%@Lg6TJ(O!S|~TETN9qNZNOP=T+oA-R2ud`bOwaqmYkvoxtc_*tgiQ!xtV zKiIdb2Z^YJ(7vI3ciptSKKB;Hy>*jr9*hamXzAfl4J1d!ZcKdmWe z;uk^jvkxDKU546<{6_a_5pC`|cnLgXW+`ht2s)>=IJzinWpDbo=h2;iV^AN1^AHZ@=vOqYOML2;l!^ zcUmBWuks}bKNj+-QN-sC6vN})qvRoUI%fh7knXVX4+fRR4l{xKhY7^LR8!Qu2VpDl zu@0@jaAT>FtS-S5)bR{H&Y7N_c>BS*S!mO$_;imJEdQ(on~=qd_13Dyz*GZZ0Q ze7;%^^ualf>A=vf!Yi6`>7-PdQv}D)R{T=1hbsTa4uSF7(y&ejd54H23;MX;8198ghx|L zC$5Px1l-aK6nBQ}+tEhYPP2Ucn%-A0$j=vURAm|bi9h1~QWb71C90P#X7`nnt|Ik+ zesMSznFw1uefHOHYlSGAqyp25b6Z{(AP2L+D^fyV8B8I=BA5{lPW^r{SKcbTlt!go zM7omSkZmGU@s3-7*)n`dEghlL*7?K!1V;D#LbE8U{XzI1T;5HQ>J#q5umza@NcFYh zWFfI_d}r?gSnJUz2TQW`cLtiTc~cChHv?FjxyGq&7?yX4V{>;!ic%@6iyHl@B2{KO z;mws|)Z_Y`{G(v{SnFj)7wm@Q98yGWgL`Nbr zA_uTDJ+xW18}Fcwjxmk#Fs_QO)B3}1;Z>3Zzy$kGT ztij5hfZ26p4W^#>$87t&vvHpLGfXjjz%0{>@S?Vzb=|Bs8CHaQUHO>RDef+9D62dX z$gDMJjpdIt1^Sa{jRzLv&PQ6eb;}00cpT)xAm!(gK{@Z4arAyW0-w+D zeeN!tXTFGJUk_2l3C;9`>uL|}X*6}57%xp?ZM$(Drmu!9uTA}jh2Gdyx!!AfO++M4o zVrL6JrSMky`4BUVstbdxQR*Q5QlnYMa+e7Pt_WfPQrpfBiDRGvpY7}%7e8h(LAWt= zCCGS7;FQWze(@l$_=w^G{61DdJo9u~4azXqCx5ZyiG`frz8NQV>npvpy4rG;{EmO> zI%oE!Ig6F(SMgiI{;Sz%LyEf!S3X^`ef^FA5_})57Vqmf{1{J2&3o~yawpOGIL@py zM;!I*Eh6~qZ`JY{8let>E!PZ__pSZ$W{y>;sIwmKf3Q00BSxv!1e{F}cFb29@D$3% zw6!_2<|or%0bzRJtWy89q6dNeuD zNzi`h3Lsb4%YGobeUTX7+&-{XGW*c-P?V~E6vbs+r`6B ze5+skS@(Q(>fd4by)lRwF9||sl`%aJVo6^5i^3ig8mf1ICBgHdn#s5`82>?8l^$kO z#R3`Sc={;C2uW^}MO$YepH=5`cUaT6lQoRbIi5HQfE!hzGL_j4Fphoy${zJfG;1a9 zNmsa6byj$PWAIwGf=nTed0gGm*R^a&;3IlQ&>9;X_B?RDxAlTrdlRCHAv@G&@m`fq z6;8FdCKRAZkH5o`%%@oiq7RQ(&a^U*yEN;b{bNjZYG3;W5stTB?60+@LXq6q-eZ_7 zwwEO3pI2jRz4(m>IXhDUmxRq#*FZlR+&Te>XaJJxOri(1BU0mS-3n9CSO+H<8jF_U z4*kv2zNeqZ7?xF2hIZo)eGJN9=u%@qPXPb=B^TSj#%Ph_@a9vjRs-XwQ&F}=4)`*{ zLG}dwA9rXwGwoh`xVrvvPWj!cpdub5tM=mUDU?#rCWUD7x5g z%eNYOiV^r88344;Ik#Jb;^-m~6Gc$46kG9udTun95{H0%J`TMQivLw1vYHn42(siO zg}VB_aTS&I_-Bj*a}T871?}w<=lZthk%$srRKU!peJwRA( zTmJc@6%tm2Y=nI9QO+5en2CjPR3aIOmG4bR_qE`^7ip?j4pKgbPXbteq>9+j(NKI) zQ~$y7JK9LB>0@jI=;*Ei`(ca6_oFPL{YWdCBKThG@SEC$MnrF)6zYEy(FA4AD`J5uDt6mjg(>7h#a z4z4Ao_p}y#WQnRW0EVud1T_a66U>z$e~_NEc~^W;uo6}B7LF)aA#-?$nqz2l!GE9d zoDQ$FGmOWz1LvDCQfGzEah#3k_V~E+Jbu!VCod6CBH;gZhCmWp=3gz(5XJJV=)#}I zpbmFK#4xMdYAQqBSbiw3UzTg@_~|I|;^*4PeECJjn+v5tBJ6Fa*R`Ho?~p?K#&0*% za5LOQqKBNW(49qf@{h>YCl{zLZxIDZHyLZqkyDPb`UH3_%1wu!C&}^C3%I1?37UAr z>$&iZ@7YDkE|8L1Qvvew$K(dvTy4n$JTBRpwQq<#6*|}&Tpuy*=CIiyQt+6!f*>Dm zH)e;uTNMC|nt7!ZVQ%^Zl$yKp;pq2h4c6o_pQa3gKo5yO`kUKAf*$uhlj$68tPx}s2 zXez$kZ6NIJZwQDCsLDH%`JTaSdlchLzRSMguy-HO>zWl`jfv#qoe&EcDPG30aF5!c zfQi@b`(MC^Iw`-oniqLgpZvlnAoZ?k?Z>>AyWBVW4t!wExy52PR43y&)1p_9@igd{ zW12I);|xNtU_xwIULqR27$+MHY8bV1*2(Pt*R|5l#AOcn-E^GcKTM%$4B!vh2f7w} zlll*FqNt4wodR|-5YXuBS)wpvf5{KHy<)k4JJ+F|--Gn1oh7XEw9!DUUufR}R~B%| z^u$VV;$<=v(!C|J7eO}AKDoa?_ClI~I$M^m{z)_FOicAM5r3Vw_ zE`N9J5P4;jYC-mUf=rBkry*Nvtgb=_!rcZTm-_Qcowk0(;k`|JvOK2GX;lHM3PIRu z9NW6#loH~OOxVD#UaSvIV1#KSn3 z-s&UdtkmUrtFch%@tubot=6njQKDtM+|Tw_cGuPrydU2kSM_@&6VX2Ed)w!Vs* zPjgNg6h%3UqL2^QI#on}T}s$-KEigKx!oV=_Ile-IW``s-E>Tg$NT{ZqJ5;B^ddT4mZI<%{?hG4P51TDP;wQXH#9ANbiPX0|WdWDE*7=anELC$-- z3t!x)(Ak;nT8)kj0etSKUoH{XBU=4>+UBoB30*mHi{9)7PDd7*tgl5)XwlgAxwxhd zelMNk?-O0$@T8*L3NxZv`6K}$aAy4Kzu42w8W7H=9lk7>HEiB`o^sux|NDQ>U6;*b zlr10y=PAf!#NP`)6Y!1n)U9;bPbT+x=wfYlJB>&JvtuWW^uk6GCD4qMZZ>)&ANML( z=bEkxQ5S&jJYG06#;GCj53_FzR@|9+2ttQ9OL(r|C$QyhxBar2_AzjSh`J>N8&~7- z4}Ni+@FU2V9yOjVvfuw)V`UijHt2xNusHLd)|Mc98%`Tt@vrqgv;Xo~QaF6TE5pK> zNS;{P50NxS=FIpROybpzScsEzjDHXbr5mSz0IUQ!^&=_yzoA3nmq(1Odc?)wS#lvO zA{WRHxwcX@jU}cz$V}UW56G)pIFrlv$Tv1=nC#=Q@e;qb(so@v=OE+w`g+(vchn@L zi-S2otRn+WC?C?2Z@jeaRqyR=JejL-R?FNJ*mNPLkGUhK3KlFK9Wb{XLU?qAyBG4TiF^Wbsr({@UeM)bVaeM)>^LQ0%Ep zF4ncwICr9Q9kl%e@tySijuvq4Q@t7RjqjN^3>l41j4H*I9_FrjImj+DwTG&yG%;ws z3>h#|?_zdY+Il(K!zi9Dwaa4EYE6H7-+|l7_`hydv6KRy$~o8HQJOdZg%NfPt{97o zvHRbQr8Htk1s{%EI&qADyW%^d0IqBAAV)?RL-G&^)))+7nR6AJD^80`MhL?aA0j z>UC;r1WLKWqE$`(1Hh7wIPs{^{VESzw&HCCTXC)QNd7R6=&LnkG~(SNi+JY)nfy@D z^JPYR z)N%&lTRqmuosG>24&Yg+wC%=Yug1oY`3aC8H{75jSsGFEd3imUe4xT6Btjh# zHd8S#eB{rhwov&T2z5bJg|$AuoUDRKl@5IJEgx;1`DfShp55%O-Y90_?P{G_tB;VR zkR0+oy9GLR;9Th)|MQsOCd;iarw8q3zMo2V^vHas7WZG?)Sj2Q9;k6|3>~P^IlJ3! zUK-jktFiyBqYNjVOn@Y?NkO@|^E4?L5#CB-=^5z66ywNK60QzMU9>y zWtRt(t*0%UNp3N7)aZ@f2 zHnb#&iGf7%R;QQd^PJ!1HCo8;aKRYutBnlryI^>421*DbJI`x420F~=C2*v?XPwsK z0|VWqLJGlg#u|?MY)F%{dR=|VVt8rBSgFv^zDqpY-v0gQRk`SQEtQLk!Q6{%5U==0 z1#Lp*n~ubgb_H^q(BD>8@7A>$mZS7ALPtlHMqt2D7mId=+Rt`GN6Xew+CNORuXBWo4w{?i1U&sH!dM)_A2 z{Zo!RpA8-;^*=qERv#9Kn1m}DGN@voThtbF0Np1B6#-TS$eEce=O+ix^xM7u6HDjU zBJA^@c}JD@tFI^)(DOf>q23#0JakKKRM_Y4Oq^wvcoBLsZHsYWvch&we(UhI;l~Vvs$rcK zs6-d&qgs=eWXKpn{PuCcdE9ESx!uuKIqsw(@mV(Q9gvvXNs#yMI zTIWEdZcAlM;IjD+=@v><6cvL-B3tM>gK8*+(iT`?0>q*$}am`d8Gs zONg>Yf-=rv{ymo#Mrko)MXi2ROv^gzE2KUP!)Fs_t|s-4HEr&HfbIdDM^l%t?Ar*WJ8N22RTQD@ z&aJlXnO0?K3SD=*=1euZ*xwO|fs^i!oCDDwWhdT*QM4L&hGK*;4u}wtxL1r98z8tYkIa zls#{UPytpRkLp(CS__0Q=>XD1!`+rT{w0+U9cIkroJ+(kI-1J`K_-EXI-%PzEsS^g zg*>;VJ+8d4G|ieu&CLG%>nU8&6Tr#r@(8sNgo=n!zg^k&#SAhagi}wHf~vUFvI&`KNQ2e zbf^h7^;%bBJPN!SDZ)$?zP=AeiGJ2I%MtJw zpjzpmtn61kzH8CTC$Ns%S3LV59St}iZIuo! zfieG@BKxEw^sA>3>c#z!I@r*XyZPWCZRnN#V=l&&$x)6r!l|hqd#TV(radOEyn5BI zA@kqx7cfeg*H`|5*$JZCBBB+GXcO9F%nJ+B(RamZSThnEud42LDCR4P|0B&cte?Lg z%5(+s&JB*1Jbw`Oi;?t3a%e~%(Ap#e|YcCU@f6{FueA~+4 zSPs%VxI6;$S{(G@FB4(mY^2HVc~yI)V2a^4Kwt(Q5c6;d z9F?E?>xid71kMNZ_UWw5T|1R=1L9E!&`<9|M=ntPI%d%;z{LxaiiG?&VGQq(>n)Iu z!N==vFBtb&`bPK-k3)KkhiuPUsJHg>*zr7t|Gb|()VG80D>iyuOy5R|Kg}2{Z@R~b zls{Omcdk!OXIh4NETFkXpOK(kvybbMx3iB~3E1J7c6$ko%DF|QKkD7cYsO~gF?fk{ z@SKm@7~!K%z}oOjitj!wM!c_CTwDvM0%i1*DnR#W(mj2ncs=}I76D|l z#A?aHq25C1sMO$wQb~86$l0{nA@~{9bOdD6QV` zo>7f}Ni%X8yF)?Gt1q=aWwc9)_ww(^aw8cD?awzw;hrs#eq2OMrlWOVF_)Ymx?;e; zQZCyXkB^vOPCv;VI*Bz$%{=wQ<;8c<-dcAsm9nCMuupB;e|If>7E_{w?Q9(pd| zon`PFm*t0_7XcodDlRxqzl|%OwY}^w*5?aKrJfQ}wPi_+?;Wc&BOSJ7`9~d{f;-aw z{y$J>2|7$s9u0Llt5<~Qu3Jih6HtCh_c$RJ^ZyyrUVloBvc#QSbXNJvT{z&sDX^J4 zok+d}>y|A%tc`W{|4C2w?P#Wa&=5p0WN?t)g~?J7T&_Pr89jpe*wWa=_&g<<%d#tegDPIKG%!Q&BO;b0W7tE=KkR3;pq;<{?XQP z3{gD7Zyo{)_$xo=33ezLKdVVHLWtCbi-lj)Xi$_JZDMhVgw`XzI|!%|jy-lm_2AoQ zw~LL*-?&1wB>h<~hcq#pl6T2YA*M%>3zGZI7H9qix*%y%D@M%n2d&$@$Go=0kmj<4 zWSjlO0vG{H^C^ZFN?L@c84*bL7znd>h=<@Rgq7^V3E^A*7ixUA&#Z8tA5OTkHgJ36Lz`$y($w8xU9|gL@PKuBm^r=M3tL3e6>gIJUSHBpr z*ziSU;n^0mZD9M-OnK|Vg=gkO5r(-zUG+IE<9AsHe2A7ef*)5Rj}tpsu!>tyfN}&( zYs(0jg)w*qPUe3e;WKomU-1m#zvg`9i0s*+l5pH|LhlVkoz)d%<+nTBg4K+j4fEBIZcXjYl^BM+5-e@}HpY-oD-{Y!*-NMSr$_b060WN;vn_^+zj>4HB3ba=i%YdPn)0h1W z*buwB5wdoqlklrmCLDTJof1M6a%D$)j##Xu_sRs4BKqe)PM)3c9NZa{ zOEuKij?ep$bbG<9l|gGY3~-pFI&1Io*kio@;2MV*+CSKQioZ7R?g%}! z5^e!-Wt)q-FQfT%u+K*Y-%&`q19C%H>N+)%(mKyO{_yCfh_47#dX49ku?OwoyGKw1 zTrWRXBt@+yD;9{3VkO6&>|f?(tyFz=d7LKM*Ijf4Jw>GHFQPK|R}>#uoD53MdX=`H zN6eaAD4w+;wS-ojPJLY0Ed<&KPrb(__KeS^;+v6gPcPXOsQ6K*@L#}ASS7p{^Dbt} zOE4s-8SA#J3)Po)gM~~}1uMD#F#kn)%S#hO1rNf70eEr~DC}E}D$cC?rUE>P%)6v-n8N>9OduE@;GK?@E?GW)GIK ziuZCes|qLLf+d7}xZi<>SW1xKwLHGVVfsm!O!~wZJ}tPS*j^?Vg}WW$Y;k5J|8rec zBAg6ZpT`P%Ot*B@=6&-pyyT)R|>}LCNpF28vcHAA@3+e__2kf2*&DD09~sZ zQnL1>nZ@I?o2+sTLC_F&dfgy)olJSCZOzhBz$&O|R2i#j7YqLt9^I+TvKVmfu|e0d z*`P+$_n){4JR+_YwN9+volH0yQ#4l}@$3P3G*~^p_8|EWH~|MeQ|I-f9r+t@Bd8Y5 zn|+)};O`^h3*VTZxfk1Oc{zdQC+S!tn?69?G6t(C)l^t~@rSw5?u>pl)}x#Yq|=$2 ztlc>{3m<`UJDefczeMEeyEYD^aP6eHa;Sm#Hu7Q0i?8TlhzMM_oZxWQEWc}Z3TXAs z6gDvLOg0NCAllHkh3^z5Oy9#;g;GC1x$=mQvzld(ILWD0RxEd# z=9T`VFLbj%Uo@tlj|WfPdJ1cnExO7`R26u2>uD+DzT&W4`;o#!;B|8yCs^b>x94QT zFBRLEy;F`KZ4)<{F2+WK z|Fgf%Avq-{-s>{{IZpUVv*75boE3*83Dt>LH_Fql7QVK>*X$c~NiFurEeZg<;7=(1 z#7WaM6S8!nA4VegpHOb-DOALhn4HZ1z5%gQS zGL){TS-7iKc9-X%z$%yWPPDQAM*hvoJ5r|aLpUD+B*9+J7QRN9<>|~ArZeLHmryQh%|@lIyMPgM k)Q+}NSo7Rfoaxky9Jmly#+!_iyg84Bw< z8f#KXV@3Ay051MNxZiJnB_+)zB`wXx<0*qOz&w{<^JB@K;bBQdaY;$iVwFXI^vMEr zeyAC78EV};dGHAMQ{p21x|7}07oQKUNnhT^<(%|Rg27CpS{MJKb$Z1I`R@_Vmv6yW zuhD+1A(R}qJ>Z4458GdA%`Y%&LS+`i2Hg$oGwb}#zjlvY_gLQ128S=Q6F9`#T}^1= z0lau8j*W*|<06XtndSoMrEf`6&10k%^hD?F}WFK4~d5JN95a`vr;k?v9_A zw7az_VWYt;LCLTws(fjVS!S`ahDC&wGck}ZWec0_OA$KRle96lC8?r3@$8QO9r;6=eaL=*ljgZtC;X> zze3fv6qnVa?@l?!kCy$aiP{`p;-jpXTo{-(oy-N5J*z$Bga^2AObje&UucmP0YYkC z*_UEF0Yfke2#n~K!sne{N#iKD!XMx?OH_?pyha74kLY?KALgfFR*@UAb#r|#6U%DS ze(Rd@>EivOE6hDX4L?)@Q`-@XFv zIWD-B-vW_2lx9esx1~8~8*yP5dEv#`&d$F4F$ZYOMrzzKP01X=QS!`oQu-Gq7Uybr zyqiA*Xv{ICHYK5Wf!(TWkPQ|+5|8+1fYj<3y1onXM2F`m?~mYqrP7<$bsZYniCkIH z9oNL)xuBep^F?`wLuVzT4-`vep?=gcb(#zyPQW-f6RaETLhb@wi-^l{X{!1&F2YJx zPs&_KA}3laAn|GpY?*dJ&8VFp)8BNeL8lIb=SuWoCkogvbqqixoi~rpG^gUFmX@WrhE2x605Iixq%;`SuTLWmikN;Ax<86yd z1ia>g!A1q;RVu$0pN53}rj0(I9kdUJX{vTOe|w?8*KBDX_pBeyBM-OVI!G(-pmPo0 zW_A=H@4Lw4QaKK=>e}9y9jU56S#Yj9Nhf*O&Dp?z;&1n;eLB?=<$~Y45H=EE;~vi4 zqKCh!hH-YP`o!MPqC#2j?B=YWqTZ^h0_d2kFWycEMwmjg8U-FNDBQ01ZtA`UEz>;XLJO%ojjNIHx#Auz8>6({#c^w z$8Yl=EK1uBAJR5d95K;-YZ3tl$AuX1&)i=%ahD9Yx(oexAD$2{c#2c0`ZRiOR-7-{ zA>>I-uT7h-gU?L6k(`01H-k1=8Gz37=)>7;>YLY#MZMz;&ft9$Uy^GE@YS(AYq4XY zn9a}Asmh*(Iayatr;^MQHM6*K@FU7S<&%vzmLos=7?pt4X7flF-FhNtj?*1I)1`j5 ze+u|981AIu^K8z*SjdY2iu2cKwxs2(Yup;8hMFSgt}xR{-7!GQtOJf+bTr<_M9V(u;W+Sd z<`vOBP*Sutar@q>epD(HuHjg-lB7sV#n1g04^r_LblPOjkOx&fyW2}*m+c5cu=!|c z6St#FkC96dm|Y-zXoQ!s`Hf)&=d$`v#sjq2E@9?R>SmbRdXEY;up*iKQ#I!@rtvL~ zhGp1x+{_wLFBaJj@2(lmsdlkaFjELcW7|T+9*BFhf3H;SiX~xqyQPOfwN=9 z^aW?9lCq2qLH~Tf(S%*~vWpZ~@blzgcv%@o z{cYcZAd$t9U1V7aM}2Tqm3zzLbtnM=V#N1Bd(t3D97Q4EfTcHaxSsqhl(jg)-hFUq zavwq>A!Ir|KPQk+%v3t%FTuiA9eLMob)zBV8(a9cD5(e#n-MYhN*z7stZE8V4;+?}8qwvXHgEsUfq9kiF+91#Qme<8rkiq?i4dQ|6egg` z_dqO-i~z8i(n8jh<^SZ#EnF|FOGB6a4^P(XI(|J?*86VHM&!^PZ6YgkTMwqZ9-j82 z<<-yrr`o?UwUc0`!ml(IdWVdJoxe*gpB2h~Pck(r^p$U4{#+&@zilj}5in|VU~Nl~ z{&#oeCxwXMNt?>P3-I{Z$( z{YWejQ9dFXA!5vHZ-TL}Jccna1`_brbXrvV`JezV`A&}Hot%Z*|7E%LA7C~YiK&w7 zSTMsFyRHLem7`;;JTP7hXG`yYk!MK~ z=Gz`QYshD@KGyS1rVCRCiI}(I0#l6KK#BiJC<%8R_WxB8jY#1}Sd`x*5u7;F5rmqAN_ zCJDTpYe30sqVdX$4D4#!j6h4I&o4gpX&lEBz?yd9>2G6oKA5dN{&nurjZSY+6p*#Z zDw@9FtXI;bh^j8AH1xo?#d0^c|3@(FiWnL(!Vjk zQJ`rdYs(N0$n`W)pJ*A7!g`nu^P$hgBBn?rOa{Bu%bwErHt>}9aIlQ8jraqef;&hXGvg6>&AEms=#W69SkyI?=+ zOPAPAm#8S1E{g*}*|Y?3f|g({ig6lrwgrC)^Bi4smd9klL5-okP_uefc(6`{i#D^J zn6$0{t}$xSK67Di4HPcvj+PsDb{Cmyll|oC4`iPYyFjsxtypjy`A)G7i%zGy{2Bfx z2ro3yGC4;tU+sBQwsVnc(KL+JHIqHDP1{0v`0deEQ3^Z6+_D5)YC=)ho9xJBvQ zT6;J1WqEH^Q?E8fBzyCx*a)usQduH4KhExN;8}mbB)C)M!Ek|P=b?_auxjaBFDbv9 z(Wwq_ATaSi9ZvDUi@I{dx5(q6-VW5WFZiSdcZxvk5gh0Jeg_(d*q`bvJu~~Q^{i@< zoXmU=Z{;p-@xVR&ko(uUxW@RK*1Kc(v78%dBb`=ZLFGNM)~yl8M>3LI zy)n!)f;`urB`Q(bR*TyNs?}9hm!8jKae%<)NgLyP8+`hE8-i=k=iPIGO`jpyuc{Yz zOtQX?gcohEo4wN2o>)DFOD<*sFGC!LGbg&p&w}L|>jWOBqv>s)DW-?VGz7;o2iiZ! zOaePaMBWE3yxR5UtQ!yBr=pg9;VC7!bJf)i-elN?XG_UPbs%_&$}n`;-9reNGRrd9 z*?NKS|2muRL0YW{#%^s3c*~V`uO-;D_6fSF`P>Du-z~hJZH=|Q?zJi?{P3jDO!Jh& z+zCp%0VREDiF5tuFD$2UdnAl?Jnu@qP_ZUgXJz+{h)a6oXlC2=izOK%_Y2x10i$svhji4$vN4G z4XtmmCxfi2dD*ngUrpSKC*!Z#(b0OxvY{^Y!vUJSldrF|khL|br>8U<0Kh&ubIg5t zQLbHCd))ew{&St;=WPA{*R&$>-wWzOAzKk;%F6nV9s4d#NdaKO50$@T)AQO+Gr0T;Hdyin4FPeC@!#F=}_u$l9|~HE#dby_iNj|Fj>AZ?tN! z=rQ-aLg-UC-%o&yFDafjuNV6d#fL8_Tng~yCh&AWlk%2toOk+^xpqW+b%7julQOBj z+AWv`bN4GvYryck-&Ls*0EJQ{uoaQ72a8JVxTbwnrOiHvHO?oxu7wcAHrS z2Ht`;Bb<64X*0?dCRnMoa-uX7UB*^O+a?XiA0x!tB$R+#7m5iJR#?HIU@_SyjD3I} zADVPWBDvW~6~U5}-nVP!T(ZNF%^`aJfe z1;km@M)76hITz?eLrvGYd_;tDgx7&OyZ71>aEXj&GYv|@lPMg(jl0F5AmH+K9G5Ns zaAhy+$ZmRf4K>d4kR<@M3}|#6P_2emb}j z!BiyD*QdE-V_!tN*Fi4~^|_r0j8onn^UTI(R)^1b$0#brC%_~+@|*lVc*<0$(qake z3D)d-E^FT>h1@(^v1nsbdo9x9oc})hRel+JhdnyDpHAvmMIT3p^G64im|(zkHcX=F zm;dgcQYDIt&7nseXR_4-VdhRvKfebxAL}DeLt9&NowVQ7H=+5lp=Sw~c6N{(#RYf7 z{ln!Oz3pL0UCB9J*LZ1~ej#~|N@=LlhKwaBAu7S7!(a2^AZLT_R@Xo3-*Jtobk+`T zpb~0S%$qqOxzA^0^bOi89+A^ zD`-GyQ{PV_GtYnGGJnoR#lKKeJU5N}gptNYxa|7r#qnD@rWmIB#2`cVGdWxiC=fFd z@hinTUWTkGDe6{&)Zs$`ZsO)-(z)czC0pd{H=TUGR>`DWqcVvNQi9Y3=M95|JU9ap zMA1?PESf_-5;5;>sY^hMrsW^PR=V<0*}udu$h{TMi^^!lh$%IQUaC0o@{U@g9ckQc zp#r{z%P<9>mP36yJGXAsy+B7-W`2=C0qy6RGpt2 zlrjoxZ5OZ2{X7#^As<)uu9Y9f6;gNqeliZ8XLKNX{BA53VS)v`xYqo{Du_RPV_^1; z7>m;=Hok+;d0FRPfmTk&tQ4IIm#|;tMAY4f7AfsUn?~0V3O%A>AUIPi#wkD}D;!uT zWLfx$9l`ifG+)M{OC#6WkVhrmtCu0tb>KMlx7KmKog!)N5l@>56Y>cS5hEgb0m_1I zM3UMt!T~rQTeA!}*KV6GxXwR}b72!T%0}Gyu51gr7!S!&FfTe^<^#@&ZfU70l&yLK zK!0Nn@`HY2y%xoj@!}*rP55V*RodjC%)9rXKEknWo`VZ>@LLg|&h@m~u!-j{_}L25 z&iQTr-IUO6+`BJKf3CNOVJ{@y$?Clz7cU%QzW@;w+pti(kGd366C z@L#Y^aIRt+rwpP?HX+PTj_`fMM`1W`oG-n+=b^@IH@xb9qkuj!2%Z@jPU+HEUMA>V zwM3|YPPjnepWuDY^ zeMg5VwTl`r-8zdJtm4kv^a`y2nZ>5BOewbbHLpKzcltUajz10zK1F3}5Krs`!kCr& zKf1^_A^7hUpND4|;>b|ER!TKI3`9b|H#b1&t_ar49S#oT*ap7%IU>R_` zu6K9K;8~oU+MV`y}pl5+Jqx`qz6Jfc**Pc>1;LYSuQ@-SqI>@e}`jK&?B6UVHmCV4AedxU5aMEpEjY52OsbsjbVHt#7tAJG-9Iw?|1pUdaTD zr5pHt*IME!VbgQ!d~~01`Uf_N!)29Y{97-aPM2ypX8;cKf3v07+@lVCu)0DXdeu>@ z?+}o&c?`E4b6Bj9dQsV&z@5@d;WqTY{bZBF_$3oh%0I=}kSO5+P*e}~HOzf{N!$&6 z{v}`1&Czs%aj_!jIY6Gm-JZwgdj(}qYIkE(->TlhS27>^hF`?T=Ou!i&|Qf>IL=#L z7Z>wP+0p!LUcovIFhoOZx7@USqzG+(_poL$#i68T zHy?e1H4XUNQM3%q@=E*LX<66sE?zeuYV=s!c6_yjY%-H#w@P9My^rYnE&ojm#IH#NCFs_va}XL1CDRG3-pW;hZN<7 zl7BFKB(3KP@*Q`Uu%rB1snW_(ChMIiwdc~udlUTRz?M_H1rP2&uP*{W8;0gynQPIO zLreZu9LP?T+jT_lE2cCYN~oGG8sFv96{u^npj#_wve_D_Xx+-NkC9t5Zt}02%}RXx z_&S&d<^weEDE*=yYvguSrAbwm{DoFOXS-TrU63YJ{VAy)Vw*IO!o}7GV*SJ;QAm!8 zlZ(uA!;v-u3dYhVNoYh9iC7}WUUsZxTyrmdqagYh+U>mkvAGK@j7;HwDBER*bG^L$ zpKud+idFZzuQS%@7U0*u&F6cJb$bZ}Nk1pXsRMmnAnk%Dn(!pw4lS$C<7|@xvdaeJ zJ>~7RU;1t`HSlhh$S*pBlwNYHeNA<(5==*uE6mNu!;$KOn_BKKqPNAa_ZZD6C zTvFfs2k1BPP4DPq-*gc~vwkQH7w+ZV`=DlZD-Nm*<=#@%C_$d{88uZp+b<*b5h5C> z7=bR|j&oF9L;VLmMg!S39xKzqGE+-M(*mHnucdFy*Yr%Ket%C|P@8tLKm3?^GcDph z)AUE~tG12^XfJnVPvYxLy)V-OugqnbnW28W>28-w!^i_1=G2mJGu&oday4yCx+(hc)|>MbeL#!{PV2)uuH_oHr3b-@umZ z(K?wZ@SBP2a&IcI(cd1*)c=Jt#RsI1gFWo}g7xso)#M*`Z=&}viTvvH*3c-M?J1J2 zjeKxh(Qi?Y@q1no+M+87OW}yzDNTxCb$Tl%Q2$bLx%<)$ih!IxDz!{?$a}TF2_(vH zc^Q5sp#U^aH^DC^!)KJI2b6PS7>x6|-%2VRau`JThxc4c16%d-?a zN?x^_QnR-ELpy-gjr|Vmy<0ZmVHA=yeilxy+jR7NrN-v*;OGdjIr6sOf!?TZwifvj zAE-2wMMTe1U(*)$rq)Vdp2w4=Z#slLo|TS=S5IBKc*#gl$>}RIM%o7zct=tKjm7_ z-2>cb5Z2XB#2Fwo)QgL4d!N3U;Fbo_e6}`Ly0riB=ST5$ePm4YHeFduW?XAiQVNr@ zc_hNb{=9gGa{B^Shi*&4YYF3Rgb@ZQMtHguu@q$^kUeHtZB3xX!43-m%5Bc(f z-v+h+$L5Igt4uX@yiZjGW^p}-cnTSIk7oCma3ooIK%9f3o0AS!J4x?^)A2LnM;e1; zbHX7F4M}xs0E9`IH>aH4nNw?U%*mV|k}LOWi7|??n4r>ux5tXac4b16UZz^5g{ zvctnvOGubPq374bWARN1!O0D`_RUJrSLT~}tR)WOvb7(Om8jm+8@g&lhFiV9 zYiuepAQ0nD5l8*BcZ`+FRYpYWLehAk^)O*1^jUq7^%@>23^S!~Y(`qh0jfSZ`0XNu zf%a#To?BqCeI&mDyA3m4N>C&O9&w1g%76wuB=J}MSm6_=wPr(qJR}nDGsPCit1Tt% z=ZLy`P+}fc3Y9TWhz@4e5(Skhp+V@td*ZwwV$9B^QjQ` zA+uTIu`$l}Tg!I;0csPsg*ich2Trsm9N29Nf81JtL7XVBG*N&GNyskausMUZpUQ4SskM^%=m*bnEe8g%**p=~ zK8X`^+hoC?=)<4XWV3MFtU;Z80E5VFB;ijqvsrj-vJmY5W&5FVN9J7s{C)u>S{8u> z!R}HaPDS}W%qgyw{EFzdWH|U+Bxp_YQQ}S=^8en_lu%QlSf{3#J%7?i@lR1();`b1 zJ7>qiS@+aJe3YPHnM@#P{=AghO(JnL;D5k`V$J!Q5$^DPVc5V-;z^06WjW40fSF?6 z!!hYB@u5VHL*fNtCpi`nZ=JRr{3L`#W$@4F`a@<{n|6&By>n={dI5MhjkB^IXI>id zrBQx>8|Rf&DwSqh&0U$r+nSxPK+*{kt(4w;^czX>xnj(1!iq7;ETynYC`qJ8h{t}R zjdp{BDB|23{@1}`%GUucKva1jzw>S4qFL|L=zSiM>aa|;kB}cwi)V4X&?(D~nZ1|N z@e(`U&U%lEcliq+77J`~-m4W%&~lKx6prcP=egn&j_KIg^g3nULp63kINSRZ{q+)Z zRQ+>{Td||&y1!xKaluV}DIDVo#v1FFd0-)!0GW~GcH4EQvnX9P>LsHXK5bC*2TI<6!Phv)FQp~=sgT!~|WMu%G-H-A5rvp8Yom~N`D5CNd z-Zwy)A==-=4t+Fv*n`IWRp9+B#Nr)sq2s@TbM%vYkFhSf-m2niVj zQ-5vLYrz4=Tn1meHQd|n`_Me>??`%h3@St2!sRMOPZ#|z-MRwR;)k*bHXM>vqS#FP zGPkOP`!zgTjs@Ho)0oR3(jO5n?yLCf?6s5JmYT;Kv>oMqj&pFu5yFRLMRO8WcgcOC zML|h&nNcO!cL~9~&L2Tm!++~g;8oj6Yn=b=L`wh-qRWZRb;s!hn!~K1tY#K>s%0|Q zVW_~PqXm|8GCc&;t7pcq5h~!`N{^ePl-?Tw={l8>uIkP`Gi)HBm zhC5(J_+o-0^X%|||G+|=xtOuo#O~q%6X9(8e^)Q#qX4Qque0YbrseJ`Wg_k_SBqR% z(0=+7W%hP{v0saOlZe?dw9-1z_xV^GCr0b)xUB0%Vq^vXoOpf8r#~T7kPVqi$#Juy zN8yS;P41FDm*z>%i&vB|N43bQ0Lol{Dz3c8{|5br4~+u$C?cM+gsBso>bAsVw}!4G zkhgO3NsGJksTuciG^TKAX^hSOfAyC=EBs`w(mg_FCplgZCk`vlT*F*bNg*;^K1`c~ zfrE*b1~eHnoP<`(&{0h)v&fGA-2oNwiwN3Wv-i0+zGUNKvtNN*VCd<#UD zr;8DRK!AHACR%q^6IzEBdZENx=g&@A@m(#W&@hJs!v(jix-uVH+ecNB2s9PrWAozV z^+_WCpo7h#ESLZy*8T6u1<@==^yefz@_wOmqVeFd`| zdJwF^>>T4}BxktMTFI&;la#w>j$j)%2yadu&dfTk*zea(hCj%Q2NbIN8RYh`FnfYK zv0_5hf|6$VP_i^$-t{Be%BxN-CdpXX1my1dP%>Ilw}jkQ{1}_m1*-UQdM;7-^o<$d zHsMig(G_d(anIpp)3-O7Yj}5AkyL8JR&ndCzqS>8cyp;+p}V(#a}}g(>*3>No|B}D z@BOZHNn6^U>n2L3K@T~thwp6Kh&~|8O2A##43(5Ep;$2l2j}7xRr-IA+`kM@Wv$>n zt`v+goxqx2*x;J+x`==T{i^NOuf~AWe(G*Faor5Z?hOAd zhvm=j6&)8tM+p2N>JwWhFc^ag(p|5^-J(*6Y+BpLyJ8eO$EU7OmM}TNbNOiJp6pXf z?2=CG(n{$W_VoZSd4^`P>-!wy|2Dn^#uO_(M z+AnX&eA@{>aC9HB9VqTKoXbtQHQTc)=#)OaWyHvR=)6(sLI3f^I*iUQkXYp@O6cGj z3vF)JGO_g3>5-0b3beSu2 z;x1wCx0F2~WW9SrVrYGUF^VIh_bxwUi?3ahB~gq@v|ynQjMpG6t0=b==!sm8gt2I` z9Q*!6!1PN(fMaM&`utYNHMGXEe&#OTWyKE?XhYri6!3Qomb@DpCV1OC7MMBLo$1c= z`yjK2{ej<;kco+Z=fCkvjgj$po`qnHo(q{Qw(2&3SBMROCKao4)gz3}FMIDSkU1X6 zRuL72T3Xr=IV+3s{Xku<#vF6PH?Cri?-c$n0c*un#;q-WzxU6C;t)Y~ZTxi?{k`eLeimla&B1 zLP&&NDKsVqEj^IJuGPW)_G-9hUGZUO#9LfHWbjg^jJ3o9m0&0fe+()quc3VcweyYN zDd%SbFTm1<(*~V)gMQtL$U|ql)7ckwxyFUBz zGbQvp7_$DQm9peP!qQY1-k0IueU0konb=<{yfYWcS7bTo?lUKp9N18X7}y~3H3(-n zfD2x}wh3b}I1!kDxgux~Kxm>sXrTygrjUj1QV}$$Kt+qhb(_jtuFl*K5gJ7GswSS= z3?VrQS!dcUFXEp=?Zodh)`<3yRB@8b+zd(I4@rkbd__(q!fy#?c9ztEc7eYBBJyp_ z!9WK!lFeRUyMo15Kl)FerVjJ?iTx)B;0MMtEC7Fa8U4L~P}hqC1ppI-0@uh~ z5`(r>G5>}}Qs!W5PiRp5s%zAD2z&^3jd}TaulnI!_sbOwJt$}utU|FAfN=y=Mx5M< zd3@+jg`w0a;0*s@>+5tShz_*Raw=E~ekD;Fw6a)QZwkqpe*2kVOG0P<2dp8SHNPpt| z6FeUJ%#&dsd?L=rbQTATn8f-8<0qVk*tq3bz**9SQdyoXEC4~{Kq5?zY)27yYyEu! zX&fZm_d|RaQ6IvIUFwKkqKGfG#ln61=a(hgPeXjWQ6CD3FImKZ@UoYB!vXgn=bQ{C zd0bm!q(DE*kvHGA^^fy_lhLCB+OfrE10=pXQG`gUlkGVc;&7+CMjo|A^H zk*8*ipa@pBxh@$TEGjwKH+>;?J>AIN*RUU*1-TUWo`vPu%M$-BjgN>dWTF-iVp)C) zp5fE!a9ERMq9uU!+@lsz%TD6=keUr z-l=O#=JNA0p3%1eo4eEw%YdLT(~ggF&SL&Fl=lj)jOAX*VrAT?~j33ymk;y4(T@eDa$fA9#vy#w6C#+rLJ>I>-JSw z^_LGt%e9zVp`}UCT`fkwuwMq(2PlQYICaR$`=ffRjDCGG2}f=3{p@JrPP_}IU;qB5 zXD=sY@XigxHp%*WQB{B(TCk9U8;%@ADY7Z$3(SPw6JVfa8E^g1njm~X++Ldu2cjGX zlpO|0e@w*l9^npUsPq_XDEnN#64C#4BabF2Z(BosXd%8t=i3$+3vU~@-X@v5Txs#8 z_|9TZhJlmYHXE9!m5PqoH*`Fk^SderrLscnw`-o#peO&Qv0tTQz_D7@EjD5a)Oucr7)vvCi zZO7#!`N98aN>G~UKUi&)4!CC$~q2?L_GoWnUGA z$#cA{!nkRsuT$d#d>PE3_2H#VGI*qc_kBPTk0LDf%L4S|b)?>>)c75Tftr>;6fDlhEB~CKh9l9o zryh@g63GccaMlIVi6YFt=l$ipE~9k}hqicZSk@-5TP94-ghRD4Z<#i1Ursc&tdsXM zreV54y@J#wUQvOVrRla*Iy!72ypAMWEah*HLS-7ZL`uO?X!mC7Tm| z4F;c5tH|9BA7Tv$`k67xhR7SlxHU!W18i^~BZ)8d`L=csmFOWFIo!z)t+BkCJqD`u3j-GCLB z0v#HbO>CbGi?vKW%CRJWwKj@nZt~$!H>!Khg&8^s-8K3$U*R9JpSP{NGrK< zlM58jo1FQRz&U78nm)8NaL=n=02BW+W(8c}9bzc_`5dKbZ3Y2hy{J9V6mQ*O`ixfC z$CU9?RW8Wy;3W>r4CvcI5&QD~h=|qdg1H`lS9bbXH34&m<=DG zA|l9G8)s3QM*r>sAi7O7x=j_jO;);1bd{bJm7X_2Ou&uu)S2>iTk3f+>>dRpdhVq} zbD&M7$4{l_#X<6Q7v~b4ZZk`z2S%j_EXMB(BEq{4Z`tK^V*p*&N5hq|SPV}$Xx7uA z(>gIEo*mXTh?bS?eapyTVUeukGB9Y#giNib`tqay&>{kQerY#{EQbrt`{xl)V_TOQ z^JVy((kcCGpd5B)5P;B3nD%$f|csN9D zAS-&4Ea*z-En8!LUADq3cq0~1hMN5uxmel#F}kS==(f9AocLW+Y=x#TfH7??fN}K{ zDu98`Py@r!VZV^fvYYhLH9y_%I)Y^2cxK>PVdI!V!Q*^jTIjwUBmFOzUrqr7vjwgg*o%k`R)kK$yqtdE@qFZEeOY zD`m#QK-)ybhJRqIO5eFNgpIQs6#*{#27v)u!U zqs>ODTW4S0-Zy=bt|sTB?E)4$Cy(QWTfO6yel<+8oSj7<+zi`x#_>9& z_dh{`Nu-n4&;&?6X=U>0c$sAU6+&Q45S1Dh)fgtHyZRU0PX!>=^NChk5XV@%TKLTt zY!`2}wsplXwrm%_&+y$5-RdNLo{K9IJ=Gm=CXb%k2HwanvzTKX8FP*%*PHM?>vV5ZpI~^5i$BKU zsTUe5>}$5RKXq8xQQ>LggFKi)bl{BJ-|>TRaB5s_E51+ za7gywNBRvo8=Sla2sJAufMC#_ydQOH`(0`$GZtK{eTi@R*+OEvL3PYjr(B!>5YdBK z;bfX%wcTNox7Djmkydr?y3L?D>4b*v97f}&ffsY*61md(C);&Ad7Dhr(%A^4`Y?L_ zrhh3JQV9lWkUgQ@g|F_vib#sk>Z1<+IZLZTxGD^}u3oj~aQ*5FWkAa%;N=J3NEro|jw@15Q`Ah)m$HyhH zq^iE#aK2^I$SXl%wsBs4XrbGbixgK^Lh$P4rCV}sRIKe(vBewjlCdvu??TmLpn$kh zUrPgTx=PZ#SB?r(+@ADbHj{=J{3h0RI{AGBnI7N!PyF+n1P~6?!9sb_?zqj7Y z%IxpUtjVmIl|9+XPIkR_k9V9p5c&Y~?mycf-v7e8P|W{DagqN;513;g#9#DMslI0c z3`MeBaV}v!R3mvA>x{RJpVm%e1Wi&?SLg)BPg$yJ1V`w*drgJ-aS4_A-`oqX-ahU5 z!Jsh^#(c7Zr_24wB!=yF=2=qOQd-*DQqpN}iEGda98{LBeP30vZ`U+1AhUeBJy~ir zL5(91hSc#)8v>+Un1R}sxqcGWC&4FF=Y}CKAnI&+){ zdoN^9ZRk>_$Ki<8oiKyl;DsJ4OU#%W+@ChQ_WbTEz1NQiGq|OKyi6LSA>9t8=IMb2 zHC5FBc+I%e*uEqYS-#<~IA>72^iO2J_d@0mRFl80-$#B(^Q1@Xuy%C*J!M2XDUZhd zQC(J`+)9`++G`?U2NK(VR+1FMScB;Lq?fSLSI7i=(B1F8P)9=>Gc6n`U z-UaPy_iM;nh$a_gho{9(ua?l_&-khgtHvoW0Nn-sE^kWwiTC?z`(a`pVcNPOIOn9S z-8%NjLuToq#!4oUSNF{F_$s^<xd&K~f@57Pqn`>(q{R@I;zuJNA8Qm|P`5J*=Z?h9RjH!v15a}=7UlCDwDZ1ki z48tWNGGS_v7YV6&QXctZjx~ELRo(eAr09AMr+q0MH)^+2D?@h>7G5v+YjvP&5wu?r zXl3-gado!9_H<%etRPWdz%OI?e@?Rh8Pr zUAmtv&mx1bZ1<%iW4APl=QA^(A2CWMS-uD%(|Wl5=ZqZJci%;Leq7gRk@MXVf4%z3 z5T0g%L4m4y8^W^W2K@t7z4)DsEQF*=DtQveNI*<8GD$XPRn=kdOM! zoq0vvuN~ICKYXjs{oyNBiYcToVf?eRCrgzL89!;(gt(qfDF*JM+}08gt@_tVJ3^WF zf-QAiNc*x48$cLrAK-VJ`s8}KW7t@kKSj6?4;=!`OiOOx91bG8gB9XV^ajb>WS@t# z;+}#)0)Lmb-o?G{FfEQOzozoPXNQIGxPtl(>F3Q2Tzu+w77?epRd_mlZc@1_+2a^T z>+WyxpaPON*qtVu%DeG2q~^o&3(XKcZ%C7(x@_|i0b=)$c(=OwQW{6f3cWyV0xA!n>J1k6AsVledDxC||=Gz%`ZK=4w2Vbf(`s%vA5a2wiEmy@p|JeBk zYTQ&_WLTbgw(3`&@ny1`@x6;RDvyo0N9{6Y}L9X@|Jw%)TB?vPrkyHM_Y zgITuY@7TXlkY1tH(x_O}HN9 z&SDZnCtv4BZ=fHtly{9dtrD=GOO&Obj-P8c?Z<)2*=OhxMXgV6fowlixcS%7XD`6% zW%RZ0tItqwpaR6kr2AJuO~6f;&ACSK7fbCff(4n@46;gJKLRH=biCQP?ME@8)Cwyvh`dmJBHiY^CRj4yQ>olqXid2Tr4D zUlXxbna3-OQ)G)oqub>M)(EKdQahm9u~3ySyQ`Rxy7gBv%BoX^h4B~?A4i2P92SZxOs4*i%D1uDJ_n;Hk=jcb$N7-Tw{ zxEW@>QxvY~Wk%^__>g|)7LD5G<~(KfC{nR|*}KRjpRnTX&WSbB4M(myi~u?756`qb zQ<^=6hq7_vuk^1&r1`@%`Y0Jp9~-Gyrx`zVo}%wg`ADn$Eys>85LzvJyFciWOq)oG zXJ@Exu=T8cWcGd3sJEQ$3{X>feWG82(t#?UH7Ui*ea}?7)ape^3&%hIpzJ0n_aOo& zgg%dV^oElmHZG=FS8T~V?gH@6y?*TE8QJfa=;vpY2cs%tcc2y zeYJ%|SJ(6Q&rTBRS(({hk(eEQiz;6lD**L&iE67T*d0-l=4fv|DA+SL=r_E0fLz4N zZA%r{Vx{MHu{IylIvU2QR-vO;2T>B4O zI zz24~X{eS!5Am5F%+FBihinX@f^CqYl^wT~OR_vSwrlZ-D={$-+P7QAv=SUu*VM zi%WmR9ocWQv9&xd+GQp}`U?W zNbnS&0S^gCDKZZ)d`wA7E=xE!l#}YgWtH(b=t{6@M5-h)Na}pSZ^-*q z93v>s^(R54&Zll5y2l2UPk(_CahP?p}O;wrZR%taRDoP1);-?E<06PQ*IL$ugUke4=u(bNl)6 zUVJ&}ykI^RMhzkhN*e6F_&RlKSFGh|)-@p&32Ji`O=*JR1@h)xytUaToPjza;FAo# z(roT4e)z_LcftsaSl7-upn)>;qb49L7kgcyz~NQLLU`&N^q!f##SBgBPIW9%vKsS2 zq4vXV_27sY!U#7H22yPP5h>&=##gn22{so9ieJn|S&eqqzQ|sDbSc!P{$gLckEx{$ zf2U;sn`qy&6Vonlc24cDh;alBmjw06Nk0@Xp`8XPFF2-Sn3U2Spd9AIt#3kUPQCVf z8M=0(uq7Q*lz5X6(;wphy2X2|&bV{67xDA^J5*%$%AQUmqz`mY!Rr@i1UQBr_^&VG zMGOn<@Ug%@v&;JPGXim`Azvzw=cnKxg4uxX3RnZspL^?#g@Vfcgn`xkUWKX^DSl(G zpBD=lbgAwLGJT{#+4^y*!W`lA=p^AWz0T)(nx!7&GYCGy6Oyq>Rjm9!B3rENH{Y}A zNuFhrI7#0Xd{Mka)3>CDhO-6!xv6l?5kcUd<5Nzst~PtMT06%dI*aO{b8)->IRow@ z=2Pc^m&3dnzf7B1)Ht?%>@(>_%z{>ohZkrF`;1R{HwmC7{xwI`Lh>x z#)z9D+*eypdeLstd-oVgKrpl^hm~bF68!#_dB9e+(TMT&zvMkO3j2l zwyI7zwyJ?&g3K-%1e_?1!#v$kGA>l!$yOObe;9ZA(scW_hXk^d^i@I`>WoO3>Wpaq z3G+Ys#8lT#_7+tX!Yr8sSN+-A9a*&mKpk{d{B;&F$4R8gB=_Ep9S6+N^-xg<+q&h9 zXI!DH>)&4PglF9FAL98>)A5f8Q~%TS|06!12UY#}ZK`2ex(7^#vtw2ds=th0r>KLy zCU$KwHYb+B4_z2lv91CCjFx?o{jcS^7Wk2_>$I^K508P+;Gh1B;I(xeBZp4* z6sif3fZJ=DbGf^gf7p|X6SiQ(VkA{eDmgIqgjnFyb?ly#snA$&O%gvAjJX*aFwSSvh2ur#2CF-UOr|b zh8tBP!Yw^s+I!CHB2GV1zN5<_FWV^MOg+u~R5yfn(ABg{SHYih2hllHillXoyoY!- zXG7T0bY0~mqOI8pf=>)pQ)KT@U?vNg`@dGQelR>SyogUxV}oBD2^RHg#saewz>@8V zXXol8xg-Fn{xMEe6B{339Y47y*v!N@l`n40l#mi}hO-_dIblzzvcU?pQ~TATDV8MJ z3E3NvRk}1;#a1r~wu?)T!}aR4mjyVj9$%wyDN%D~MVw}`>+h?ZEi@Qz;+`c$Zj3u| zF6*#`2)3K1;KF!6chAvHc_8)@M4m~YC3Uggc3(>3`6-Onh-p?pUBBP(yHWeX=AQ3z za}+lV`)rPN4RJ2^lPE{>sWT7w9q95S1o`p8mHN3qw_T9(OAjQobt$0cmO`(7-eFM@ zPI=oyWh&lXL1gSXjP9;srf{d|@I4AHesW;v15r`8(`svj6R;zC-yTd zMj~}SM;Bi2O|ECN_PtUmOk=(jZK!IlMVXyz-^aYlE#vNR!~_q}N&cwp=j?PuvqO#b z2aIOI#hZWl?&g;%QdMpaKjJx(uT}`NbgRES|Gpic{WP$otM^p3m_S<$q74@Eu1v5p zo2oGCt_T#>+N=0TZ8Tev5e$OywOkn$cp-E(6*foVrL3*BExUfaNgq~@MFUlLUfRH0 zX1x$SvQ%Q3e*=3ze;n~Rml)jLQ%P5TS!o}?Q0#9% zh!vb84}LDm)e%WI@`WdPxDHmNnkz`3vABfR-tTq(P8a#X$TF1)dS`!a6#w3Ru+LQ8 ze!)ao8YT&-RP%uf_Vdq7o5 zhWm+|-9Sp<%*nZXicM4zb_gP=xdzG`89Y5skI`ThpNfVfC3{o~0gcXekpQJ$<&b()4bAU1pFGSad5;hotVHkpvAlVDjlH@$&Hi=@j z6akNTYO|~cV>l(25{~C8;$~!p@P5b)pX2U_LXL5&T4#B+>Yp{Nt`ik=*9hedb;;l& zO78?VV|!LZaaM{vOf@CNdZ1_SS}FbS&l2U~_Gbrw>L6zBa_fOC1c}66m5pZm*LCjW zFS6ok!(nhIY7|p)$k=qxs*J+63`}>wUqtmxCZ!1~j>1T;uM7t_5S1mMUR1XmoJHS1 z@>Fa&8Y=Q`I8OK-X({(z990#%+b(@KR^lE8g>HfTl~NH+Vr71ry#i-jDJxrz^PgUD zJWoBL@pC^upEA#1`NRI5JYCW<_QH*U@WlDTa6sb-FXO(ty{Qc;Q*0k>PDnp#r1ng@ z{hh96f-XH7D~e=>~mQ-5ArL=C3&I^ zH8LH!B?-1lcb?u2GNrqnGX_yS9aU?Yp)B2E&hrUUXYA;c8?P1G<*7WIc486f4@2nC zE%MG9yrP0z>t6(i-$4E1YM)Nf3=34A>Al3vgjS~Wp2>C)lQWqzpC6~tdVfaHVM{!V zq4cr)@{t<>b-r8g54Ag$)a$+(d5Ye3>5~=(pE0t=imW-b;Os#|QknbzcgpUVpM2d_ zyvq?^Zrf9MRH$;pbF2=N2Q8KQA}uKV{%ngMZ4{e7DP&$PoJ(0z)kBmifA?e3A%%)U zuJ$l1s9Mr!OfFZugnhzN;RaLBLZKPHPxCj!1@Jv8{EDbr34T)VK@-h6fJWtB6-_^= z>l@Cjs5k{*@(fHC$LkegQSdQ~R-xi|mTlKs`3(!zzzxV4X8AA5#2eG}!4H+W0sK#+ zAHIxRY8AgvT`e9OFD{-j5H8O!FgUqC**{G?J}_9B6l^YOEG(2TS!+99c|)e##7S&y z&;rT_0No|NX3Ota1)k{zU&(?CJUpI;7}k@L6D(}GDF491Abks z><2v6seL(=CkxCwr6j6n_;~K_<5`C~Gr-L3?9@zai+f3}m($Gf?Ce8^0Y{lt39sSx z>)!@Z@uPiYI@$wAu%XAWPU+jazjN(XllgAht zp7g{PI(7+vA27%B*IIPq-w6JY(2_N?_-p&{@FzRC#LrU~>%qbjVy&@TDM@w-2?q}E z^wKCUW$4Q>WcC^)dfjn1r~vc7_c6ogWADRUt7dW+E~Q^q%M2-AjdE6(w;vcZF#d?p zf|dqnl|(KhpARo1$0~wi@!|iSg>zzCo9rE(v|t*@m78M5W}~2*+Uonjl`Ej1Tne?c zYAGMjNE8umwSCQh&2iFN!h5Jz1v17K&8Ln^6tJ_(U($Y9N7lM1o@0E$`WMmU+t1f0 z6?#js`ZSv!mxc90n}iCj*U!K=R}5d>_#|cd?U6EwoDQ~;ugh8L^ijo>CAG9*|vI zY4)w3$x3=7eH}^<_iU!eBPuWJ`F-*G>{NAB zSw38F>*9}%TrE`=m8tp&P=pZsW*IYkf9zi=IA=X5-niKN688)+n+9hH<_MiO0VDjpkh{)R&M)YqSc zmd|Gmx-Pm5GYuq^u0ZdIq@FjA$lqU{xt?Dk7u9)x7m4VcJLhjpIL>4FM!_|bH>O;| zwS#xe+!^Lu#lV=fJ0&OAWZ>@us&(7NwEAC1ncRSlKhU4|8` zy`pRY=QiNoAikt!Aq>$z>uAgtu}XPMJ-X%B2D3-g>{x0djZV7}?w83@)WvIZ!vkA0pCFt{>KII(;zeJqA za1&cS5|`_AN{>)?3UN1{s_MLYQ#0XKGFzDbgDmfwgbz1~fzFjP#5X$ERMXTx4;E71 zstfk>@IdOL)XRR;vOv?*yt1=}^sBx;)?6j)`beb_t@9mQq^YTOg%Pz8z%$2=y@rZ% ze`&V5;){xUZIN}6e@Bz*UCd6RAO*pjq4J8!-AN!CYG+ZGN&3mV32gv0SKEMjJJqF? zIBTs(UPkC0Xy6hoWIqx`v#mUA@cgjTs{CXGWF2+(zGmvb(e6Cl*v|rNzR9n7z^j$r zOZ??f$fJEvmO-uT-IedxQ{!v+8$P$r+wsH4lecdAoxP{I z&ez&MS@-sC+h@m*&DX7mMv0{la=za8PkRP2uU>|BZd8BYo}!3 z?e_Z8a?4BkPFW3<86EhqD1#5KuEq~K!+qFZ#ulM(Q92rLBhCZR-j3rJTHWo4&IR%; zoG0R|*pXLBU*D%u(znCqTexGQ z`R6W)_lSZ3Fg3a!C4P(DU0LcC1PJ{f&pGUOgGtGx2>x`yijdT4?V+_S%AkkLPbts1BsD=-dx)< zIQtN%)L;{W=>JX_*GPkq;As`Sp)9l&$s*1M#h=OS}1}5Dp*=1$4*J?9mC^eE-tI>Ks?ZN@Mx*wkoO=(;;}eDOT|e& zHJ{oNHo)42UwaN(<>-UIz=>2+sgK5%K}Lw)k^C8_y7W%$f#z$exrz=0cZ315psbWy?f9N=_Oub*N|?-s=sPh8V0({O{X z&==jsjyS<{{I;_R2zY%=6UR)iCz2|I3ZXrNoVm>^o+68hrr z>=l!VOxVS*fwzFugrVhxA@ZUE+G44g*AKBLf(bS*aI$|xUv@i2-4}!t^lS(R*d7-0 zV`GZFr%;Qq>88u4D?8);A|m=CQFeP@b~)S$1mOgBV%20~*6&s+(2X3dMO-(N-M*Av z4toMZTcib_ae{HP+o9PRaEtEfi{29*_7ei4t=-x6{=h9)id^GR3?;f=kgC6SK>?z-I`Mzu7N{ zV1+2cM;6olYJ?M!iCbFx`DtF2q#n7tUO?&caW}Z zAM(#YRPF_zPc^BASpZ?Mt7M2nRbDSks&f^e0;UZ)9>EqVMzdVB*twrv*IT+GdKwJd z-@hy#VGPlH%e3Zo%QnOFYeNB_Om$C$={Jbg5kMqAO7yda;>FfM`}sQe_7xEp&wnJ% zzm42#a&c*)Ja>jEa&GSL^HKR}<`MpW4Rlfj1}Rq|HRf2ELD;OuY@SHU8>qQ(<2l@8UniHeEVNj37TNkwy;J1HDA)BJ&gUHY;t+);a(Ylzam$kP2&J?p8p=HM1sz`$%^Va%yA4m;Llw)IU}8lGKd3=lPS_}r0Ff@Q`_;p4@*c^X^hW6!x6-8gNe z7UeNY1Zr;~y!dTo;O>L(SY~lpEv^fP|%qod& z;EUk$mZnwMIuIqaMP|6Tb_R8-?}M>jh)(uWAd1__H3Q}Kd^XdD+-puZk9 zBWX5=Mx<*b5iKXq;oXI7waT4=@Leu}X}Bow@mLB@VO`V940%*$LK{8vaCYE`tIZLkKn3MjVmx z+l-X(Y*+^LJ4yL_0}3Pl zE{#Un61E*t2^=?#gp|rBuH~c>AlpWIL{YnBym4%PqvGT=ut^&AHckl}`F23KRXI~h z8!JNX0hea^P@Hm{-lFy2n@?xEoj-8xpd zh8hg0KJ0&-z8rcsySo^uz#01F=(^caGeIBIJ#Nci@7BFO)M!RuQMarL?B9kisWm2% z;hKG0=sQ(!%(n|#GP5K4PkZW|(8}8+yc;9lm>;=7$ra4>$0xjb`ii?lWhD7WwVAFR z{o*WZu6P(F1Gbn~{6I(@`2^VB;b{x45dY>Ky?^xL26_H+se_%M;ZHq+4LCGZk88!U z4y~tQOtb_h3`-G={VCvEskcg>=m$7WG!4%Gl2&!JB2=VBAzV+o+(oT_e_vEN3p?B! zo~4rWwdyGb9b8&`@UF($Zoy!*1 zWx$C?{LTFBV$P63H2jQ~&n$5e!>A8$q!P$4-%Lw8%Ypw0EDD4+O@Hxfj$3Zb8~@-z zUeokeM^!yqPKFsks#Rd5L5N8Q(fUA7rz%z$7hl1_c!2Wr{{y+;2Myb|N7nRurh&ZP zoRowVyq`GfrfQ}dit=R^+ z>bDlheG89GZ5M|_Qv&rq_}JNV8btz`7gD5Y2Qe*m4p&=4_ut?3{Lq*TO1S2Y!lQq8 zx)^(y`X)1FS80ic2`K&E3?&Nx`!nY7ScNXQ$c{ZBxgP_hQ5oC+5Ip+{5ZSLxXid;Q zb(N{}Or0s{K%rZlOvuN>k1&Q?$0U!?r9bn7NxcGXt(q3z~!z)hw0xhZi-a10xj}>_EL|y_?=| zElr9`wfWNz6J&-(ZlGu&xW5$P+a1cjTP54{E?w!^$Rkf>|LINq%+bz_A^VY6n@YRk z>*38IX}fRc`L`20c4Ze&&-#%OTmwVbVzL?>iUl}*<)L1RWQO}4e<`b@3m;PlTn z=QfKi&N59Uh}()?YTlkWhPfx{hoIiP6_eG_i{ir56pk;Sb&@T^R;`!(yq>gOa5Qlv z5lElT>v6CO19ih#gugnHuNKgO(1HVWMVS#QzVy6H0vBkU)T<@MaY|9j9d{nJjA<1X^b2B zC4T?d@`v%wK>|Y(%2;tBCc1rqOr>9d3uAK5uFu1OpZx(4?n=j@ z)vW@8NiO9@BbZ<08nL{K_~zKt7}ub4xb$&v<1(~<>j)n=5k;$Nd!0qP(F<1?lm$TW z>&952GSZ>n0$F`%Boq)4Y_{O~DREImE}Sv>E*JG}!aH>-!{gp%1-Ldn*o#iM9Fa!m zdxn5@cbfpJd$zhbF1{LZGewIFe(SyaXsAl$hl#;hMz@pfJ_uA5=PwU?roRoWrirTE56?ZxswMdO6D4BztcBT4(xUbV*k`h)dl5Y1cccHn!XdmB4>$y82$t*fmyB^TknIK zizMK6(tqdJBT`x>30?1LnyYbt#}5yaj@gfaTj`f!63kjHd*Q}t4cdK#FF(3f;6~iw z7Th0~`}_T_A;O;iqpwz=N+DE{1f%h?y=03N7W?Vp8iB9=zn?ufydC(zAE7Q|Jx9XH z+*i0#&o<8}3I^Lcp>7x$%S1Qw-LzpL%}D_#QaDpuB;|$upHJ3JjqhQ24M{OgHm%7J zjo>NE*-{AG3F9_7x9LPZ6y3R>44G!|S;#ud>LwJH#T#3wl3{yp7B~6#n3n@yKVWfQ zsX$anNQES>#F(C1xYp<3qCiiyuSy95rWba++lHbMB)+YBNOXLPo-CATkF!bE6@&ax2^4DBT1YR zNCIM0T5~oDdEqGt-R1bl(fL==JzGee0!W;wDesxJzv=Je*9@ZTU<_VN1U}^Pw_59P za&$CVNVPZQSuiHWC>i3fQF3{$d`AMhjr^-d&ZJn8rcmZ!fb@w7OjG+6otoad7K@x^d?^X)+;IT$Q@80NGSCgx-4{j zT;_e-U)|Tiu6i~j6Q(znn_OQ(NOi46tPv-D4NMWA8Ca0`0D5i~bTE?=V{1ub(G3n_!^^;O23e1*=OOZ{c5p zOR?&!9tkP+ueN-IIi5U?$@ly{+Yl`Q%u!q+4nz-Q4EX+!AsCmvWXIuxy|{Oh>*rc{ za?0ZTe`d+$p7E+B2Gy72Bvyd9Kg)4{U^Ya}4RAzY-+dkME$|`6`uOgL!D}&kBMS++ zp6(fmHlNCwJrRkv28TmKQ?#)BqN)4I)QY&0i^S^?x@YxgI!|f@a@qW3dC5y!qY^?y zc4ulfXOc@g-fd>PL9Laz(v>)y<+w^1wPnGMQ~XPG-tBa|!Fs#F#&?WB!|jqC)f`;U zNS;UZcodxLGg)I9$#Ps4T+d!TZ+S))`MXj{3NQO z_tf?-QIUoA22sQ>93IFxlB&r$hs zKDUe;LrTuOa+0k#I`x41uduI_q`n7ED9y{P+bGD^^=lE{A1P&e*xOoTp9p<&Z+<_X zdq5m|wxlmxm+|$j{lRx>owoi#Rq_Ru@6<@+jK*ZZ-;}ynz|yZOC)Y_k@>TO$X{5n? zodTzKKEj@dCLMU;Udbmnl1NS_PC>wLQX%wEI2u+3o2|$JT?gQ*=6$08Vn`BteH8mi zY!Nbfb0s|!Pe5za?$hs-SmBb^oc*{hM(f4yEkD;v-c^{4nEmHKl1mxhZ8qL*H{Lw- zF91AKcs1CuohPPve|J!%Myhs~Kv=r8X{HFTA#KFDK zQvvWbC<{WGxm|uddHU@DZ4C2(F`s_m~{dvWF zu-?6ghTY=35{X%bcN<^WL}04G4(2fAl0>Dkv0ELR+7+@`MzQD}eI)i~t|Yq>4C|(E z+7MYG9gaF%EQMsN>jfwu1%f~!)#vvVj?^NDlHQ}?_j~{rMwY==i1!rw13zWohu2`e z!7p}$V|IfXeiI2iNAHIXlzLaxzIa{U5;GLLfMQ51e7evdT1hUmNiI1k`&Rd8oG@%2 zb`3hP>hU-ckx&>t0({vh37KmNLgo7n*}7KMrt=(Z;Ps-TvqQ9+c$b(K<4Jbc2d zu*ivMLb<>@q|g;B^vW#5@{DY;zwl&mcu=2_3+?gs!5n1CFOMd*SixLmh%BM4JCRR_ z9~ZxS32OMy+lXhO8{5%whwQ!kIc07Fi+qKROj!i85f=6SZ^A?Q?dinFzPcrL`v+5p znEEu<8}FdqM5(_Cic>af2$%Um$mYmcp02Za=mNn2nobbyw*V7V$*E7K{$RDF7OKK# zs$9ROO>4B2O?+Z^X5G_}GKWL{m2kd4;e1n&K^+{frcnVMVG=AiJ0Y_9&N58s*tAS& zUB8^g!v_!#hAn-6gFVAQj$D=P{8chhqB7Bu#Poj?JE&M{q9#1VW2J8B4%GlGf{Dkvy zGheB92_7c7MQ*9#S3j<^A?BJ&y7|rRsxA zEaIO#+gD&Gqh8|Fp`k0{B6j{@&DWs!IjNUKsFI}!fyjYz-5h{XB=&G2g~d69`E97}q; z!Mgfr>~uU6)jUTpHFbUG&6wu?5KcWnS%;}4#$NyyCx?^F#6qu`M&}IPEpib2m_Ps=P8k+K z=T%KMBcO|_i^kt!O?$EFle0-{P{{Wd4kh&uCEM_kR%u9yyA&e5p>C$;^RHDeJ8GFP zZWpb;h$~&FMHsPf^Y4`9f?lwb*s^Zii7|C#bYBlYIt7szfJ(FDC zlw3xEXJPx^u+H=E-c^_>_$v$$%m{1!71o*&2I9j8T&Q2?!tei$zp=O%Rv$Onm3oc* z9qLZom8kCcvF`-2F`LjI($xn8m3l$H!b1P;{toxY8Z7%C+{ftl)sD9zWLLU+{YeG( zg|-UhitB1DMl&|{!0vOVm77~b9ooz|*gE4aD(QB1yVmnv-e1a?GT<-wHvV?=Ey+qs zCZGG*;>^LEYY)6PNeyY^-zmeBQAa@(or7)lAU@fA2%nS3slNV(wwCK3$wg;YEpcS( z`%DriCQAO3Jk4*v^#-$G#AM+k5%F1PrwePm<&GWazXfiM;A4D2i$$AL-!Z`|N(a0} zGdBr|7|}5Bc3%byPXI%B`LHkfEStJpM{+vwhd<<_DPQ^NsE~+%bTdq;f9=n*%4WOH z%B;~<1lmwT$ll@KXsE8$OsWd?<_A?xUbhxtG%b*0c*vQ__l#LC75699t)PWud}}3Y zt+heZ(*C>EwaP@iBNEB6#TLo&vWC=yRwQjc8boI4Fd9Qz|JWWtdpsIJd_2TOwUK}ewEBg% zaqWD20l^yT+mn<@iorxf|An0SV-opd8FpcRdGybt`9?2+Q3Ii{S&qFU#5hH}VhMdF zB-vsOixRH9=Ph=>^k&XS2YfYuPZa1Xzo%$x0%6GnQa4m+EdZaU24k!o#AL;FT)UeM z5mg9Jl&3NZEtk~s>6)PbjzUpKxQ7voW2?aGKu0@;{NZun-9;?h9u+16+1bAZ0x#ru z^J+w^!#y+mZRj>^(>2~6&VQ1IqSG5~b!!U_;I8}E{r@c=62bP`<&+Hywe}<~+t)wQ z^sJBvIAU41@4KIQ1~(?MFG(deGUqIqpj7fZn13&0XD$bZg&Z~<2aQ5b$}u5p!&|A# z+D7$^&4+yUl^hngZ2Tq5bm{}eZHr4D20J_=BpH|2TyxbiH$%KOXZ2yYLO~xIi{p8h zyng+1YZ_mu_*waE1!~Kn@Wih5TrTur+Wla9r3d-b*p2&v8L` zhxgAL!4M`<55}UL=ds{2^Y`4RC-jFDb8gz?4*P!c(JbP>Bj0PImAg7f(!Y(^caitw zkG!IL>Z66E41~M&=cw!7(r-h&OH~>G93iGz2WI+RFZN5G)SvIYZCEX$u25BG5q;{? z3H;cT1w6b_FY|neyxJa^I69N+*moyH$|rr99kZsvof4ToAwH1;L|VgEc~e%Xv<44H zq7!Q{Em7PJT5TI0#=qtcJUttbPqC{ZTw9;^cDWGRcXkdqtVt;6@-zotAq}Ox_Yu`* zweOt+nxgI?5WWny$}91Vuk_GJHKR5=3U((0Z{g3+fBK*GfIQQ;ilQD-zv!`v>A_zEf^f&{i>>b)ml4EKmKK5;qFz`?Cmz>q3z{dRtFx_)nBMGweTJavSWdSqqv6GCa0kG zb08eI>h@R)zr6KrVZ{9%f6Wek&2I6$J&%jPgB-h^aa%@YxeGnF*f#-E`tBdI z>S}47oS#3gtqJsP|0>)Q$(^BR0^EkEIFx;kdNHn~a8@wiF ze$11MCSB=)k?Fw+{dwYw7x(!+IrU^HlA4=XTwy&k#)|uJFs%X@1VH^8NKbA@CLVy!HCFphSbyEl3GVmVGqkvTr-oVVeHO1VswIJgzUvtj0 zd-zszty6Idh=+V;OA6|}d`V388F9&GN#B`6of$S;cevJkQCx+;S5?@{pJLK21#J^xZM=~s<7flo~m ztSMrY#*3GE961PW&44Lir39^1N;S=N%7Q>2*19u=yxprxdV|SyxYT_xc%xDSWsN59 z!)Es5DS8&+3JNIU2lHIN9&)xp6i15fhjjw`cN+_{lejaLzvB=axRf z^$nltB4neaeg)M%U$_`=m^GxI_NHM;YSyH|!Fh*!7&|qoYX^{m4-QSykzP}5HLq}z^LCkJv`vV)+jJse{Jh}n+Z4rNVjaaRl znpbmrZb~~!wk{f#LSR+>Ph5KpOzH`8qb0y~cxdi$JzT$ODC^ksg*cfxas0V$-3td` z#Vt`W8&rHG&2XX}%C|ZRU1z!xUW1cqa%QX%294Zw&zaeXjpPp$r&SrC@olB1PZ7LX zNrSfH7=bR_Q`#WGgmq=N)*Y_;A%I#eolyTUr}6@Wc2xJW`PnvZ~re?LJhEl zAxp|OL|z=hM4Vzu)8uD&+uVd^J;yM2j1wgEc=)DFdN!OeGv_=a>^ZT>W^~X4$j}&{ zm!FJVNJ!9y2f@Zf;cj@y!n93fBENFPtWc@Hasr`^k91nTV!VSZn15GvpY8V5-R4z+ zvAMIAqM>b2Uf%{b0p$6ypDody%q@+J9&CSzIGc)DSV{-9f=y4Wo6xhF)BZ2X^8~)td>A;4js55<2cK8 zPAX0=U2JlJ39+@dbSm?%q9)Hz1KLsapMegJh+k+4K?t%4UBpk}8n$Rcf5gyeYmAw~ z`W$MoCHjo?T~H4D9-%~DHdRKLa>0tSrKVdpz3EG2sng2{DK+qDEcbmE5Gc(HiexpM zMm1)ibi2`z5o3`?7KVpU^ zpzS(v8@o}5s($Q^zucswYSUje^mxHfxOMSaqvysB1i(Bf8ZBClLss3L15Mv?@}d$j*RTyOR}J^3B*x~)1}k;I^eI%IGZU8N~TeL zYn!w$Uj|z+nb5pvG0{jjVv-bnNSqz^YK>|cJDNm=$e2bQ0lbXXPr-@kQov5EKdt(0 zvgP7`;s5acX+?~i#4@KG))6T}NN0{ym9y3QoWal*Rk1egH977%{8l_pY;mZx8c-oM z>=pb(3TYANNEo^FZQcF}F>FK7ag55OrBdiClICPd2A#FN<8*X=YgAlxYbpBJJdf&@ z$NhvwP~A$7EjN7z>gc~mAo;3uvV6FCmdPT6#lM@7s(=JOn|D;J>BIUJgm!e-&TiNp z260LU&!a=wCtcq4fb#Lo>EjyY25bTzZzamK`92HW zywy=@gTeszL~}q*s1anNbmapP(?unYxwLdiu9x9?;{^U?NnBGt5w+$H2VFQOof!UH zTshm(h$?%yPHla;GLW2TdT_zDq-(%eDtffRBQtlpIVBf&4!e=yt3qd26x6~43X~V| zF)`vv#EbB$souYz^~2$iYH!H7&4UAOE&?&UFgB1(r`LfrR7jx{7WOcZNo<1p*!fZ- zZ$Bj*19l$hE2FHJ&QS*vL7L>EDdB@dU&R^(zhMb8(S}DO20&1FFo;u%;e=};th3i` z`Z>UgmOWI72vQ@AFNO5u_n}ap6V#@{TpTjP6!pS>1}485T7nPBf+>1^LoPs3w5VVA zs0H8%6B2=E=}98tf>(R?5U;!19`8O+yw!ru#ycetWRTeh5a1W!?QPL?CbsX> z{`>*&EP53rBGO{X752x)VZ>ntDVKXA!HaGE3LplKEEi)qrkIKyI`P>`rAdv~Mq%AM zDKNXDeU@BL6&W~hsL2BKxfpaQNVGZb-DCW)2C^dcNB7pg2BgG`9c1OTIHTq*26|n> z-L&4YKbsybqsnbr8`?GJ$%jLKN}KPe!r5~(+^7Pr;d?mOL(hmusOOS7{3&^YLDiZvBn58) z{A$H@%WxtLLuUx(Lu#Er%kRr9DLFqwmC2b8Lc_;A0g)7Wx~5O;k#2n5hTIf2}` zp-SR)S^YG3yP`CsWI>g)8#5P&$=u?vEFwU9HY&3VS2|$NmpuAs(;@p|+9+s5 zFI)(LOSN(YGmatx1s%k59X#4pQzDjT3fmJmhqlLksyGN;9b%&Vo>DfePci9whc6*6L8`HS_ER3@al&u3tfCXT2Shpk zu*4Syx&0-Au{W&!RoyU@^w_DY6kZMP<4VGa$wQ_+~ZqF|u** zw0Exf>+$m>{JhVMu0J^BrHg7WlCOUmZ(ULnbW<{Uu|4wE@hZeb+8qpO{{aqBtmReJ zy14z(ooymB;I!+;pQ|V=G`gmLj`OER#c~oaFr%KWjoa6cn?*ev%>kil^mjuONjjh} z88ySt=*223%#f4jvil%zJ0KyzfQCf7$;IKQq|z&g>)CXA3Ju7gvi-wyEi+8^>lt*E zOpt}EIa&I8vx$fwzXk53#{*^cQY47_-`qrbd!a(rD2G|+E8BVYbr~I7zm^P6E0AGF zKW{54Va9Gq^XUxaqtQF?BP(dY;FDI1+rudozfMn5=)L?JW=omhmSw(|xs>Mpl8+b6 z9Dc|Ws}&$Dai_{Qp7JNp&ops8{_@3&xUXkp)9AI@2UdCG)> z#FgLdSuz&+u|ZZDmC-`!W>rkEG4^?WgG(Q@aoO`;1^C#}SkdRH6&z%oy@||s?Ar=L z1v>&UjvXD?esm5l9Y9-mHsN}eWocOfDlg~0olyAxT=d;!Cm~y;cDwMvKeXG5gCpi+ z_EGlH{3ItW2f6%9Lpb4sSZrkC2E2Om#N_gF{v>6mQs9+&w0pG>9zDy{+n!oAw$k4! z$~k8|QBubGoD2!U*w6_7mhTB8XXR_pbN&iQqa#dgsXaKs?9@6cE;7D3rrnW&jA;#N!% z>;U2{%=>HMk{zLMu$q0^pYnz?*ogPG3}w+}wDG@2rOuuvc*sv4wjBHGX4B~v%f*f0 zufiK|*UXXTJ5x1Z_O>H%`f?Q}cR{x#bkrna3>=YL=>z+F3i#{`vpI{(No#M*vo-2x z=MMSm3FEmoFzx3#%Gn2JzgAZaiZuc17UHm1=00toMGf}3xyk1{wlF~(KCPnx(W8wN zJUJ02vye&S=?EjU0SbM18wf~+dP15A3bCsWZ2GloC^rk<$OGO3kiA68hQ!U_&?8tt zU^IN-7;q*TVkvINL3{)WFJ@^+$v}{Wy#=DY`-Z#oCq0_*Y-!5DOb6r64{$xJ{oaiL zsl4Y!W`QGOOoElhvTvrgE_2FlD!I-a7AZ3Ea@q%fT+L3>dq6yNUPC4|$ob<$>Zu^q zM1415*-1~kA+6H_=t6FPQ}RSE7ayO$=o!iP!M5>3On|R;XKf=rxE9H;sI*Dd6X>T;kd4j zsyD`$r%LCgm5cJh>oj{kFvJ^(tB)PsJhxM0cB)NsMLw_9Jlq?nhjqTZ%{jg)AuK^N zi8(;e4Rh?UXD1{mkp4Pi0u0=vJEFo&vhrBh8%%J?v~|-%9|1s0y(IC5S7fJJKu%## zcjVJg(}W06O!i>TdrY>+F%w{N%Fn|^%dX=<2BB~A_v=zd zeUD6F77|O{^4Lyy))##AMU8y}CYLHZN2*aBa*wkvj59%1)=UH# zaJf#8aXqu;-MYrzRUpQqtCyN`REKOk`jYvDp*P*(>aBtyzNEC&lUGPhwFGo*JY$4f z3Dj|$aWr@w4XA)--q?@%qud9K?*#11^ecmi6vdbJ_dL*JFOx_z+K)Z~d%*{GPqA~* zH>Tw=@>YG+b0*p(5@q|NuqPV`m0CsI*OyLzNd%o!eTe_8z1fW-SE?29JIn|bOb7Di z_=@N5l-0Z~7uJ^cC72>L-4V9Xu(Xx>tDf5t)uUpWNK+@X zPD^l(jf6T8__loBTlA&_i~wm+`R2RRLBI*vKi595C6Vd$%+kh;K@Cr`P*f@|=rX zYD(AO6fYQ2kF+;6%cz@cZR0NrR?K}LA)Yp9_YhAjFg83+ zVN{AYnQQ01YeZV&)`2P@qaOT4y{AxSJ{4e|BAxT7h%?4>JFnoMw~M|u^5y6&S$1&> zG$1L;TS^x#)84ADebOA|6xUWZ_cru0A4|10Y@N;VnzsbT0|@2lIc>`;rs=VjdgovK zVHybTAQ3G;|Aq!)ON-qZIkOH-^)KjmRdpN@VyjGHyGZOGg$kBG$_vv zR1@SXhXU%7?ar$>eqK1LoI+zwyO71{{B^^q)F79(F3$ zxFlok2}ZZPdOD91eZ9S#E}MLk&y5#`yj48%56ytsKZ*q&`9HwH=|1K2<#Z_LzV8rE zJ5aT}z5PL%vF6m#GpPyLQoyVZs>$)Q)y)(k!HBZ$Z$qtTc2c|(*CV^owL$`@=D%BFz!(QiJ zV{~C+gT9IO3$TWeLmr9yV_caXjn$C;?rpebwqC!h0 z1X(=Y>bLt$U8=ap=T^N3BiJGy{x&$JQ_rNZj~fiV>L;PjF%E(Y_h_)G7RR4(^JB|7 zbEIgVR+6f0`RNcei`v@DWF84z&3Vu=Zfri8JL{SE?(E&z-@5ctCZ=JX5299$9r?j#W<|HT#;Zu zKc{^vMm19X<*a!vFKvMscDm@yV=5hA|EqBjNxjqLj6=%DCp>&h6 z@l;zb*3X#+W<$$Lb^dO4D@leM1HC)6OwTjrbKL&&Bv=Zp^?#=XWx@nv_u*p?6ExjC zZBOC~`_5%=^24e=5b}si9(q1>yPIC+Q9EPG*2)6@Y0}|5!q8v$ERS(r8wra>VGT^ z59Z`P(BwW;FjuuqBY2jUI4H4Y(Fp*+8ul0=lgU>n&wDyWj}5McL4~B4FqYmnAm-O% zJIW{1nD`Z z#o4&)Fcec+{G7Db8IE5nRvVPOi)Tm8$$ma2fU#)vD4SFx=tuwoG$a8`#SPxVSS{8= zZTb}@G3X+1e2fe(T}>iOnfZ}xS5rI3nEko0?0WhE6$$FGeJoZVE92%9UTk6^ip?4I z#u&t4^Tb9`dyS0Q5<T=Ae~{;+zmvozzAbA$Ic0QKGmuqKDkhnjbnosAZvcbMItxCsQRGu2V+%o}5pc9r zP0!?O{6WOZ^k7T?3XOYVj^eDfL^(3@b$~msF?(Pb&O9r`%9viE9 zahLU1g5^^uOh zVC|&xAE}_Nw)uqD8qr4GAbHP5R0I8er+Ac0H6t<^%(0U$r1gPz-G7<?;l9kxX{!txioFzgY_?%QeUQZq+@xGLgB;p;(?VfSdS7)w84g52t z4)XXofV8e9;Q@$*KjE=Tz2}HNd52j47aMN>D2cX;oG9cBu>7;JcAMUQN3~a2Ib8h* zo-Abt1aOwPD7qi!lBg(K05UL@6wIId@WIJmHviIZZPB(4zI%JF+hD~5uFy=|2U)3s zxt5bO*R~ z-jmGCm2s0mVXsZiwE4IC6$kbEhd#0jna#9v7;ulXc$-8)g!wooq()FMPomScg%Lv^ zmZFG{Oyl$2TOSf|>NmDV$Qyl!7>@+g8XohlPtxYmvxT~`n@tTvc9Ze}BC2*3k|I}^ zEX7kt#6ghyUbIV;#6=#9rdLz5#T2xf2MQ?OWIgtuh)=0sLMv;&%!B3_QpTFXa7l~b zqOETOKK=TyX;Qx^l-7L%s!$X(x~T?Rs-&>$96SPn_c7~tcjc2vG;7Apif(^s%Jg1( zQ+~=n57+b+MrjZAAc1b#;?|87+ zNa~{CP}|Y+&hgd*69wbrYfZ1cq)?Cq$Gr0)FvFy^q%YxK{isUS-c7Anu)rQV7vB>@ zT&n{316V1OkGFA7SXVl753A+2R&whSwkemQk->T}-`~ij8RYuxM$^`CCTO(Mw!YnB z^tZ??EoBR&mGX7VsQ&LDK~niJ*!5fJ8OYi@?H3BDy=72q-~PA!*zt%`hhCu8G?ORN zuB%MwDs+=>tNhO1q*45mibVfY;|qj>t8WzvuTa;CERJ2h8N(00b&sz|d6 zOL14Z)uTN5qHDIFrrA+UmHmFQHWuU5o36=WbO#QcF)nB@}j3wVEcCR3jbl zfTTkEcO7UU$D*{kt5AytjhSXleZTpr5#>HAqf+@_AC8{J73!t`3+U@XQ5}%T3--T8 z)UxP8u?TK{X*bjc;g}Dj38sQ&QQIpKieEni|?Pp2Y^7 z`bkam0R&oy>T@nIb3QyrrI_w%D5)}msb_G z2dQMIGJ!eg8k;g;@V_$e-IYLP5DU4tD@$oG|F?Z|YDrj!t>(|rd<>HCQZ~?J+ZFC= zKBfAp_J8aeep-@UwrA!8&0Gp2iI=R+x_u;w(e-?%80p}RF!Ihp-~I*24$LJ4K9Ic$ z0#;zRy_9e_{Nu_f#Bv}GXE3tTPQ>+N&<=DYvF{PE@alHu;X$FMNHI1!$`+Bla4+;U z9PIAaQVyXW*END?d<1g%s%1K@Itx$D4H__>>K#wKC#|bY3xx2Z z(_+5yrOtM^bz1+?yj6E5aWY})ozLh$f+~LG-J)DqLmqpb)7Vh6TnU9xlPl)oEdWwG zUiP8WKb_H-k8PXy#xbPfS+?PO#}zA0 zsJ(K1O)du@)ApC(8V*XIR@_CqhafmIB@n~c5vqbCAS%Gy6J;``0?qBW;E%P$*<3w; z;TVd%8+BxeaGda{$8boyGtpBp83*oUFbv6gu~$*ERXH1dDHEjaz}Lja=Q+89Lpey3 zW*uyV8C3VfmEq7Z!!n(ZJvFuY8jh`(oV^L+|eDfCA>S^C#|(G)RS$AN;4LZ4{3 z)-*MD)+egWNKJO85D^74??Whfc!D8rd&y*mKG1D&LO&pX&4o2P;O`Z|klFx6vbLrf zmMTTy*!P4Rox`j3gi0VKU9G;M!r9H(+aXpRb1yg*#{qK1TCtCXj{64UX>hF`x<^R; zOp&bf`Vi$s_SRf1=x&F|e!?GfjP$@LPRM63nt+#{Nr}Nw;^iv3**rvV;$j!)xX-m3MAAE@XXPwnN>TH;OJHcndit%dAS6_?P%@TPKFhnolohwxm@fdGEZg$Zz z@K(M;hRORQ9A6BGB9fhS^;SXm9wGaBPQ)nxIq4$Q)3+?#tymZL780CCW;Xrkd{7^?mGe1Kosbky~vJ)LXLpaPq#Xt4So%SOVxR$(6BHJG4QqXF+!Jet9omlA;R z0Z8Nu4eDmIDeBOovyQSJ>erc(uDEXIUDCT^I4mQ^pDIjzGHE6}%|wj zv)iq(%oe00Y{eRC-4^`(4?URtB+Pm^-hh5&hCP(en*ui{oq2TLls}j)gbV!aH5i5W zskN;%jW!xK;&%0V1%fx0>}zokp8@-XVB0roPs#GOyKRCebTYXTc#23==Bw5Z#cy`c zxeN8fTq&%_IlDH$%`(FyE}yA81!v7W<>(IZ*806nGD=Pue0!F267fw}A$X@s&o%Bg6NNp;?kmGOEJx&!U;qMRZ*rCtV(N-f1{ z(a%egmkCKWZXuFvNSFt72k4&*hqbe=iCc5E-4I7CI88o9KGy{zeCVeFmloJ{+Fkh@P|Rb%^pAwj23o#_u_ z?oLxGj$UH_W`K{(XQ(AUiPF-$(Pg*8Fbe@?oKnxrcI;XGIwdjNSrz5M-Tg@7&*lI(^11&$^%{W9{iQQvQ z7h@k`7SaRVxkKUBDByoOib>g;@bW8a%vue;ui)z-0D(97gsAF)DzuAw+@VF#aS{S> zR@C_CwkUSPpNPb6XIAnOxg;Z4qO&wJ(aHjT)cxh07*?)T*y1pasAF$PTRImS8k}BE zmD`~=H?=$~S7yBv?@Eyt&4#);WUsFwg8MRajOz?Qgbw&%T!0_r8PSX+V)Kg0E!N2T z@TeyPNnh#86}Uqe4<)%SttOf+gN|c!uN%vqH#%mfJzP&E94>b)Ew}o+*H2Hc(1mur zBn+$ZA2ojq0hZT)(!$AjWpIt;FX!l=yvQ5+9uyg;oN-{v(lU5P#MaJ7-6dy)YTt-s z*P10H@OV`FTNG5UbB-st25E6fkI4GCrCSsL|MPfbn~YG?*k2*r|BUZ+nq!mwB~O_m z=XBG%e`4i8Xfcxbtv^B9M0*$d-DUGXQYSE7ik!*~Wp;EW!7(Eb>ciT@X59rzc(oVGECjvHV&Dv$@2EK zBq1F-iBEW%&1eg3I<4xUNgVVcawvyvu$A0&2mcO-mmlt(jrcP>!cSTz_`+?ZmaN{L zEKVsymErt+Rt5)`yMvTW(6z$P{~z$?K{w1^p2H1_V*cdqb1yyG)HXz4?A@7!@C!yS z(&lsc?t36ic#2k*{|mRiv|f1g!DRnkD7^pSx%m2o`fbFU%%5KQX0x7Q=r4M`27?;p F{{iQQYs3Hm delta 10593 zcmX|FWmFwquZBXQP^3^?i#rr6PKzF#;ts{#U8lHvad$bmJH_4IT@LOpm%iUxcYaLv zPBKrj@+5m^O`>A4Qj`LKECmhq?^37vPe4(;`=2bP{hxG!KGHI^AzzT2{oNQaw7%0e z`0?{fl#^tMJqUcX4=MBjMl{1?6tzR!19v?m|pAIw6!?Th*`=oVeka`c5*h2jJ zg8lkJwX+6n5j^LZ!&1L4U%vWZzFs_Co(fa&qtKW4!5`=BivrWf-QrT&Of9Ef@j(3S z166_VNyi4Y+pl9gMblc^p&7e8EG<5~9$%-LS>n_y_+z>HwdT9L=kqUks9S~Y8Fu$d zT_#LKPN;4Zg=^pOw1Xoa;8$d7zMnYmpXfSNt}KN<l30#@@ z+SJroi$Bo4)*z z=S`Ru?LCtgP@nsG^|-JD%RVvEVqdY^L!soYi$^Z(^f04FSm^Oa#Tujzbgo@9cN!MC zwJMg-?YTnqGlE?_yL&7RX>;RjpA9d<+EZj1R|Fg6sf15`;ZjJu3c0;$0@U#}6_hXI z%M=_4F^>egu$_DB1JVR;{SZMasBpGY>ng&hDJJS{(gc4ouoL{w^< zAT^_b6SmD9tJcnz--)PGmO??tCleJ8a*ds2XVEH~CdE0TJX>2^H|vUV zyRZC&0FN)uO$CpSZ+kd_hqK9$Cq|jhZ&`+CDuU>j9m(H~#UEy#0e%9@+PALAx%(jF z<}o?*P3nEyZ0l_0T=tUXor$xUQ-w2y4}XzTPS;JfEi5sPqii7;{4>fhPIAQJn4qp= z1BTWi&6c-@nQ#J~I#f7!fU&D_Rwnw4s26dB?+?#?4YA?KzKzib^%`i7z+hBRXZTCZ zH&X5|aXMdKvYqoDfo+681wOCdPFVmaxnE@WT5XQkUa1g4qK;pVKv+M=;&EZ<#D#b> zHu3SzVxO(S5Z$({pf0xS>efeBW_33&h+$!@7%gBMLY`lyyVdZlIoZkaWq3rWp*{w~ zQeqP|Cwv4fO9u+c2!}6-mWTJrK!oC6*AZCoz4?V2?Lv(P;H-52a&ZhF*msc1VS zCvtdn-|h^d$$a4JmSjm`?okb)qdK10_}Ub%O}dN2O7ype`X`j-^f4spqp+$F5?!9V zq6p20Q{<+xUOvRHwES}VG$tWukA{1N^j_)SR`K9f@mstl4`77(_i^ z>;U3^wq9JPz&vjH;p_Aj&%_j1iLuy|h5#4rdQ%Nf^xbaOZV8fWAVu-)W@0DJ-e&U#txq;G7GFeX|#``9PeIBU%9Mh}63}=ISV1q}0{$nE%ecCwL+v^#b+C3|W(C5e#F4E&mRCQk`Rld&~4SEo1`Q|$)OKz690 zKO!O>H9=O;d$(ZmcjjoUN(pif_#$I!NJJs$3TP;yHicV1Cde@OIH2GsD8|HM z0NddFl`0!LR=w13?baP~db124Yi~Ir`7@dV)Vs^eftV*64W|yb49dPCGnsnqg{sK3 z|CV1??Um?*Fw^uVXt4g>0Ix!)ki@U>!;J+;jnN2INx;@R{t*U2WUI5RN#N*-Wvvj^ zk*)I}96?8i@G_7a-nUY3Dl07<*{nbmsL(S_X1y5tL;CCSnt#bVwqpjw{a~G}Kta?^ zVSk)zlxfl0V7ZJ z8&g1uGTc84BdhX5IAC-m*U&}peCGScl%R5b?2WgKY7G%_ZL5KvJ)?u?w>vCLW-tRH zGA7<+N6Pa1*VJ6jKN$)EJpO@`HU($#f(XPdSrJ=VPcA_*{O4OF;(LCq2e&JCuj;4^ zKceYS8)@_<{y2Y^-zRCt+{tXzF~+cP=6+^Ir8cIgZ<_;sL z(}wD!NF)unVu$+U14+^Bm`OM&(Vit{g?b28!g>9|@Gg8q2$So~!0%Bu%+b zmvy60Ry`RerPI>8qq*f8ufCe3aVcgL6|>ey*J7P`5i9k;|I zJ>(I#5Hdmwf+b57B-N!(Vg2 zvSC$ZaoTr(;>BJz8%k}F?OQ}g5}PSyq+0gLAJ{9BQV%ilms&!yP;%pUqMnfFGjHy^ z5ubEfn`pu-IYNp1dQn2O`)G}yVec(YN6o@L7Hb0WhU>zLED`C1y_DKb9U~Oipp4nR z0zHD|h8LEy1tbMZ^L13MCV$&)0}0X!ROZZ5 z@lu{=hr2D5mF_8DPa{z;;Xy=L!LwPRhMs3IT259-wBraiI-b6llRZ?8LoJAxM&QEd8rp5E~ zzATy=eIm9>oUDL#QdePg*ZN)1SW2vb=+J>ZLerYC4rqXIVP&EN0$y%}u&`_O@~9Np z%XqYBIQ|Z-VDoYPk`+3{`|~`XG^`_!p%5 zQ=;F#!FFyn@-stN>%P%<`KR)Aqo6vl5r6Zb4-3O@(Yz_zl3x3+A!re5K33Z#WkW+> z#kHJo{JP#WaS|7ybu)XSc4qqO#{ks|j?+UJ%kF@rNszJj0Q+LDETPS&gIucB2iWvX zLF;z~AovRVT*>cpn3Up#Z5BoK4`UmLxX*>HffgqZpFiTM{8Cstq-}_Glus!l0XGHW zqfkL}Er}LQNhl7g5^|8{BGX;R^Y-lQ=BxTMDT~pet`RU^863)YRVT=3=K}fdJbYNP z?Nw3K&*4!^k#K zRmfWlc-kFC=lhvV?oWFS#JaM47>gKB_?y-jH9F61xKF5gHuRU7Yfwf8&P6GN+mz5) z(fS0b!PfU--`6cqlmDCl#5bTS^E>hjL28V}PWbur`2?Et@&IHIuUzCKweGqY9eFvr3a zF1xz{cgDg@XuK($W?oWWF$I5OmXmg-SFW(acY4I5iHNYVJ)f_?Jk(&QhBXJLlo^g! z7iyVj{?66OgT2Q{?`MD?9ph&Gt<_+G(1_e{cJ{u`0@h7v&rfC!h^6CGHWMM}uGmw| zjW2j@a)+IbQDu2d!<+2Q$)-clw{0>FBXR3d%opGN1g2zw2u9J9jGm?sQfu_4ai=gU z5!mUUV;<1OX0c6KAY~d0JZU?{RL>8K%_GHEEsl)YqDKtQQmBBL$h27S6;n~+Io#Su z3bTFxBUi$+C!b#Y=YuAJEej<$ohNN?z#2zi+Lbqq2;g;-2PS@Msk>nScfyKAaf5jh zSNjd2s8dSjGlk0@74n`xJ%6}R5fzRdTTR@)qnj8#%r4l}K;skY?L%XybF*m)kGz=N zL(jo?K`fD-0t3MZFk*GnO)n0oqK^&k4rY=Q1vE5Xn;_ZshCe&Ptswnsb*6R*Wej`i zL_*#fnW}cdYWKS%w01zM0{^a!oUgb;mNgg6n>JT=@{%56fAedl4N{O#bSnxss=$@f9^_nLDi zs-5ZYJU6<|_k!mR^$*@>bdrsx-H{iw<(S=PNjzt{A;Zs9F$#oCb)hbC6MNfpoM-AJ zWF}h_p#pwp$wbhWNc&{XttcE^D?gHF-v^P#nTJ5lLShrmuf(N1BUDSkX;62hh5RDY z*;r<>XB%={;tcH~vdfOR#RH!YT$}TQN7yaUZTNpknjB1{9HWl+@WTN_1?9k|ZaKeD z*tZ!YXvxz;zIVx#hHHxU10<&QroqD5)@Gi6e*%$iwPtk^U`MN;#Y%bFK2w(bH%?00 z6iRdngNCzQ9dieH%C%1{W}c6w!>q)uubxwP(dZ|_${+r(k#NAbGCh#CQedm+W92t9n3J!l}m`0=-S^#vVgj=tT1B%A>w};4t6IxodB)X z@4L4*vE578vb##j7J6{HgC7Sv60$V&w1FukULU=RG4C~2>C8Ems}aS|ToF|#jvJ7C zN@vLv=Grj*Om3`A{9BH3oegv^TBobD&(m{S*7^}ng&IO}@~!iZ*Qq_s0BuwFK-mNO zvu=XMoZI@S#@5n<6Q+P#9kb9hvJF%x@H{VF?UXbAMbGPhdH?;Q9Dgr4XEQ- zIr`&6GOkZ}{xp3DHN=@I*h_XkH+qL+LEmD-MWiDhW|Z@1AS&!CLS6>{PVDB`2R`D% zfXX~iYyjhR6GnS08?Z%@xliTQN4R-wh73fDX2e_gK^AbHT`Yu&*`_^(OfzTqAq}Qa z04uY-^#iB6#{OD)Dw$?pCyATZc)S-ssNta) zKO3A^{8tTh*GRBoHnmQ~G5I|2+F9%Jsm5~Mi%PbE1yzHySn>S{3CyiV=x_H@@5gYF zzkC+!tJNPGKuF8wjPFk5U~Y%@KB$;i87{t@P}%u`XFZ14Y-DjDUM6TZfHj-Vcbf>C zYfSAf*Tuij77;daw6fRpBgo5#NXwDwWSZ53mZrY*k$Z3|G_}g}=xW?B^@h2R9U_0v z(aC?bzY=VyI}-V{uKo5Xj-Db`d%!RSmBT&PlKHwNjE>$SA0mu>!C`8xT0M!If=*YFe|8av5;$g5H>K%YQ^&~vX`G_&pxhuMXf*Gn5oQHHzW zMTx3qR%6#gh{FY#lyjJTuZ60S_Prt73#?oGMOHv`^uWv>TPqk1xZ(E| zjm)_k+dEaT&n*2@%#v8Ezo(hsuO7>8O@ElVfNN((R3Q%}hZymFNw{|jvAC8fB1x_> zc{Nubx2DO+cCx=E`sBX1z@b&vNxhOqv96pe^on7Ld-tlf+Yf(M^tB-l@0M9HbzOa# z&Z9LN(#X4Ez#!D2Bq{Cs#Z_SoGq#PLQWjR6)FG+*IIW z?!*T9Y%i|jl>gqwZw8a-NR8w5kRXrs`5T1DhCwaj1&M5mXE>TEQr5p#OMeD;aJKS5 z+0e9ovA_GV5b6NtP7z15bf;HJeOv!w1%NwA1c**j1caNA<%7y>c&{WddI`y}e2h>nCY=>hd65rD{_}A0W$|ig=q!|q= zzERys@|hdPxZeJ*bVq^2622j1X>I0+;1p9dznlfc|6A6-gFP9cfwDYtu`s5<0YG-H z#UHdlNL_K#=K$Yb+$m-{Os!i4d6k=ryp6%=2N2WW{fw2lZJ4`h+znCzjfWu-v0GQT zQLCJbw{~WgFy`=kK5mrgv%iw%m|S57{mY#;ts3@cdBOpE1g(y_!JtCZMe*S-Y+p@U zC?Fj%8P~enqOBf1uw#r5X#>bO8r)24H+f(ESVkTOIdD0f-!J|<#Y}lBbk3Ko@vAI-U*`U zG7DGI*br#dTE1tUvTG}Sz*sq;iefyJ z#NYF#kln|X6m8eBVlx)-6!)MNV@$$gjt>(#t^c&!zjvZQq8eURE`&A(Mc+Cnwr_u1 z^p*Y_9K)Q1FJSr7OrOYg@do)}Hl)PRtVGEb0$~uvgK;$C(JZwcBU3$E#DdOThIxp& zQw-8HT8XSQP&YuMFCJSd@TtH{w=5Z4-xr5LtE0v8qYwz*iCMjl4BtiCPg(*~NQ#D0 zobssoJ?Sy6mQ7i($1lX(5W!&8?YPyUoDVm2rjcO+WeLSqfpaIv_f|R5Yj_^CuwYs` zAv|*>y=f-A#v|{yD1sI0R$-kL$~VHR#w5eh|HbXjs?lUv5Po40wO`YZ@HpYe^rL`| zs?3!&#Wy{w!^miseXrZ-DFKtRwNQI`n$WRK*o=-9_-xIQ%=#{UA^~A2SndmjD0A+6 zpfkFhJtMhP=&Q3nMnCth4&Xp!C;l@#Bsww7kgN=IB%!;&XWQ;kqH|Mefehw6PgL*? z++X+HFMTJ*zwDG%Rdy#pDON_OVxIaaxeA)E+H!gsMK0+gap#%$g(vv9p-#Ilc>`-p^PQ z_eQ^fDj0!YxoBm?q~l+$0(Qa{#PY%n6Uyv>?wB7P#}rH3 zCD%K_J4?E;U2R(Qqvp$@gwE*Hs%4a@2uGqjPuphw?t5Vr*3gUaH(Yv3Y3x7dMMFm> ztvvfb4;?BTTzpBS=f1xzT`mx`SUqwyB&=)D;Ya1M=x6*AJ`+`T$=fT3tafHZ?|R%} zHBgy+RlBPoz>TqINS|Z`q=%yH*pldr^M?h7aXM*4Fa^uLAE-U(2@kM9s70-`G`MyGGzIMi``y&7Y~jt37;WbsE%X!IweB}{w4JG{-NdS!iex|J8n0J|hR zM7Ty%>71!KVcKk}-Yz41=`-q2bn*(1*W$qdHE<)rPBE7)5w}Gp$}ybdlF;LS6kpgC z%w{Eh1{O+%>H_~w^oMv3Dzo^5%|#~}1HO^}NMngMXf#;qC7mbxuc3pPKVa1-)VA?f zwz#Nbr_>+fW6iv^r{K2P-8UT_!?`*&!;4rjiAJ|tAVY6eTPj&Ry&oc0pAfk5-xKpu zwLA9FLs}YEo3&iu5b+s~qOFnn0Zaq@DsP3u3ORk|_7UoH6?=RTyK?F|$u{~@MPrHu z#tp@J(Wl1bHcPgl7TEJ!(c~wK92(PiH7F@nMlwlTS4^PBWp;ZvNbnqi#nH%HJG3XW zq`$)FbhXSbdRBqRkF$ICP z{l;uS6r)tg*YFXeDHCWT=f@kdAM#LzLA+)vW|2 zm{K!bH|irhGX=jJ4S>Y#UdM_|POsVc1VFm4>ilatzahHhL%An&TV6r9Ut8Scv_%Sh zyaQ>8P*Mue-r>dqqNgI_c2}brJDM3a34`sBb-WcNsNzR6u8*E;=J|pCYmaxpWXfBi zHtkRCH!g;}m0tEIlblkSj8ZWXvax7!YpFS^OQIPA);X$;3qXIxx65S6%T1Z}qE`CP z2si(v$l3Sm?>d~#XHB0PtiOG${KR>v{?Yq!qNi@s0Vh<1bG9NNmy-ckCsn zA6w~`B$Lk&J;IKg*^kjy9r5>cp_V)c5m(kEgPIkFqsO~Ufih)VUG>jn5|ibHhsx z_E`&%4Zb=}?|h)nWWkA!O&slqEX=TrQ>8M?+Wx}sXd4JS5p^{GO7p|A4^R3#nOk3k z?}=lISS3;^T;PgfyMgWsY~dw_c}2tq?6Q=04Hd#yrtT4rJ;JfkJ?FQd{!Q=8u@($w zKbkhbmsI(?gQJ9K*k%)VpB9R&7s>cd7~40HfT8;5?nf0Mi7M35J|)MU#5b^$MW-QN ztwt-t(zsrPq5Jejzq0jyR&V2IY5t?!Zs-zPZ|L!FtS7|_NRPyvP6=5{1H)wxL)yyr zy&jUDlLkaE*L_59ew8xl;c!0Lwr`I()@8Q{j$Cf-SF0Q{=#XFaYn-OD71ht=(Ib>4 zRYnPfffaB$&LD8cLTy5D%w^JsN7U?D7hig>i^2dJ$LLGi&^NV}!Pl~fFl5~BhNO-L zQtQwd+Dv^CUz~C&!!W*s3vM=Mr+)h0ZQ?_PXQ7@e^&*;6NlFO)=%7%LV^bix8U^Ib zEQDXMq_{um3odM|!>2g1%W{&uS+Iz<4PqnmjiVpz>_Y<$p6rMUoEVDjuq}5c%FH?rFcK8dp6>G&_xRG zyR|E7(B%4xhMceu(nbo=W)K=b9kn$fMgONZ$ay#pmk-syRfn`n#b*Aq8VxRspS7C- zS=+VzkTmN?6p6=FS8`c-!XoiO{6XNEbfjsr^@8;G(E0iJ`PP$XH!py;KY#D^%slrM94m+rg(PYK)u5lU_txbai6|zx>SZRn@*Hr`^2vIWe9~Y)^_#_;jz+f zXN-_B^C(WPf^(y0$8CyXGOsOZXr;W!>{r6#Nynvjr)3r%|RKqv~Y+l|T@Oqv@J8v4K__K{8Hn*Bq zYHoI|;vUB~Z7^X9$i``tfYmH1zKfBzFkTR@^Q)i(O=?qVHsCE=S=3oY(0~Jl zBNn&cKd)2@gfg4|txGxWC0M(XAfHC3eA#W&d4S%IbQJ~SwM1_2DMJdFDH2C3>AmGn zUoqo!CEI=aQ8T{xj%8BLg7q=tBtELf?i;+xl=G{LGlWkak%a@}yr??tG1@~0JhJ0I zXS9IL+gfYr)E+Z4G&<|-G)9UVEKDUB2qAB_PAXX4=9V3GBD|*$ zE7aIeno(}4*6MR7g0Dv74s#ATOzn|U1X`=LKn`CsWU914!<*?N!ZSQwjs(w$r|wg4 z9zXAF0uC|s{87w@aLcxaGih3a8UxQq*BnE**z^L4D5UYlqJk%mc)P?WqAnu}L%3=d zb&|LOod`t;RvlA^;+?YHYN%I;7rT> zy?c<+K}0B5O>+3Hwt>Bg@i>L{$qhyIp6J47=p@#U)>+0VXVXs}UXtlrr$@m*m;=)_ zDLywx)nRa#Fc?e0E{nr0kWcBhR6k-B?X^zx7@&A!&C%?R@5`427g2EK#X`E6*^koZ zq(Zo^Fg*88xv#iqw_0P*`(5*Z!!4VW;Pd|P;t0+4_2#aqjv+xP?bG6TwoB0j@3$A#ycFOz7P9p+bB#pF})b{ABxANfHQ4srS&R=TJWp z#n1=Ib7UhLaxLYLtWUy2ELETZmQMNjxWv3Dha@wa$TfO0_doPx^oHy}uo~h(&xrZ| zBRT(v1k0gQT@!XBR~1%)(QF(qXm_6MkvsAq&NS%0dB{z65QczO5_N3nF(p3qEr%@H z>!V$!vaGObMbnmS=AmJ*Op-%HSsb{L@f5y>y&8lVmri5_Ixr>kFlNgL1ov1zc z01gtr+LA?=Ch;N0E>@pu<~kuSTY@xL-7)e(b8Tb&(Zj9A=|5@jM2kr99W8s_G8*ot z?1trODW+noQl-2RtF#}a9;(zp4R%;<&zk&z4!$T0*wK%SF`VRbd+~ATWC2xp97PxB zC{U*595UTcPh4Wdugwk<`Oi#TCTMa3O)oK#(`D`3T@%*{?mMbTzo)-ShowBri5WWf zK$(~haA))B?Ia4IPq1xc!We5IiYMr%^+0`a`^%ICDxg!|aMlysdGBCsqklh7W}2i2 z#m2?v2=jmok4*!Yjtb()%!t-!hVm&F2<(9p6G{8ipwE`GIodrw{T7W-GuK{8%HabS zl9LwDILAE+Wuia+Jt9@sd=hbO#Oq@C=Izsjh!CZgv}m}uJLxz)m}M2$4%8g8ufXx6 m?dWsRqb#Y=(&HKk6uF+ke@kQ#Ik~4T#{L38Rd-V>LjND7&>}1V diff --git a/cpld/db/RAM2E.sta_cmp.5_slow.tdb b/cpld/db/RAM2E.sta_cmp.5_slow.tdb index b772eb67540cb9e7490f0ce8d8dd81cf4553442b..954685aa5fd5fe0791e4060da1ca198faff8171c 100755 GIT binary patch literal 42660 zcmdqIi#yZrA3t7E-a4sNl2|8)C_*8J?X8oFs3ZwnB}rl=rmz z<`8n663ZbQ(#){2&Caj=Uf!SU`d!!e`u+#sYuEL<_uPHIp0DTgzMuE=@Oa%@zhudh zl2uE=YdH8h47L~iZ-)mWL$;e+n(j9>-+nkE@Yc2M7M2#<_gk5nTbNnwH@DpG?teQn z(9eJSnJa!l+s(JTpW5!}e|7t@Yk_ybJBe3RKsHO347~ZTSu(Kvf9>0%|FzLerzz^g z9=$SU-0s}W-4?r_y>)!C`^dY_lN)yK&P_Uc?OSNa^Bw+r8Att3rLS(=_w2)?Q|F$a zc(8Gk{**nJ7Ugupt-2$aQ+Q%0%i_A*oN*V@Z zcM~SA=_pEOZM9UYD&*Wm7)4+5)gDe8 zJIOxv(Ym>-_3vj~SYB(`*N!3?vTIyCHt}OhftUV}1NaGjAtEp=>&plu1%E(EVEuH_OrM|&vfE$y;d2nzZp>8k#Jl1NVFpE;nZA* z@+TKJrOca=GOCqIQ zaOvT?9*z6vXSS&PzjNU!XU$(y4;45c%YA~mcOh9C{6~AjLR&#$yF7beZl1yZrt#}< zWxLO%)axafeSZRi!?7ke8;r*Xrlp@U8=MP^urDCI!p8Z+=Nxiv$%v_H>CVYb8SL1d zKe&HR5u#6HA+HgMg*W+9em*=b7YGwjRViZ@%3TG(<@q8=5`5 zojI*pW#~SeUr*bGM4QaRR$#C_B>J++^uD}(-PcVTw3aJEtn@Bt=GJMTBOf9*$C?M) z8DndEksb5oc$lVu^O3w#df!or;e9ysyls;EmY}r0LWC6&BR{~XiE+Au6d&yDaY;bD zcg+Q@fHJ#A>PmDZ?@HFdBX&o9lwXLV!V(?A{98s86W%CyPA1cpWy+}gG`pTv#zuz!4l*1yVr+w`4FAKH^ zOpe%Zg{j#pCVMn0V093IQ}tY#nKWt{8FLYwGpqYlE%C7NH?cB~p@JWA*2~KvsIH z5KXb{Pl<2RQ|v)rQD+Kd1@;h++B?$pJ#DS@5Rnqhe6x%+Iykf$YBBE_>z3dh3rQZ7 zp?jhtW*jyvET7S)#%@(Opre`NZQeDuPq9`!l^h+|THp>7i>I`{!5U9$RWB4Sfov5= z5-9~tx8uM~?xD~iF6C@2L_-=vq~Kp+5Bw)eDFd0U!EOgdiES*QkdM#wncpAl+(e{km7)XwlvUg0IY2OOOtTDf zWW+A4Co{>?dPH{wwy05Zk7$*250T=)j8j1}2V?ihE50FSD0(3?6swy^+)HXhxyV>x zeH2PaE*mgmTB`xeKw-@0tyhesR?QJc>1sgKVC)*{h$F1Aocs^5!|8hN8{gR2GW6*` zBz^}uGzbY)FHelW5xbnya=$soQfjh{Y~z@lmOHha7}qZ#Kc(&sonf?y4E~B9rHyqi zxMFoA&WUjPt|Cu}-X?Lwm72R2ak(f}0QJjsOp#-{a=0}{tJa&z$*@+&e#7B!{R>RL6v;zC!_HX|F@+GoW31A&BVIoA=4(Nt_rrZ!uhNL-*mXe8&hLBviYTh z>7*hOyE!hYz65^ex{bR`6|$6$(NCeLU8@RCNJ}7}XEoaWJ)YOS>o zgFB)>Elky!k#z%+I7XS5F2ieIxJt}W9r6?U^0bQVVcqWx%yamqUh2uZV?D7WX^x@m zH@W9~Ij%0kCi@pSHCsotCXu~H%+4a+FQAcKmnf-ye=XzDWiRPrzblCz3u}kdnlwgJ zG=U7}8ciR1bgaJEiRYk+-dY=yK)XwK<+ZI&T|uB%1jWs7R=Nnv6QIsjb2Mf(>`K<$ z%!{UZqPo(I*{6xttG$#!OY%I;Th5zN5eZH2d7hla-a~GRjv>P^8l-LF+e45m$vMXQ z=*>+g$I+C{|7K5C_)yt4pYF-C9F@LXShhG|C3%jjS?~dnvj>Z-t-PU=*zmc%Z_xbu zF35AQv`xaKH_zz@slnNZZ^*5JYS=nYiq2E7?s^z+?W+1X z3bww(K)f|NR~0d|BS=AU(;oBE923>q|!j>>Ft58&B$P>)5KTRKV zPmfZM`T*XCl>X0@KVFiUsT!Mllp7`As5{YNUni&qe8e`1a-G22P8r;T)dGKCiM|5< zUdC6N&MR#v6+*O!fWHeO@l2#8SIVQJ0E82OQ&YH_0$V2ooS^e0(AR}YxNJG=IDk`C z5WA^srR-;Ea!vy*^$?(DtCTBrSp_(4clU(4B0lmV=3HZdkxEa?=)P@tpH7(Wm%UN! zpCtYJDJ#H&Y@-mm!j{d*9I++6uuBP;C4*6Z4j%Nc;hQ-oPexubo-?wSjHpX@(ur<7 z#;$FwO@5}Xe`Oik5J91Jz!e{6@e8{3+mVy6;3Q<*;jn4<=WW~6oeGDrurD@j?Ak*E z^u)Rk$z{8wSK^VQQx02zOHA9s&pFOX=`s4D5Y{N~yZXpuajU@?G7)pWr^7pAc9pBX z-yC&fj4qDZG3i|`PCKqdzaH^p()7jmzlI0wEcE*h(`-F*qO}QkN-Vg?*ebqho6ziI z8{gSeZym~-6TK$~z89^;bMFCdFR9IJw+9-oKV#R_Mll)bEQD!xMT7n(~452k>eN z{}3PFc;N3#YF7$@^qsp-@UeGl=GfmB(68LwOw_PvD2t=^$*WbVYcau{$(4u)?!iRH zY^0(nEZU1esbBW1!%T6jCxf3)_O8U`lM&(ZR?aI5c9+G^n!9$6;V zk(2rB1^8B|7`76&Z;Vs^vR;2^cHn9u?Im}E;Cv>83_7@75U(c*WOezqEU(UY4cA=P z`q1pcYafQM(yqbOb(V7s4;Zdc%n*H>dN|>yaxdk#!`8q|$2d3XmXm2~Pz)lA*?JT@ zkJZE6NuVx)88;yAL2{X94QVHM*`rC#wGRXALx*MqDuM5jFq=t8go1A??f~-zuyQH~r_vMp|L3;Fj}e zue!<^jr)Kjuf+s41;-SKnItqr#h^D!5m%2XS1M8+x#Nf>3@A8y)u;zJx?^;+ z5;!Z*OvEH4W7kVhebxYyM>p-NBZ{+M(htEuOT^d**=gvvUMm>(e~)bpeOfBkIX;Kn zAaM7Uo6HRuEi_!;RO_=S3U|`_A5id{V(BxD1#R7@^yHZJp!WnWnPLiB>7gOL)wn8g z$0RcrQppYM=}8lJQgHUo zvo%@E6`n-jiSH)R<)^J6)WOo-4devn7XHq{S6S;=E?;1(6eQhLd@+Bh(#Eq#$ylM- zsTB|_PvYl$hW(BEhD@Sae}!?m9&C_55mHpn9F5{7sG~Ymfddtr$0TDH{8lp#}-c zh8JJ5TT6!`^S226fAbe+Z%7R*oHfzVhl)TKcX4cd#u^~M$(KU#pZ zh>S_!MvN-_22{l*S1#O9^sGxM=U9Geb|eAc!uo?#j*G13y>M;fN4y>Jup7|loB{%U z8LU;ZZ6#Jev@{0S%0F?`m)M~`*})gO33a*U$*9v zaRfR6Y|MFt zb+=ZNt!kW`b$8MmB#sAJip*x3@h;CBnLbw6*Gg`NZHOCsDN^iT8^^zg*(kMASKJVf zK0*oC&WxzzJbNH7c-ks3qfmy@Z?t3sP;FJ%D)bsAf=Wcp}6U*gYQU?y9v+Dx1P2)9d7V@ZSF*we1NB?M~;28;S}POq`g*4#ZHB38~J=NML#cv}jztj2cIEkYhE z0NvTsz7F|u0VQDslBD&Dv~e+R`K~5ysf6ZP*QwU|gEOh9dc1r(yfx`GA3ejmF_Bn! zB@fB95ag*5W3~Cp<#mCtM>g?N#!(+w*5U{8WjcT2fVGdIl?Qni>Nw#f?$#vk zqbsh)NJE<2OhE1olf@Gsp4@5bz}&|^>hZEVQ8)>aO!OH=wFiG{P@MEw3q>_5Y;)HU*& z`Z&1d$UCHt`_07Zi)VRmnzc~$O{PS#QMrQGrXIIT z{8g2q1-LRNeDhB;A*ywqLT~py}k!q0j(HQ$TSltoZQ0%r44M<^6+I{k=NxOR78lTVJ zaxjBhIn0eRtWR1o+ln<5XT-B;?&5;gkZqL=s%?|n@>vndlozkAB=VNV%M=_J@sW5W zYYe6X{M*R#5y!gakBpaepjmVUk z^|*${hN|&AEXl*~rCX0o)^GBiv9Xe?BrvU2XJyK*(cF5)!oCEpl*QesW0mJ95~0$``j!J4kCN zdR%U|>H>69HWYmXTUi772@Q~a7?BLxPxABU5$~gVE8&%e4M5}CQ&w+c*9>7jUovba zBdAx=VA`qM-od>WTpmgGZOg_bUeY$nN_x4n(0WQX?F%_ ziorsqi`k)xUM2paN?M}a(-81o1I0K$bFSb5@|YkwafE-0cT64E&f{vTn*hDmIL1pR||S-~9+!=m`cI z#J|)|hl?w7#<8mTzfGe?Xbi|_+*CCu<)w)3Tk@T%Cu3sw%gb}dmG!n`SpCU3tX?W@ z@|T)&*QnWwS=kI2|J4=Wc`Qx&7IC;bX0JR~6{ZKAPr!lbO8B5GQhg@w>C8J?%8hnJ zMK;uL>o(cTLz{q$%sL9b0djiMEeWf+&e5+HZ``n!NW^w%6seFchjK<~|9jUXXaJEY_rwGM7 zcj`{6f+kL`7ZgGs0nPX7AkyRwQoB(tgQ+e>#lK_r(bm#c8=#^_nzMLV17_F&xrfVS zYH2EUABiEyvBzJJEaef^abR*{9#C_qkI!Gxt_^a3 z@fCHzSpHNM0*2a#)<$oAafSek{}k6z#i4+qHWAQ>%GZ8a=iI~5wc@bCCiB!NBT<%6 zuvmCKqRzV>F9TvUMh?7EE*aU~088L%SI+7ek38ZXkLT1Fwiq_)>_!(gaty_-Jd`?A z7jD=9i|0OL;u@pecr<2>zIdCMs17WzMJ3P@J)LzzrJ0VUM3P{ohBO zzL5=MWO~@E!`IdsH&E|!VjnzqGQX6s(;&37Gp8fxO?J&}U?d&*vX&3IDI(#YM zp5S@U%$0X4l)~L2^L&8CF^3wdr+G7@QiX-&Pb-+iW0+PWa>-$?`efW0wq4~+rKZ$O z6X+X7dZ}9tI+= ziMKq@AHRkW+o@AV2J~>uuF>%gwfeO-e~eYLj5Af!l`}E1<@qFk;9~j02V)U?UBA-mF|| z&XK9iQ-3xy*5cO3%VzrYp!N-5Xaqx*=tZdF?|n>E36UT=`>WRx*3@U0V#6^YGHl1* za0888n+ub1RTvrZ`e70mWv|_u2wW30Rk^Dn8~Hmi)}6s3H073P=T*I&hj6&e*L0#S(hdO}(`!2a`{c1t4k~O4a3t&;7K9j7jcAa95$?+y>Fzt*pYM_P?1? z`q5F*N>%Psu1+*mZ;ZMT;|)IU-0yUzXFbxD2j}T%%5RE=>Tp%M2&Xhh-u~zXs;)VXUFNF-Mbj z1GKwUysltGh0JHHYWrl=Zsd>xE?_l7i=)8WUlscg<~kGF?Zm_z3ep}W`88Zs3B6J4VUQAg%2 z7V!TJi&zBSk!2zl=`-(wI(#)_z3HS#IbeN^}#(#YJ*LhajsyRF-4VC+_;t<=`h?S^Dd zsg6#&r^NGqYsxLfA?ifN^B%7IdlbP_@_!uYT8zG{(K=IQ<1IU*-_R_p^357M7>=v&Sx5Ue66WNXAe|%{F7LQEux;8+m zjn*f5nY@$@i@`QQxzsF=8N~G8VBaLB?XJZ5u|%PI$Z2WGY9DHuO1sPL8DoONC=`Zi zZvfZsI4@JZ4$M|n8LNqmL+2Ul9qvOOsRgp_@ z`OL-OTB|NkR7I}DnlMZa^)kl^Bw>p!>oy$Y$1c?Y)1^pSG zh))E9bjXutdmTxBz^99Dh=PZh6Fz2gaNE_-px1QYd7yD>QaJQTMYGlf{ci$pDb}We zmH^9Pvg)~RJpQOib#{Du1=Zvg^`8;5SKyY)>k)y_VI`J3drll2??wjjSkolYNijm5 zx@Q!-$%oqdu};`331U(-YyW{iXHrJ#yTvIyI2aDtDpdQ1MHEeJuL`pa#g4F7ao1O3 zyqi*o6ih9B^z1!E4l}CB>_n*I_*{%Suw7nUuMjTm;`OSCX5uztE;X{u#UIoGu*y`$ zF2kHl(1^$7FhRIqQywS|QwR3e9!bzh0+T>6Csl`T7_n+V+=pzaq?+n3M`|uMYhd=H z{FU+^RqPr}XaaRD7(I~n8ZJER(R~}_`@~Dt0V_0B6}JSL#pE{R8Hm+*2I|oDBSsC2 z(Mo(iTA`}k0yk^GH*t204S5b5i3>4mMEldb;sqcsJFd5ct7{2zluj z%?aDJ&jTCEb)>opde3X%zV4meiAJ)9&(>b-5ll^ed10jh#I%-Ww+I;N46D$R0O{g( zGEHGq4H1VHNeI(h4J5Umkv3NLTPo6cC5wZT+dNnMaB?x~=#Kh#<_fnzCaOfCi_)?d z&HRyr*(2GvjE*WofbdsnpbIr$emy?Op;x(#aR4NhCX&gR{JVR(Nk}bSVi@Z*MQ*K=( zi+G5|-n?fBy+!gb&wsIZ-#4>0pt^PTau%p=-12$Ya!_5WKKdl6Zrx5v^P&dGcw>qU{t50r}y{9l3<|UfIu7SEZ*mD=mY*G7y(eOq6`r5FI`YB9#v_-Ne9k)0eacjiWi%Syn z2exWaWCQet#U+WF$E^TGMy!HbF3z%=#BFg6NNaHG7oT$kgIat}#AxrL^1^S}ZHtTx zd1?QVZElOoW6Z?Q>));Sy!kKYHaIx?i1;n|oRNp}ub{+pEj$gC?Bg9-0?J-}Q&xCe z|LAAl;P~j^7+SYb7Q1DdkBZ6BNMZ~J*p-fE7NT87ocxPC*Il~sqq-%|*ZxO`>|s#p zAE7chB;rFzhY65A<>9pr-|x(BE=dDlqrB^Lc$!XC^Y)}v1k1}dNjTxx^syS-i2>DB z%Yfd}^r=3}%g!y%A3bqe9oGGvss|X8=5)z7#=LPE`Xum!;Bra7m*ASomgJ>}^?k5# zFcbO=p11#YYB+T&D(1YO1s1`wZ%-k0v{pC+UK*JB&odTEr1T<;MeRsRD%Aeqo0GFi>662Om)_DD0h)}A zHjc0^{)f}E5xK{8Df0U_thZx&n1fjcwcP>Pm=NgTsT`^IIgV2b?L#jhZ(<*hV$o8|!89<}y8CTP!zQd9}!@h-*a4IocZWd5ek=4ivyy?Vko z(ix8;zilGL!Z!aRL+_i^@^GlsZu1n`%4Ju6T1$mAc;h0Itr=6~iiF(+x-|IOTkhSz z)B)sR|J16!H`nIA7?3crS?u$zaa4!nx$L&AK_oza!uXejv5RlRdLsPJDXgA9x&6F-~z!m+8?9Hrj`;IAcoy#@;+>K!Z!*tVsqL7cF;&4`dNWY%I-?kPza??^r zjeEm>hG!Bw)7b~a!?9n!zUA)(QEV*CzleV57)wX7rY1Ze-s+VZv5J!e zIA(eDr=4u8l%DpRdwqgbXS*N&rv~Y2#x1$nFKoR3r>k|UV5{WDG+|oLK)*K#Y~?3N zLDO({?Fht((mMGhtdrq1|+dnvl}5ipT3m6yS)V7mAzas{wKvOAu~W? zRpg!zr%xN#94Pja8eY6G@Gq#BZ2%kPP0bcQ8CUV@ryN5R`L*Q%2eKlN-xJw~@bs#4 zbe4v>?zq4g6h@NkLbIcEKdOcz>+gK&<JJgS<4SR!1RiSHf;S9XvjEsGyA>zgNEX*A_heVy}Xq;iC4;8j^DGH!SKZ zipIv5)oONFTON#%R_`TDuLS)@bVz`gf79}lf3bTcfzQhWUQCJ$_HuF@9)DOL(;F4w z)jDnEl2HH$VoU84KE(HSD0gKInV*i3*6pPSNW6-qu2}UB#l_irL1`}mQ;?UrHXJo) zcd>gD57+!*JfYU$-m>vQ)5qmr7h5Y^2nBAq+fdE#?3jimm(~pHIKQk2#rGVx`FsoV zvgCG_p?SfSz_V;PY2txPSpmkN!}F7TrTZE@BXJ_n3;LWLj;EJr5D7)*Gh+f<<;P;v z#cloD>%xEwxC$RImCrt0cuW6GynpYlQ?82{S8=hh@y^zm@p(+%1Z5{KWG~lk01es_ zVPww5V;7~RYw4khL8S|c|8&0QfyH=r#VaEMOO^4=nkY-QaI9GqGrsRi(8UYiHC$TW zm9sW^+c^x>ruCaszGR7pyZs|?S)?ok#ISz}GQ2$}HU}(kcOg|ZpR_`FdLHoif*YN% zph)=|MaC|LX;-eO#6NYxuCSemdRUo?*yuk&PN-#1l-OjO2fK_^)_PPN(j2ITkDvAr zS3Oxo&5(1S&$J6_#XbDu2}O9)HEzDFb>acok#BV^gH+O z-#2{G@x5m2b|K|!vnHsDOEGZLc(ELN0W3+HJhQS)!|a*2RhFDzYJ{s_;MCXdOot z7@iB8k`p3me&;M81(ti-M>@{y-tYk)ml-oxX|YoGHy?t1^rT}RmtES=gKov~cT&3D zKG<-N6Cxs9JuGk`fA+LbifBY8|T96?x!6w&(({Qgl^@0POmB^#y%jj{GA3skZ>Ey0_<(243TkM)%RXjbyZT6Rw z1mj9VMNGS+hS<&7_oL4j`M-PcX}*)Q{Ibu`T!2BG##!6(>J{&|uS*Z>+DF>i5tMqm z`^~0REgJU%<&(&~)QGUnO$WM#B3F&u(!cvtaIhRXa#x*xkwwvwe7JBD{Za6duSJ&} z@T|vPy6|yLuf!R<%LDYrjx5sHd&nKQTLJY>Tyca(3i^)TDxYO;PffgAp%Ail0yOcii=Ne(Cl57wPNtaANOlqM57mCxv zf}K*Zii#W-XU8Y8vhjDdd8+~I`~8S6M0iTTyZE#DTWMpyyC^BNrO!rMSlX@#HH{RS z#iyhK%nFzBUI0!WJM&SsS3JG#ZkRB!qT}Uzh?V1+AC;vM6G1UupWDhg=*>@*|G2}p zX;ij0d#M+d;$Nf63)}bRrv0tnyq?>gz(O5=^OGSV_|TSpHA@u?txTVND5!q0j=K8? z((pvJ!;hi4D(|;s#RTyLQr0oyN_}d3a6~{xE#^t*a}^5sPOL51w!4@t?;cM%aIKg-0?&aeR$ru zwD#|>KU1}Z%g4Q&4!9DR`^=2_sP+Q4b*GQxN&ocDA+X*R*}Z1*Y%z&y9b49$ofN2? zQmtuZXE%PqI;ii;OJhZ4MZh9-QSbJ5fQw5F4}Qy79>3t^Tttrjk+&0vTYoh}X7?rP z%ym>(gxs_GSM#3ktEMf0D@kS~JOa5Gg;#DW!c=aH%y;u7HWi z-T*JfOKJpR<8=w9a`z8z{PsSL$g~gK-?0$i)-%Dg+}p4dxe^Zav?A1+xmOE68{^ff zPz)s%z%SX26pjk!D}bZvBCWFVsU@s8(mwb*Vz-meki&n5DP5Y9N)V}C9!@2&&X^X= zJ-BwR)uYBU&S-w%sek#X3%YPOw=En(mOkmKBCWiue-6Rul}USfI|)J3ExXf z`w8?N;u+=Y1YItATKd+qlN_brVXU)x+2`mx3<4)3^|^*2cw=L=-j-!I+(yKkuidG2 zSZ|e38vdR%!bO>sH60M4?L3^4+(n`i%h{Y=SR@+3&s zuDsT+e_$m4iLTNCv2E%HV?pS{XlgYeP(?Ch$(ejfu77YgYiZKGh;sOH@C1uq7uGL- z1j$IuhmX7qW_<2;VqFYour8P6r_G8E0%`Gk);&*#sFx5 z*UXD0zbuOhcO(6Cn{QbHd4C0U*eP2|tuqIw-5szNC8X`X7|e24DFOojao@I)^`mAl z0b8Vcc~}}iIzi%mJFlE1-~KWy_=dOYxOqgk9cBowSlPu3HP98)8~Q!|ttsogTA`=x zia;@7c6R^qH7lUq5lrE{J{9D<`Q}LR1}AHu_ehNP< zVo-el+BpUP_;36EVt z87$Yo^{SWSl`&YZT0!aUK!^^S>;cb0%+n+4FdC6gcsk}4Ci>AlDZkF4V=8Hv5#EMD zlzCl%-AYN?Q0h~vm{hY@eZp`tD2D`YJN1V8tmK;1_1b$Y0yU39Naow+y!DqLQA+a?2F5_$z z>XUsm{^goO4mMbrFt;}uztC&P^Q3yT9yw{NKjcQOKhn_>VU|DW<`J@$q_wLv-|2`J zz7QKoC9KN(GiIN;>0;*~Lt#bp?g>KAW2;s`$j&S>zEeOE6z18zUFM^P7EOPr=NeWdJ4?CO&OrXO%&+ zL52pHXL>mJT_gbRq}vYkPuIMb@H0>DGoRMILVla?laYtITc zLcn}7RSt6ZAVqs?;fa3SSG>!=PAq<-;inH?v;)f>XFtNhTlad06k^WV{Ey_fie{+U zBvT%H1~09A$o689f(cO5tG3#3!05Mh z{)=*bY=~3J{rE{C`FCdH$Exv@TJ6(ZiVnopAY$aaMAP6l^swQ5R86G~BayA$^8Hi1 zX?NUZ)Pp(uVnT06z^KwDaNYqp_d-t?Zg((r1oX&$mb&!S7v)_b<5+ z_K+%N>Ywz^J^RaNhL6IwxemldN5`38;wistkd`6dO$)xU&ivZ(+#!MHZ|XYZjj9M+ zI=vY*iii)LK%srQ&yU4)hfLE&9a8G@dNA}Yqbc3kr7r%E4H>na0kJvl#7ASw6-5?` zf`y<0YQKB#kBDvr+D>ptk4**3Zyh#yjg+%XAqtwvCG3iuca=|;2$ zWC|bnj>%`l=+hhV@>6zDQ55ilBQE~=TawHX@5Ok&rL$h0`n{7v(Fk>WOP4J<#d4B% zB`9^D!Ep{ozT4Q=>Qc3xiuUsP&H?Zzk9)7tF!Ht7;$;gBN7|%_Azz%fPihh?d>uO7 zeLgpdq*X%vy!G5jZ=cB#3d3s8;B564_W{4N6i&nWNMpUkd@8ZrqhF(cK*G6Xmn=GV zHXp=pXt&jl0yndv9Wz_mtXC!Pat@!{a!U3}@#Ovv@VtwWo%wujT4Y5@r!=eCHp=&f zWrR**2UlYsPLu(SUf|zsQ;P)_V7aP)~`->*i6pWOUKM? z;;@6&I@7m*opr^oH$gwB)0jSn&vrYV`!w|qYR;`eunE0G0N*CH$o&iei=a=8_-A+C+qxH7gr_oja@Ew>V3tIgF&YncW#gYvOrDi z;^~w(vy9Az2-NR4_*oNS{(1lAXd^H0FBHL{W6q#ehpRf&v^^@oKrY6%-*keaOkw$@ z+G3YGVO9xj?XSS6f*2U|E~=GwU)A7l5D+h_spcc*-%Z9aDrik|BKr9gQH#jc=K_ofg?iy z$50&Z@xJcIg0fU+-1w_&K8oV;AEswx`)IbNA85~AkTG^eTj=H|C6AwJ^uG(q#`)B= zyz$KBOGpVp*>x(8nx!6BoD1Nz{nIhuHoKDi)RU_)-g@kr$}e7T$>SRce}@PxCJIw} z!tq%#Wy-qGS6zc9oc4?$oGwgi9yXtg+T7_Bd=B1Py2i)8-=OdFAbV4?An&CwgDsps zgNC0c73!XQUh?3AZjf-Q@W>BbbADPy)q#mCo%5)eU)6;jNBpmHG`|GG<3HTeR+bd` zf&Zy-&$DjDs(__iL|vCFDJbB6_ujHAZ^<)a@3(Hjt;JJK16XgO-U-(ty?*)p z?;98L$u}RfMVi>dIJpF82L{yEm(-`2kD~&V+c>l5QCR`tP+8aXDt7(5?QIkHrGIW? z=W#t#vX8#G=dYn+7hWoADhTvcm8hnGO4Q5?!C^F*H@0|q{L1|b>tb6MomM+H@WjZ~ zH;P@>GB_!^1D&`$r93$ayA7o_{Zy{`&Yci&8h$F>d)&gL!iy^c77o+)GT`iH*yFM9 zF)6&V*w@HudB@A_FH5J7u*@_cmu6gvwNps{-E1k8aO3cZL_N;*`Cm|15-s8j(qL#`6`vf6QQ@)53NczS4qqftZ4pCYj6;jxBV9xQ==ByX2NVCAryw2Ee zqt#c*wr|y_zrf1r-S_}=Lcr`PHyg@Wj21<_=UJUs4@{3O)J_+ia!k)X4&zw9T^k)u z#9-7EWzo@?b&6UDs=O^c)HDzWYidqBR)>Q`E?O6hRF)6taLT}}13D=P7<)tO)<~U- z+oI^5L>!4t<$q`Ow7x;EtYJu|PrP({h1G~G?B!UBQYZ5oW+PB>-6gaqhV`bQDBUJO zZkXY(-{98XptNvn%$bmR(N2DaXz0GHO)t#o`7*udC-xbiUis{cnNk=IXuZK34XMOw z;Im=LxjO}M1$Bm~K975aUQoThJt1xLAKm@OvJYVkGCU$JrZ=+~dPUJInuwt&hhz`? zQ`UBr*}Q-BSqzgOK|?0Lx3IlB*&f%!rm7Mb;)$;|j7R=HcsH{axR`)l4*``fKY21j z6gl_)v~lm;=vFPaiw@Qy$WST%J7=r&xWE{1jqO6-M$tCaG$S^6!c2mX)R;v9$j@l| zS|io#(5WPR&g0jZ$)n4qtDylc*dn?xZ$w>Tt9sdoj_mr*{nsJRS8j``yFYpRqJ~yl zD(({5?Y!CixmF#*#JIL|+{%fHqcvZGO;hA<$KH#03>8xgpW6240E^V;VL-eFn02X>T zBw6q>-!;PiWUeHLIP+fdJ|nqRU<{cOpbV1s+U2lS@!3h~f87pISo5VQ&IuRP`n2(U zn$gyGtiZ%K;y$>8nw@q@za=jG{pHOm8{9330hev5+w>&U_m8u(zl-g;WA+;<-Da%D z)X2Ho$8c7*?6R2+h3FZ9(N?um}5d$n(>zrWZC?O3>S1-1yUXz@q%ln$M8pXT4`MO&x%+_1hc-Vtz zL9V;+uWfj$N)au;r6Do`HNz5}?ceryeA^Rc%=4N2WPlcSdnF)xjdPa$J)~sy;L{!$_CIpx$K8_>Ux@(FSb$JL36qV9nL6$^1QlhFln6A6(Igi)}*ZGoMI%)BO*6 zVjr7D0%KEikO3I&bee zVG%b+cW4TVHqxetdHZpg?s(h>k&X;v;zzuVDAnR;?X@%(cpG_azyJjfseSbxVa8rs|at~r`X11q z^fCis?xoQom%VU_L9n4;5>9OSW2I`lCukHyuS;J|Qruu!9u-fc?Ws9J;fV95v4LR; z0oWAuF4rF{H2eg{#$16;BPKC;g=~N=G=0*Z)l_juy64II%o%5ebAH{@O_L6}QLn|M z%U+BU-}TL;Fd`#k&}NEe$Wv^f=r@p*i z&aXE?-lIuFYT5h`I|U}YAe5$XU8(US6zLds{|}9KBath2?@kVf3O}axA60zPtZoxO z-;s5bh$}A~*>Ua#OX_vw+zrnNJbmt?`F&4!;C?SBTDU{X`GEDrD<4l7Oh(=93X^^; zCb0q$PBTC3F2}whJ%*nQGy0%pIKf~)U``a~u+_6}LHK3V+KTW$?kXvTiT@u**B!{# z{>9&W9lF$`ElSZErB$Q$_FAh(?Y&Y}6jjeAByR|%j>_vK5@3UG^w z23?}QP6v0rZq3^C8-;2AW?UvP@}%~5MNe*Jh9FlaGK2Q=AgTA3xYWVfFO-2Ap=Lc? z@bgVIrtnccT(ZyB=**1}4HkH1{!A~Bj(1I3BvZhc2TT1KUNxl-P||lJ(jChzhzLAK z*1T6N(au}9`Z1pm_dCD#K*f$=a8#U|tl}J@zje5SaJ>e@tSABPa0#waKEj^d)huCu z-#q^H#4Hp&ajiF_!<1QyGhY*B7Jj42zHGK**I^)D=Uo@K~krPCbtCm51SzEXU5ia-LIeuKi-kEX{7g`X*-wwQm!idcF%VKEcR?-oBl zmhIJJ2%tGufiQi^c#X!XrJ)7`it@NVDcBs%U(-(um5dECmqS5b5vI-Mo-h|C36d?y z0diT3hdD|PoPu+B1VE+2z`Q#;uHx{=02%)Parnj>quxK$u*PUjN59P5Qe$7xDRwL3 zvPPtmOl*LT}_Sh}d2%%$4xBA&NC=!smkcd`6wKD#!v;d?81{aFtmWz#)Mph|0`o`*m(oc z#PDoXQ&OfM8E)i?TeaoCojDi=n6kegZ#viT`DEfK&VyS4u?eYYhq&zIr9B$G)(tc* z8-02c5_$*o(I7qT{cY*Qn97H=_$5}b|BP&pIsR(L$Vx@eGC_GDj8S){=i7e=@DBd) zxakJ>=-k!EHHUkYAn>g3p6f1!e(lR@_Xr^uD7yqYssfp7*6t<5%jGpncl52{=P!y$ zlyG?1mE=`MxNXtx_DU~_u`qZQ39Cvj*_ z6Vgim>evyT7XxzZS(U13fI7RQ@IRBRHWRJju=%%!@?SFLP*YZE&HDu4Ebrcw#lb@ zF-LETPQ!5Q+OKYUlob6SG7@=rXJ&6OgLdKGQtI2FbPLJ(Gs6XIWb@0o$*i%jfmo?= z+$5$FyAnpPxqKe%hS<48_>#|29!`MB>^){=XE{tAJ1fa7@vymyVNMiBALu{Wc^bmd z!YbUKl3B#MTiPi3SwI8AM|qPGaJq1nLcfsMKgz-A$_3(fDYrFM0Dwzt=M)BytIH>{ zC6vJ93vVN<)nNhBy{{{9Az{Vr1o>XmAjx}U|I!s5k$G)hlbCS2k>gy*BvT4b0K}}5 z16<{7jtIe=zylKpeB#|cv$&R09NlCfI(-sH0`KZ9(ITEWVP7KtZ1}~XGOuapmT;1F zz!A=9&%ugA*EM^z=V2OUX)5jDVh;wMnAZ8FCOvHhHG_N6v!;mcUl4#nE~*LH&-SbH z+61g=SoNY#vlG(U)n8ED^uQw6>`&cSkzFAy&@W)dWT|x-6$Yk0^jVGK>TH^B2s~{y zcln@qTI3bGE-U3Ls%<*$i%600Yu$Fn!Tl25o6*f<^$M;1;~?SNHuXTcvF~yCZ8ktRm;|^OvsuTJv1&P`Hc5Tb z@c<>LQ%5w8@?VCFi9|It#;mtr+Ob}!N{8y~Soe07v5OUwYA%3hqpv=I@-uf7*IwF3aUZE7}V!u3IVD$@FbwJUf8~@5(@5h2rV~@$Enu9 z_$w7Sd9S$if@RCv_{xtC-`0^*MOhDv*$?vQyAl+X8oT0AjZOGT`dG0$ME*q^-=tg! znEJB0J58T0=*64XT)guUtOx8n?+IqsMT4D!7s;0C^GqOP4zE&ZBb$-WHYj9YRC6Ef z9=l?iq|e^ch_azv#;ws#_cr%AlsdFUz6aqoV%8p@RDs%9t39*EohaljttoONTEthA zuVy70(*ZS@R|VWDyMox&-y&4xzK5p=oDG8RP!uP(=r-7z27nbc?#Jo7MmSAiF5~^- z&aLq-2huZC&QpvQCGKa3%!)fAlCtzCc6FzFWvqIbT>*Qp-*B)M^%_G|bnNKTmfqQ1 z1@SNTh;1wKvBMi151^-(mI=6W40X%A#X=0oPkar-xoR+X^_VSx>_`H0CV$v^pEZ_M zu5r^S`4*!B7P1KMcNwB=i7a=Ge_0}**qdyxFPbNCy(B0mAL_lN;nh1!mbZnrRCJQS zv$@nu)OxuZL+VNrDL3-dKum3e1NNakgTp5LuS^;&j;)zh%(uh$5jt^bOY%ZJ-J*xw zrJu#?Rx-3PaC%9?z$4G$LUktB9aIwQK0{Is;zi-$9xR@O){xXcnHPZ!_V7`U{3)8{ zx}RwcLLYgpz$3JzuKRK;UkM|>Ko^$I98^yeHT`8K5pRU>l>MkoV*+OlUm!Qb#faV& z?6>PL%KY;l;&Br~DS;JL80D#zmF(}jGf251T+&s6wZ{239mc)^`q4J&E~ma4eNdwc z&8m8gdB}CE`Al>9Vbtc+%~3b#L3jr%c9J>j93+IY@pRA%FzOGO|Ngywss_VvyTH%C z5EFf+X7~>6Xs3JP?l@@UpopQ4lY34&aZQl019{;C^pru1QO@PsD+H>U!Li9*XV%6c zpKk|A_|-ua&J&&M{?@7}Fn6WG&dG&hGS_^HQaJuvY!be{W!LT2rTdG~A10hNc*`6m zX@*l~I%3w$*>@iVO!qI=nahbpBPn^)Nvda9Koqm3eOz}(BsLBJ%LL%meD?tWLF!S^ zq3otgtQ7!)JrqK1KXL*ZTkxtv9Okjw_*GXk;Bg}I{Nbt7MEbqKmvU;)e~>5uyMjtJ znEwLRk53iMs!QAMHJB))pcPIUUSLHQe7x^qq6_VY1@_^3#wg!HBI+Az-=t-bH5(vx%z}I8kUB)cBtK4CqSq%8Y3JU1U(mh6~ZwOu*_LLTU&6p;e)L=P%4YL3Eum29E39qXxH>`D@Kjy z-|ms$$62dI^wOok5@bonkC23EQKoxiX5Y8o?W?i+ox*FdmZTbCurcf>D$`AC zdSW_kp=nZ=K#4AOkg}PK2&U)b)4hpgtYuALvil%9X&zS|98rBONfT!fQWkDqwjEL<=DYNy`I{CFTXdVt-h?0CDO(CYrNPZ@W<- z9!+3?{Z?7efQC%9RCWrsYCjpW{1|SfA=1dWD^6TtdcaCwv1UWFAtz|2C3K#JvwoK zoJL)+(Y@Sv5Kiy&*ow<(;VNcb$)kH*YiykcO76N!ap_}+X~>GhKS$OkrD;(AS5P*f zE@p)JUcft)EUSB>;G2+ln>uL^2qUN9X>JU33!5$cjq1vv2cS7$L1U)_lUQ7oq@T#mvwN^VmKJFu*H#1nI-VqiAuSmuF6zC_ zLeE?pM)wbvckHdSB;z6nR`=S;n83@my0|aHnrz-1C4MblK%vCx3YxEFtQg5*$#fDr zNSaoCRj1qaB4CS>`RLfH^Rl6eh;H1*k4Rpdws3;u)4>KT0P#_kN>pD5;2B|ZQ^{=` zE!bkHTwZFRvcJ<9}fGwJ4_*O4=9Y&mEL$neSYe|8#JsF2udEKQq*a;cK>yio(t zS!V1wTY@q>DQCnkBnQ4`ou?F*Vdn{9?n|ebhj<5I1LtQ3hOM-gCz4=7{UNI0f}G_) znVaJP$E|Y{QRe_9FFC>^2=Jx|&StKPl*k6M+PZ(4>D1^feT)qe81# z*+dZbwH?FdXIbT^jB(9*pWM~f4l?#?^%3SL`!$)(Qyn&<&vL2YL*2iZJ__TGqG3lb zTKCh4Zo0JGd#DK-Q8RrDu8i-B0BcuRv!!JI1^1d(vAD65g!FZ~5ktBLL#^+xRv78x zWZD2273c!9{Y+YMF}n_b1?B~yFbGn`Z5GL+M-3uJNrWlR5-ve^9!K3Y+jDp^7RrKuxy-g`Su|%qjfY*D zzX0SD(*11d#%91L{j(Q%9_Z*XeIy`_{rY`#ZKlUpKsx6RRJ*-VKmW*moNYRvc2BDx zcy?646$&SSxgCVcR45G*!Vsm7{enPKG$_%IpjU%An$&Cr#va<$sL1#gkEYbPj6GF? zNN*hbl<=_{TXBM@%~EUtH0ILBjQ|4*VSf5(ZxM$i6jL z%1@-w$I2c~A|AsIsapjUhkuw-!fu(*?=RSDT?}G1?165;<{QRQcUW}f?Cp6EbM!B1 zf6T0$CNnnjnLdy_asx_tEZBH)TcQS&Hyc@aaav=`MIz-^O4rN9vkwN8t8M4r9tdwR zs1TWK&VSgi$QUemo(JMUG1Ba1Kp$I!R|x&mrF^4d zH9)9o<5ty!83J%`?<#1*Vk4&P$<8dKyfcuAk0~P+$+}20liUY+QZm=#4TfT7Qf@~V zIGP6srJky9)ZRYJ=i^ULdDqtGQKP1v)Q!6>^e9b}X&70%?F1yWh=>cU+r(t|p;dK$ zn2^}%GTkv6;st?!^3*{s854#WKK<1^g06kW+DphI4KS~Pjh?IW{QWdAu@rN6PoHAK z3piNZcL0R)iFpsdUA(n58_{YL!UIC);GWqP3`xYwLW*zx#&NPa{XY+gO&j1L-{QMG7PE zRo%9UR@RJRmAjddRrn=g2TitlOL|ty7d9BDdvY$P?X@V|?-`cw7Lk|c?bL50lw;9|jxg&{t+? zS<88~hr*OzQ6~7BlI$6(pZ6ZIw2Tq|RMRBwVW$u?yeEmQly`t=p9HP0UOQv6aKcI# z#A)LMmoiW3lg)BVHG<)=TnGGKq+i%&8t8&%c3X8iTjiT>e4C3HEMRclOdIIoB464e zF-4hckrzBZo?!~f3TtboQ=YSW=KIN~i!6h&e?mVKsQE8SjHvf|&W8|vctcQdiy%YK z$qxgzIcqPD`o?P{=nC=8uZn+dK`t!|P*gvkR_iVCAgWc&0HDT&*mVNfUwESv<{yf>T{%5rEm!+2dGnVPdNSH&-8|~oYi{|5XSiaq+*ul;?fzWqrl`8p{uGlvBpkG zIQC7Xd)g|7RCB9jE;2cS^|9Jst0Nu;!y)|r`V}*eutD^l3F#6I{9zdVEnc!5hz8t% zf7Qq234n*5&hX)4YKo-{dZ&MH0+g;*zow9u~0hM z1FS;7-k39uJwM&P-40Y|_lP}mA}y}5Dz}^%^xdRYkY1s;Ci|s)KlYFR0-Vz}j7$#z z{wEwYz&`#&B~5dE2JLYr5A>laX{#tcE{P-~+*qs`&R`Eyfvs-LW*M+0bUK?47p_7Q z*^aNEL>>yOOW_mhqWvux)7&JH81avFOal*vzMP*0JqG?3XJxsgU|FjgsWp*1JOCPE zLXf)+RHY?sz)bVUiO1Mr3bWBu&jbO{?x(t{_A>)L!R&G#O0Vf}lG`g}?MWu51Ring z9?a$}xLDO@XUpc5J3Oh1a(oifhgbUzov=ten1*e^Kxg;xhs)M`TGqh#uPZ5iCKGVS zSMI2^qJ8!1laQdZbbARrH97>?CekGlB|?E4FcF6r4U zP5}i08~Qm3JQM4_qc|MGb;{lvXa~5j}xRQAdNc2QOB%yoQtYCD{X=eL9RJe>w z2VTIu!6b!Rl>2Q#HDalr;XTvmq~nrz4tRpx?A_9!<}5#uu~romI~<&Hey9CY$H*po zXg*A9VcOyH{$r7#J9UE$&&{xW3s3h#+J()quSHHQAFV8m*fs6usQc$D6~7b1^m?5? zIHWRYxPD5|{eP}%-v%q+5xLJAeTR`wvp79TSQpI1jY}O$y%F=;<>qMraU)03D%|9| z{}mEq;|4u#=1R(DIDc9g_(F5#AiN;AGTQQOdD}r@*#LTC8C*s`n+PbEq$T!!et;*8L&e_g6`jd9mlh7+8TM^@1 z-59U@jcI%-_eAGZ_>4+Sew6g4XMUQepSim+y)U0Qt0#eCvHMq?J}+3g!D1#)=B{2o zGxtY-V)XqpCnF*v&f5QQ6D;jNcQV5FhWOJLkM1S8B-;Gwc*??J$BWVr#|z2fs!Mkm zig5IH;BN0Ax;tXMx47ouN-|M4N4m;ha3hW$U?a5U*m+rXp(eZ)yEPkJyB9^NY3cm~ z6y&N`@iD+BWUOKFV+Yutd7BmT51BNRdrBQz{|MfnxjPqdgQerd@8Ba64lz@%6L@y5 zlP;-!*w%osZ;p&rnB)6&{?1mbW8=4Zp=9b`50Ny{rNJtY|Mb5HSJaW0X6R)P{U0EzS>aEw}bHg@wk*$sqPbkoa3N*DXCOP zIcl=?ebzMWAI?`V$_rOGrF?NA@TE|%LaeYFp(|Na3m@>RPf#j5ph8c#>FNlJCjS?6 ze|dTz`dFc6dAZi1_}!)u8l&RKXO?fs1xL zBHRqpiQIB5oHWZ*a$yg1QO}2s!}Hb<=bu&%`0t3$r5XgRT`8Uv@N10xQR`OeyXhEg z8{OZ;4S$;0Dm~?Ew)1uYe%<`z0rES;9`Rxx+5)ZNsHT{I~6Mx|U?O2G?IO=B6;=oKCJ z-$NA`jYq`zv54s=<$>{<_@OzD+4|;+tIwC;@A3`K-qIOTc4~T~3`_LP;YzQCg&o}c zy#)4T-VZQXsjNXF9(WEKXmd)7^*qQ%u zj(2O(z$HjFPpB7tCB zUS!o#sWV?_PUX&Gy-uO0hHUDBayh+Y!Od+2vv(D++t+dH2QKP(iDGTz!@&YkL~vk|v?&l8*N0qb^0J*Mz(3K;rfc zHBXcIU497s(mcmon1TQp3lhSq%nyZF-)@%QWx(ihno<4prPwf&!d zXv(1vEO~FG6nU;qEff>tT6tV_{>dI{^EXkMQ-jS%z`k(bVNalxYZ0z;XHxZC_T$up z!HUh8mxmlU558rXKR&i<4cvS9XEMy{H(BI2-p+q@+JRAt;^-+`*C`$_5^-}x{YL!` z;MDmeFwl}%ziwu>$4dZDAlyWp5S&{?GXXf)qHyW1Bt`BRgu%l^Xncyz{&$CpC)1bU zdp*|2)s4SkXQ2f3EQtR>;rs?lXaDG;Eh~EB@P54!MzW{fU+)Rg-{TEr;q75HGuB8^ zcQ);VJ(x(!oWdMnGpDX%)7SMF(txM(TjwvP7P?lbLT(P?AClUxJz$yC#XyuT0xDFY z%#&60hsgaO!-FEfxa*1sD_H_4LXTX>(mG};P0wB22(id5&`S%ue;c!Xmo}%;V}_#n ztj)1a{kj(R{_)F2w#oJ096ZXgAf#ZA zU7bV9>eU$h9jsAR)PzOuclfal@OeGojhAbL)RMKb`Z(MPHKcePW+9{fQIfjqb0E;$ zF{a~R$b3^_cX8sQ%)Qc$irqj;;w0k^GNi7Q_5EAM%XMWK_C>cH#66<*DY@wk-WRPU_y2|GZ&(%g(?^}+C zcAw)B8Ql{1^wT!FA|B(%Oz&M&fE#(2yD8vCp44}!N9&ySFmY;*YWV&`LHq2j<9DX_ zo^16fJvEK7G_~*|=L`hr`)yb^mu5e0>CQ;w9IBkp3?Hx#U{K z=1h)erhFEG6^*>|y|5v-&eZ2~1!OS|3{Hz(wQ}hwye!9~Vn1#Yl8&GK z%#rCdmpe#Cj&f9tcA!Cg#5Wc}lQoHBPN#!B`fa5#r8$!QX`lG~EAE&Mg-CM>!;3ZR z?Xx;f(q+KG&IgFb-aCqEH9A*ij^CvX#VLS9+qe5_6W+*G4d;AYVi(J}i;r%xJ7nBl zp9xE-x7k0=?^rA#w88AGpWR8#7@F}hpk>x=-XWeh3bBJaEYnwg>V) znDUvD_3r~(I++CDz{1Pgrnh|-3z^=g&lhy#t@9C0I(eg=CU=n^oJZ1I@;3Hnd}sjG zNmQRE%)|UDJLqq_go4FP&q%jRYjv z<+>Rw(N}<+sTq9t@3oHsv_n*bHd4;J!oN zu;K|*H}M*&KN`L(O_tzJ57iEmTFOs$8Wq@3K_@OpC{4Y1_5S|oVWo3Z_PnpPR@$Ap z3PU~hk|^HqIsC4$fZ69l9h1oS;c%~xYx8ZZILXa zSYy?DUA%bu<8C@Kcr+MNZdREGbMMW|>I6$`Q&+%O$+wpLYC?U{xE^OOELmCKGfKsG z=645XsSVkd)#kNfpFfq9L360SV{|Lsckj+pMzplC*DbGM!$rHZJHHw*mHaBj_8Qz8ShLd(>uj^sMeI7-S$>!`sI{|S3p&BM8Q^3hECGq=O`nZ#%qMy6Y-o_ToEJNCX<9D&RSadLTU$Rk z1hN|*BK?$nl0@Z(+hAiZbMDAb?(0@gpoO^lGo5xlQ7&^OPo*3h9+x*Y-awSZuoBvO z(HvCH(Y9D|9&b}IEKO8zj0qAO?t0~9H|L%jqu||{6=IuOI__mGbXNNoFZ$rYB*a0C zv#ohs&q+@>H+bNQPf-MU*@d=lB|9w@0-3Vxl;{e%I`9c!kQIX@YFg0z+Fb`6Qfv0I zJ8;&qH>!%VW6Z*HXv6lnMT30PA&9C=edRjR)I=0#DAHL@pX0_F5<)Hsa$?lc00n@+PRHgm;>g19YFnhh^ z;J0$1lcK=BRM@v1fKtk`n^<-tB3~+s)sc&4B>A`W3Vf54S@|@Z2lS)XzL#k2w0FV0 zYBOv9c&)vyD@Cd0!E9p~%|x6y4D5OKivDguw*;~c8N%N)cJk@O_C;JeY<~u1gEpX}VacZ;Zp1HjfZ*Ju{ z#TgoKz9n!1%s3AV1C^t#KeU&%g_2r5h>j*d+6?MC?EHz6=k~bOLIVna3vq#DH&Pmv zdFbjcb;;I;sIyfA;0f(O;)nMrcY(re7Okz-!PL_x>nK#a=zEsY$IUSsMdUTjad+nf zz9+La=2UL|H?jVm*hUFW^jn248*G!=Q6-6IAQ&QGTKRO_dSHL<#j|HIND9(a}Ec`PF}k?4A*xy-w0 zU4o6lP0#%Y8X@^F{;EK1PYQM+5$=01e{_qk`;8H|_IcvPFx5PfcPU!f~cUO|^rO0QHnR`PVW4{g6r(lB; zp46OW%P(EfQ&>vASK>&)`-}&*VbB2#=ZNg+fKp(WTngu%lmHh2&2FXee^l%b%6?dj z%YHw~8WU1L(p$3?O;Yv{j=n1WHJW+f#Cpe7;e{IvCQ zOrMy5J(A6cg$`${PFH(smDyeyE$*--BYeXBo;{l5fp1CRG-U(@<5~mSTlaoVCuUeH zbaHwogs1FerWkKtUC5jJsLfB_G7Cyi>*n(DmRX2$zj-OWi7ocda#+kM=l$he#wP{g znCP#$X~w2g0_X2)2;P+OeX76k5$c_l(d}>|zg&xBSX{RJ2T-rSHIye>cH82;zgZyP zP{3r5(thg+h5R=1w`XSQrD9{R>v8eP)&du0Q>epA-`gZjJfm}uI>(hBYZ{V0ISjiCH=ZX1CEfAvK?mxYXvC zk!+#JZsvhEb6mPrKUd;pDi9j`a3CwrMe&w^rkG&nT1f7ZQs5<>u`9m6y({kMJ=;nb zy)mq1a-3aB@xN|hkM4IYN86{kMvLhf9Hv$)Nx`DM1#B@5J~0B*SG8N6g?-T>l~Pg2 zq`<otA8yTb4TZT}IZd!mWLee^sh!rT(#REEW;y0sUKJ3E}^%nX4K!QSiO&y|yZ00tuA*Wuw*8E}1hluIrtZ=!1MUH17KPh!R_+pZK^s&~l^m zljYQJy}Bx=5`R^;dSKT35f>)u(c}DqP4v+>J)?WrvzAj|yoDtW|Mc@6A)PoV%YN$c zHmeH1a%)l&SvQ~gut|=e{KU_!N45GT!|YaC=veMk%gg)32bmd;Hv@G?q?8TCHf*ZH zyoxMM67BI?B_A6`AoE%kwm?61+a1JNw>romOQxrU8#dOA;{dhwZ9Y$o3y+u}*jC~) zy9w2bUj9eQ*ZBej6(Qo;(c*dH>x&VgMXuLdripJC4jnlW)A1dScDz8^!(U@t?!b2QRSW*k?iQg@%~FU`HA)#zo3Qpt6kdh+US_qVpOnIuPO?arcB5NGS%dXUS| zg(O72$G7K2u(5CUg1kPMFOQ3^oE2+MYPUbJ(D&7Ww_TvT|htR#=%EBTX9dM44o@BRA@FY708 z;pO}qgY4&#p@>ax%v+<3D)7bde5)UJe2WT-Gi9Kr+H3|iV8S5sbhK4LDo30Dh`33{ z3i0V1`b@R{bz_6Tl$PAuc?IKOeGB=d&asE^#lSX<+u2%q);HON;qFD#wxw5t9!?*( zre_2bjhW%QIC-B8zrw1=wS~oPPCRA>W%Tzw*8R3w&QGRNknfv^n`M$xze*X3sT4J; ztXONlF&DFR;qrl=lyGvnX~#4Bck6wrzjhvH3>;3WSbAGWB@Xew@xx@-RGM)F$^5dU z^AA^pqw{Pd?8S zzudm1r!&*lG4L(@eC5ro z_^RPVL!PcFkxnx&F@!}E)%gvqX8IbpNuKQquY~%`V)8S3NR9iwLpIil$4cdoN1kQH z8A$3kc6KH|5bAHq`=)XHt?G+#$3gt@gGOcZU%A_h_m#m}PNMJIa*uic(ks3R2!O#e z>DGAtN8U3{)Z~tfe#J96##U!?UW}=6N?5rV*2bPz9_pCooN>!YGP%Z?LKyv1VpIQp zq3-?SiT7UB(r*-N^m%6d0QfbM`OiN_blk=Y*ilw-SZf&`G<6m=fNA(Q6_X=+~>943)aSE;n`HLTyL^gnDgn!tq@^FJznS;Jud0B6i7A)TVJe&8|&E!01 zy$xp<-Y0b!*}rW3zb)ZkG-W%QJIeU^38Z@zH;Ib0+5O#9g~V(F5UA$ywUi7gs` z=72jGy`%WW64C2jyIrZ1n<=h1*b*w~TVHwqBGxc2zqDt>NjyhJ@t!`Vi#3cTsq+RE zdx{Dam?#KV8N1vxEG2$MOudl51`$LVN%=d@y)yGG zO`JFtkS}gLfyqz)r?%&SC%uy5qgo!KJe*^ku3b}Uo!AU=lQw?C(N-wlCkfbM<1DvM zYk~B|MFmmg>E|b7x2Ab{4w^gAHpdS{@8sST-KjP|3g5Xs|GiUPe=KLAI`WEkSZ|}9 z$)awbkQ?0m==8c*2gZBr^X>9A?s21K{bWZTw;mHi=KBug6*(LC=4zH4ttB_3N zlOF{%-z=M1W1EtjIQ>TQp0ICi8%i~v&yM%={CEnoXp-TVkZNF?P>VOS+F&TWlgXTm z|J}V9*3DgJHff(xdyQ{)>%%Tmj|b(0kkI;U(}ch`2=`YCyc0Rx_p!HhsdisbPh74% zkh+#+9KBrClQ;L!=JqSo`G+qS=>@q&eEX^Uo_Pg20r|#lQRzye8QyQ2PIkO8{n;D8 zU2pd7d^9)h!tKl_^TSSA--Dzlset`@#xr<>|E8;{*t4p8ing^)VZSe4wCzYa;7&%n z-<3tCl}*|-OIvYxcFyqC)4F`dO(75Hb{Fn@`5PQSrq@QkJoFuTzULxDslpzK zl*0&F?ln}2Y0pWWlKsn3xCevS2ZNON7Vk+d7GtgZlD1f%ZJS`msiz;`_EU`=oWpNW z<%%Xg+}?FNxN4(r;I0Wx+v6z1kS`NmUcN4)7_;`TC4tf)cBVdj_|TC6t^I(T15Z%Y zF_UAN#pxF!RZONDZ_{%g{RoMk$E|9SS9%6=YP9npYCX?+QD1q5{GXi-U#RbtRoBj1 zHf;~=V{wJ=e7 z%Z%FAy{mRNU=oj23Aad#ejyoL6Six8t>xj0;+Gn8^Kk?1IkUxn)(P%%n$8Qi5YSQ= zewwe0*Q>D;m0h}ub}WcgFk5QghUv8k|7rS&D?G&?tpfQ#(>WI0F1WGS{zW!qBAVi| z59)au_{ijt8x<<`uhCXibpOHJB~ z4L6(lTkbpzI1%?5b&ioDznV6{kyCA;P4!!L6h;ZF@9W71Y5&mv$?m&GRY=l4j~tL= ztYYOElz)j)Ni)iNB~Z8&JsV&tD79($bwWQY7PA z6e2z|uIA+4w4BvSpTH-r@W@DY?3r3iEYHRv@7$4K0`}uKbe|N|3aSeWTmRlSM4DX3 z^v#8U-=4_*(j|eh&kZ)(@_2&XY}~%G>e4KZ@DYVstVihfp8Tbnu`yItg6F$8yBjhF`TdC6<6-d0N#KxT45BZx6J&SabFY?#qs-P!t!i+G7 zI)SOzx;Ju1VLIpb<=YSyWS>x6;P_8QizIPPxDo46ym-Ha=NYK?R0219?#CtCR60@XLMoujCvJQzSn<{Vk|Dsi()t9&H`Q3B@g zmmCHeVbHDLvH$FMani{0Z6r(y3Qs@uX@+(AKt8^p4)sat6c?i+9Cb;LA@~vcPmd>{ zER=_<$g@FaO0%NY_7)CRr_R>aXXS_jzpzKxW(eJ9ZGJ;?&*T1oDf|PgxbV1vFX44u zg(hs07I-l_uc zX1ykVh?}I7Zwv^1)@B%g-)m_ns}{}M_2bUXz3s2V8r6chDj4{vecc3-^EXVNlrHJ_ zqXX0rSeRs*upv#IAJ=&gwLfvDfgv*bGi=@G#QvIx56%emsG-dQZKrZW*CAa5SU}4x zMz`{G^$iPQ!^1;WdDwv{(&ujwml!MhroZpPYG%5a+*(jCun~T3Y}6H$N(HpJ6spyj z*fda%;{qw_-t=I>`Wc7vU{Z(tQeHHpYtc!Ds=7SZCD94!hH*;zBSl~ zr+pX23-K{^l|R?Ac75C-!{n}8t$lq@Arl6@5(f7Z&IjeHpsodPWB+1}{5+K3XFG2B|4r@Ipb|W6MPTJRyp=j7U%9w6 zdvwAjBkZWH*TcgGk!+REPg$I=0%cc^dG@IC1u!GeA2;VCuTVEUE`uQ1xJZgfGL%bG z6Di#Swe61x*e-ZQB`D5Ga%nCh`(F{;2NRB~im;vQj7<+El(08cu3v!iH+i)0DOAS5 z75a;zqk@az0w%Fgr-8A7G@ZjAnT(q_VpZt~*=pw`Pi8$()zVY!u3e7}`J@*)* znSDeuVrVBbuz#@OU)iVjuzh$&Df^03F1E@+5keL9PV|F>)*752CP#8%@a;ne5s z3YxAEnGM}!x6QnaOJ}1FsDVZdtO(oU3ye#QT|q@O;MhD2&^flq*Z)rRfSE=(cDs6@ z_5i(0iU^NGg##C{1NPbC7~S(h%r%ct7BroVQ+I`tzJ@i&*qMhNz@Fu52*>P+BwB%D^t?5o1k*63M>|>irTnWZ?=*F)ar3H<3>K_E+9I zw%=L;=!y5TO$vq`@kjmsHxvdi<|#(LFUyi zt%dEheb~}~!G_QG-lT>oQrA3eVbR=&+CO#A19EvpOySMsM#;7G0sEsv_bj&%TvVKg zLmFxh7m$E8_|NjQ`r_FaexuH#;wBkbPGpcH8|KYCl+T0yq7DreVS7nlONSi*0qJGv zuwD{%>5y4L_0(!6@@~?16HfEbB1+PFx!9rq2?L2|hW%rPo%DaEDh97a=2HDn&&dHC zM89C)-bCO0h>mD!K*QtWmyBH5<`0D;0d-YETp#Y=ZgJ8y*PSq5u=?v4$%8KgPVo>bttdFHpHT?E>o{+>rNa(Pp<;+(;|7i zXWijo8>|Pq?BhIau^(BWznFcKBoDxQ-e?}{dd1ho91(P<$@7u39H9yd&NaZG3GH^$(!h)-_cPWF-A0}BF1PRbL0F>LL3`QRxCO)H-PHg z)cwynTxEsj!Bp?EwUYPAr)lcvm`0`8J~oNgopv<-Acmy}tg|0-n$eH}{{oO(O7}2$ z8FrTJBfXgxhoUm{ad3}shl=}(rvTzPi%@$p%iSnU*ehU*5jfT}xm^O3vp17s3$VlUcw^-vyA>tT^bF>D30rUnp`lE7yMKW^%M=feZ8 z3%MtLfEc2Yy~p9ghGHz;gK?TtVBfLeJI_5)Z(3T7nAez;}Ij{Efs?+zL- z*YVk;AIWUK1a85iOcwL&7@>LtkQ|mE&(AeSk&7M>K)VA~k5u|u(yiFONg-@%Ggbs> z6t;wCS^-oil8(ROB3DD?0nc4eddk!;rbTFw14Xte^22PEA{3W5WSZ0%`GGsQq0@iD zO&Q9=atPqCjJ8{g<6szZFpAw^lk7P3=%<)M23nt`oxF+ZDS}dE`4X4)@y4CnmjJnJZ3g6DeBHWg06gJP~<;C^IlSY`EgwvYN#lFZCzxty3#GQ z9CBT2-J=pjvY?$lmi!8Eh>VfL!?Ni`#dL~%J(~IR_zkfS8w9}vjCG(uYsT_mM!_t# z402ptI&9hs)$k5}15mv2135aIR*TdCGsExDmh+C>9x?!LK?K@tjJEu>)+4|FyTQAG z3)>G-qQcauBp|^1Ut8xM&*cCAf1-4xl0>n0DN>;va<+FRN}(f_SW-#7Q8~qI3l(x$ zqC{AkLpi1#avZ7TxI}Y`%PGS!+GeL~*Y$n*{Qmv@X1qNe*k@nf=|p1)Uxe+`P!MRvA7Y^17Yo2&H%u&hjS;2 zCxp}AEO0ykI$qO0T8tjfQ!sNdK`X!{mSt*PF0Qdk)!o9L;kX#0by;;*uaTT=#G;#% zo;PbZ7nlFdc})>XypdA-4x*i+sXH|@UXD7Kxo#e3>q(!G1Kgu({y}se66qNNy&K>`8rudGYIEto6%?uBK#&^fGqFN$V=Fn ziP9T{EY+(nMz%e>=FSgfOQSQ=Sd2Z{Vv|bKVbC8Ek;%8hbto1P?;6Sh3 zl)Q@L`KmIm6h^39DGKOgeJujNi_VpCbMRoBB!WLgz+*^BG@r|S$974h?QiV;Eq{FP z3F=-)X^x540i1Spm58yYB>~Jj??XOUGj2UdKwl+d*{JFas+I`{aFM&qCMf)&dw5Fl zI6B^oRi@x*-BMIe>)JLNu?-za$yE4oovUtX7M~3^M5r z4MGo%=_avT0s(qU<(W?)1t5IpBKxYWLSmVE$qS)@F>_WnEROlSPJ=MOgn1kkBI{}z z1rtF!EwGGbFpvL0ZD)G$Trk`mG53fjFPJWo_qLC_Uqj2=zzySkWoVHJl87i;ZqLj+ zH^L7U3v8ETF8hlaNj)&c05 z(Yj{wWrkI8;gj@lne?ViI>}rt^yVTQm3eoxOf>m*E!g<8#@N>It7!B>nBB=L(`+G0^_CHAj~aC^9$%A ztQ64cnGRTzA)2%y+}|R^%J;Bi{t87N>-PVpQ6atiuRiHCOBx%O%0q~K$e$~0VK>Q7 z@I3%KQ=ST^B+yF<_To5gj#L9l${`u@47=H{()m7V7&G74_#IJ*8UR~yDiRem#FW>F z?i*so4h5jaV)f9NXS623f8R;9{4$KNIvoxMZ(u57enyg2uUtc?3NX2iGzA#AU+iiM zzdC*qPj1Y2sEbWMD!JTn+}~ij9&A?<;``g{i!W}J={}CFsMGKq<3#tolvB4sitXUX z?}>$P5>NEnh14Fh5bNLjmCo*Xn20lRXN~o`!Ui768Xqdi8Qt=iUx;8u6N>pY=0%!V$ zd97&#-7Xjb1ogWK#y;R32?k>W8kyH?gM-6`W9~^b!IUWb67v=v}Z(MS= z=)Nzg?levB9w4)UUmQhCN+^Xhu#ko-Ou%e+fApX+XLRqV@hZ?yRft(Ei{deY-2?^? ztGKHfDr`MVConk6m!6k)* z&Vn=bQVRezme^anccI6E^OSqe$CW@FY84^Nc?VQ@Bkt!Y^f7|*D93V%Xz1?UP271J zFc_UeuhSU{9VZBuw!r<|Bm@N_ne60sUy2(A>sebE+X2k6%touW!T~UrflOv7yh;7m)aJc zJes7LT;bCnqMDB5*0yixW~X%*$HY2&7yC2ol9(DA!B(SS!j~EIP*b`xm-JRWFi;Z2 zMTof3kK0&H`{8+jo0h>=zYfEf?pp!ifpXWQ22qe3aL{k-a;ZeC*H*dNR+h2T)l?># zx`29QINCo#fk3kGs3aPdDx}KWAn0NE+eqD<=N#K27AB?hNQzvyM@y1TvW2z&K_Kw8 zBuG>-TM-;!j1M>zGq1)PknJu3;VS%_bgH2K(qJR+on={!i6P6z=k^l1u4( z&GC|`3>@V!acBZLL_0F<{;NN)0V;_t~}Y`R=iE0!oXh%9J(mXB;F(@u+Ko&Uv06 zb5l2@)eR+C1(p+_)8g}sysL&E)p180<q4>NZXv0@*3w!H0Uu*gZDmM=FdqcPL8kXal9$>A6UF;&l zBccRT0}4ODcZNcNu}1*$k1Do9jq|?$K*fWP0~i3`pjAk2iLdkw2e|c&Eol_0C~lOm zxnLeAV4qkLYCm(Lw=Kf6+yq>>Eep!%4cPpb|8E_T=8AuiIf7nbKFOcXo;9KQkE44t zg@sIKW+J2(O$9AR3=_oJ!XzMrHY^q;*#C}V&q~%QPjIyb=qj{^e|13ofhy4bUUkQ^ zhsN@gu-rF6$A?MJ#AA;>VV7jc*h8|7Vupl;Ebeb{EG5Ry!TW59i`hg+D$z3buK|`v z(tuh2^S0MZ=MpL2-^svSFW!)b(^wVF|4U5aGN$hixbB(gcM|XvEzs(DiY*ejltl~8 z!+1@2--or1JD!k|pm%TSMi(%??gVfY&KZZnk3d#mP=6qRNwk1ZMAJtih++XEaV7{U zDz4IGP1UD`H-6>tNtYv$bw5CS!L#jg7P+-|bfcAko;rEI?0O~37ATRR^jxvt)=1GK z(h-5>Ha8`UZw`-9n;D$47+hT%1_8mN<5!T7s-nlxCk0UQ0c^z;Vn}Zvv!Vk*-%kp^ zt!{uPC&lOv30+ncq=A)~(&UHXX^^ALb@1rM-F)lripbN103M6-HH9QM1}zAf;K`!; zs$g}Fuhs-5vVp5WfoANHz+EKIL@XHRQxZRo1>b!z=Y2j?PZT;FI7-}0S8&pBP@hIA z`Q=X2{HrjAEYwLHjXUM~uQ7k~YYsg`|~yLeN=s?I~Zi&?-5HuY&}*U#scFsj_UT}coOkp68qhRo3#~TTlXKt$NtRc=e4%IF$O$O(ET8^{R$>*Wa;J#@?~;M? zESZ~Y=9C#+Yy!(6>`9T49w&vzGT*_!b6&?| z{IYP+045wc4_ZD8HRL)IXs`2`{FO7s1)ZIjLAuft`me;k2_{m6v+kvJwO@sVBZA^b z5J;H`f?A015sHYZBR!mM5s>0x@h8&cQFQfZu(U%?(Gw~{6MWlxko_I zT8jiMGEa2EF@Jmd5L-Ir_@@fiVbD`9Vl88fd<|)Nb=V($JR?lc`5=9o(=}pnZ{I?k z6*PbqgpS^2L=IlGMp}S5DMh$fB?bKx*9p^&60k)VFyVaqyzB8$<6U)2;#@HA2(~j( zy^;mYm;w|Qz+5(s$Ablc??{56b|=4B!NAcXb_R{AK~^E820+r1V)mo779L70pn@6) zs1W&ArBay}yn+Z?7V3oPKhfe2TZzSh6jkgifoS|83?6eTiPm=!SEq#x0<;J9`jqB3 z0;vG}h6^1BYh+M1;LyLg9xd@a(|>drbYbg0-M#fBV=y=o6a+}Jupbcnagr@7NNyA> z-GhMw_y_)?M_}AaG3Ebtzu2$qGb+9G3*_a{=sfnP6cuKf1@7i`MS^9Vzo?=>`HCbY z)rT-<=&3QiL4qP3y%rzvtv;T{~6iDD-y8-N(X22}JW7ZVQz z4GEjX#B3vH)mg*}iXWnKGZ+k*8v?z@koa<|75j+*7y78|Iq&XWhAlWa9&8S_z`6++ zYazHqT68ld($$iv7Kb*l7Y0sZ$lHW1>`RxH+SUPf+d=f(fK{kALpK&+%s&#-T16v3 zSH}eCHiRC7sv``cc`6!Tg!LeAG5x!Pfh9P81|H1u8O`%M1dr1}MY{`dk7-&8fBLG64Z#5&0my$_;&f3HMLOVl=ACeq3s8FHVLo7qmYAJ@f+C z)7(~K=i`|lkr`KOk>Bri0^fMnpwawLb!FeG%=|R<>|3waGi0MvTn?OdIo|()kkA|A6MvTVs_5rux)?E3%3~8Qf}75&Jzq;T&WH}IHrrS6Q~1L{M$%fixcY?mLgsDf zW$D{Px@EJ1TQ3u$yPh9Cr=;v#F#CDWK8`_pUg5BT#-3w)j%um?>^E}HcG$a4Pxib= z`^x+eBGL`(i>H+h-(9<6e?igjw%I^xUY_BLrvBG&gIiPOgSVDe7|Fi7sk~1%eK;X{ zvCYUO)!AiF(hX~^wK;FQ^W1&SY>h&dG%Ih-R(gHzsd>N04tM%_g_2XUlF@dvUD_PU ztxM>~8uBy$q{e>hdo63JZ;e8(I{oqPJ3!m$nc12!Zk>P~0xA~)hZ2wR3mFd^>3C{voWbIvj;_`yd-sj(LR$=$#dUUIH z`m4TL8hX>)pLswdZ@L zp8pzp8L)!#=grhg)gxc6eZ#Y6>=f6wCmJ5Q$Z+erWwy~MH2cBJ4YNuNLyGnuFK{PJ zFZb(Kih~Y;xC_tIXSW%czw-3$r{1tO)|nw~e)=J^<7VaEy#}zzJ;s0=b+@-n)lcA%bk|lYVLgZICtYSrs9SD+f6Oq zA?%xzNL=<&?D59H_(o}chLrheiZS6;UqWQAMapEw*$+~LR13UK&o z7e~?`8PHKN*HdvZOZdA_Pwi04j_Azm8$a#desQ;##9*Y$@z_V#w3RM;+m92D2|FCj z#G09BTU<9p_TWF#NrAe9wbpMPvQ{DSIOo+v!l|pRZ8f)~>S_}i z?$Pg!HfkH}(#P*Cq$ZZk6^2|Yx4(qZ`t0M_>v(e0!i2oHf@UE;v1HXoaOYX`fitVN zCD)HP_Xb9XX>3es)$+*I{9(TC*iSR7Fr{Y>#8v;g$v(&E*WMyh|L6yD{F6>zF0Jg#mJIBXN}32W<-1ZnvjlG z&|w~`R)nDkieb30#0R(t#fT&I=j&IERKFPebyjGQdk;x#seE4Y>-DRb$Zh|$pI&w+ zAVhmmC$>;}FpG<8U&zyTB`5jNlIAF}h06!Wxwx+jd$b1&v);#2dzhtZY&emS#b(~Ku$;|8 z820n-{-!2Bc%8}Ve!hleYy{$8F`l>lmg702bLYnV?K`7MPWq*mpX*FY6&|#1x=Jl+ z>Q%NEtB>EG`Ycc^Rn{%dJ-j$F9_)DQuUveSEuHpScsA_Dbdn9*``TL{d}_w>)!eQu zZV37@igLY&Z8LRYpma*$GbP|~B_;cdAi;C{>YOn>eXAh%P?3LVvj1ha|LnyrlF^U?^6uRX^|_aD95#sX)2<@~_!hhleoXf$z?BuwRS6bQ)Po*h(V& zjKBrJgsyHsQ;M-`@>iR;4uNGtzS+_Ze-K`_q(+Zbm}YE-rC>{eyorIp z$fWx}Zm-#@aAZ}-gwQ#*_s?2qwPml^vZuRoi9Ga4tdAnLzK7jkYXsPiS2dI;q z0^11I$apB_xD$nU?MY0R)=b$Vg8qywb}Mqf{y%b zBUiy4yW*lo97A@wU|T4sJd8>E&WcYB8WvlIN)|k&5U?LgPIj%rY?l|!TD)xWtZ9+a z$aDXh@zfGh7uL4n1?9@No`s6tY!_9jxRysi!mJy#ZVl-@TP zj0x+?E^l|NwM=BZ;)d2+d7G8*^iVKh$ZbpgS@3z`>9X4Ur8+h!)q$j+3QJbL?2m3X z=b}SXsDi{`vnaCDt>_0ad2#KdgZ4pq^3ar;SuHt4H5YogFV0b6bLge;#raZ#vGv~i zYBNH_Ppew2fU-^DdV%Afpz9(Zs;|*JBdN%^iJ#MybK73zqsvXF*T;YVBzL*)v&~rX z*L6>W)c!K$iuJx5RZUHjps9+58+YYyO|!jbeWKe=tkDm;I#ctc%58OsB*)gED*j)_ zX{8UT2@5LLXR}IvZy2m{9a6C{E*tUtrd1rx%jMc1hZcXhN)5^nLu(G|#k-QT0=?UM ze@*o!&)Z+ph$+e`C%;K;8Ie3hAXCZ4Dr}Xbc5imwq7Sa#B!y~QQB7 z3*y@|7vk&OAJw^)viFw8z=1UuhJ3@62?lKNohJ zS=lz(efe`R%4vmMM1FWg{jY{s4Mq*52e%`P!&%`WHYHZ~m+|he_j9DLIG9z47sVYK zjPYIVChsHfw%TWv+ghJ>(?K&8%k`uxsaxjwi)xxTXAxgPrfUBQ&X$#}{@QuqhH zVFnT6*L;8O+?tFPx?nRTYFoqC2HSd6QA$b*Mej?;CfVOqJhZ6^*PnySDTAC|rC!?5 z{i^oD?F|*xiPvUtX!&RsF6d_}H@rHv$zpfZl~ePhl`BUN$-X%=|Ia499hX+`h+3(1 z?nk1sUWP0IZEn)kd?a6!K9~Zb?QMA9gNG~)4_SAPp3w_Rx^lK7ZSlA1hPUD6*_5+k ze?)ek`yjh$sZC|?15`)etg%(NaxHe<=K`kJK;mLepd{_u-`Y3dJ&~ktxRdK-Tav zEbqYFK18@o<)<|Hyk~;j*mwl-zkBZ^nI#vuZgtY9b~)(|u8#{0X}RtyJW7ek+=iQb6MipK-@m4?c+-%y`qjq6M*G8~ zw7afO>^|E=a%=F+Ui+zc^QY-jPi=FZd;N*pN2vWP*72sh;u^P1UyUG*WXaaqZ`J=k zK4HA+q|zbSjswrU&WCIGB9_Zq-p7U*y`-jvALa8Fv0a9qrS$tT6;Rr(KgD7CPhzFk zbgc<|dDQ~biV-gl$hJvPq4StV&WYnUJ@f<7792Uo;H*Y|iV4D)l#Ead6p zJ6}{rZZ@5Hu@Kkvb_6AcE)=}bb3UV37pG^?$>D!Bnjie{*SPzGj(ashXSdQ!gK`cY zIP=abaX2MqkNUT4>q8$u)SM3L$okV-qhHze?%#>K%TIW>6`UT43|=3f!aQ-^!G+wU z-Tr+_@>TwOQ|qVn4l!q2!gBY$Ds7)-O10`=9;|a!S+==lkeaw_NJ_EbudR6=rCiXrq zoTi95wpccdHWu61Y-We=!|Q$det!G>1D}h_#eLi3_P9N6xBLBZz1|M@$79!q4I8Ru zHUj^{fWOng=<4?{EFdKKz$rt$lX|BPoQ4J5_dQ@>XmH@9iT)`AeS?#y3=cT_J&Xvr z?RVg^&+Whirw%yVA8_-#b>OUTKsa!whR#;A?|TDSjk z8D8TduPX0;`{Lk*6ZQtaKX>N-xcoBu`OgerjbP_Pz8bw&W#4xmdfxNmpzn#7+cYm6 z$;g+ig*DYsYY_}rD2mD8#)=88-U}-?nA|Zmth$~!7K@HsF^~LoUFVn1o*n89fw;l+ z`XBd)5GB7<)qV$b$eG-2{9%!q)n9exnDFuC)jv%ta4^@t68f{JM>1U7co@o4c6!uf zx>j+04~pHn1R0#2{<*4SZ~1j$22CAgQudp!R#elQKSqRcCBLFN&4$FY<7tA#Q3>v! zQuhT+!dczsn%er6H3+-vK7#i5%Ab&|F1FJuBu#>DXnap94b{EhoH*wKf`U%a@W$MV zi;W+nS`a=Y3FQVFU!Yx%Niic2bqmbAU+O;(8EHmgh8GSKf^zWnA8XfEErRqX!1K4( zWJr443%!GpSGvtdyB_)>`r``lpFTePqet}i<1VEMJk8T-xY<`L-EqS(6{j1_*=sr3 zUNlC}yf!toIjSYaDt#Ej4aFr^YcDGix^e`fkO?x?^EyP_h{t>p2Wz|w)&KC1`m!dB z)D__zcjHo{6Jz+HpCGd;KjrYKNK0&v3t#)3kAK;K&W>A445Bsl{Hh3Df|3hO5Z?Np6R zjZRdjUUG2H@WaaGm}dg9~kku&5McOQ~DFOu5uS7B8MCjng_W}tqT!ml; z8LmZjx8tp=q1VR%XPdlk;NJVKb|Okix*x!!vC&r=*C3<1^D7!|ehi5-8hLx|Pv}Lg z`J(7Nsrn*%DZH7U6R6)9cZmkZULswH^Q1AZF@?DL8lA$PD3$KF^Fs?_kJ)hHK4WZn zbI-KLHC#`Fq#S;Qx>ha*79j{%8~Q#K__$p4Q){9>PLi(Q!wB~QdrO>%SzV3q5fc20 z18!D8sDfb#1r6XKV{CiFujxLJl+5-!3S*GN7?$O_dk_|QsBO>w2Cv4&(}btmCA zSRqav++thIvJ86}Zc}{uQ}xo2+iVrS_@4Z=zQ>j3myM+>|Nb|s_WsRgm$0J$FZ{?t zcB>6gGWANIpSFRRRJ}zctdw-gNQtcHPA9(Sm0tdx1FF;w9ZfV+?b{^U^qoM=7N6q~ zapwB_syYzH`q52@-H^#@O5-RqDv%vPJp2NB5%by;2_4Y(CW2D#rq2a5e83sg_vSF1 z&PeL!_U5di6*=XvB1K&KsFTi)9N^Qa4es+Q(I?LMO*Fkij~^v|&=wCC-39m2^jH<- zhRfGCPVn&cX+oNU{=S^s*1h~3y!+m4|J(q$+^^E8n`!P~W5lLt8tez*B%*Gvs$ME- zW?^5lQVMsHl8XpJD}2nljx`onbm^zax#LwRrgTO}xeCZvfD4S=%b~m$yDV2Yw^3}9 z1BHQ~et{g^a7Km0H=Qry0`?QiMx0JAirzq4uHWAv8RFY6`?%cwZZH<5_zN7IHu);G znLz2n?Gq3#Qoah%RGbO?Eqmj|s8vaUlj1z&lxVrFTp8ZNF4)&*hlGf44-*yEO3j65 zsKf0DPX#eHlo?X|_HvZ;A{Cmfepv;Su8>?3N8muRq0Gc~W4Z|DrA=qrtfkK(;WsO%}ySQ&7WMNyZ#4 z7U@I!Bx~w@m=mf01tsaYfK1L6e`CdrNr~$4)`hDbDP8JQ1Xa)(GL@1myiCpe6oJ(i zDpMDiBSqtKqTw>hNlu^w-x=@0w|czrW65?JBZ@uwXD!xlh|+x#8BS+n>7Wbnzrb=@ zegheUpSSR;6jvYP8}BT@A^Z*0lp#t#9b_WPDaJ{E0~uk@0h7R5Q$%ukW?y(b!8=?` z+7&M|O%CsTPvjBsGO*IgZA=sKp=c&?*9_B$oL;}I#}ea}N^y3@On-sfX=HPIh#~nX zhj*Q?`wS^w=_56u)u z*ind_kVNsyBdA{sj2_|?h35kg4kWN%ZkVXXjxk;ZrrXk>f}JabvFkw zaT+#dkX?5b^t)Q8dw|56)cN(tsc~A_U9WuP{&;torPpB z^($kgHgo4eG93Ss<7ui4ntOy%Yr1KFcP+}q7kSxh$O8GewqNl$ZhIU1uO!e6ENl0r zU@kC`+Tp+oO6z8Wf`#xh#43axfjHy)4toJYT*CE6otD<4FwqAT}suUh$?x z!9`dFsD6oL8#eeHM1!nTBH2Pd(g8^{?PuvKlic>(RZ421N3R6?#-!zi#e4>wL;VcVGrYfj--F^CuO-XJh(;Qu0tHOrl)?M#snNS`$nVeRaX(4(tW{-iMX>(V zbENVY6p64R;D)Y^a(a{afyL-o{9{Sutg-Dm$ks{8nQ2Hb2bLgNqWd2OFJWZRFCVO8 z_6f_i#FRH!1Pg;g+?zfuahZZ@d5Qz2Bp5}Bz9Z!T-_#aj&VGqJ%zyW!7dbHrd_mt8 z)W;*8If}bMtC-Cav#BLO%;};Kax$)NlCoR$rPEGaJ;^LnlSH&3TUHtE5B7zv1Pz-i zh^q&2x$Njvr36Apui43i+Fm!k(<4&n2sR%d4HJuSW^|P8+M7B32iC5KbO9WxOpr>kQ%VLnrKtuiMjh6Et(9BF?fd zswjB;lLy_hTB))Y{>XHD5VVcQPfq3=Be&)uq$&FR$p0jF=Ql%kArjazna8uQY8h-! zLxKeLAozcHnhO6oo;JLZH-`Rs1|RtFYAE;-draRDEPW{I8)v8qaUk~+p14CS-N7^z zN3$S%5Gb};VR8r4KKg9&1C>AB%Y(jFYk3ID$wJUhWXeUM9W|_Z?ngEilGI)u&^&@m zB;fx#?-Ib&1bL271jRPrt`kz$|6;JzDqUtEwL1f+Yn7e0Ct^#?}kdLMA-<(C1CJ}mR2e6_R{_%KBSuGdEMq>j6nMg%k|<<_MUeHU7G6~4L;A5V%N zRc*^HL+Z8EK<#k3b2&S}Zbgs@PS}rT$$n1W9gf=}vp!(vu==@+__6Sfm}7gqiyk@7 znZb{(W)!Xp4^qeaIJ|=o(2O7XzAaWrR`V{z=^i#zi;qT?%_QJ%nfG(X2{LAwi6Ur! zw_GduizS`|SqcrArZo>LE+V*h^-}*s>}ptEgMtCP>_ z3g$raq4IV(uW`LX{kBF&;6D1el_t-V)J@!C+f0vHq)DR(pN{*&$qCH_@WD&!y{4uj z#e@B)=%$WwEFeBuOpT6T-Ic-QO|x#Xbvdeeg=Ia<4|L!iop$@6--ss>+x(uFa`bXt z@ytl{4)X9fk!Y^J7z!zJCvZAWj9<*lPQ{l!{u3jT`Q!k{h?V+QY@cYGozRK~AXJ zoo|ez;CnGg;j6M}DX8A;-al23VuiXH{J=ah^&^s2gvsIyy@a?TV)V67U3{3l*CF=H zI~ty}klDCGZ3xKQdUkK;?sP(01|L>4)Bt_LS2`n+#sr1(B+dM)!*4M{9gcekX*)p(OHi&SC-$>d!U^1=VM!lAlPtaM^Z{Va_KgY|3yq zsJ{LXJf=tc3vMHipL1aveNSM1Q0y{@EC8&^uPT=Ga8C7aW$7z|y2f^b%{x@vv`X{& z#N=4v=qtX~8|+T9ozYpyLH24hKd%@B7$a$7p>~71D4WDKNsUiX4(99-Kr=0gXJdiF z-4@(9C{7!|6$l0v#jNC1r``|cEuF`pL=JE3A7cnm$S)35&+9Vm?A z6^o6Q+*ELh^$hL@>R$Yh?704S1C||xBp?G>o>Wpru{}57PL?1u#0`XhIWmLLn=xJ2 zpV!3`uC?wJHKOYZ&}qG1i#Nz9pA-p`@E6Mqgqlp_ zxxnx$Xj<5k5y5@K$ej=1oq)&qj<;?_JO>D#*yhwv4|#-s{cu`Yd3x~Ec()_%E!7bM z{z)pn8_^)vqsC0PNJ(xxO2P&x@{sYU)MbZ;pqpSA962=%ic=bv(AQ+dDuO;osO9Ha zEy3Z75UtOa8swi}3Kz7wDclKGM`~E~)k4}Z+jCHuCoPE`1l|kP zv$2QGn~(kThhLxEJXMg&+&!%* z!|1~~WAnwFrqL&3u}g#na9R6`ljLuK?BYaCA%5B(tXh$cSy+#4P} zoLO4w+>9qY`+H|e>?V*e9;qRClN-g!b>ohdzrB226@)53^+syB__}aUknyn7RqxH0 zZlv-hH#g7riVi`~hz!ylqjCE365WoRCz3Z)^^;)+Ehg}Y9_=XfHe%RKxw~P`Avw`& zQWt4jm*>{@Y2f<(Ap6lz@T)sm%(QOm{BzbE-t8gsmv%?FpU^E48DyoQmE6&2sDXM_ z)lt45dWetPLiuH;-~2E?@Eh)A&2MWlp5>IrF#gv9X-Ns~J4JJxMDIt1lD9+Jqf+bE z%h}(-4tz5zJBqwRT*Hn6k8cpCvzM$YCds;Lo)+o43+y`3-epo5iaMYo%ENODzpvFF zho`cCFaBi_Q*%hA1-et1{V36d3V0|=9hJ;&VC5KqNo~mh3_k-@G|1eBB=aA(A^rIS zse~Gqe`+^kK^~OB4pBf3Mg1d^S#5Y~*e3N!)E&4*o3sjQEfVqtt8j*%_?se{Qcy;P zYHULupdwFtqR~IJ@X>0*JJe7xdIRnhHEg#hI&OgX)r8ZsxDAM6_7Wp8P5%k>#UNA`LWuf< z%4sK@(%@13Saqr0q9}7=YAO;GfKpH*)l4CB917E8g? zWYL?T)*ZMc2G}7cf6zKtpe)vfm&!`yz4g~?pchL!YWlvuf72!$IK{t4f#y>niKC}l zu^49y`4WnzXU=oo$*$@D79~1?0)ujd$-=Koq(ptEX2aY^zA`bW%S#6#(s8=OjJTxb zuPn{fSGo+^mG`-9V&oC7`Ey|ozBBG`2_{$oZI8oMo4-%{%-dy|81liTfg^u*_6}5#yl_{DGP2a19u{=gh#2T3V~*cFGs5b)5`+7B)LS_CUJ`_Rc@oUfh!YVxPWIYvHS>PVGM!~@)MYWBfp_8lKeg_#)AqUPDk%qWM@K^I!g#_ zg;S!(2MgB*L79R{$pPUbS>%2)5I`O?^QICe)eYe%;UQG^7xor7Ays^wuc0h9f;XJw)dWhTM*^ zR{9G!YDo(l4-=n&r=&_4tUd#wI)5vkD#{0X9s5zdFmilIK6|iETJ;XUndPbsmM0r@ zKpr)IXQ3t;clc3X3Q0G&i66rG|4+x`0_)s5(&eb38uOM}F=WjkULe0x8T`L4MaecaPy1=cEE%1kBEBPaFYZssY`HmFHZ zPLO{GKqYEX)tQR^aRsK&F3{Ahn;D_=mU9lTy$MpW`OB<|T*1c$cobKbhzfVc-RKy*p@j5vo-?3(O!fxB1Da1x88M%xk`80ObjI~m&6br#2|l>OoW zAR%^~QZx|2CSxwSi9?ab$;j7Jx>HmGFwncW!%=PHs?`ap3@-{d%CLkYD~>sblM;@m zV&&lBNg;Be+$mB!)IM5@_pt+(h*hLvFuPjHlJdllS%UeeC@k?Ef_sZi^s?m3;0b;d zbIL9;d|Z#DE>GlX&J0`f>A9=Lc!E5*xeaZi(H*wlIHe-~DAq6)zTjMH^cYDYWIx3lxxwL~!N<nb4+9HGa5-JK#UXGniz5`!O00>gyo5D|4^5mL7e*+((4BkmT-oY)Hl~)Gu z$3`YWHc|{blD|coYIH{*gZooG?`3t9`y4|a*8^ngI z(UoTTlt;EW>|8*l6_T8c$zc;iM01g#nZMv3q%2gcL+e}KoiB*GTMM!hS*&XkQXXp9 zfqRU()dGj+L}4E>c>Fyir(!73pY(@glQ3_loTs>k@U5P>kVBFzcOi_Y3)iF$pJ@hA z><7mJ5qk>KkpobEDdk4RZL1ZA9l!Q}S*$Wxx`q;RRTv7HZ!FCI$)ZfA`16@86=ktB z{F5xE-wGW<9o)k==jl^A3I6r;{3u@T14}K|0UjZEe z@7h_8Pz-1YjVqj6i*x6rl+hL}(EmcNGWHO>iOu)4v*t(QVa#5V*LHCbzI>z5ftq$& zD9|0Nz;plPuYK4dv7pvj!0nST8zqN2^1eY%iBI2+bmY_Uu#3wP#CT*jdoV=F=_jj< zwOyIK8{8iS2m7e=yMbKsaNiW@4*XXF4n4)_p!BljCYiqcbF4#^(a=6{yIIwecw9q#3-2$@|0qk@F7`}fZbF)L ztk=X-vER@=EbA#JUp|nV9>+|+kzXW!3XDOT6A))WAmi3Tb#5sA3F0Q6_I|SN9Ba^D ze)B~Pr7;368iZsDK289;8)<8+DxPLr5FzJ~`-O|LkWC2xq{zoS0t={tQ@bNjiIj{% zkOJ;_htm_viz%RmcSqRVv37@3-i9&47NWEm8my{R(HLutBlws6w2cE)KD5-nnUwrI|x5n9+TZFDB|9@N$WJm7y|9)))ouo z=kqem!YN`q(0oc`DW-NaXn9lJew@a(W)QMr zv9fq4Tp|nFK+*4zZnyN~m$3f-IND4ZjS}WhZ|4`{skA*#ZM^S%D>;g82Rf0NF-2&L z>|yz^)D47Z`76rcO=Ob}Xi~_lsgg+#KfX3g*HCE8|Emn%iVaA@Z4W7!T2EkEk&~*v z{8$#(P#DLLQ5GL;iB9@|fWo)sk1LCn;nlJdHSC=vhS_w*F0O^V&adpiQ=Gup8rLjP zl2iE-=n%MWDGx?W?x+qy~fGv)gM-$Q_HSipQ} zf>3GQB-M5;Rlxkf49Oqh)VRa^BkOajyM$I=mz9-_tjp#JZP#sBuVVpO#~)570UIc? zVDkFGWMTKZB4Q%a7qG!pOH#Z(r~6n6>o#z|<3a!%u$u7vb$h|9ymj9i<8gtWDxsxv z-*LA+N#>ND;9p;i`b`f>8h}&bxA`9U(ko-H3PUbWKg4FjRfCuH%&@xe^%{3YxBTkH ze#l8)dtj#9N_)`h_agVh899J9Rl7p{U zco-83D&bE+0=VS!7YK4X_RZLkY3t)b<*~Zk>PiwjvN_yo~t&7xGy!y54HG?CW7 zAzZXKCL*S~h=Y+@!y>uPZ@^q(5i8_+dw2 ztt}{IIc&Z_Qh;LSEVZf%XP9f6HXMkmEHUPP}pz9N<@Zqs^Z-`aX-9aJa0JL+4t3h|7r;#)^4to- zMC!X%8O)4h=0uVHY8CiloD-@3CBt1ih~)WV20U(eZ7)nxE>sVBy^^y}Q?h`VErr$8 zu05@|bn(aPmSI!RN4+h|e(KWU=oZI;UOS#u<~e5?*jGD{7!} z#8)HzdXgT$MS3`LfEzs^Gc#cqc9pu)6hsxq^{6y1T+hs3`y%JWX)az3Nhei(F8}n~ zz3qinq3(~sKS{OWvR3J&1M{9v5yjMoNY-G8Q{bPKo62hNhN2IlvCk9ln`^Y5GYXE~ zkYSh{tr)4DR3mwM(y8d2W6Xh?xq|*3UCs|sfdqMC2lA8aH2Glmowc zrP3(v{vGJf*|<|9UL5#A;)XUu20_pN;>?4ch6?f1+oaAcMSX@E2S?WC?}<`tWK@J% zk0qv)*ll*p7pT9oX3Hjr3v2NUCi`ktBg9z&s z2276hcxhHgOG;D=TrMey8q=zGjrPO5)*h;am>{FmJTdjq#z-I1cTsD**E2Ham4Xuo zQ2=)u2)rL&0GH`4w!!cwDlN0u*HoS7()jYg532c#mU;3e4&}0I0fOl8gIkq>eAJ}q zuCc?D*k+MT4`D4hk-1I3ewoi4%id^%l%7pEj_Iz24n!7zu<(+8soPj}%5_2%e}qTe z&nsU%kZre_X}5Z;C*iuqi%8L6rVH_<--Cf(D6H|*KXuNMTY*IVG;i?Fl?inn`+nod z&q$PS^a<8jrdtu*v{z%s3-k3uQ^)o1*>TsH%T}}ZJpQ@w;|<;*Ts^*UI&Mawb4h5P z{XGt`m`RUA$jij^+4K?ouSaYX#ALcOYRin(BBlIBxqFX9H(Pkebq`q&GJf+_|9Ss% zl5QP`Z$WJ9W$G-J@N~;BM=JIfKE_;dhjrJz&}}5;)Xr-t9r=pt*GD@yD)nkVX28du zF2Qs>G9u$ldtMzw|6IB;0CkGi?NO+`e~HZ1au%m9ZPd9PFTU54b{yJO8!*5tf@{ud z==k=A7CO4qe&%esu!v!gn1^{}e>YA~k$JJT_KR30T&2on6^@BNktzNdX~?#4bM^l6RUEb4mG%SdmVXroz}JN5|Elk9N?fhx5b zV8q% z_0=^%bE&_vL@4dYW?s*C@bG$ZO`i^IV_c)f1XzPs+Zw9TRIid~Z4Ai$PGXP?GgQx? z)P(My^Q(r{VA^6TcL29j)I8q>t+_-yKC2Vw%W|UPUiyo zYm19pUgCXshv3H=jDm$?i5Fl>%Q%_bu(EkzipDR_BzhWUfrXxnKupP znQ!48K#IGb`KLVI%B8FOdJl4VTUp@7t_obSxpiknphuUvVL+t*>*)`H_(f)@fIw=;QwKtFHOnjn1d@tG?}_jU4Hp@OkcxEOGu3XLU)W zTmHTK%J;75z2NbcEzd42hN(nXJ~yp3+G>%5o4&t|Z`3)qq0CH6Yhh8=IX~^^0l{6w zC3}vA!t8W@sw>IF5&rAR6_*i;L!+~?8_sAR{Ytnr<5JFk>iK`Phc*mawR~}s?R8P;O3&ffl~JC= z_t@WHy7q)RX4AReUM_i>0_%&Cn75Kqj_OgtjWQJF$F=6Li8&<`|((sYz!Oy6AC+_9VF|K4%6P;Uk~DfXT7Z6g@Fs;>Kh&U>sMMTtuV$W znke;Swl%9IMmo=&mp$R-MU<^&%eRexhuJ`On>fjRi`Xt$_%Fq|QSRSZ5oqJ^>M60c z%jIHV^Fm*gc1_b`uaUe3vJ012DL`L;NYf9fUr2w3`dk@X2oRV^vv>9Bns6*Rer#_8 z{>*Z@#Q}u$!#x+5{lVf{=Ee5U6GJ-o3GHT28J^l$eJLQNT3KB_|JF@7^e>Ap5@#UX zv;}-o>E?K>vBq;dB$eA%L|og^RYK9TJVy6uGm=CmPJlQ~g};Qzt>+rlkegpmhoHQF zxR#3EuS$I165&gMg!jYB3ZkE(Op`yyLBbyD$W)oI)r#Q zV44(=&y5o@;>Cuq@ig%326jZw;{dq4>Es`jL!@Sm7e+Wtz3S8elVI+;t%XAVL+>oF zS#vQx5a32yjono12MX;v5wUOGbMKN&YTP}RlBAtO+tT{C{mn?hhGrxBsN3ko>u2S) zp##Rp^7iU&6E~4PHb$uZYoEk?Eo#bBl+2wR^?I`IGfm-IIdc-KCUn7Fz3?L>ND9dg z8LXNgvV~GOv?DQI%N6srwz$eUf;|VC=RJDQ=>l`tXI#ww2Q_h}vnS?D!>}gGUP{Nk z&Xd3Xs>(V>&HcQFt&jB{2wePt5+C{9Wy4&a7rdL$pu6zm>!%jxMLF}~qS6zz8&&T_4z73gTX~yUA2=n zgFcou>58jB=C>?^jxo5u)j#G0X*;M(j_+GrqP((!q|~O<5^gReVCDV1{3D*oZI7v) zXm){JOIw+I8RPq3k~mp_o~^Qw9vKHpYP_l)ExJk%9*+^6+&W;;S+TZhPfFerMcM|`U4C|; zH`a%`e1&xdZ3Grf^urQRf_F`FKA)gWXV-}boR^L7KJa#tu0T%lQKcVvHq4G+M?>=V znj9)&jB;=|TURCQ4fjvQ%}9H+rqB61gGJ{l*GMWnV{`7ux}N#vkijYwPK z`V1>$fkULnw5I!>GG~vyFfi##8bO``TqhpILR~)v&1zLI)Aj#$e+p=$?QIxk#O=O6 zJ6RID>y0GsCPk{XMy?(Sc|0l;WOe(0wZ$-qfB5{?mt)UR{gy@^AJR}qU%N>B;FVWs zo`6y(<1IBrYqd0j=cC|vmc+Q7X((}jSXvQ!+c5uUiI`UuvT2wM7lR&Zpz|5?t)`Le*$|6cx)>aeUhBMnkl3$ zqI$Y95?m^tErKN0{&l)NU!m6x2Y^ia8+GiUHlQ7^D{c*T`IGWrH^`}mIKEuD-16&p z=J=meoza>L_tY8^tU=TNer(;7(yZ$XCfq6} zEl*tbH6sjJAfT8>*6@BvIItMor_Q|n#t>fOg!1&iG)UK5iC1_C?=I&JI@xb}BN+i3%!GP z*OJUgZ7W4JazVUpFgZZ1Cxwpe-JFF`aj>Q|QEq#oiekFOR(D|ytP zXN#fJ7MLF;hX&VZBYU5bAqq{$==B&5q9J}(ScJ^jI)25?MwPa;b$m4ca?=rdVuPJN zS$hz5QZ)7qTRj&mEh_n#Gsp-TLo0LCeMpySND5iUA{hW(`#!zc#<8jZdPE6?UZE*@#ZxL2ESd#tHtE;Uq-YfB94>oFs zraNm^1nw?h^mxW|;DBC>-`i5!Igv+VCeT+c3sn6QY-#izbj$PQarb>DAM&ViC9Q}jTQA0JT4(}+dT|(pRO4V&7L;jjsr2X_rm~rJWtSY0?@=445{Lw#* z$PU`rK+TuJt}El3pS7{7;OR4pqPqBZ1&SiQG9-8q()geE?^A<}*iEi3={R$$nJoX9 zhP_7P3Q=B1o%Pg{$Jx4h4|TZMi_{WcGZZ=zcStF$CQ#Zsc1Ru>r?=o4829V#XS>}v zaPKaV`gm5j)Ntbk4kgc<^!i=VS}ONg>c?;SRXEBOqRAX)ad5S+Gy&KTDjKDI&%26? zyc_A#Q>ogqn+#=7L`E z0vW`yK#MLl6Tivti1DHkixxBAO}N}_qVaacXx5g#GpllL41SAwwy2;a)q45cY|DPv z(waDp>CT4m0u26_Z0P(AZ`d?+i)gO%ZPT-l#_`^~m*MwssG0zz(pO3)Z23KJ!$hNu z5-a3T?u;HXjvwagoPgsrCAn0Bgk2()6%s(2eD0qSw~txz;u|IdVa`=;Seckro!+-V zaoxqWa;k`zTywplBC+@Y={WP_>q<$XgS!msyW4*>rrjY-B5J%Ki+!cJR};(~m@TY3 zeFJ>%EOM;!(tUX;lyhKU?Pcvb{exK?+*Z-4|N4@Zf#@wZNi_n^w9E@x&UjO>uOJb&hZOP13I-W-{E70Uh(tuKiQ5HEZ>~7c7I+Qe)TOwUPga7V zqN_f6*)<*hZ!y`a{)TujjAi}Tt3aV+dm+#(xk({oD0E5t)?iple|=3buw7&ZD>wu5 zsh-pia2!Tv(_wMitr-_~PN2|R3b`f|8 z=O5;Em@)B<-ytz5$&9<2oKEO7VAJ_l;Aj52Dg(>!->lg$GFR*EIZieHS4yKz*=oI=~=r_tIMp$pB(uJ#!;x?*OMk+bZ$%{!>d3FyCTY1X&8Jhh9Z-+fPJ%Z9^y}N*v3Fk9xA~R=&|rfcxT$OaPTpp6h)=IymJu}i`1Z0Htwm%l za`ny4&^NX7;kXCMlNkTSYeW?j9e$)Q2|bA2PC8D9IXQG6Ev3a{!{u%Du?}x})SDGJ_|h4=6z5@r)Q1L-iZqG9!IThXOSz;%h5Owv-=n>3sxAanU6@4+X0rI#_;UU_^fTU?i%8?jg;HP zdxya-V%Yu95SlX6Q?`=ox%#y33If5kY(N9`-MD{v0P@Uk#?qijf5S-mcd8jkWxDNc zIDDYxi&p3Dyd3;0tB9HGP5cpCht;N!W{;o8<-FqsHc6rnr5KSh`w3UtDAcd=LAXg~ z_iJa?oC;@QFaTTKZ8ouwBe*X*uTtfs&W>OVv()KGyV(1z)lG3qwkd7F+re^!JUfZ> zKYkH0^xrW~N=L9g=N`j!f7L^zR*4d1duzs$ec-fH&U0c3#GJ3Kk#;5MH|!Y>f7j$+ zW)abUwif(YQnNiKuI8c(``qse8+~NjT(2c|>!cZ=v4rnNI5>8#cuS81H0QPkAzg{oc9S3W|gmUWA9=S3T_&3oqO*irLX14U2P+kQ3c=a)(X0zr__6T%LH* z2@M55i{Kprh|^15G+>Krndv>+rF6#XyaQ2poIpyB^27*s+30(CnFc2}1PxH6E%%4U zNTznap4anN!o($KN+_bc_o})CURz_1&%DVWP;X zzM`{i*4AZp>@Y1O?iumUGeSXBq+NLAbV*i7;1y@NA{nVZ2RCh!0>*YZn)NN^y0kzT&NJ1AFa@uvE0fghTe(efTSelgAB7} z`TG+9*}bo^!EL+`U#~|b;1BNWBTnPrD*!{TX_DlRM}ZBR>ZVI~7{kCGvq1@^wgWU9n_&Bg|w!WFrL6}zDf|E;xt_{+x+V!-SRq8Ke@stgm=hl z1d3}2Lu^#$@?5hq@wi1uB@X({RYu$d{;IN|@-Zad8`GgdC-}Q-(g`K-y|8;oV0XiQ z)o4EakC!=}Ye5XHRdq-Oc@ESkY7y>L-!A2+PUDE+Ilb(QD@P%)b=8wqp)o+jLxDXbc_hH)8dopywg z_rZysb_;9ECWFvF1WTNzXsC5>Y1b>n>aqz=D@GzdpJt0$d%d)Jm>zOdEgbbxx%ZY0 za<^!dc9dE#r*!1G(}kej5?ONnPg#F^OUi7Hfe`_zRykUjF zju>`X9~YgFk!~$Gx8a+mr1p00lgmY3qxR7cx=$5+w^SGHdSASbYG9e$ zI*Jmwk@Z*&v6`Ce`Y@5C171xrVI_J&kZt>>a}d6=QpFU#Uu%aw_X@q4SVPew<~Wz9$Ax1a~oLs4A}RzqO& zw#>@Oqp4E#1mNPCl@^MT)Gx~jEdm~n zLDbq?SDRPR58obEO@rv3fqFJ+acIE2`5lsFWs>M!S^%7Dwi(?HQjOP;o!M4{m&Avw zUYy~@Lh5~In2+5@<@=zk57hnVvB*S2=8ua}YiGr0uF{O(XrIY|BN70$u{3Yhc zbq`~J{gR6 zhFc)$@gE?Jg@0;d3RXdlpQBt)xMy?;nY(<(O4*|Cbx=bIdotkLO5w`brTi*%d(Ea3 zymNi&sb1@1UvvOHm2VTV0lcYI>x7bZj^GbHm+}#J!nkPPlu(mE(X{XT4@R9MQ-bK3-|>yMj%pcI!8=)oE+A3AK(cQr#3P~0U27)~;2BUaDCqDPLS{Ihx9 zjTC@AO{^ISH0;~YWyVOR1fVEax0slMi%UiVCpYZXBx;U6oFu71KD_nMhA_X;9`%Vd z>^r4>Hv4!^bdyty3&Zpnv1YfLPYHt-qG9Yq3p860La;sdVXNy(5k@v3JM?_ss(NVecgCLhYmS zieqmMesOJ@#>A~GgrkNNN%ODZ)=U533{=wm!CmXb{PaY)_gf3lkSfrg66u3gcx*_w znWyhzdAguSYiu)|YQS}}CYnjR>poL3ETJgqwUWNqHftY zYjctxL=~Eh-_|3QgqVX0#i{j>gI{&LV((YC_H1_Ica+0@CJO0;h(KG+uyePaQ7u@;G%`#3vxJY=+VrIq>3|CP4!~X7jDGxQ$x! zi9{Dq%kQdK!cOki{ZF9(5iIeo?Fd{3ipluXfLvZ8hUCpitw8(n>GsjUeF+9<)A_(> z9GaXX`n>NVj85)^o8neG2fE^FTQ~h^X2#TjD@WR>kYg2nueaIT`4Y%Hb)KNj?=jSD zO@u4RmH7?pP^%2x2i5UqGYjQwc_HfA{+0Nk_o0jozOQeHK_wPA@JoeO3kvL(On*;0BCJ~D`R7YYuV9HJCp;JDkGq}FJUT=yXaw77 zK``Nrg#;UgT*F@*lh+0wl0ZCg!~>ZaCV{WB@0l_MY(SN>I!XuOIg{&CtMmkdp{4wR4wY_}g2*$Q@ zvW#=9(jwibv>+>5BS_kH-$H$(utj#4FMeOr>k5^RKkt9`2+t9Cb$Cvzv- zsf+wg?+}poi&Xjh->G0-kb3Yg9NkNVGwtY?!0izNLS1fu`58z7Jb)&ii zMZoJim;ivGhn|7QGaoDj!sMdiSM`bZft>*IAA%@MigV}QMSw%{!Jmd0Y%MVbBiREW z4G_XM4bmaD&1jB>5(%P|Y1Gq@qkMF$9yP{3dPr}RA+fSwbh%T$t~@n(lg zmD>TDn5j$LeLki=@?^V%G6<@cA5*YZFILJxT+wiNu5xbbsJFp$yA^4!(h*796={X; zzo~Bs3LCVwpY9INMVpboYmL{0nQ4)&cSV!zKZA;V!)>Pz@d2PeYth7ucsBimGEqbi zKftcxQAIxCRE8N5HRv_UOMKSPQW}bBZS^QbTUnHrVs`_$s>G6b-rPaQV^F)$K|{8F@6-^g)~hA6ygVh_k0o z$Z;Rp;oI)#fO*2!JoHTglHkc&f=`$|3AVC|E)nHdmBm(V4eR5NIB9eELW+QR#YEQ! z`k4NS=dos(P@b<_HBZhg5Q-oiO_Ic~z%K&g(Pex)muDP10gDyRk5t1`>Ds-Ci^wqG zKzsKO=*T6#$ELg3anO;9o|AQBs7#jzVWduTt7|HM>jBWE&E@45K<}$jg|?3KK`tB0 zamQ%k8Wv7Rjj5vx2q}b0frkZG7`HAJ0kYtgI=TexQ;wpK)l$%yEYYp@W&vtq6Hz0L z_&CJ~p2+vl36dlI?F7QU)>MWR0J10Bvwsnl>GV`mTo0mh`ywD5XSI36p&WLZ%5Vb; zL)FM}i(aIdb|bbAct+toc#>fZ$(B}SYMr|EH-r$#7%ad;i@ZO%^fWrmY<4Q7?Ek91 z?FE|9d)QsL21fMLgkF6|8-ea}5`zKWAj<4z6bH}qyX`vdyDwV`g0%DY4_X=Wq9?U$FdP91&@7Tc*Y}~~ zD!((~KV6c~=Pe&0Lhxv?#EdewtZ+Y)`WBC%L3X6q^TFDLgJ50>eGkrOB>&(J0Q_k2 zl|@S;Ck=FiCCM#_niF?5m%Z|5m`Dnt5UhP&bnCB$I<_hze=2Wp$ zdxKJUkvH%wbD%mhw+zn0arU&ya)XR1c(Y^BwZwj+<2;@$oolxg^N{v@i(%*@->~6H zT(>Kl`CiY;9sFEp?iF8BP$-D;&i+Q;@1_Kdsh-4cXIgLm{wGmGR#*uU2u9t9DPsc+ zM~N~mTJ1)o+u5(qoe4CaiRQTm{0NC@OU;02d>G-00|SLpNw^{nPtPi%^}U+J9-@`< z!2#3g`fZLy?hclsI)3z^Vvua28rGrLnzzqLPi+J8kI>$=v>yOWH{u9A@qg<sk#{^! zi46-N5tP?HcVk3JC96M?C%3s)Kz*8UA33p5Dz zhc#VOZCUoyjq;PyUu~w{$z;Z4K8T+)t2G172+?D{k*S6;7}lq@`ZxgBn9p;0^!rqV zJtv-Ai2gqGE{7W*qkXijIm4!g64XChzI5a7;feAmR4*v+y1w%=!)SqEnANXh_!zqG zbV3C;M$D6Cgy9qt6^ZDltNH%EQD%0lcf!<0nFI_89^4lKpO5aVD{omDY|KTd0h0QZ zt^eBZV9^ofP^-@SjHD~IER#7jN)p(tP8s<9a4>HRFynq`p5DgmE=nNxnq69D#?7a4M%F~iE)5%n`m0o(zF|?d`uLF0irSbHsGZQrCe1@vL+|el;21$^U9Y#JYyGS8Dh(G%Kpne_KdJAAl2`REV^d0@~mOY}6 z$BftHl@*3b_U_s|%Insa@57V%RdB5e-KXrHLZr%PeGw0ByWW)6(C$pI!h}k4Rz)4zn|?b=WkFbYD@ni)q^-FYHGlZ z;Nl+3Gvc$+Ky?K9R-2s49V~4DI>-`T8c2)G9UPa{q}i#>NBh}SRK80RPYkbFw+Kx9 zz#9aY4aEQWTY#kn;S!V!+g9)|#Y?~-r%X-=iJwZk|8-bJUXYsE0&U3tj3uainFkMC z!ADOi(Qza6p~w26!`-gDXEmBoCZ?h2u4~AS@MeJk4OS4)if|!nF8v3mEX0IGcQ9~E zbSA39l>$_A6&X>63sSX3<$g7*2QRSj4-9mqmoX9`_t1t#N`~47}I3m=H62O(mg8DR}$JIH?Psme-H22Il@?qfnrh*z#PybO= zBp@2YG%bj=T}FZQ9DK)3{8nG3n6B+bI zG-}1_sU$XF7_X48II^vpe3&PI!>Y1c_B0)Q*k;-SHX2zw0Z9V}fg zU7fl;W7-K9^VHjN@7%aVvHQw{NV^=IGjaA-Rs!o<1dmrN$pr1iGmBs1)npy zXl?LU+x`k{=pS5`)Qc_42P+j3DBq065D8(?pf@woGzPp2^B!%{M$ByS`;XnBHS!h_inTgwa9Lvgk7WaVY6HSM?#EU9 z(ydMWKn?l20VzYi;2d>sKeF)>NQVm%8Kl5es$Zg`HSkPHpU#nEWuj&t|jjonb znEZ){YLzpIA)M+r<3EweKzdxuW`F`wnxpc4eEaQ5UZ7Vg*UBf?pD-?9r z#VMmwhU4VUqJdM`)i%k#wdiob1*^A|SQKu15oU!CHgxiusZ@h21*>RFvVdE?2~Nm< z%rJw^CoYwqaIvq>-$FWi$Edzm$?G=0elLGX?gXNjl?fb`!-Y@wW5B>;>%#`#uyA)5$qhx&$9eYON(aAE5;=1ipnZHT#Wj z`~6({W=k(8gY_66UBLqHc5mWiJ2wiTOvn18qMz5lh46r=#Zr0%<;f|#(&eBtNT+$A zSYOL=CzMcO7A$oVD-rGxipWPFxk0)}%fQ=F`DbNf@l>HR;+5djKS@_M>+(4eE>tei zA}hF^?f--uk0!d@b3aS$hC0kTl*dE|2( zE}@LQn6qvSQ|N5}&*a3cj-@c=o$u{s>=uCm^$x9T*-^;62d|XCswhl7jD1Z@LauN`DF4 zq+~ic!B~+Svt42;V^EfrF{0blROeY>a8%i))uL6972&5bN{sm3CIRH!z!xn)iEZNu zH5+A8x4kfZtGeb25;gY)VYqD9m}xs4S(G>8`;Qgha*1alw)%OYlX`pGeubYx<*!{e zfG#*^Fg1aZ^fU!{fZY0FPoZ%46z!{MFJ{-TD8!MJ!U5Y9wh@iEIhIb8Y;aEl1lFd6 z4WJ<2J}!WWffq~B)-CEhb1)uw{DS>k%|%uq0n604O8ti*2PE>IMN!@uAD}61j99db ztLhCrX?Vg38(9FkgC*pYoxa_Dr`lXeM9ChsNknV!!wF)WVA%}TGuKYMn41}?-RA&t zVM2QJ8g@TFA1$df@`&eChtufx4UH!e?O$*~S#P_^+mPhytGBK(S@zt=@8iI?*3EF# zXn(glfY7Rpujrf+okzEe^(~eSs2)7{DIt(bP8j~2pVxUz@ACYdCov!GBSigmiQM_^ ze&4Bh75jfGpemun|4^6=cm$C-L7lVBY@@xAmlF;!*5;KfeZ~c;V%dzmwjMK2CV(u? z7ezjURM=f?K$zVnq-i?{vDdE%gLHz#hMK=@BZ}QcYj)_k7>v_Oknjm2!2#F!2<3GE zTt%*&o}=`GVN&%<5My(|=r-y2-qxEB@r=RtN?4zBa(yU+(d}8a{U%lYjS;=KSmgAD z|0_!`+$eJ60`9HK38++vnW$&V@^sXOvF(OR*&8GB9~@A6-$rV3KY6j@2Y(Ldd&|$* zs=*)ZT;00#OOBC5e%@v^bEVYdW}+F!c|lZ}fl`AXYITsfWfmwv+71TiB{0j@3Yk4=|@VLs5j!0dBHZMRW1t&90T z{tE_8)8)e$0vCQY9B|r!_9*h8UUZ@^+-iOd%{hi#Ar*lsrCpXd8!$11Q(yISmEs9= z4v8OW(ot3%aSv@1z_su*63}1z02Z)Dm~%Ty)Dym z|Ey(l(a@m`C`aY)4>X0S3*hhwkm5|)Js2e7kDgP1OUZWy5TBZxIIH{?$fId)U;OAKJw z5GnxHlfc~cT0Kn#hjFTb)fOZVNfD$FyF?g$bfC4#pUFm~;$B4a+L(bQ^P zysbqcyo8m~oQl=9EJXF-XY+is6XlV)=-4xE06-)s?-=K%(EHBRgSq%fMShGAcDy(Uo%agE)u=UhF95HpVd6IPBOb2y8cm zB?jnCuUfY}qx`o`@Eoc*BQUN93~ILo?wP$WwoS;Y=k5ybVqNy44RQ&H9W;J^C!@x) zBmT^IaA}wnc5U;`njpXvg89!?D+#$P`TW=S7shIj=)c{VzHQ9%#|GV4YVjvVVOOLu z>c7>@i3*Ql1>Q^7Cs7K#AA~ObQK%|ZS~@Ze3tZ6f5GP6a}?c7*?2-sap|u zmot@$N~@V)Y?O-AJ^oW#&6tl<7+&!=nY=5BE~#&{;KYi!)fl-os+149`*W1}!?@b? z%-Sw+DS8cUKSUQyys1vOAc9T1Mz2zY>(1)v~M-~ zPHf+WH5!PIl@PPnw=eZ%($&5(# zjpK~p^2jORq!~!q%ifac%*`#P{o=qFdbea*s=#7h2u#A!wu!&WWC0cTz0YOFVp6YQ%c>lOSd{*!<2*XE3a% zx>vz|n;9{!`1JUrf7F6RYUkZdtlaj*gv^+28M^6em9CnuOLf?Gk!=k?y z)bXv+(yzxLUwz}bzIkEjG4p)Zz~!L#_pp{!_UslbtSNOP+gZLzCyK8pcMrRFu7$?u zJaB&T@_7?|PaTrnVR-0odgTIrr|jOb-Og>bu_w7(7iD^=`1~y;{vo=flwPm+rTTj_ z0@+D2qx_=(6xZC&XfhK%^B7Yc&=rZ7og*3J*U`m6x;|Z;vvt^`f7@9F3_l7b4)>ps zo({Mg|EHZ~xcNYC>uF+_|2J>NXR%vF!Joo-4*U*Tw>IiE!hYr}J?ob!v?#6Pzik;* z$5==w^WOy@mg@TYAC5L(S7U-T987>ltq3~~mv7(tp*E%X!|ah&XmvYPg5YO8Qf_x- z9Mv~$m-yj@+5siSQZ9b^sRxQ5CbMYAMEg+?jGYR%%Qwu^%#S50hijPi3a zZ`Kql$IGtU3Z|*6m+Li46;uzz(i~ngG6em4Byk-sM0a{uoE}y@!|{R3!-V?q>_07( z9HwZECUZ*1L%irK!!}cLd~nITDzW=bREx0My9Y15ZXaqQ@@(C5O1ASg&^>%JH%ZwR zr9TD&9RHcwSQ%FDH#$tro7`S~BDWwPnloE~-^tDlUldtm+hsSnH$3Ln>WQf@=hkX_ z#pQWLi2CYcrn}pEh)mDnN|V5ZXv^D*b&G++@d9cg9o5t!%5U9j;Ie<`r#pPzuYZ0< z1Oz;kN&EQFB6mgZc8rca2f+Xv@Y!OmGNs(Vw)zQltz671=U18Ug@x<-KQO1u;gi4L z8xl1X)4I#KesX7T&4DHI`amCWgRga3uAKyi!MU)6ZJ!TiPmn^VojDAhAormP#mUnksB-Zy!j$tOx^QiOh z@H~U9q8QQaib&PS<7?lJ_a7~0m2+1c9b+cn_m>)7zs#dIL6TPP!7HW+$A1?jJ>sZ^ z>q2ch-VDe$en7Zp0&g=>-kD)C2DA!l-lNwZ%oIUvMcY5Ipnv^Dy~*UkLy`ujTz6s! zigmyC@`-O(wEfkLK2JytF}=z8TB;FtVEg&jR#`|#;g36z$$QT}-x}X83A;iH&zodU zmTxRn?R4lV8$j!Bsv|+L(|BDC`fmag5cB5K;lK2E1(fM0n;J-s5ao1-WVtwLXt#_tcht%5bgRYn&5yqrRKmGfuoC zfxUt^vS_58a#OVY^`OmvBKJ(Sf57!OvdBD7s7X6zwn4b$<`!?pX{sqOjeL6l$jCrA z)s2!qpEqcxcs)8=Jh?EyQ7UuYGzEdP4+%Wg4VV^dk|l{TtV~dx9c@O_pIj-|)O{i_ zn>zkI-MTb|U%+x(w@=*w=xbtdsS~eDaVLEF{#9aHUQ743N+&uEYF>K!(8nN1-h1=m z)#S_3E+Qpd0t(kMba(%7>P5@0%_0(t?JhtUt)5KY(IPe4X3UkVAm`b2e(#!# z)ai#ZPd_O~Hrj<3uid=y##Fcf(cKiU!lI`$`=l8wtmjaw05Q^mdN-N+#=o|0?8_QV znYY$;C|wwtbsngn-8u+OG2qL!ota)(MEia79AnlH)ZxLJ@_{9e5NG-7B+N0 z=8OOC&z_W^h4fNoB3-mP7k+ZWo$YTS-$vHxqKTkDqlR}GKJ~Ao8@j)mk5l%;A5w9@ z`>tGHTFUXh?N`sIl#gEu%@rEsCA(-v?5&^B;QQJ$cQ|?4% z0i|oy4bv6to9ChPj8`IMUPk8Yzwq*_d&BQuWJf!oT;Hf(-#946)%1IkB$lX#TxUT~ z#~l7^m}lRNJ$*gc94!+y?mqV{;1`F?npY+9zL@R#rSAU8hurA9`%KAMXf?||Lc@u3 z)9_cR^4PV_oJ4n*U?%=)D_e3MY{B|g$(z}0OrMlG+?${2-c;#q|E(xh%qpD8km?kq zeyVsc{?m*^E5yV&Jf~j6DAY-~&Ls#RHCuK)H9^_IdFtcg?{F6!FN4n9C78=Dvqzq> zM0A+r_Wh38qWqgBKa$8_G!^Icg8d|VnuhwW=tK`X8m*K2SCfF7tAo@@pA6hzoZP&r59%x@_&fpB1kZ)M8&t)6p;`=Bw10WTbo@sW3Un z5YPfCppF@7*L6lYZMlxtz3847?5$KH7}eU>jZ!zCcwSk|nGERftIEGy<5i_{oa~}E zFVYNFjZYE4aUPZLZNCyEoiCXZDG*Eas1B@Fya?a0B-Rw(?T;&2^D34nR@lV%|FX_E z_iWnlWWFW|m9?D=YckRG-YaoWi)h0XeL4wcSh?n62g#F|eB*d}XzAuq^qtX#JKK1- zpt%m>#NfNMpEqZs81%`J?RQ=?NxAJ=`d4%y?_g-<_jy}@q&rI6C_T`bLQ3Q^^y z!Sz~cDs0*;LP}UXBFT0mD!D(E9_s6KT1ssC9#^;L93FC0=@~Fxdgj{ z@|J(MPQUzKR+Imq^u$mP%OuV-PP7n$eC=gah=s$a{upeuN|FbM%l(q7y}~%#ool4?1KkX@5W6|jPMnL#RH}4Bu5z;Lp8-vqxg5vQ&mo%}vvTR>Ezzs&gM-GV zFCoaHv=9d+-5^~fi2KpUo!?$bpS}Y&;_OeQo2Ah;{ko0Yy4qp_)1N)k#is^}rPGoR zgrTl>b6>}kg}FB?b6%^i!Ohhn63%;WT2Qqo5F z5v_lu24iqx{EpV zi#f@eE71q4)yla4Tv=T^C3Gq{mPxlTohcGMzmfEHG9M*h+@g7HX2j-wtAsk6LXrTO z@;wDvWN=(`OZN?2NPN2^pDUZh`FJI+6AHu%uIEK1Pc~v#p#`3<1FWj4_Nl4A53}o8 zSgc%A>VwncOvednj$oO!I8!N(GBKWXVO_%SdKMeql;q?YURbzP#&`=)O4{`)d!>mW zF7Bb?C?nK4qXBb16^LH(6Efr48g)`B<4TD;p0&JKKNP2%6K9^+8?K<>mq9A=yl;kL z7;f@OHPH=E_)$eGTp3nxw!iY`TF`{D;GZH4vfog|?q%d@f&K~qy1r?2hn!|VBWH>7 zTZMAX_Rv!382`;lk-Wz#=`JZEbMg~+R4Ix=ra7A#mKi@bQT0$O7vp}NXBVsxtcBy&XQn@GX3!4A74^+ z$h;YsD9!HrmVzB+d>t0`Qf^D(QiH?Bk=^y5b=^fXV!P7G!J6PEpwlMxzHq5GtE}n1 zR)0jP(hntSh2C;;srN&~8I>C}q!fE*o9OCt47?tEtmU%H%fJKP^L+ng<9n69Z>ih> zl7Bb)-XtSw%{w{SCB!t1m@qSJAg%lNFU!FEa9~k=5Ff+Vf32TTCTVl8b12B=T0x(^|ed$|o|XF2sK7*1Im7ow8XZnUzqiPfA$U->OL&<}Z1}fv_kI)zK}+ zCJ6bk>5PipoifzROZr>*_Z9H1$|;R?3CCGNKPGR(Dog@w2Uu-q@0gJ-buxC$Men&t z8^3vCDG0+jyG)pr`n(p9R5O`KdSW7isCLfPGvqZ3<#3g9DO)@<8qjPFc`SV8^oN2< zDD8`s!{o-bP~eYgg$bvNcdQVTNKz2D)R&vT*>l{kc2J~L*DEoYW^C479bFX*)XKnM z!a(u29p?eojKe*gO$MoPMQqtDBTOT$*!=iyZ&~v$2hJ@br9UN*p!Cj;oXT0!2>oI* zaAd3PlJ4wcc6Y;g;PtMOig2jomO<$Bzk(+nM-k&3L?r`_HdH1R33;N-q29f%w z&V;)*8Sax34f>&84N}zzrV-PaPBSUtLh}VyTmA;Ww5wy8llCddda1vMHqx;2bRi9$ zt)M9(2)|aDqoB;xzB34yI5FhvyJZX~`WWIs&GXJ|lmM^|d>czk2k$-VYL% z_>!W$WsV|93m*<%FveI8=JJ=2%4w}vlfOMP5H2ZTDhG9*TY{dcNV|Md+^J@eAsfTW z9N!mVrlI7L$*^0_H_d5>YNMw_%n}=_EcJN4>LlH3tk>O1`LvkLo_BU?{8)IfCZQNB zYSDyS$iV#`rmHykoo1b9nV>^)4v@|3c{A-$^eE%y=kzy!b|!BG!7Kxtc%mm=3+*DZ zi}wouXfp(r^SA_wseHi+zadnjx{s2jKv}*{Kz;OazrK~>bboy&&d45EtJ0^2N{O1q z?~Rz&2N!{Md|hgN#7Rmw=+%W`n6E+f?)x&!*Gf8QQr+K~LML^F=05Wz-o4J&%bkibm04XkbsT)H`!@sq{ATj$ zj;KNdHg&`r_6%AFLqk5&()OLmHOs#*xK29 zv!k32>bIu!V%ta%q_oUPEz1lvJ1UFZ8eL;#`{@47Q&;VaZRvMs-3N^;)PjQ_A5E;j zaVtkYjpf@$ihNBq^Gm<%U(Lrm%JBVaz}8c3&3qGCW_~_Tn)jdjAAP1z2W-z9G(BZ3 zZ|gDR%W0+w_fqGw*_AQ$xIb=|`LFwhDr=HdlMG$WgXoR$M9$ywIcx!Toolx0e2P3vRV7_Q6-hU$!AH@_g{I>xoI(?%n@>zH7@sCr#;h z2ai0t_+IEc==SkCD%8%zpZAsS)2(kyEM_-g(P;3tkZ~GI(HY})oQQK7|CO&gQxnRS zG}IGz<8sfpP%evT7xiZkqPrg6b>p>E@vjE&Iqxc6RDb?36~V`w1`&6h7`dipCkz|c zVHD>Ou@DS1pA*<5eGeW* ze!#!btT4Yfd`Ig=zk&J!`(~8qlxp+g1&v4R+x=0gFOy%iYLj6r^ktU=wuB=S^ka6u zs8j@S4Cmkf`p8mWnS)a;bDEhozj0H~E0bo0*Qw!({`*6TsBmN_y~=u_ki6PQ%&SY~ z{{aFb3002CwbCW;|4HhZ8efb0Nc)6dTTn+f^gnMl_T32rdv@Tft^OO=Z_KJPhpQWH zjy!BKw8%|}vmT!k5fRFDH0`jPiqol>a(w@Mc3?oK!a_mELT~7jt8}@eh%Uo;Pw~$% zezvP?$CYZTWsO))$wddZ4@H?AbB4MD!I%*C-fXg@2bL$1>osFyfhZby=##OuzCL*bQTQ)J?Od?_X&JDJoChrjs)6`Fxxy zQLY>j(S7CoaYd|c?}KjQM>z@Iv6^&nIz>wJ^rD|Mv#T7^of;*V@j1OT)q8KOPixiO zeic7%VoWv~SCqOUMN*4P-O4xY-Z$4ZrI8bQV)~S6htSg6^NlY#n1_{L_z9c4kN(X7 z=kDa|A0GY@307W+dl`9^;J!Vo#p_s}Y+eo$p-N=_z7lM@KP&i4FzdXC#>bu#|F0hf z?6obguyn-U)Vc2TX!l}r+!dn_>Y6=flHikt%C&gyJvzpdSVRgw(1=+4?q8KG7Fsp} z2QqJJg15LcO((!oz^mEa>B>vrWM`OiJHwpC!$ta@YmzZ~Xv5$zGb&Sjq}cnOb+?6b ziKTX_;T4u|6WEuQ##3%X{xSB273@MQ{xTCL7D3V`hr}Tv;puYD7P}KV*8|@q>x8kS zzjsz17+Xj&V}@)^yHh`ok5>8e+ccNgCKu#LDM(2>TRkXxiP)HqGB(wCz;-3TYf+J{ zgnj7cW4ob`d7{WWA;mR+*97M7t81GW)JmS-@ha%8_plg#bo;>rp=!R@CN~;2uiw}( z{=mmapJ0W*=vHtylP300E&H?gCN7Fnn^!vvjkHc)yNLcyAGy)xEDeo05WgJW_Ab#< zH)VF=+v_{b-U#5K)EvLT$4=S*SlG3bETUi3B+2AxrM5ZrntHIPKDQ`LHkRA!7b&3s z%P}c;>-}WM^I1As`k7QIt_+#-kl3wQogdnu$PT5(e{*V z*_^^3IV|X=$+$82Z5uJ6f*)nLcQp;?b)GWih|rJ!YIv-Rw(kPsc0R`Cy5c6c^Ud%7R$PC}H8jbHFc%f^#kO0t4L>v|AWcI)%jMfrvQ*FvDo)FWCWb+iUv`J2=6x{UJ8?U3$c^)D#Gp(O2VlrRB z{M-cA@XB(zb>6I~__z4BRpz5Gv(9rSubgwOR_!0X_(v~iVDVSe^ltn4_b&{%GWc~K z-l}p$gp1b4ShJ8* zbIte0LHth9QefX7n$6zK(DW@ocwzs_nPx!w)6L6SG?h}}-USJQ!;#-d2byM2<<@g_ z2YQGKGNk|dJhFp1_X3O~9W>JFuc%CsT@E06C$z@LjII*cioZ2y%PFD{oi*f#q5a^e z@R&hnXqoZ3dMD``!A9;v2qd+L<1XQ!8X-B1z*jK7?aQ6%ujPSEsOLH(hMG>&*PqrETSZ-1u(bQ=G3BK;pn6Wj zL3`XuXXHXy+6HmsX(LH34O_FCB7yhZ3N}owHh4NWWaQ_53GLNXW6>;C=4lbkRwJIW zQ0cqZ8$?@n^1O3^d3IQA6E>nyq!_A$Ymwcl41 z9el;zcRcmf;GzIvP?C^7;5L)KeZ*1|5P$K_Kl9e%ol! zG2rL=;`ZtLCzb#Iw?*~h-KC8R72-K@d%Xnoj7>-i558t7@=*MCd0pY!SH;txVHPo6 zCm9o5OSqO>oVH?iZqXH01~f(gC@U&*rzaV85xKA`5s-1huJUv zv55ne-dVsMMsfU32n$(J`ck5LLd2jnI>qLor1vPe9+tYMXE%$LE!Cr|tabV8KxQafnx_Uit+I=;i2b=}(fW3l z6MEdcm#*sOxifs^Nmm&)RKG8p7j|=)pL)p&ZnV?#;F|e*;UaGNaW6yVQY7x@Hn60) zyC3gExeX{I`B!^I<9Oz~Y_L5o@?n!okh7l?Dd@-be|CQDzJH$!dtTYDd(^fXg51T# zFWqXvBr#RaSa39tRpw#fK4-@pnBh1$T8g^cf8q*`3%RfaG>|dg`59+_1TQ`UQEtF`q?lz4cC8EO!32-ZEY5*(?g-FO@ z4?d=h&FxE1qwH;fjvmEf^%02pLRf=jm}>XQ-&ov)`iLrmvhiYW-8GlfU_^2L*R*O_ z?!Z}~$k9^LA2@q3)ot_GHuCt^6Ec?p*#`R;)3k(`UmE&N*-Wo$5ck~OZ3&^>BWwEt zMv%tQEC^xcXi0$(QyGC$ATkkO1GU0Lra)id+49qQo>Z=opKg9fdAVS+s6@lj z$;A=IY3Cg8=XxzrB0j^kN%75kPMJx!kLwD^?}ItG%ms+|ruT6|#qfJP66@5^HF9WC zVwiV9ApArgk4k{2cca*YiSX4{U@ne~QfdVnMq?4=XheK8A|y(J4oN=J#*roe7~PYG z*kI#%0u^x(S#nmS;}YkL>+`1Xmrrv6S69GY@(gkwu)VQnu7Q9(9#xGTRdpR#1qaX7 z-3wIDw6Gn6t4a*%M%Q-}W4l`-975;SLOmk@pB{iccy**R4wmHvaLxD>xWbXE7{|u_ zxgDQ3&+~q%k5DQ9=F*PaqmLU#Jy3mE9_@t zt^VRTHkPI!|H^ddwQaFIBP?-=8a74Mokz5E$kR=qZXo*regze=c=Daz7s7`lUcFSFcM#$s2tYdgI z4xzOAHNt_cGFMtqz(d`Pgp1)xz{8WrUw$?g>!voi_;0)qg6puy_2S#+L2!}JS!8VfC zF;BV+FsAMUYyBo27P5PEX%)>k53L$h_AVfvt?d5^!5q?`KHBi5vu%SRF%k|GM8Q&6 z54Gp^4%8Yu1$UZ;8`VT$K211dMhXg|4GEy?TvavV{aX+fiR!T(ZQv7hzDoJ0t!s)R5RP z=jR>>;K_8gs5Dh|2>dFl6)>$z4cbM~>;eyWQS7@u1y#9-yN*^j>W~L`Mt)H4920xP=#YeM<7xPXy8V|s4WkVsb9sL`$u&J4Ty-Pr z`&3VUBG2k$+{Ch>T<~^RPb*?Rov=$&qEI?aI{yIQ-0~Kt9Z_AGVxDiAn+3)pkT;+_j-gGXu@Vwt ziFd>Lu0bcp4B3MZktZrqC$LMWqIZ|n5mb&NZ4RG783582KLWqjFdi5S(Nzk=s5QnO`ryGL@nPRl$__# z3bs4iUq3-eQ*T88V)%`vW$B|+sSZTLd7?1*2(mVmr}_ywt`Md;0XC&zE^g#e|3LaA zLC@k8odvJSrznk4i`?LJ0G8rvFpH6$ExWbrRDf1p2nxfAY`2#j6h#o4N1FP*jL4yn z$kr#?K6yqN@&k(y;w;90+Df|)5_FaP1ylvAY0B~{Q5aFoL1@pf;Qf)N{l9r+VD-CE z?dX@KS@aTU74N@*wR3ErqeGH{c9jw^=Xc%mm|pUL>t0PHG9X?9r#C1lTFT_F=|$B= zvT!cI@dDVsaEzL509*<%z#v$ya(*en@qcZ7c|26@8+XssqDU%bNldADBq>Wo%+n%C znaWd$OcIkNlgE?YoFZFD))p~8TiWeLVUAr2W68dZrEG&SF^icw=e)P~{rCOH$IO|t z-1l`|-|zRju5<3AOwOdC3V&j(N^0SLHpy0S7Q`!$M=mJt3?P821{opPtvgL;OjoXUNwBuMp3y@B@}HWCoK< zEv@=iDcF71A$AHWo$-fSYkO31Q`>M&+i*y<3~;=|4Pwy-5#F)@+)Fkci`pCXHiRK99zD0Wq#btpzUC19zJeu5eJkguJ3IzBujn2h>A4j`>rGB0HZ z1%excIRSe(0f#wmnex=$BlJV(L{$VJ{JI%2%wI2heO)N@MDMS)&B}1tTYi}UQ=24% zK~paTi^Bwl34((5B?w1>KJR1uIea%_)CMAW`~?4KeN%INWO&jbmcI?>p<`-VBe(;s z=Cuf0h!0yCU!PvV0>r4vl861I4S-|EM*+WXjXR#0R#`x_U$3UdnztqI0WgIeZ{x2Q%O}3O8szI7dAt6X<{P?lVcTJ zRU@u2M%a=K}`O}? z|EkyM@#ydo^rBy5q@n^5s}r~bB_zvX-ph#p7>WaE#*AT<+G2c?*yxENtw4IkC6Y#` zx7cCO(W|HH66*PHftb!fveUUQ_#%Ba`qO;!k;fv#N>FnuA9I}f07GonMDZ&jf>E&c zBXlw97FX0}rf6@R%O_SGr6((M+h9@3ro0vprj4TL+Zlf(NUAZ9z6=-7Kyp3Crbq`n zzLGa6n)=NMoNV zsO~83-uYmT<0hY*f}k5IhMy-qN{Z>_kGT)IH)a>BW;N#RN9eu(5*>UGU1Vx#;?BYc zjZ^OlnvBFl!qi!kon2r_38HzNM{c732pVD@YC+<{N08>k6VSlZsYpIY+zuwSUKCR3 z2k9q^-tQ}ijp9U_QYNDOsn07wI2~#NJ7gfDf1%|+QTr{J-E=E1KZ}1(KnPzoyCrAn zqZR|BH*Eoc$!JA>eHtPF@PnCtCk4z%fS2Okbz&#v@G-GtPZunzWMh6Mv6oWr}i^1{d1TR>v6l%`wA6n-KIMhKQ{CBxe#Wv@gVQg<&Bjx2_`YN%o?6gZBPVx{BM zXi$|XZ8#n2?e)jpWkv{9vEH>nKdD?4(=T8S5vW@x&2%KW9E;_0hd$(MEjQ*J25g0Ngd8~1cI^K63j5A@H864HJ?MDsO2}2=LvUQY3uP$9M4%af z-pMq8DK)}3QbOigINZe<{#H4~+SiA-O+*nRZ!e$-2bt+I73AG@d~>5duwFgiw8vnO zvvqRAKuv9G2Cfn&f6?cJ7$eS7!bqTZOv9mLW5`s68%P&j5g}YAp86*pX1z12o#dy?E~qq?Nu^rNGF(x+7lDAE0Q+%Y;No9H(m9YFpsSOU zxd|(T{XqkrNMJ(x=DWC$`D{v_vTRROi3bC?3MPxuj*k%FV z74tOZF;+8te<5>M33h1!zz&9cGE#)#+30V_Wh_NRg?un)kk5&#{WdN6;Tn$u^b~JynZAq67E#Jz0pTV9 zi;+cX66UdU{A+Cw9d#!lP(7qO21p-adPEH_&EQ2HG60U^eH0<)6zY1iaT_?pX$twa z`1xZ_9e^XjQz5$UVt1Yi-0F7H!9V@7H1#h77+lBonH2~yXO z%!P3}Q|NVBkdYwI9N~vl3Mk1)2xKXlnuWL_k2LkR^z@$U$`L+eDG?)DYfGl@>ZqqGd|zA z3M@m4vZ9f&Lgp!w&}(s=93W&W2+8Kcd;mZ1l||OLV!S?%wVJKpZ4$DKW@&4=#qDWS zI#?j(U|WUP=gLIJG`yqiO1D-^ao(Gjh&Gcj?Kw!x8sp2Rl{dolVzQHEJZ@x36ER(I=rNEeqJv3e9FJm{ zL@~Zc0r9p{=Yg3-!!mBLub`v0^|eNC!J!Fr#fE_oQoXlO=+z3dwt3i;RzNSH8}Fo> z|0*Rwjl*nPTZY=?2lHGfO!OREO6fa>s02vsHO`OOf{<|i|HNbj7(-0s} z(QJ!M-3#V5r&qLs5A63XN*Kc_nAIlg*Z#kOiybXtfLC)mgD|5(H0(#E%>y*O1B|+f z$`=Qy%G-D7tpa=K=ONls@nb!yLcqTTZIMG z8p_$g2~ZG+fq+rM!@l(R-+L)It{MX`U)&MHSid;fQHdRWD%uV3K7doPdx`zmEb|4h zOex#1g;-S%1w`G?0i6m*5zlPlKQYDm#L`n>o|XN4`A8?`o}a)un<^IBF_?BWCTF#P zeuQD&4Aex~+cmQn#@`+;+{$7W#x8-evr}Us32)4Qi}y`X)!;Tx{p4Yu0%+IxlEHY_ z84@xW$KhUV>}^n7Eug@=LFop&xokfjr)|*bMeRhF+EF=lWRSFFfOJsY6$Js{H78}j zu}(-&!hKM64Gx;;8~KK`3GW~7$p=wxkhp2_WFu`Pmb|(f*>`~KKEQ?r&8PAaxooKN zBG$=1rv-`$%;os-QQT@>RTMN&Q{dkfbzp6In4JI+?D{(}b_CyAExw)EOS$U?Qx~yV z0YG_VvN5054$)p?fcI!ptZV}Cq3^=4wUi+!S=qWvJH8G@`v8&(tGNA03mf5J-e5m6 z(k(&n_lL)oM)C{V?V*onMOdSV)pg{__34lB*#cAiI*b|(SX>ObON>YGs0ln8NXmkQ zT)%CE2FQFI59->;zed(XyWk#CNINPUZS5gDF=>i7G3eivdvJpg!?L>GTxhcX~s z%Mt(^kwFe>*@@&$$%iRGS6yDfk&K5lSHxKiU*}~rik*IgvFIGeFV^Y zP|+n}U9q?j;*@CGvTwQ{(%|?R3u%9^`j2!SIkFRC{113VKfDQH>yATS;0hS1tRg<5 z4we*y%VyT{E!%F24U+*M=OZew5tUk~3akv_h{!iWOLh1ytCvYU2UnyChSwbjlgSuG zmtB|1VHAMK#vKqn2V+DlgGpjH@(;L<@y(cfn@%yX^j**WKI1FnM5n>->{=0le3bH^ zi@2cuJ;rK*BD0CU*8y>D8T=|HT4X!}rF8(Hp2a_% zYV_-Xj+s3HhlM?V_V3~N>49#F4Hk%*CKzA=TzCh3Z$PlSZy%;$!L&kpHn(S?O!`ujJz%)!}i5#33DO5H?4js~ea*B-Q8v9n&q06ds zx}YDzXP9P&$mOBrD-fVufdYD96j}?+C+G`B1LPU}W#y|<_7zYSTfQv@GF3;XR8dgh z6df85IzBF1zpCLDaBRNr;5fBVK-w!_4JEDSFE^dW*(T$RQ}Ni}Vxz~L&$<)E;Ul8U zSR-1LJu>AH$R65dQ0G5e3RMYlXEEy%hC3+AYJDW~P4fQ-xh>oGbxuspe87Jnpp8r! zYiThDA$$a~WFCMP91|_Bwz4w*7F~xeWndff43C?^{@8~2^mDdMG=*2fg)%HpKxQPB zh4^dL7-I~Ki!=2XNqjsFyzYj>(TMk#+A$A@VZ_%ezy+ueMdrYaZGgpRBce= zkp*!IPh{A0YbrjELh&_SWr`n#_Z7yC`rvS%iSBtJ% zE{4zzjQYXKGFU94+W}El8{EUtlLKheCF}{Pb%BSyKf?r?Hbv9;%Wsm)snQS;6cz-Li(a)Y%M2W7SOtpHJ0LBg-x)Yu1+xZUATX%<#lzCV$Sg=2 zASs8j8QUu8eYpCiBa*l1=`|m(q=a*!f<7DO*@tcA@xdz=Oi+*#sm}AIkYZ`1>l6X1 zLi1^8{7uMyoE-#wnSlll!j9-wMI0kAj-QlT(oqbpAD;>yC*jANYJ1vI!|OOA?QuT?pfuwlJArm6 zL|z>li%YDUhj;UcQHba!419>Hi^jQ%7wUlzH4*dCKo-Wb5r^zvV5d%@pwUmsN2<6S z{{}^4#wf4uq#%-eBgEep{7w>9z0g)ZCOU_sI{1-Fv=lNQ~xou8YZ76Op*>L-P=3 zM|^S9%vwQj=2EmIbdQB;Be8XRwF&gSR zI~y|wb~YrtywyqiCKxZ$(U5gWjoOm(DScS)t*?_>P?pKMp!r(W_m=NFx9d2+_aJn8 zTDryE3V{q?>{Uo~PYoQ8x8diU<)z;mfBJYv*Y%vm_(l!O?zx)stL_#TcXjCh05`03 zw_VDr(mV3q!7HU(^-$RXs{=Zx`|97m)KDLavb~)A&dj#{lH8S#7PjeSIdf{spZ6So z-xXzR`${s)V`JK*{YQQby)2|#B)vOw>z0=0+yBBNa>``y21jS8wUYDr7 zY8sXe!QKZarCekO4G;VwcSe1`>%p?z16N)T82WU+iF4_B@lsE%^igurR?fhFKkL16KJa#BuF3!6Cs3FV#$km=(`folanRIN; zB86J(R}A&Ek2liZrCL=EN?t5dYLbbtuum~^);LCM*ynL8q-T4uuW_=V%k|ueJC)po zD!~<3aZZ9=ShCK(gO0V)?*Dv~zua@{Othb~Sy_P&uc!z-uXy=YQFr5MiEru%ivyPOxY4sr&6ztRbB*uV4bOQohAcU`aDxl{U4 zr@=~eu|tF6mO{~By>)T9jHTr$msvTahgvcj*TJ)x-G^51dwW~bZTjhL zU&838M><-pSGS*7DWqPwVtHWEH?d#KBJTW^8%aBertRL&s-FG(eK_mRswLyqV22l4 zE6#y$r}65s&(!w^sc-H@J8v)OSj@gV<61lXv{og$Dt~`4L%qNzF(9C}fS4F~{Nc`| zmhamIKB_O-g){ydP6Wj#7ryxtZbm=a+j_z{b9cty@2YHmue9b1{#;kvb3u@C{#>WF z532EY@@dYks-z+N?TK-+(%Ncor4x%h5`Ubvuyf%57}8E0l9o;MjgE+y-KS!4a9C3# zNXKG6)i(99qqc^!2hA#Rmil-6qx~Q6y4UZrP^3DvAJyAtvd4*_IjmLaLVtwB zKFTB|Ue~mczVJW4#1#ism-+oEf#ZGqmjsXg?b+{n?ZOrF|6R9H?Ku8Gd-u13%)Y`u z1-t+1B-LE~`|8QN6rKNA-eqG#Il-|5uvD+)U2aOqR^LM}RmQ)_2dF>jN}!!cJ+y|j0bWb`gDqjO z=3&H((W*^DhTVmi4zf1h9=1f!wwE4YpS_W%CzYocnI~L$?I}C$dH~z-$>74w53WnE zlg4m*^4$%?b3cg;?got;P00)G$qT;U${u)G{m!2VM3TJ{k{6yPFI@Xp7P@fil1r8K z7b}h78jWG69En_)8--I^;vfaXjo|fq_q%lJZ#Ow4LskLui+Ar`zPJCcKiAKF$PuYR zYd^6gVO$^MY0{<-<^GC7m;W)Pk7K76Y&)ecu7NHOjeqsmE&cc$Qr9ui{CXmxWX^HT zz}>~PI}%B~vb##z#LmjB*n1y&1N2K2tr=yh87K+$*c-BQ=7!`9lrZw~DgD(lQ*tJ| z(D|l2OV0soLk`%f0HxW*qcbb#V41@kDN=1O zJ5}nq%?{X_wpWvJkz^wQWkVhzWD)m?^q0VS_wv$dOv6ydf8*Nm7j7q5Qt`TScc6VH z(lf*ww;Ei{i|V6`z8CJhN0wjiy}8!KIt)92>?q$QFzGv%8=f`eAA#9~9=mv~CP@q% z1z-~B=S#dDGu@rbOAgw^ztW`oo3{B$+=A&_MmCsepDOlz=(>(=vwic6i303m2aQVzJzx8riZfg%o`bRH*4uzX_bhMOxs+X!PX7SM;vZWPb$*Z7?ecq z$9libef+0QoE(?!dG&Y1&f77B$ZK)e&$O-`&U-87IY8Ci%2D;v&{5IosnNb0=9wleao9;95+j}Did2mMbxJahi!zO{hF2Lh; z0M5JWZ?ofe9Y>%15FgN^UNI$DtbF^iG*goC-W&NRvn{^5;&7!>5=3|jEB5;RYXSoC z%4eknKk{|DX|K$^;kChsTEj|a${%5(>S7I-nK+U)nk|NXqvI}~X@udWY6pSVQh^YEZe^Z;GotY+UG<=>_=1*AcOrG@k=1LfJJ}?)cR!TCy81Jm_79f*`*ymRG%LND{Mf{;+xe^NYtS3je1m`)-%FS8&u}9a zhivvX-6~IRF|lS!PktfQ#LHEs&<=d@dVlhnKP{Bkv&&8EMnk3Y)BD5w6R#%QS5oAZ ziP_omlz<1J+!|4}bWKooTunvw#Tw)4)|$a;t&{TvPw6fDRpMV`ZiJimonNTTEk8m8 zTSoOqtwy^?+eTAHKaK7jT^My4RTSxspqne|gqaHU67NzfPy0@-e!En37j@|2hH!%N@|AV>S_x(3nNRCX*P{48)~s2BVg7%_CSSZGdvZq;Q8+x%v)tuwZLJv^ zSp2N#dj0&ue!GhP=rjwD%GhbMMYSF4Wh7MI?E=Vk{D@QXklZnlT`*vc`CcqvwCW;X#4FTS+~974ISV1rm`%TdiCKi z;rTo*=K05KcIX%0R~So(v5q{V^KFAu<5J1IMUZ0!rPWL@c!u`t;)c1t6 z)X&*#Js*60mDsIL_D@tR7IB`G_Mgcqx4LF`-PM68>fAPYHg z6aFiX@*gN&`&N0(E+9Rjvuoz|^U-TY4M(rT_t*+0zs`BB<)?-B*!*VyAyed(c~IeG zeX`r|tVf{(*<0Y|Yo`<*+n;bOW%q^^m(N1pSw3jWOi0#rN>A6=n40v5UwqyfsGCTVq zd}h7znc)-6bYoO%_TM;F-3%7PHrE0I~JP((+LkK;3*E3IN-J#_9{DjQSV}WVi?!UJSqu4*p iZ+tq1+h)YTp5F1DIcgPFTW5M+KX&huA1blx%KrypT>;eq diff --git a/cpld/db/RAM2E.tmw_info b/cpld/db/RAM2E.tmw_info index 590dceb..e404785 100644 --- a/cpld/db/RAM2E.tmw_info +++ b/cpld/db/RAM2E.tmw_info @@ -1,6 +1,6 @@ -start_full_compilation:s:00:00:07 -start_analysis_synthesis:s:00:00:02-start_full_compilation +start_full_compilation:s:00:00:11 +start_analysis_synthesis:s:00:00:03-start_full_compilation start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:02-start_full_compilation -start_assembler:s:00:00:01-start_full_compilation +start_fitter:s:00:00:04-start_full_compilation +start_assembler:s:00:00:02-start_full_compilation start_timing_analyzer:s:00:00:02-start_full_compilation diff --git a/cpld/db/RAM2E.vpr.ammdb b/cpld/db/RAM2E.vpr.ammdb index 20ebc98044a9b9df3c2802be750e4828029ceeb1..148b61d36427e23a15025ba1655d5473fb404f17 100755 GIT binary patch delta 485 zcmV1`vi6pBWTv$Z#OgWdB=L(=HS9j zzHk0^W@p!0YcGv4TkbWc<=#bny}19a{kEnVjs0DaEVWWBxn*k0kyJ$a4~3ik~~*-2Oh0Peby#gX|ZzxSs64ZhcbqtBP- z8S?oNdD`}>`p?Zz)hD+xX@WlDjgXHE*luywwoyJ5SLB1MKWY(`M zFTnp;`jhu#3V+{#zKgtl=rve}%rzbFEL{KLgkJ*I`WK zc>umE{?CEm%6j&IJAPb$*6)I^``1r;Jb%uI5KkMR@^wB1yhHeL!hg!%4(#c?;d%|p z{yk)`R2=UOa9@>x^L~>0#{8vz|CIZ%uj_M!__hBJfLgbuUTeUwrC$EzHs-VRJmmA9 z&&yTl?}>fxN9J?BDf`Q?ulIp)KN*(B%Y5#4#;e+6e(FA;`>Xi;t&8(|vb==#+n4&h bp&tfP|J3^P`NQ|8Y3I-J`E-5(lRg46o8Ju^ delta 522 zcmV+l0`>jm1n>lqTYo|V00000001li00000003|T00000004La#8$CN13?hoL{StJ zzrk89>;%P1Ed-I6%3rXR*x6X7&_+K(k!US8V&Mm9?HAZdjG$R}-)?5$kz-gQ41{oaBd=0UdG*e?gQf!%|B(;wIzKdL>4 z_4~3vKe1k5&3}3!#oMVgnQC)>~9Yf3&F@DKT9JWj%N4Ud0A{IGeR{9k~p{xy)P zo=4!jvi>FTd#Ps&xaQ08P5A5k8&48kxjs67lAZ=Y^=F*x!~Q3vrwu;M?+$pZT3V0a zC+=65_~wa^^=$*!`6YUDzB-@N`a9$={mlMsUqFx6=YJUS!7KY80&hsaR)OD2zv4;k z+?SlsSMZ;b_#@!omG}zqJ>lp5$b6i?#lHl8{oY95C*4Xt?9cn1@lrnaAN702nr{}) z^<;Yy_1l;JyraJz>AzKfK7aUo!^)reEq^QDn9d&n00960cmZN$U}OkjU|>-2?9l>J M3<5yx=#xtV8NNCdKmY&$ diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat index 67c7583341645fb716e3b78da2bc3229c5981015..469ee448ba9cc0ed1752bc15846b4912b2b628c6 100755 GIT binary patch literal 11396 zcmb8l({305006+YTRU{NZQHhO+qP}nwvA-UY}+=IJuLI~3%z^3fPkHzwRzaAU)b12-<*cyQyxO#n9`+(d8_ z!%YG=Dcodmlfz8`HznLua8tug12-+)ba2zd%>Xwe+)QvY!_5LWE8J{wv%}2+Hz(X& zaC5`W12-?+d~ox_EdaM5+(K{*!z}{0DBNOji^DAewt0hD%@&ttHZ4UwQc5vIn?EtqU+)i*i!|ejME8K2yyTk1Pw$!yN*5DBNLihr=BKcO=|Va7V)(19vRkad5}Ood9y6>+(mE~!(9S*Dcognm&08FcO~3aa96`!19vUl zb#T|i-2itZ+)Z#d!`%XRE8J~xx5M25cPHFkaCgJq19vaneQ@`~JplJ0+(U2=!#x7` zDBNRkkHb9y_axj?a8JWM1NSW4b8yeYy#V(j+)HpT!@UCcD%@*uufx3o_a@w1aBsuC z1NSc6dvNc=eE|0%+(&R9!+iqxDcomppTm6t_a)p{a9_iH1NSZ5cW~ds{Q&nP+)r>n z!~FvHE8K5zzr+0j_b1$6aDT)71NSf7e{cf=!=3}-2Eh#nH$2>6xFK*Oz>Nqu65Pme HL*f1h$p?4q literal 11396 zcmb8#Q;rw_00hu&yX|&s+qP}nwr$(CZQD$$)V6Ii=|@xR2Ky#6fA0tr5D*X$>fb_= z|1P99G}tg;1Hpy`8xCv`*zjP3!A1ZZ5o{!|k-o*EU>Y`#sM1_ zY&@{>!6pEk5Nsl_iNPiTn-pv^u*t!u0GkqQDzK@+rU9E4Y&x*%!DaxP5o{)~nZafO zn-y#}u-U=p0GktRF0i@5<^h`*Y(B90!4?2p5Nsi^g~1j9TNG?Du*Jca09z7lDX^u% zmH}H9Y&o#y!BzlU5o{%}mBCg4TNP|Iu+_oV09zAmEwHu0)&W}=Y(22`!8QQf5Nso` zjlnhn+Z1dwu+71?0NWC5E3mD>wgKA~Y&)>+!FB-K5o{;0oxyei+ZAj#u-(D-0NWF6 zFR;DA_5s@$Y(KF5!43dB5bPkZgTW2~I~43Nu*1QQ06P-wD6pf!jsZIs>^QLF!A<}> z5$q(elfg~_I~D9Su+zcL06P=xEU>e|&H+0Y>^!jZ!7c#15bPqbi@`1dyA^iXP!EOM%5$q^`vj z!5#p65bPnahru2Jdlc+3u*bok0DBVbDX^!(o&kFn>^ZRK!CnA+5$q+fm%&~Edll?8 zu-C!f0DBYcEwH!2-T`|T>^-pe!9D={5bPtckHJ0x`xNXmu+PE10Q(Z`E3mJ@z5)9d z>^rdU!F~Yy5$q?hpTT|s`xWdru;0P{0Q(c{FR;JC{sH^{MEb8GN+__Q!G-}F2sSL( SaA1SLh6fuAHUij)VE+PPTzKvP diff --git a/cpld/db/prev_cmp_RAM2E.qmsg b/cpld/db/prev_cmp_RAM2E.qmsg index a0de8fa..4f424cb 100755 --- a/cpld/db/prev_cmp_RAM2E.qmsg +++ b/cpld/db/prev_cmp_RAM2E.qmsg @@ -1,93 +1,94 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600301660928 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600301660928 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 20:14:20 2020 " "Processing started: Wed Sep 16 20:14:20 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600301660928 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600301660928 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600301660928 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600301661124 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(38) " "Verilog HDL warning at RAM2E.v(38): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 38 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1600301661165 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600301661165 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600301661165 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600301661209 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1600301661209 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_e4r " "Found entity 1: UFM_altufm_none_e4r" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600301661209 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1600301661209 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1600301661209 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1600301661237 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(100) " "Verilog HDL assignment warning at RAM2E.v(100): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 100 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301661239 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(103) " "Verilog HDL assignment warning at RAM2E.v(103): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 103 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301661239 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(544) " "Verilog HDL assignment warning at RAM2E.v(544): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 544 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301661239 "|RAM2E"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(561) " "Verilog HDL assignment warning at RAM2E.v(561): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 561 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1600301661239 "|RAM2E"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 79 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600301661280 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_e4r UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component " "Elaborating entity \"UFM_altufm_none_e4r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component\"" { } { { "UFM.v" "UFM_altufm_none_e4r_component" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1600301661280 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "268 " "Implemented 268 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1600301661771 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1600301661771 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1600301661771 ""} { "Info" "ICUT_CUT_TM_LCELLS" "198 " "Implemented 198 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1600301661771 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1600301661771 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1600301661771 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1600301661801 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4568 " "Peak virtual memory: 4568 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301661851 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:21 2020 " "Processing ended: Wed Sep 16 20:14:21 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301661851 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301661851 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301661851 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600301661851 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1600301662763 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600301662763 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 20:14:22 2020 " "Processing started: Wed Sep 16 20:14:22 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600301662763 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1600301662763 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_fit --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1600301662773 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1600301662812 ""} -{ "Info" "0" "" "Project = RAM2E" { } { } 0 0 "Project = RAM2E" 0 0 "Fitter" 0 0 1600301662822 ""} -{ "Info" "0" "" "Revision = RAM2E" { } { } 0 0 "Revision = RAM2E" 0 0 "Fitter" 0 0 1600301662822 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1600301662852 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E 5M240ZT100C5 " "Selected device 5M240ZT100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1600301662862 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600301662882 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1600301662882 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1600301662912 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1600301662922 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100C5 " "Device 5M80ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301662982 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M80ZT100I5 " "Device 5M80ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301662982 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100C5 " "Device 5M160ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301662982 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M160ZT100I5 " "Device 5M160ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301662982 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M240ZT100I5 " "Device 5M240ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301662982 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100C5 " "Device 5M570ZT100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301662982 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "5M570ZT100I5 " "Device 5M570ZT100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1600301662982 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1600301662982 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1600301663042 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600301663042 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1600301663042 "|RAM2E|ARCLK"} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1600301663042 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301663042 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301663042 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1600301663042 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1600301663042 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600301663052 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1600301663052 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600301663052 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1600301663052 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1600301663052 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1600301663052 ""} -{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1600301663072 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1600301663072 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1600301663082 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1600301663090 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1600301663090 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1600301663090 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301663110 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1600301663178 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301663309 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1600301663319 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1600301663521 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301663521 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1600301663541 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1600301663683 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1600301663683 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301663792 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.14 " "Total time spent on timing analysis during the Fitter is 0.14 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1600301663802 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1600301663802 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1600301663822 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1600301663871 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4767 " "Peak virtual memory: 4767 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301663952 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:23 2020 " "Processing ended: Wed Sep 16 20:14:23 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301663952 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301663952 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301663952 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1600301663952 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1600301664792 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600301664792 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 20:14:24 2020 " "Processing started: Wed Sep 16 20:14:24 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600301664792 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1600301664792 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1600301664792 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1600301664952 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1600301664952 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4524 " "Peak virtual memory: 4524 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301665092 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:25 2020 " "Processing ended: Wed Sep 16 20:14:25 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301665092 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301665092 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301665092 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1600301665092 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1600301665682 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1600301665982 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1600301665982 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Sep 16 20:14:25 2020 " "Processing started: Wed Sep 16 20:14:25 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1600301665982 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1600301665982 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1600301665982 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1600301666032 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1600301666122 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600301666152 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1600301666152 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1600301666172 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1600301666410 ""} -{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1600301666462 ""} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600301666470 "|RAM2E|DRCLK"} -{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1600301666470 "|RAM2E|ARCLK"} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1600301666470 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 21.694 " "Worst-case setup slack is 21.694" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301666500 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301666500 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 21.694 0.000 C14M " " 21.694 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301666500 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600301666500 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 3.144 " "Worst-case hold slack is 3.144" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301666500 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301666500 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.144 0.000 C14M " " 3.144 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301666500 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600301666500 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600301666510 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1600301666520 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 34.581 " "Worst-case minimum pulse width slack is 34.581" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301666530 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301666530 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.581 0.000 C14M " " 34.581 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1600301666530 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1600301666530 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1600301666570 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600301666590 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1600301666590 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1600301666660 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Sep 16 20:14:26 2020 " "Processing ended: Wed Sep 16 20:14:26 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1600301666660 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1600301666660 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1600301666660 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600301666660 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 13 s " "Quartus II Full Compilation was successful. 0 errors, 13 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1600301667280 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1611862593702 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1611862593703 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 28 14:36:33 2021 " "Processing started: Thu Jan 28 14:36:33 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1611862593703 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1611862593703 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1611862593703 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1611862594200 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(38) " "Verilog HDL warning at RAM2E.v(38): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/RAM2E.v" 38 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1611862594267 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1611862594271 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1611862594271 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1611862594340 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1611862594340 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_a7r " "Found entity 1: UFM_altufm_none_a7r" { } { { "UFM.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1611862594341 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1611862594341 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1611862594341 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1611862594391 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 RAM2E.v(100) " "Verilog HDL assignment warning at RAM2E.v(100): truncated value with size 32 to match size of target (16)" { } { { "RAM2E.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/RAM2E.v" 100 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1611862594395 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(103) " "Verilog HDL assignment warning at RAM2E.v(103): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/RAM2E.v" 103 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1611862594395 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(544) " "Verilog HDL assignment warning at RAM2E.v(544): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/RAM2E.v" 544 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1611862594395 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 RAM2E.v(561) " "Verilog HDL assignment warning at RAM2E.v(561): truncated value with size 32 to match size of target (3)" { } { { "RAM2E.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/RAM2E.v" 561 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1611862594395 "|RAM2E"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "RAM2E.v" "UFM_inst" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/RAM2E.v" 79 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1611862594399 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_a7r UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component " "Elaborating entity \"UFM_altufm_none_a7r\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component\"" { } { { "UFM.v" "UFM_altufm_none_a7r_component" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1611862594425 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "268 " "Implemented 268 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "22 " "Implemented 22 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1611862595360 ""} { "Info" "ICUT_CUT_TM_OPINS" "39 " "Implemented 39 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1611862595360 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1611862595360 ""} { "Info" "ICUT_CUT_TM_LCELLS" "198 " "Implemented 198 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1611862595360 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1611862595360 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1611862595360 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1611862595434 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4566 " "Peak virtual memory: 4566 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1611862595516 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 28 14:36:35 2021 " "Processing ended: Thu Jan 28 14:36:35 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1611862595516 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1611862595516 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1611862595516 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1611862595516 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1611862596827 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1611862596828 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 28 14:36:36 2021 " "Processing started: Thu Jan 28 14:36:36 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1611862596828 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1611862596828 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_fit --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1611862596829 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1611862596970 ""} +{ "Info" "0" "" "Project = RAM2E" { } { } 0 0 "Project = RAM2E" 0 0 "Fitter" 0 0 1611862596971 ""} +{ "Info" "0" "" "Revision = RAM2E" { } { } 0 0 "Revision = RAM2E" 0 0 "Fitter" 0 0 1611862596971 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1611862597039 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1611862597043 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611862597090 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1611862597090 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1611862597149 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1611862597162 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1611862597357 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1611862597357 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1611862597357 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1611862597357 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1611862597357 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1611862597357 ""} +{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1611862597463 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1611862597467 "|RAM2E|DRCLK"} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Fitter" 0 -1 1611862597467 "|RAM2E|ARCLK"} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1611862597470 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1611862597470 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1611862597470 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 69.841 C14M " " 69.841 C14M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1611862597470 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1611862597470 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611862597474 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1611862597474 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1611862597479 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C14M Global clock in PIN 12 " "Automatically promoted signal \"C14M\" to use Global clock in PIN 12" { } { { "RAM2E.v" "" { Text "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/RAM2E.v" 8 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1611862597487 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1611862597488 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1611862597490 ""} +{ "Info" "IFYGR_FYGR_INFO_AUTO_MODE_REGISTER_PACKING" "Auto Normal " "Fitter is using Normal packing mode for logic elements with Auto setting for Auto Packed Registers logic option" { } { } 0 186391 "Fitter is using %2!s! packing mode for logic elements with %1!s! setting for Auto Packed Registers logic option" 0 0 "Fitter" 0 -1 1611862597511 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1611862597511 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1611862597552 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1611862597554 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1611862597555 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1611862597555 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611862597600 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1611862597720 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611862597937 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1611862597949 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1611862598355 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611862598355 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1611862598402 ""} +{ "Info" "IFITAPI_FITAPI_VPR_STATUS_DELAY_ADDED_FOR_HOLD" "4e+01 ns 2.6% " "4e+01 ns of routing delay (approximately 2.6% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." { } { } 0 170089 "%1!s! of routing delay (approximately %2!s! of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report." 0 0 "Fitter" 0 -1 1611862598625 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "18 " "Router estimated average interconnect usage is 18% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "18 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1611862598662 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1611862598662 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611862598820 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1611862598830 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1611862598835 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1611862598876 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg " "Generated suppressed messages file C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1611862598978 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4758 " "Peak virtual memory: 4758 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1611862599258 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 28 14:36:39 2021 " "Processing ended: Thu Jan 28 14:36:39 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1611862599258 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1611862599258 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1611862599258 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1611862599258 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1611862600288 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1611862600289 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 28 14:36:40 2021 " "Processing started: Thu Jan 28 14:36:40 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1611862600289 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1611862600289 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1611862600289 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1611862600645 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1611862600652 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4515 " "Peak virtual memory: 4515 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1611862600967 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 28 14:36:40 2021 " "Processing ended: Thu Jan 28 14:36:40 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1611862600967 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1611862600967 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1611862600967 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1611862600967 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1611862601573 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1611862602252 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1611862602253 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Jan 28 14:36:41 2021 " "Processing started: Thu Jan 28 14:36:41 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1611862602253 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1611862602253 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1611862602254 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1611862602417 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1611862602652 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1611862602701 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1611862602701 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1611862602760 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1611862603084 ""} +{ "Info" "ISTA_SDC_FOUND" "constraints.sdc " "Reading SDC File: 'constraints.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1611862603191 ""} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "DRCLK " "Node: DRCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1611862603205 "|RAM2E|DRCLK"} +{ "Warning" "WSTA_NODE_FOUND_WITHOUT_CLOCK_ASSIGNMENT" "ARCLK " "Node: ARCLK was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "Node: %1!s! was determined to be a clock but was found without an associated clock assignment." 0 0 "Quartus II" 0 -1 1611862603205 "|RAM2E|ARCLK"} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1611862603208 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 29.169 " "Worst-case setup slack is 29.169" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1611862603267 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1611862603267 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 29.169 0.000 C14M " " 29.169 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1611862603267 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1611862603267 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.646 " "Worst-case hold slack is 1.646" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1611862603277 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1611862603277 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.646 0.000 C14M " " 1.646 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1611862603277 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1611862603277 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1611862603289 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1611862603298 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 34.654 " "Worst-case minimum pulse width slack is 34.654" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1611862603307 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1611862603307 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 34.654 0.000 C14M " " 34.654 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1611862603307 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1611862603307 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1611862603380 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1611862603420 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1611862603420 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4513 " "Peak virtual memory: 4513 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1611862603567 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Jan 28 14:36:43 2021 " "Processing ended: Thu Jan 28 14:36:43 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1611862603567 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1611862603567 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1611862603567 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1611862603567 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 13 s " "Quartus II Full Compilation was successful. 0 errors, 13 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1611862604230 ""} diff --git a/cpld/greybox_tmp/cbx_args.txt b/cpld/greybox_tmp/cbx_args.txt index c8d6a96..51d7b3e 100644 --- a/cpld/greybox_tmp/cbx_args.txt +++ b/cpld/greybox_tmp/cbx_args.txt @@ -1,5 +1,5 @@ ERASE_TIME=500000000 -INTENDED_DEVICE_FAMILY="MAX V" +INTENDED_DEVICE_FAMILY="MAX II" LPM_FILE=RAM2E.mif LPM_HINT=UNUSED LPM_TYPE=altufm_none @@ -8,7 +8,7 @@ PORT_ARCLKENA=PORT_UNUSED PORT_DRCLKENA=PORT_UNUSED PROGRAM_TIME=1600000 WIDTH_UFM_ADDRESS=9 -DEVICE_FAMILY="MAX V" +DEVICE_FAMILY="MAX II" CBX_AUTO_BLACKBOX=ALL arclk ardin diff --git a/cpld/incremental_db/compiled_partitions/RAM2E.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/RAM2E.root_partition.map.kpt index 674b4f3ef4a881ddcd5eca8b48771d50c63fb3f0..fb0c74cf8339466a99ea0573ea5f7d0277006408 100755 GIT binary patch literal 2858 zcmV+_3)S?j4*>uG0001Zob8=&Pa8=R#^3L!82SAM*LXa(B@ziRXt{(tV(&RG&?&WQMKDmfyc{m9(eZJVCco}a^JuhwE0Wfm z<{OFjm(#0B6u082neIru6E~$54YT>T>E|pu9e=)zBpUuB`jlNnhm&bue6#WHmnho3 z%F<+alXj%D8IWchBwc?Wb zeq-#l@l`je0j9vjd)S-YqLe0@?|&U0 z{*$tZ#B($}>v3a5%IOadKD?(aBIRrZ-r>fHw6oSDIYCH3#d_xiBuGJfv^$Z6iYXUP zl;S8K^3omj!-dNj>8G&BNjygS*&7W1n10DAn@B-NY|xC6f`(@;&L9%c!S&?#n`O?< z50X!*$u4KpVGANh>97YCk%ROolF)4M>5wuA)w9+lnZzIk-A_EXKwC&gg-efT)4YE( zqqg-HOykyD2RT|Krz2KREu^O+Fmc;k3rVTJnk6nEw~&-pI|q5;NK5-{#@T{r0mO$pLapN}F5Qc>wrZi7#tj`$5e zK{}cp>qY58_B4cC%O^PMp9z4#WvDWvFgbwLOSa6YEO}p zicxZQEVx9kdiFXvFVBDb&!XVRl7XMDp2ZOW_oWr$}ekA?fIdvg@=J zkxfLr6&-wdf4aQlq@E%j9lWP1u8xC-xJl|bwzfHUb{w}NE*f_nwj#3Ci)B=fleFs4 zV>q3Ez2^F;9hcIG%RD=HCnDz^Rz`bdZO}mqDt&qLbw0kPn(8}nut7f zf@eg$czQ@YBR-ewA@QuMEN*&wu&eO#P7|*DPBu(62C`ZfrK$;Hy1x1N1O$TOyJ3Eo41GG?<*yO2m z(_u?uhl+%?3ij-AJCaqO?^;L`sj3gVl$AeAXr_Ga``0x1g~S%H`Z&#XYsLIJHn&O%46K-gl__~Zozsf$f& zuds}CrNCGOlNav~6+?9>>ez*Vgf&w86^V-l1;iP^Ah$sm0)s_w1mhR0<0;;)5Wt|B z9)Sdg2I>(v3PUt5z!jeY?-9sjY%*TOfRV^_kPuOkRst~*6>24r6yaGb zfv5L01Y#o!o=G4&!qZ0r>5&!RqL_OJ zMX|HwbYquds7}3lO(x_*klKy0bhW}5Fsg14~1RFZHvp_Vu+Eb@T3@0BqegJ z@B(JE6qHg7X%Zc#6hojyg(=05;?QAAF~m65peH|VkmS(8O0ml`GRS+*u&3X-oE(Ap!@eXpUB5~7ENc9?60?t zX64QD?eZEQuJCq#^VePDT`|<#ijkdrD-S=sv;O6m2U4Y_=%G}am8!w)mhYYCEYWCZmax8@uB7QtTIq}{Q32Jk3atG`@5Cvf6eBv zuNZx1Vt1!$&(3zYr|;-mGp!hf@e>?wtT&K zsuBpd+Ebm^qK=<6)zuEcucyRbeUFsjc6;ivFffzfW8S#suCn%21(4lpPj$^hdZm}L z)t+kGuc=f!1kaw*28sGS)d?d_Xle7l`aGpx*1jXUd7cof_g~MhYFm4%a^JyLdnz?g zs46!UY_+Fi`;eY$0|3`GdEPVm+m6Zit&L~hl9zfVf8Qy2YV66EpY%xl&VHgTkZO!5 zYMuO?{U1%|>}S6dNRndis6guTu6-?~-qAca679v;4Wm64+o!#)Kneu=sxwh1bAHul zUUXKdz^(RF+kQ>8v;n~Lnlg8kgb}8?_UV*5Px0LxGe2&O16fo(^L(lT%x$%&)Q1iA z$xnTX?m6?c59zHiJk{1N{Te+LM&_v|f@+LYVbpzz{hDfrk$J}U36;7?_k1pEf83FT z(Vt4~)t7{kdA7_m&&tzCw%Sv%c{Njc64zFHDz)!IRwTh;HtvW*7lIwr>rmVU)*} ze=}j%#|uM!>Mgp)I2A@0J+a^W)J&jn`I*P`SbZ;==T?IKA(pz6@7YuK2~{VIHhRxI zP{r!ohCG+Z_AO8KV-P%-$o8GQG>pwJwm)!ag%Qz3og&v5(!)5#>ezcqeapJ%G{Ihe z>dEq4&uqcw7e+fFF{gq=4SIX_lzk_?9Y{pCFOk*wvgd@#UVUna#IySBlXUe%NIaio z+3$U67!h66JZg>d3nQUY--}V7r_>K2@qFZG-^)wF=ntzouNsr|Fg8E+lizAoUl^O8 z`mU84H@`3bdZ-g IKT}ncW9tu-CIA2c literal 2925 zcmV-z3zGD#4*>uG0001Zob8=kZyUE3h2Q%t26}Hadvph!0JdeLK8agL>QNqy14E7` zsbE`nbqQ*q=x;xxks?oQm5Xaj8InLAZP^sH$i=<6`Ehh`^Dw^}T}PAQUHZDxJ3LQL zUfm5JuCA{u(ekA)>2x-}pS-TfE2%_(E{4u1E5=$-Wr_(Rvk7;x<{CE>d)c;TPA-#@HM&o(*pOrU1MbW`c z`grwlKc38ISF>~;%^x4K?oHEA=`@{uOe@hJ<8+$6^6T3t9$xTX$(RlxM za`G$HA~Mfu|FXmN5jm&ZJAU_;Y7seSZSWS?Pvo7IAISki2Fm6;XCOfiI;7=97RrWP zI8%zHeaMe);U8|fOpt%F7CDP2$Uld@{vYE{bE-|`pi_3yOpt^6mo=_IWS--@(eL+* zIXgbcKDj5`T$>gli0q|B49ZpxQc+}~N$yKgzlzk6i*iZ10AGhb z;sbmg`Dk){*rysqE*kK$xQ=|(?RC#4REx+*r)+ZEfce~NdFR%ohLwxR6uE&TQ67#t z!#Cj8=#Xs@Mxx&@jux}WUiz787TGADpB@Y$VzOs*g!tYW<5DX$VXk? z?M>vQte4zYxQSep_mXpF(_&{tR?wQrK?6PxHKB(-^wV3aMP#2Nb}QUO=E>5T)sl32 zO0{bhipU}&4n@cB-d-$jIjc93kB;9`JFb?6hqys%S(dgrIa`*Yh+BA#0viR~&f)^f3DkK2*0x_s3_V&tkWtWp+!%%Pe5ci;YM{TB1-a57uLHU*I8 z?~0<8PxsTibo8>BEuRp(TtVbWY+-Ynpb^Ma(1?mas6q*;2xKc%n2JEaVp)&=CwndC znuT-{Ppep%oWxZiV8Qb$kg(7JE0D5K5i5|g;E@%GS@6sX3gj$w)Cz{M`B9^hPj#u{@sQ zWrY9+&GZN)Ff>q)*ijgwkpS=b6nKw79%G&HDmsizrXz<0(iuv0NFbo0Vuu7W8tVfm zUSUXTUaDuM6@4M7Q{H*%Vt|M->+ z2T50n9mGNI6)?n+-wIKP00Wu|vJyyhEX$XQ?plR4%^C)o4h3!{km*=nlTq|_?}U^< zw1Y;Z1d<&pMoJ*wp@F0X0v<~Wnja|$d8ptkft<%co83X)W1!tbLhd6!1Xi?baaN#- zj*$JxuWTsVh2c!axe0_oG*FsA5M*65=5>HD2)&bnAP5b-2_!*iI!z!3!lPsYArPAT z5)6UpFfD;Fh>oceh=kA(lt3J0jc)Lh-wq1VY!@IJq9V7%0uWK4TLK9Y6=@|96H%d7 z0!a~`wGxPm(0LamMRaVGKw{)bx66mz2whf%)QE~^5(tjaIFmqZM8Pu&L`Qh~NFY73 zgHWUdBBqfj`nH=*Q@B|_xIqIQk*D`Ez`C9@p5*415 zK#C+sjuk3kMoU2{C6Ff3VM+-EN>rFq0x1q1rj$U8V+DHh!v;wX9juhtJR^g=lMX=+ z9#%^5V=@jk6@vs~90R-bdn<0VA&Z7fMrp+yZ2^qF{j<&6-#l!6WYE{w*MBh|&eLc- z8Kr-{uEd2G^Oskjabx(0>HS}il{Z;m9}2Bhzc#aZ=j!UMxA&xoOVNEPoW!a+b5PwT z*7sKMY18js!9rXJNx{S)1q=JkfE0Wxo_nBRZMP-G(H!(hKnZafZ12|TJ)1ZVP(j&o z@!oGF333uX?dCmDFb*-2GNBvQ5QhYfE4U_ln)k)KLIIN z_h}9`Ld>WWpAy0y%N%YLeBy>ZP_VvRXB=fSKDoFaVn$u_D5Ea$!T~9mc(ki4+@T3b z!KP0&>h^A_m80T}M*>QS3AIlZ>PCnH?s&Ab=T$IB5BoF+J0ZrAmQQnV$KiBTneizS z*F&r;BtE%#$5N%ENaB%zafpE=@u|e$46&?Gcs!PS2@4QhKoahlKcJ6p)<48fD62ex9$0Qw(7Q(_cf`Alk`&6Rtgs5Q4rwVH` z#9*BGbkI}_F_OrR8-YECk`PZjTRth+4sl<%yKLV3V53{%J+ePq+)WA{m8Z8~z4r9xFaH0a z@cB(`{%mOUMH2_r!slB4YTHcNI#19nF^ffMy$;rrA( z6{(UkAJgHPKS~+l;c}ERUXk@jDRIA~OBlh=c*V1%;1|Y<-gK{2D-8D;AK_7sQpV>= z_@h+I{fgcWW1VWdpHm&vv7SFlb=+s3#w%C;C{_6COIK1Q#`{eENY!y4{M6g@AGM^N zYf0|6XvU{{_~R4ft&);99%`k2_+-~gqVvHwV%`4OM7=rQpHjxFRQ@Psyx~&Pt}~1s zLZV&w_Bf{kDdW3WN&>0*xZj@}$yR@qGBU3v#Xj{0q1+_FgZ+6J)iL+xQ_3@hOYtjK1;NvHti(%>wSxCt(yaj5o1Lio9WDb8Y84 zgv9tRS-|Q_A=yS^g+x{Q9ht7W6PuL^ZO!zhz1d*_K?$aITc7 zF~5?N1ove`<5!P(q?Gd&J<($x<+{&3IA(l4kv~eQNx(hkQ(>GnO6>dyt?(t!o=fFg zDG4M^Fg`D*q~I5ZQVsWW%J@Bl9x3I#>LSMPm-a^~<98~Qqm+7Dm%XAp&l3`L{4H53 z_ea?3$GVhU%5$Dji5lN5c}aI)^;8!$N_L-fp#sU#jbArjlKH|=N`3!%e@dy3hh8f6 X{5P}JpDzDtel@y&^V9zUY10vMc*dI> diff --git a/cpld/output_files/RAM2E.asm.rpt b/cpld/output_files/RAM2E.asm.rpt index f002d49..7e89669 100755 --- a/cpld/output_files/RAM2E.asm.rpt +++ b/cpld/output_files/RAM2E.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2E -Wed Sep 16 20:14:41 2020 +Thu Jan 28 14:37:20 2021 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -10,7 +10,7 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pof + 5. Assembler Device Options: C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pof 6. Assembler Messages @@ -37,11 +37,11 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Wed Sep 16 20:14:41 2020 ; +; Assembler Status ; Successful - Thu Jan 28 14:37:20 2021 ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; -; Family ; MAX V ; -; Device ; 5M240ZT100C5 ; +; Family ; MAX II ; +; Device ; EPM240T100C5 ; +-----------------------+---------------------------------------+ @@ -75,24 +75,24 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+-----------+---------------+ -+------------------------------------------------------------------+ -; Assembler Generated Files ; -+------------------------------------------------------------------+ -; File Name ; -+------------------------------------------------------------------+ -; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pof ; -+------------------------------------------------------------------+ ++------------------------------------------------------------------------------------+ +; Assembler Generated Files ; ++------------------------------------------------------------------------------------+ +; File Name ; ++------------------------------------------------------------------------------------+ +; C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pof ; ++------------------------------------------------------------------------------------+ -+--------------------------------------------------------------------------------------------+ -; Assembler Device Options: C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pof ; -+----------------+---------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+---------------------------------------------------------------------------+ -; Device ; 5M240ZT100C5 ; -; JTAG usercode ; 0x0016ED59 ; -; Checksum ; 0x0016F0C1 ; -+----------------+---------------------------------------------------------------------------+ ++--------------------------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pof ; ++----------------+---------------------------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+---------------------------------------------------------------------------------------------+ +; Device ; EPM240T100C5 ; +; JTAG usercode ; 0x00170076 ; +; Checksum ; 0x001703FE ; ++----------------+---------------------------------------------------------------------------------------------+ +--------------------+ @@ -101,14 +101,14 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 64-Bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Wed Sep 16 20:14:41 2020 + Info: Processing started: Thu Jan 28 14:37:19 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 4524 megabytes - Info: Processing ended: Wed Sep 16 20:14:41 2020 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:00 + Info: Peak virtual memory: 4515 megabytes + Info: Processing ended: Thu Jan 28 14:37:20 2021 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/RAM2E.done b/cpld/output_files/RAM2E.done index 39c72ed..61f7143 100755 --- a/cpld/output_files/RAM2E.done +++ b/cpld/output_files/RAM2E.done @@ -1 +1 @@ -Wed Sep 16 20:14:44 2020 +Thu Jan 28 14:37:23 2021 diff --git a/cpld/output_files/RAM2E.fit.rpt b/cpld/output_files/RAM2E.fit.rpt index a748e8c..5a3b0c7 100755 --- a/cpld/output_files/RAM2E.fit.rpt +++ b/cpld/output_files/RAM2E.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2E -Wed Sep 16 20:14:40 2020 +Thu Jan 28 14:37:18 2021 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -57,15 +57,15 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Wed Sep 16 20:14:40 2020 ; +; Fitter Status ; Successful - Thu Jan 28 14:37:18 2021 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; -; Family ; MAX V ; -; Device ; 5M240ZT100C5 ; +; Family ; MAX II ; +; Device ; EPM240T100C5 ; ; Timing Models ; Final ; ; Total logic elements ; 189 / 240 ( 79 % ) ; -; Total pins ; 69 / 79 ( 87 % ) ; +; Total pins ; 69 / 80 ( 86 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +---------------------------+-------------------------------------------------+ @@ -76,7 +76,7 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ ; Option ; Setting ; Default Value ; +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Device ; 5M240ZT100C5 ; ; +; Device ; EPM240T100C5 ; ; ; Minimum Core Junction Temperature ; 0 ; ; ; Maximum Core Junction Temperature ; 85 ; ; ; Fit Attempts to Skip ; 0 ; 0.0 ; @@ -134,7 +134,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pin. +The pin-out file can be found in C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pin. +------------------------------------------------------------------+ @@ -149,8 +149,8 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; ; ; ; Logic element usage by number of LUT inputs ; ; ; -- 4 input functions ; 90 ; -; -- 3 input functions ; 42 ; -; -- 2 input functions ; 36 ; +; -- 3 input functions ; 43 ; +; -- 2 input functions ; 35 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; @@ -159,25 +159,25 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; -- arithmetic mode ; 14 ; ; -- qfbk mode ; 9 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 14 ; +; -- synchronous clear/load mode ; 10 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; ; Total registers ; 107 / 240 ( 45 % ) ; ; Total LABs ; 22 / 24 ( 92 % ) ; ; Logic elements in carry chains ; 15 ; ; Virtual pins ; 0 ; -; I/O pins ; 69 / 79 ( 87 % ) ; +; I/O pins ; 69 / 80 ( 86 % ) ; ; -- Clock pins ; 3 / 4 ( 75 % ) ; ; ; ; ; Global signals ; 1 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 24% / 26% / 22% ; -; Peak interconnect usage (total/H/V) ; 24% / 26% / 22% ; +; Average interconnect usage (total/H/V) ; 20% / 20% / 20% ; +; Peak interconnect usage (total/H/V) ; 20% / 20% / 20% ; ; Maximum fan-out ; 107 ; -; Highest non-global fan-out ; 34 ; -; Total fan-out ; 810 ; +; Highest non-global fan-out ; 35 ; +; Total fan-out ; 811 ; ; Average fan-out ; 3.13 ; +---------------------------------------------+--------------------+ @@ -221,7 +221,7 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; BA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; CKE ; 4 ; 1 ; 1 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; DQMH ; 100 ; 2 ; 2 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; DQML ; 98 ; 2 ; 2 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[0] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[1] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[2] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -230,7 +230,7 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; Dout[5] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[6] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Dout[7] ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[1] ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; @@ -243,18 +243,18 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; RA[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; Vout[0] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; -; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; Vout[1] ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; Vout[2] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[3] ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[4] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; Vout[5] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[6] ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; Vout[7] ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nCAS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; +; nCAS ; 3 ; 1 ; 1 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nCS ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nDOE ; 55 ; 2 ; 8 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; ; nRAS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; -; nRWE ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +; nRWE ; 2 ; 1 ; 1 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; nVOE ; 50 ; 1 ; 7 ; 0 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -281,116 +281,116 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/outpu ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+-------------------+---------------+--------------+ ; 1 ; 38 / 38 ( 100 % ) ; 3.3V ; -- ; -; 2 ; 31 / 41 ( 76 % ) ; 3.3V ; -- ; +; 2 ; 31 / 42 ( 74 % ) ; 3.3V ; -- ; +----------+-------------------+---------------+--------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+----------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+----------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; 1 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 2 ; 0 ; 1 ; nRWE ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 3 ; 1 ; 1 ; nCAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 4 ; 2 ; 1 ; CKE ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 5 ; 3 ; 1 ; nRAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 6 ; 4 ; 1 ; BA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 7 ; 5 ; 1 ; RA[11] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 8 ; 6 ; 1 ; nCS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 10 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 11 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 12 ; 7 ; 1 ; C14M ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 13 ; ; ; VCCINT ; power ; ; 1.8V ; -- ; ; -- ; -- ; -; 14 ; 8 ; 1 ; BA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 15 ; 9 ; 1 ; RA[9] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 16 ; 10 ; 1 ; RA[10] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 17 ; 11 ; 1 ; RA[8] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 18 ; 12 ; 1 ; RA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 19 ; 13 ; 1 ; RA[7] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 20 ; 14 ; 1 ; RA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 21 ; 15 ; 1 ; RA[6] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 26 ; 20 ; 1 ; RA[4] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 27 ; 21 ; 1 ; RA[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 28 ; 22 ; 1 ; nEN80 ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 29 ; 23 ; 1 ; RA[5] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 30 ; 24 ; 1 ; RA[2] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 32 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 33 ; 25 ; 1 ; nWE80 ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 34 ; 26 ; 1 ; Ain[5] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 35 ; 27 ; 1 ; Din[7] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 36 ; 28 ; 1 ; Din[6] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 37 ; 29 ; 1 ; PHI1 ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 38 ; 30 ; 1 ; Din[0] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 39 ; 31 ; 1 ; Ain[6] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 40 ; 32 ; 1 ; Din[1] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 41 ; 33 ; 1 ; Din[3] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 42 ; 34 ; 1 ; Din[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 43 ; 35 ; 1 ; Ain[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 44 ; 36 ; 1 ; Ain[4] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 46 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 47 ; 37 ; 1 ; Ain[3] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 48 ; 38 ; 1 ; Din[4] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 49 ; 39 ; 1 ; Din[5] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 50 ; 40 ; 1 ; nVOE ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; On ; -; 51 ; 41 ; 1 ; nWE ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 52 ; 42 ; 2 ; nC07X ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 53 ; 43 ; 2 ; Ain[7] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 54 ; 44 ; 2 ; Ain[1] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 55 ; 45 ; 2 ; nDOE ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; On ; -; 56 ; 46 ; 2 ; Ain[0] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 57 ; 47 ; 2 ; Vout[7] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 58 ; 48 ; 2 ; Vout[6] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 60 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 61 ; 49 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 62 ; 50 ; 2 ; Vout[3] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 63 ; ; ; VCCINT ; power ; ; 1.8V ; -- ; ; -- ; -- ; -; 64 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 65 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 66 ; 52 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 67 ; 53 ; 2 ; Vout[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 68 ; 54 ; 2 ; Vout[5] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 69 ; 55 ; 2 ; Vout[2] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 70 ; 56 ; 2 ; Vout[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 71 ; 57 ; 2 ; Vout[4] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 72 ; 58 ; 2 ; Dout[5] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 73 ; 59 ; 2 ; Dout[4] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 74 ; 60 ; 2 ; Dout[2] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; -; 75 ; 61 ; 2 ; Dout[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 76 ; 62 ; 2 ; Dout[1] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 77 ; 63 ; 2 ; Dout[0] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 78 ; 64 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 79 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 81 ; 65 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 82 ; 66 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 83 ; 67 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 84 ; 68 ; 2 ; Dout[6] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 85 ; 69 ; 2 ; Dout[7] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 86 ; 70 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 87 ; 71 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 88 ; 72 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 89 ; 73 ; 2 ; RD[3] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 90 ; 74 ; 2 ; RD[1] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 91 ; 75 ; 2 ; RD[4] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 92 ; 76 ; 2 ; RD[5] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 93 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 95 ; 77 ; 2 ; RD[6] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 96 ; 78 ; 2 ; RD[7] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 97 ; 79 ; 2 ; RD[0] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 98 ; 80 ; 2 ; DQML ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -; 99 ; 81 ; 2 ; RD[2] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; -; 100 ; 82 ; 2 ; DQMH ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; -+----------+------------+----------+----------------+--------+--------------+---------+------------+-----------------+----------+--------------+ ++------------------------------------------------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; ++----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ +; 1 ; 83 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 2 ; 0 ; 1 ; nRWE ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 3 ; 1 ; 1 ; nCAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 4 ; 2 ; 1 ; CKE ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 5 ; 3 ; 1 ; nRAS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 6 ; 4 ; 1 ; BA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 7 ; 5 ; 1 ; RA[11] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 8 ; 6 ; 1 ; nCS ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 11 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; +; 12 ; 7 ; 1 ; C14M ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 13 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; +; 14 ; 8 ; 1 ; BA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 15 ; 9 ; 1 ; RA[9] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 16 ; 10 ; 1 ; RA[10] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 17 ; 11 ; 1 ; RA[8] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 18 ; 12 ; 1 ; RA[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 19 ; 13 ; 1 ; RA[7] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 20 ; 14 ; 1 ; RA[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 21 ; 15 ; 1 ; RA[6] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; +; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; +; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; +; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; +; 26 ; 20 ; 1 ; RA[4] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 27 ; 21 ; 1 ; RA[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 28 ; 22 ; 1 ; nEN80 ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 29 ; 23 ; 1 ; RA[5] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 30 ; 24 ; 1 ; RA[2] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 32 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 33 ; 25 ; 1 ; nWE80 ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 34 ; 26 ; 1 ; Ain[5] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 35 ; 27 ; 1 ; Din[7] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 36 ; 28 ; 1 ; Din[6] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 37 ; 29 ; 1 ; PHI1 ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 38 ; 30 ; 1 ; Din[0] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 39 ; 31 ; 1 ; Ain[6] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 40 ; 32 ; 1 ; Din[1] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 41 ; 33 ; 1 ; Din[3] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 42 ; 34 ; 1 ; Din[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 43 ; 35 ; 1 ; Ain[2] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 44 ; 36 ; 1 ; Ain[4] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 46 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 47 ; 37 ; 1 ; Ain[3] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 48 ; 38 ; 1 ; Din[4] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 49 ; 39 ; 1 ; Din[5] ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 50 ; 40 ; 1 ; nVOE ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; On ; +; 51 ; 41 ; 1 ; nWE ; input ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 52 ; 42 ; 2 ; nC07X ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 53 ; 43 ; 2 ; Ain[7] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 54 ; 44 ; 2 ; Ain[1] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 55 ; 45 ; 2 ; nDOE ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; On ; +; 56 ; 46 ; 2 ; Ain[0] ; input ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 57 ; 47 ; 2 ; Vout[7] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 58 ; 48 ; 2 ; Vout[6] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 60 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 61 ; 49 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 62 ; 50 ; 2 ; Vout[3] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 63 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; +; 64 ; 51 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 65 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; +; 66 ; 52 ; 2 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; +; 67 ; 53 ; 2 ; Vout[1] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 68 ; 54 ; 2 ; Vout[5] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 69 ; 55 ; 2 ; Vout[2] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 70 ; 56 ; 2 ; Vout[0] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 71 ; 57 ; 2 ; Vout[4] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 72 ; 58 ; 2 ; Dout[5] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 73 ; 59 ; 2 ; Dout[4] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 74 ; 60 ; 2 ; Dout[2] ; output ; 3.3-V LVCMOS ; ; Row I/O ; Y ; no ; Off ; +; 75 ; 61 ; 2 ; Dout[3] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 76 ; 62 ; 2 ; Dout[1] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 77 ; 63 ; 2 ; Dout[0] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 78 ; 64 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 79 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 81 ; 65 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 82 ; 66 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 83 ; 67 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 84 ; 68 ; 2 ; Dout[6] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 85 ; 69 ; 2 ; Dout[7] ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 86 ; 70 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 87 ; 71 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 88 ; 72 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 89 ; 73 ; 2 ; RD[3] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 90 ; 74 ; 2 ; RD[1] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 91 ; 75 ; 2 ; RD[4] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 92 ; 76 ; 2 ; RD[5] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 93 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; +; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; +; 95 ; 77 ; 2 ; RD[6] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 96 ; 78 ; 2 ; RD[7] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 97 ; 79 ; 2 ; RD[0] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 98 ; 80 ; 2 ; DQML ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; +; 99 ; 81 ; 2 ; RD[2] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; yes ; Off ; +; 100 ; 82 ; 2 ; DQMH ; output ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; ++----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ Note: Pin directions (input, output or bidir) are based on device operating in user mode. @@ -406,9 +406,6 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; 1.5 V ; 10 pF ; Not Available ; ; 3.3V Schmitt Trigger Input ; 10 pF ; Not Available ; ; 2.5V Schmitt Trigger Input ; 10 pF ; Not Available ; -; 1.2 V ; 10 pF ; Not Available ; -; LVDS_E_3R ; 10 pF ; Not Available ; -; RSDS_E_3R ; 10 pF ; Not Available ; +----------------------------+-------+------------------------+ Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. @@ -420,7 +417,7 @@ Note: User assignments will override these defaults. The user specified values a +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ ; |RAM2E ; 189 (189) ; 107 ; 1 ; 69 ; 0 ; 82 (82) ; 17 (17) ; 90 (90) ; 15 (15) ; 9 (9) ; |RAM2E ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst ; work ; -; |UFM_altufm_none_e4r:UFM_altufm_none_e4r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component ; work ; +; |UFM_altufm_none_a7r:UFM_altufm_none_a7r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -508,15 +505,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +-------------+-------------+---------+---------------+--------+----------------------+------------------+ ; C14M ; PIN_12 ; 107 ; Clock ; yes ; Global Clock ; GCLK0 ; -; CS[0]~2 ; LC_X4_Y3_N1 ; 3 ; Clock enable ; no ; -- ; -- ; -; Equal9~0 ; LC_X6_Y4_N8 ; 14 ; Clock enable ; no ; -- ; -- ; -; Equal9~1 ; LC_X7_Y3_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; RA[4]~1 ; LC_X2_Y2_N1 ; 8 ; Clock enable ; no ; -- ; -- ; -; RDOE ; LC_X3_Y4_N7 ; 8 ; Output enable ; no ; -- ; -- ; -; RWBank[4]~1 ; LC_X5_Y3_N2 ; 13 ; Clock enable ; no ; -- ; -- ; -; RWMask[4]~2 ; LC_X4_Y2_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -; S[2] ; LC_X7_Y3_N4 ; 20 ; Sync. clear ; no ; -- ; -- ; -; UFMD[8]~5 ; LC_X3_Y2_N8 ; 7 ; Clock enable ; no ; -- ; -- ; +; CS[0]~2 ; LC_X5_Y4_N5 ; 3 ; Clock enable ; no ; -- ; -- ; +; Equal9~0 ; LC_X6_Y2_N1 ; 14 ; Clock enable ; no ; -- ; -- ; +; Equal9~1 ; LC_X6_Y2_N7 ; 8 ; Clock enable ; no ; -- ; -- ; +; RA[4]~1 ; LC_X3_Y1_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; RDOE ; LC_X3_Y1_N3 ; 8 ; Output enable ; no ; -- ; -- ; +; RWBank[4]~1 ; LC_X6_Y4_N4 ; 13 ; Clock enable ; no ; -- ; -- ; +; RWMask[4]~2 ; LC_X3_Y3_N9 ; 8 ; Clock enable ; no ; -- ; -- ; +; S[2] ; LC_X6_Y2_N9 ; 20 ; Sync. clear ; no ; -- ; -- ; +; UFMD[8]~5 ; LC_X4_Y3_N5 ; 7 ; Clock enable ; no ; -- ; -- ; +-------------+-------------+---------+---------------+--------+----------------------+------------------+ @@ -534,8 +531,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +----------------------------------------------------------------------------------------------+---------+ -; S[0] ; 34 ; -; S[1] ; 29 ; +; S[0] ; 35 ; +; S[1] ; 30 ; ; Equal9~4 ; 23 ; ; S[3] ; 22 ; ; S[2] ; 20 ; @@ -545,6 +542,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Din[2] ; 10 ; ; Din[0] ; 10 ; ; CS[1] ; 9 ; +; FS[4] ; 9 ; ; Din[3] ; 8 ; ; Din[7] ; 8 ; ; Din[6] ; 8 ; @@ -554,17 +552,16 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; CS[0] ; 8 ; ; RWSel ; 8 ; ; RA[4]~1 ; 8 ; -; FS[4] ; 8 ; ; Equal9~1 ; 8 ; ; Din[5] ; 7 ; ; Din[4] ; 7 ; ; UFMD[8]~5 ; 7 ; ; always1~9 ; 7 ; ; CS[2] ; 7 ; +; FS[5] ; 7 ; ; UFMReqErase ; 6 ; ; UFMInitDone ; 6 ; ; FS[0] ; 6 ; -; FS[5] ; 6 ; ; always1~1 ; 5 ; ; FS[3] ; 5 ; ; FS[2]~27 ; 5 ; @@ -582,7 +579,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Equal9~3 ; 4 ; ; DRCLK~0 ; 4 ; ; Equal9~2 ; 4 ; -; UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component|wire_maxii_ufm_block1_drdout ; 4 ; +; UFM:UFM_inst|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component|wire_maxii_ufm_block1_drdout ; 4 ; ; S[3]~9 ; 3 ; ; UFMD[11] ; 3 ; ; UFMD[9] ; 3 ; @@ -605,8 +602,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; FS[1] ; 3 ; ; always2~0 ; 3 ; ; Ready~0 ; 3 ; -; nCS~2 ; 3 ; -; FS[6] ; 3 ; ; Equal10~1 ; 3 ; ; FS[12]~1 ; 3 ; ; RD[7]~7 ; 2 ; @@ -633,10 +628,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; always1~3 ; 2 ; ; UFMD[8]~4 ; 2 ; ; RWBank[6] ; 2 ; -; nCS~5 ; 2 ; ; nCS~4 ; 2 ; +; nCS~3 ; 2 ; ; Equal12~0 ; 2 ; ; FS[7] ; 2 ; +; FS[6] ; 2 ; ; Equal10~2 ; 2 ; ; FS[11] ; 2 ; ; FS[10] ; 2 ; @@ -715,16 +711,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RWBank[4] ; 1 ; ; nRWE~1 ; 1 ; ; nRWE~0 ; 1 ; +; nCAS~2 ; 1 ; ; nCAS~1 ; 1 ; ; nCAS~0 ; 1 ; ; FS[3]~29COUT1_46 ; 1 ; ; FS[3]~29 ; 1 ; ; FS[1]~25COUT1_44 ; 1 ; ; FS[1]~25 ; 1 ; -; Equal10~3 ; 1 ; -; nCS~3 ; 1 ; ; FS[6]~21COUT1_52 ; 1 ; ; FS[6]~21 ; 1 ; +; Equal10~3 ; 1 ; +; nCS~2 ; 1 ; ; FS[5]~19COUT1_50 ; 1 ; ; FS[5]~19 ; 1 ; ; FS[4]~17COUT1_48 ; 1 ; @@ -787,8 +784,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Dout[2]~reg0 ; 1 ; ; Dout[1]~reg0 ; 1 ; ; Dout[0]~reg0 ; 1 ; -; UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component|wire_maxii_ufm_block1_bgpbusy ; 1 ; -; UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component|wire_maxii_ufm_block1_busy ; 1 ; +; UFM:UFM_inst|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component|wire_maxii_ufm_block1_bgpbusy ; 1 ; +; UFM:UFM_inst|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component|wire_maxii_ufm_block1_busy ; 1 ; +----------------------------------------------------------------------------------------------+---------+ @@ -797,13 +794,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 142 / 784 ( 18 % ) ; -; Direct links ; 49 / 888 ( 6 % ) ; +; C4s ; 133 / 784 ( 17 % ) ; +; Direct links ; 50 / 888 ( 6 % ) ; ; Global clocks ; 1 / 4 ( 25 % ) ; ; LAB clocks ; 6 / 32 ( 19 % ) ; -; LUT chains ; 13 / 216 ( 6 % ) ; -; Local interconnects ; 306 / 888 ( 34 % ) ; -; R4s ; 151 / 704 ( 21 % ) ; +; LUT chains ; 16 / 216 ( 7 % ) ; +; Local interconnects ; 266 / 888 ( 30 % ) ; +; R4s ; 115 / 704 ( 16 % ) ; +-----------------------------+--------------------+ @@ -813,14 +810,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Number of Logic Elements (Average = 8.59) ; Number of LABs (Total = 22) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 1 ; +; 2 ; 0 ; +; 3 ; 2 ; ; 4 ; 1 ; ; 5 ; 0 ; -; 6 ; 1 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 4 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 3 ; +; 9 ; 2 ; ; 10 ; 13 ; +--------------------------------------------+------------------------------+ @@ -830,8 +827,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------------------------------------+------------------------------+ ; LAB-wide Signals (Average = 1.36) ; Number of LABs (Total = 22) ; +------------------------------------+------------------------------+ -; 1 Clock ; 21 ; -; 1 Clock enable ; 8 ; +; 1 Clock ; 20 ; +; 1 Clock enable ; 9 ; ; 2 Clock enables ; 1 ; +------------------------------------+------------------------------+ @@ -843,17 +840,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 1 ; +; 2 ; 0 ; +; 3 ; 2 ; ; 4 ; 1 ; ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 4 ; +; 8 ; 3 ; +; 9 ; 1 ; ; 10 ; 11 ; -; 11 ; 0 ; -; 12 ; 1 ; +; 11 ; 2 ; +; 12 ; 0 ; ; 13 ; 0 ; ; 14 ; 0 ; ; 15 ; 0 ; @@ -864,49 +861,48 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.68) ; Number of LABs (Total = 22) ; +; Number of Signals Sourced Out (Average = 5.91) ; Number of LABs (Total = 22) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 1 ; -; 3 ; 2 ; -; 4 ; 2 ; -; 5 ; 2 ; -; 6 ; 2 ; +; 3 ; 4 ; +; 4 ; 3 ; +; 5 ; 1 ; +; 6 ; 3 ; ; 7 ; 2 ; -; 8 ; 7 ; +; 8 ; 6 ; ; 9 ; 1 ; -; 10 ; 3 ; +; 10 ; 1 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 11.73) ; Number of LABs (Total = 22) ; +; Number of Distinct Inputs (Average = 10.05) ; Number of LABs (Total = 22) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 2 ; ; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; +; 4 ; 1 ; +; 5 ; 2 ; ; 6 ; 1 ; -; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 5 ; -; 10 ; 0 ; -; 11 ; 1 ; -; 12 ; 1 ; +; 7 ; 0 ; +; 8 ; 3 ; +; 9 ; 1 ; +; 10 ; 2 ; +; 11 ; 2 ; +; 12 ; 2 ; ; 13 ; 1 ; -; 14 ; 2 ; +; 14 ; 1 ; ; 15 ; 1 ; -; 16 ; 1 ; +; 16 ; 0 ; ; 17 ; 1 ; ; 18 ; 0 ; -; 19 ; 2 ; +; 19 ; 1 ; ; 20 ; 1 ; -; 21 ; 1 ; +----------------------------------------------+------------------------------+ @@ -929,19 +925,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Fitter Messages ; +-----------------+ Warning (20028): Parallel compilation is not licensed and has been disabled -Info (119006): Selected device 5M240ZT100C5 for design "RAM2E" +Info (119006): Selected device EPM240T100C5 for design "RAM2E" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device 5M80ZT100C5 is compatible - Info (176445): Device 5M80ZT100I5 is compatible - Info (176445): Device 5M160ZT100C5 is compatible - Info (176445): Device 5M160ZT100I5 is compatible - Info (176445): Device 5M240ZT100I5 is compatible - Info (176445): Device 5M570ZT100C5 is compatible - Info (176445): Device 5M570ZT100I5 is compatible + Info (176445): Device EPM240T100I5 is compatible + Info (176445): Device EPM240T100A5 is compatible + Info (176445): Device EPM570T100C5 is compatible + Info (176445): Device EPM570T100I5 is compatible + Info (176445): Device EPM570T100A5 is compatible Info (332104): Reading SDC File: 'constraints.sdc' Warning (332060): Node: DRCLK was determined to be a clock but was found without an associated clock assignment. Warning (332060): Node: ARCLK was determined to be a clock but was found without an associated clock assignment. @@ -965,23 +959,24 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 19% of the available device resources - Info (170196): Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170089): 4e+01 ns of routing delay (approximately 2.6% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. +Info (170195): Router estimated average interconnect usage is 18% of the available device resources + Info (170196): Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.13 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.23 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg +Info (144001): Generated suppressed messages file C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg Info: Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 4767 megabytes - Info: Processing ended: Wed Sep 16 20:14:40 2020 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 + Info: Peak virtual memory: 4758 megabytes + Info: Processing ended: Thu Jan 28 14:37:18 2021 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:03 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg. +The suppressed messages can be found in C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.fit.smsg. diff --git a/cpld/output_files/RAM2E.fit.summary b/cpld/output_files/RAM2E.fit.summary index 06d81ca..cf4e1b6 100755 --- a/cpld/output_files/RAM2E.fit.summary +++ b/cpld/output_files/RAM2E.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Wed Sep 16 20:14:40 2020 +Fitter Status : Successful - Thu Jan 28 14:37:18 2021 Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : RAM2E Top-level Entity Name : RAM2E -Family : MAX V -Device : 5M240ZT100C5 +Family : MAX II +Device : EPM240T100C5 Timing Models : Final Total logic elements : 189 / 240 ( 79 % ) -Total pins : 69 / 79 ( 87 % ) +Total pins : 69 / 80 ( 86 % ) Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/cpld/output_files/RAM2E.flow.rpt b/cpld/output_files/RAM2E.flow.rpt index eabeaf8..9e57f9b 100755 --- a/cpld/output_files/RAM2E.flow.rpt +++ b/cpld/output_files/RAM2E.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2E -Wed Sep 16 20:14:43 2020 +Thu Jan 28 14:37:23 2021 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,15 +40,15 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Wed Sep 16 20:14:41 2020 ; +; Flow Status ; Successful - Thu Jan 28 14:37:20 2021 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; -; Family ; MAX V ; -; Device ; 5M240ZT100C5 ; +; Family ; MAX II ; +; Device ; EPM240T100C5 ; ; Timing Models ; Final ; ; Total logic elements ; 189 / 240 ( 79 % ) ; -; Total pins ; 69 / 79 ( 87 % ) ; +; Total pins ; 69 / 80 ( 86 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +---------------------------+-------------------------------------------------+ @@ -59,33 +59,33 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 09/16/2020 20:14:37 ; +; Start date & time ; 01/28/2021 14:37:13 ; ; Main task ; Compilation ; ; Revision Name ; RAM2E ; +-------------------+---------------------+ -+-----------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+------------------------------------+---------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+------------------------------------+---------------------------------+---------------+-------------+------------+ -; ALLOW_POWER_UP_DONT_CARE ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 207120313862967.160030167703488 ; -- ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; -; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; OPTIMIZE_MULTI_CORNER_TIMING ; On ; Off ; -- ; -- ; -; PARALLEL_SYNTHESIS ; Off ; On ; -- ; -- ; -; POWER_DEFAULT_INPUT_IO_TOGGLE_RATE ; 12.5 % ; 12.5% ; -- ; -- ; -; POWER_PRESET_COOLING_SOLUTION ; No Heat Sink With Still Air ; -- ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; SAFE_STATE_MACHINE ; On ; Off ; -- ; -- ; -; SYNTH_MESSAGE_LEVEL ; High ; Medium ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+------------------------------------+---------------------------------+---------------+-------------+------------+ ++----------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+--------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+--------------------------------+---------------+-------------+------------+ +; ALLOW_POWER_UP_DONT_CARE ; Off ; On ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 10995770589203.161186263308136 ; -- ; -- ; -- ; +; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; +; IP_TOOL_NAME ; ALTUFM_NONE ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 13.0 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; OPTIMIZE_MULTI_CORNER_TIMING ; On ; Off ; -- ; -- ; +; PARALLEL_SYNTHESIS ; Off ; On ; -- ; -- ; +; POWER_DEFAULT_INPUT_IO_TOGGLE_RATE ; 12.5 % ; 12.5% ; -- ; -- ; +; POWER_PRESET_COOLING_SOLUTION ; No Heat Sink With Still Air ; -- ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +; SAFE_STATE_MACHINE ; On ; Off ; -- ; -- ; +; SYNTH_MESSAGE_LEVEL ; High ; Medium ; -- ; -- ; +; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; ++------------------------------------+--------------------------------+---------------+-------------+------------+ +-------------------------------------------------------------------------------------------------------------------------------+ @@ -93,11 +93,11 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 4568 MB ; 00:00:01 ; -; Fitter ; 00:00:01 ; 1.0 ; 4767 MB ; 00:00:01 ; -; Assembler ; 00:00:00 ; 1.0 ; 4524 MB ; 00:00:00 ; -; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 4522 MB ; 00:00:01 ; -; Total ; 00:00:03 ; -- ; -- ; 00:00:03 ; +; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 4566 MB ; 00:00:02 ; +; Fitter ; 00:00:03 ; 1.0 ; 4758 MB ; 00:00:03 ; +; Assembler ; 00:00:01 ; 1.0 ; 4515 MB ; 00:00:01 ; +; TimeQuest Timing Analyzer ; 00:00:02 ; 1.0 ; 4513 MB ; 00:00:02 ; +; Total ; 00:00:08 ; -- ; -- ; 00:00:08 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ @@ -106,10 +106,10 @@ applicable agreement for further details. +---------------------------+------------------+-----------+------------+----------------+ ; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; +---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; -; Fitter ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; -; Assembler ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; -; TimeQuest Timing Analyzer ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; +; Analysis & Synthesis ; DESKTOP-DG54GN4 ; Windows 7 ; 6.2 ; x86_64 ; +; Fitter ; DESKTOP-DG54GN4 ; Windows 7 ; 6.2 ; x86_64 ; +; Assembler ; DESKTOP-DG54GN4 ; Windows 7 ; 6.2 ; x86_64 ; +; TimeQuest Timing Analyzer ; DESKTOP-DG54GN4 ; Windows 7 ; 6.2 ; x86_64 ; +---------------------------+------------------+-----------+------------+----------------+ diff --git a/cpld/output_files/RAM2E.jdi b/cpld/output_files/RAM2E.jdi index a754f33..baa35a3 100755 --- a/cpld/output_files/RAM2E.jdi +++ b/cpld/output_files/RAM2E.jdi @@ -1,8 +1,8 @@ - + - + diff --git a/cpld/output_files/RAM2E.map.rpt b/cpld/output_files/RAM2E.map.rpt index 5c0d3aa..e9b2487 100755 --- a/cpld/output_files/RAM2E.map.rpt +++ b/cpld/output_files/RAM2E.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2E -Wed Sep 16 20:14:38 2020 +Thu Jan 28 14:37:15 2021 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -45,11 +45,11 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Wed Sep 16 20:14:38 2020 ; +; Analysis & Synthesis Status ; Successful - Thu Jan 28 14:37:15 2021 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; RAM2E ; ; Top-level Entity Name ; RAM2E ; -; Family ; MAX V ; +; Family ; MAX II ; ; Total logic elements ; 198 ; ; Total pins ; 69 ; ; Total virtual pins ; 0 ; @@ -62,9 +62,9 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------+--------------------+ ; Option ; Setting ; Default Value ; +----------------------------------------------------------------------------+--------------------+--------------------+ -; Device ; 5M240ZT100C5 ; ; +; Device ; EPM240T100C5 ; ; ; Top-level entity name ; RAM2E ; RAM2E ; -; Family name ; MAX V ; Cyclone IV GX ; +; Family name ; MAX II ; Cyclone IV GX ; ; Safe State Machine ; On ; Off ; ; Parallel Synthesis ; Off ; On ; ; Power-Up Don't Care ; Off ; On ; @@ -141,15 +141,15 @@ Parallel compilation was disabled, but you have multiple processors available. E +----------------------------+--------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+----------------------------------+-----------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+----------------------------------+-----------------------------------------------------+---------+ -; RAM2E.v ; yes ; User Verilog HDL File ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v ; ; -; RAM2E.mif ; yes ; User Memory Initialization File ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.mif ; ; -; UFM.v ; yes ; User Wizard-Generated File ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v ; ; -+----------------------------------+-----------------+----------------------------------+-----------------------------------------------------+---------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------------------+---------+ +; RAM2E.v ; yes ; User Verilog HDL File ; C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/RAM2E.v ; ; +; RAM2E.mif ; yes ; User Memory Initialization File ; C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/RAM2E.mif ; ; +; UFM.v ; yes ; User Wizard-Generated File ; C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/UFM.v ; ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------------------+---------+ +-----------------------------------------------------+ @@ -164,8 +164,8 @@ Parallel compilation was disabled, but you have multiple processors available. E ; ; ; ; Logic element usage by number of LUT inputs ; ; ; -- 4 input functions ; 90 ; -; -- 3 input functions ; 42 ; -; -- 2 input functions ; 36 ; +; -- 3 input functions ; 43 ; +; -- 2 input functions ; 35 ; ; -- 1 input functions ; 3 ; ; -- 0 input functions ; 1 ; ; ; ; @@ -183,7 +183,7 @@ Parallel compilation was disabled, but you have multiple processors available. E ; UFM blocks ; 1 ; ; Maximum fan-out node ; C14M ; ; Maximum fan-out ; 107 ; -; Total fan-out ; 814 ; +; Total fan-out ; 815 ; ; Average fan-out ; 3.04 ; +---------------------------------------------+-------+ @@ -195,18 +195,18 @@ Parallel compilation was disabled, but you have multiple processors available. E +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ ; |RAM2E ; 198 (198) ; 107 ; 1 ; 69 ; 0 ; 91 (91) ; 26 (26) ; 81 (81) ; 15 (15) ; 0 (0) ; |RAM2E ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst ; work ; -; |UFM_altufm_none_e4r:UFM_altufm_none_e4r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component ; work ; +; |UFM_altufm_none_a7r:UFM_altufm_none_a7r_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -+---------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis IP Cores Summary ; -+--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------+ -; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; -+--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------+ -; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM2E|UFM:UFM_inst ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/UFM.v ; -+--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis IP Cores Summary ; ++--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------------------------+ +; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; ++--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------------------------+ +; Altera ; ALTUFM_NONE ; 13.0 ; N/A ; N/A ; |RAM2E|UFM:UFM_inst ; C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/UFM.v ; ++--------+--------------+---------+--------------+--------------+---------------------+-------------------------------------------------------------------+ +------------------------------------------------------+ @@ -268,13 +268,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Wed Sep 16 20:14:37 2020 + Info: Processing started: Thu Jan 28 14:37:13 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file ram2e.v Info (12023): Found entity 1: RAM2E Info (12021): Found 2 design units, including 2 entities, in source file ufm.v - Info (12023): Found entity 1: UFM_altufm_none_e4r + Info (12023): Found entity 1: UFM_altufm_none_a7r Info (12023): Found entity 2: UFM Info (12127): Elaborating entity "RAM2E" for the top level hierarchy Warning (10230): Verilog HDL assignment warning at RAM2E.v(100): truncated value with size 32 to match size of target (16) @@ -282,24 +282,24 @@ Warning (10230): Verilog HDL assignment warning at RAM2E.v(103): truncated value Warning (10230): Verilog HDL assignment warning at RAM2E.v(544): truncated value with size 32 to match size of target (3) Warning (10230): Verilog HDL assignment warning at RAM2E.v(561): truncated value with size 32 to match size of target (3) Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" -Info (12128): Elaborating entity "UFM_altufm_none_e4r" for hierarchy "UFM:UFM_inst|UFM_altufm_none_e4r:UFM_altufm_none_e4r_component" +Info (12128): Elaborating entity "UFM_altufm_none_a7r" for hierarchy "UFM:UFM_inst|UFM_altufm_none_a7r:UFM_altufm_none_a7r_component" Info (21057): Implemented 268 device resources after synthesis - the final resource count might be different Info (21058): Implemented 22 input pins Info (21059): Implemented 39 output pins Info (21060): Implemented 8 bidirectional pins Info (21061): Implemented 198 logic cells Info (21070): Implemented 1 User Flash Memory blocks -Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg +Info (144001): Generated suppressed messages file C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 4568 megabytes - Info: Processing ended: Wed Sep 16 20:14:38 2020 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 + Info: Peak virtual memory: 4566 megabytes + Info: Processing ended: Thu Jan 28 14:37:15 2021 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg. +The suppressed messages can be found in C:/Users/wgfel_69wkrf3/OneDrive/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg. diff --git a/cpld/output_files/RAM2E.map.summary b/cpld/output_files/RAM2E.map.summary index ab29896..b2d32b6 100755 --- a/cpld/output_files/RAM2E.map.summary +++ b/cpld/output_files/RAM2E.map.summary @@ -1,8 +1,8 @@ -Analysis & Synthesis Status : Successful - Wed Sep 16 20:14:38 2020 +Analysis & Synthesis Status : Successful - Thu Jan 28 14:37:15 2021 Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : RAM2E Top-level Entity Name : RAM2E -Family : MAX V +Family : MAX II Total logic elements : 198 Total pins : 69 Total virtual pins : 0 diff --git a/cpld/output_files/RAM2E.pin b/cpld/output_files/RAM2E.pin index ca74001..488d736 100755 --- a/cpld/output_files/RAM2E.pin +++ b/cpld/output_files/RAM2E.pin @@ -23,7 +23,7 @@ --------------------------------------------------------------------------------- -- NC : No Connect. This pin has no internal connection to the device. -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.8V). + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (2.5V/3.3V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. -- Bank 1: 3.3V @@ -58,11 +58,11 @@ --------------------------------------------------------------------------------- Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -CHIP "RAM2E" ASSIGNED TO AN: 5M240ZT100C5 +CHIP "RAM2E" ASSIGNED TO AN: EPM240T100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- -GND : 1 : gnd : : : : +GND* : 1 : : : : 2 : nRWE : 2 : output : 3.3-V LVCMOS : : 1 : Y nCAS : 3 : output : 3.3-V LVCMOS : : 1 : Y CKE : 4 : output : 3.3-V LVCMOS : : 1 : Y @@ -71,10 +71,10 @@ BA[0] : 6 : output : 3.3-V LVCMOS : RA[11] : 7 : output : 3.3-V LVCMOS : : 1 : Y nCS : 8 : output : 3.3-V LVCMOS : : 1 : Y VCCIO1 : 9 : power : : 3.3V : 1 : -GND : 10 : gnd : : : : -GND : 11 : gnd : : : : +GNDIO : 10 : gnd : : : : +GNDINT : 11 : gnd : : : : C14M : 12 : input : 3.3-V LVCMOS : : 1 : Y -VCCINT : 13 : power : : 1.8V : : +VCCINT : 13 : power : : 2.5V/3.3V : : BA[1] : 14 : output : 3.3-V LVCMOS : : 1 : Y RA[9] : 15 : output : 3.3-V LVCMOS : : 1 : Y RA[10] : 16 : output : 3.3-V LVCMOS : : 1 : Y @@ -93,7 +93,7 @@ nEN80 : 28 : input : 3.3-V LVCMOS : RA[5] : 29 : output : 3.3-V LVCMOS : : 1 : Y RA[2] : 30 : output : 3.3-V LVCMOS : : 1 : Y VCCIO1 : 31 : power : : 3.3V : 1 : -GND : 32 : gnd : : : : +GNDIO : 32 : gnd : : : : nWE80 : 33 : input : 3.3-V LVCMOS : : 1 : Y Ain[5] : 34 : input : 3.3-V LVCMOS : : 1 : Y Din[7] : 35 : input : 3.3-V LVCMOS : : 1 : Y @@ -107,7 +107,7 @@ Din[2] : 42 : input : 3.3-V LVCMOS : Ain[2] : 43 : input : 3.3-V LVCMOS : : 1 : Y Ain[4] : 44 : input : 3.3-V LVCMOS : : 1 : Y VCCIO1 : 45 : power : : 3.3V : 1 : -GND : 46 : gnd : : : : +GNDIO : 46 : gnd : : : : Ain[3] : 47 : input : 3.3-V LVCMOS : : 1 : Y Din[4] : 48 : input : 3.3-V LVCMOS : : 1 : Y Din[5] : 49 : input : 3.3-V LVCMOS : : 1 : Y @@ -121,12 +121,12 @@ Ain[0] : 56 : input : 3.3-V LVCMOS : Vout[7] : 57 : output : 3.3-V LVCMOS : : 2 : Y Vout[6] : 58 : output : 3.3-V LVCMOS : : 2 : Y VCCIO2 : 59 : power : : 3.3V : 2 : -GND : 60 : gnd : : : : +GNDIO : 60 : gnd : : : : GND* : 61 : : : : 2 : Vout[3] : 62 : output : 3.3-V LVCMOS : : 2 : Y -VCCINT : 63 : power : : 1.8V : : +VCCINT : 63 : power : : 2.5V/3.3V : : GND* : 64 : : : : 2 : -GND : 65 : gnd : : : : +GNDINT : 65 : gnd : : : : GND* : 66 : : : : 2 : Vout[1] : 67 : output : 3.3-V LVCMOS : : 2 : Y Vout[5] : 68 : output : 3.3-V LVCMOS : : 2 : Y @@ -140,7 +140,7 @@ Dout[3] : 75 : output : 3.3-V LVCMOS : Dout[1] : 76 : output : 3.3-V LVCMOS : : 2 : Y Dout[0] : 77 : output : 3.3-V LVCMOS : : 2 : Y GND* : 78 : : : : 2 : -GND : 79 : gnd : : : : +GNDIO : 79 : gnd : : : : VCCIO2 : 80 : power : : 3.3V : 2 : GND* : 81 : : : : 2 : GND* : 82 : : : : 2 : @@ -154,7 +154,7 @@ RD[3] : 89 : bidir : 3.3-V LVCMOS : RD[1] : 90 : bidir : 3.3-V LVCMOS : : 2 : Y RD[4] : 91 : bidir : 3.3-V LVCMOS : : 2 : Y RD[5] : 92 : bidir : 3.3-V LVCMOS : : 2 : Y -GND : 93 : gnd : : : : +GNDIO : 93 : gnd : : : : VCCIO2 : 94 : power : : 3.3V : 2 : RD[6] : 95 : bidir : 3.3-V LVCMOS : : 2 : Y RD[7] : 96 : bidir : 3.3-V LVCMOS : : 2 : Y diff --git a/cpld/output_files/RAM2E.pof b/cpld/output_files/RAM2E.pof index 866d2b5e1ad39c40d15eaa09c28ab21e1d02ce4a..5d3f3d90d078ee9b869270bfb1291020af626800 100755 GIT binary patch literal 7879 zcmeHMZE#fAd0s3_U<7)Bs|! zl-)yGlRDF2kL!tRnTidWA%7SlcRaOXYj&@a7}D5CO5(^GKJFeTwpTUs-V4ZgFS}Rg zynWtt?g~MY{_LL`W_9+Q_kEuCJ@3akcXuD!us)GU%uCESe_QeQ%UzG`+}*XS@&5Z8 z@A%xG-MxKx<3l?;x9xnSy?yJ>#)r4=+_k;4qj6Q!@|DY1HQwE|eaGXCtD9CguDoN# zs?{r2uUysC_?4|Y_iTS`YvV(YJofjEs~W%ZrN&KLA8owv@$I{TOk9(=0Y>h7=)u*W zTlv*hD_7ogd!izixUe!ZQk&oU$B#!h*V2v@(_^-p3tOe zY;t6hNPqLtn1am6eIc}jUNYplRGLRur6Bk4QY1piwW2UHIztpt%SD6x#sy(Uq9`62 z4}BS$u`{g7<8`(d0#E*x`mrCtvK;tWzanD2Is7aqZPurMAOA!Y?<>sCkSG~{m{&3m zW1!qwe?z(~XTObJ1UcJ}Qdsz1xv_8j$y&V_7djSS<+);3JIW;${4a$nl)1Atjuly!Ny@O=xYY}9Y+zt5R;O35?6ntreI(6g_$tdkysbT*Z` zA)U1R%Px5XgBi6UqJpR2#(t*`L7?=sW_j_?YyPox@aeMp#PDtTecji5uX{K%TDviL zaLM_Bw;|7liI)lop82J!`RHg}nDG#pSkvus`-gbf9-|4 zQ)%;-G`XiRa<)xhJ7xA?)T1ZA{oBHa#nFYwy$|nfau2`IJNjJHJqNzfi@b9Dke?nAB0pg% zNt8l0n|(oM<)%IdQalflk0^?Cx4^!DGkDkWLP1L9$>CZKJ?`@u?^ONpDF>f`=e$Sg4}(uB0zdc;Y3jf`!+#3> z5aZqM3i?}7FsX{82#%45@K0?VcpmmsL<1a8gbT#20fHlPgmVP*9A3p>lC+4@s%-lK z>&UQBuH)PK6z(S7x+dy_Zhoj}`O6e#rzmgl2kWQGZ4=0#43JyIpY<@e{&*7m$*9bp zFqJHlfFBN$Gz2}C2k5Af-e1w#sU>Y`rx5lB$;E#o7w2v(W!xF1Ci0`AJw$#eeL=jO zooU)+>^m~jo!vc~e>LOW@%@8{E9nxBH}$g5aisR(r!|G1^?QqdGP>sJ1&iv(%m3{+ zpC6vSq5dwjzs;oY(Vx9*Z?QOfqt|icAGfEkTU3I)q5d-luPQ%nDTwuZkJq~`H!r@m z{&xMzKRQ7*s$u6ogI9%USz-RY-9f#Zx%t^e^+kH_d(9VWRNt;fi>H$(Pjt2wMn=v) z)7G|Zadz5uy{5(QHe{cT_gCn6{tfTLk&_F@+uCxy4Tqn4YW>T-_xJZUS@}5f-;?Bc zPTF`O%Gg)28Xt3?mO|zGej1?mQ1&)c)U{bR`IV^utU@Dds<5BOAcr;FcF*#-9 z1Xz5S*YPs)9G~CL&^WX;CHb6DTTl;fsPx-LPYhrStS>w0oG5Cs0XXKj_=tG~qJfpX zxu4A(ZD!>{PL%{nmB;=RP6-fUms}@SKE$J(Y47ytZIZEH+-Gb7hDc6nK@Q{6Szcwl z!}qF{XU||uz@3ihn8W&5k5K=W(8=Sysdw@#0d;ER1$8e)F3)Z#?3mzpYC;RC(4Vcm zBdmv7WI{JLVel$fwsvq!=apyq1K9Vg{U===?h-Bzob*`aD%#8}$`w`r5qD;l5@Tfj z5r~uHSYG(x;baRgp`lwVsE)ukWoL-q%@o^PhgUK`;5_ ziIqz~T6gGH(|@Tm&m{D+FLu`s4|lGI{C!&Tug!_2A2l4h)#AxLeqwUXWBZ5yYeQW! zoy<-zLI16pa+fv;j=!RlJ6`!xn>TXuFZZ_@g3{m{z~9B6vg?tg;w+0v6O zpGo4{f&L8XqzH>hw8f*p2>BQ|CrjudEQh*lNGYd!o|-u8ekkWqt0~l~#?6xLv3;b- zaWqNlM{{^cD*DgYt>`Al@*|km?kE@ag?rd763$bP`v`S!`5B*90{5K6#XuqVKk#w?3Cr6B z-JB}r0^;Y(9`uKVhP8|SCj}PpbK(0rzTcuaK2H4nhEYd&KqF^_>r|bwys@7m3y<=k zL7#{;Y$!2c_>WZJTrwGEHSEE&EU>X%Qy+X^U@pNk;0;lPVusd>q#Vl+eB?k;s8$ecTZ41Ocw-XnUgtAs9r%Q*kgFXo)F^$$7j*U;Xtj*sWQu{1Q4<5c}1uN8JB z=S!v#SCs>%dVaXbSH~u|$<-01{bSjnG9lAOo;LUt-b3HblHcO}M@qVC>b7I_A)-O{ z{)4*yC$6s@NJ z5T9EL+m6n!t$pVcb>F%^vHyuK$cHId)~YqQ`zthAn7{AM{r3)M+7h4Nx4+y||1`Zx zYFV?v!w;5ksAc(G3!bVi?@BBJ9&&?6f1NFCYddk@y-#M^9=~&~d*1!>x0|Nl?K^eK z_@~Gleg08Ae|pQuJulZg(*MH2{LtD5`VStA<%l<8&T-O7#0{$j_+{da5%v*np^A>J z$1LgtdBQ1*=X4{_hp2Z4=U*r0eo?(;*YS|TdFE3J^_f+InaOu?GeF<)GTzh=o?p4{ zEFa;XfZW5UD8rBZW6Yu^&=j*cwDPPR{9wO4pNr%5k^~MfjmK>CmpXkqm{kL$8^)~>Y^DA>n3iub7LKXs-3NJH-6#GySzvLCOU(XaZO2Y=|B zc)!U!t(af-<4DCX?;+tE`;nAI*f-}6`i=b$D3ZBWj51Fh!odcEZ2Ag3J}(RmI9Y5g zANnGTG{*b}KMvQ>UsDQ}wWN%q*>lj;e~KjGey8z`VNSQJ-~w6=M*_5C{~dvNh@tYJ zq|;c=Ml>k!<@aPgzm0KPM4|j2YmuS-H+kIO*in>gF7R^1){U;5s>IZ)gErI{?d4_tA z?Hd1`oU8!B`!A84<*)AdNQLhe+?SBg#bNH`vBo$aF^~HS=YXA?(cGVN=5u%qz6=YhPjU-MpJ1LLdm-&j8pH|ytFw`1F$Nqqk@ z*4Ea%t8comcl3(>!}l+bws{|nE*wYyt#uFoXee*{kNvfAMa^TMW{!R`{Fm#+r;0Fg zVf9hJ(&Bgy+yK{?eNHrU>`$VO?LGq3rIpXd_3Ha?fa?!`D}tjc3YEn`2i&UwRm zO<5lCf*sS(T<7RBo>$jr$BGm4RONQRvw5~WHvf$0xX$6f%u~ffKG#lMFVLG~U(DGr zCI5i_AL79}@YXNH`zm|5j}2eE9_Ov9KiBU6!Vk_keslXH_H!=3WAqSr=QJ?3E>4x# zO8!2`#^Jse;@ccejlg^&iAbSD*x}<=Nz7^^smN$JijuY n{}=K2RAS+^v%d&6@-IS2(#QWkj=;we_8~25y0-ymV%pN5A6u?WhpLLYW$&% zt-QVV&_I~RBvS@=1Qo)a7+R>jq#kf=v3s2ayM#z9CK+4B^4kYBtOn%WXGiQl?LOUm z`aAc&l@ycw-9L(F)_3nc=lst1x$kN3xqCw_7Ml~RG5@#X|BbsI+`ewO@n`j$w>(t8{*kRaAsPEZY!Q^)eAkMvE&H3l zUcPME?Kj8f#;(B8y&XHZ?tE;^BeD75;eUS+tG(8I;s4%cBQ+7J=Dx8Ph(vL>CQZX* zLt{kxJ1-0?&&8w#z$mCd_L;$*06!c}Lh>FxaVdQq4r;?>x9DFzD z)a>g(k(!vg?40QV@Q4cdrovEsRz9G76~_-EdKI@SFAG+Wj`SOP+WIpB{E)QtLhOa* zyIfwxp`DZnX2}a_0G-8+r>R$2C;Wt1p%B0qkC=a6cxqeul?bCD^-w#!E0R70H z?{S4%yWwZ3lLtV*mz-AZ1wwhA&PsBJbiYWuXOt7{pfu>N^bwzKUk!w!0H0yM)B`e1 z4M@TEp>9o{^IG+3Uk@mOI4dpLPfI`hw5IW_>ilW4Fih$r?KY>+jjF~GfjT7`3KiwzRAh`K*`iuYRQtiy^%igI%F}S*4g+t`u2Y+J-+WL zcka}aPsH`SXZJi1TTnA6SQNXMJj3>_I`L%g(Bu2u*r!*5zVPbAgRup%Ij=2_Er(x3 z{Y`&yUO#!wYePRg;U8$Hxz1$w-ZKM7!c=(4%6Fd_(f&1ihxVQz(4V?XKYZfUYd^l2 z3O5>gMd!|C=#ju3`u^~SuI6v`2EFeU;ycFN-uI;SKa+Xle>!qQgS96!ZRvIH!PU=X z8X9ij-_v91fl?YZig*P`Avwqv%T4zwmC%neRKb|1wFpun{O%k&3 z36j7kQ(t-HR{OFN;ggb`VHO)FK9Ze|FR&we=!T)t^v~=MRz9!jk}!SA_Dbb@#Pi7= zsPG>2AE^ResN(y*hd)-ELNU;I=}kjV5uHa|BLCzw3gvS6)BhqjyJNG}->pl3+FjKz z9JOklCOM^=hmCxGZSyU;^EXYx9^|=h?)=Gxw?1*H_F{i5c9{FGrTLGS<+gm8^&_Hn zkI(<_y4V8HQ+pS38cE$e7391cmIq#4Z1Lp21q&u_yx4N>UL)VT_LfUIZ~ipBcd}*y zH5W!y>p9GG-6h=d))sa<}U^{`|q}(-)P+8dvXAE;c4Nj7J1a- z3!d8p2ER}VJ+@?1k}w6rsNW4viS5f8J1j1`%E}+-xgdQNgfdOR82G%bH20k3d4qca zed%B&DowE+N~AsXgJ1;IAwIn3@qY=0g}8L`jl%xgb4%X^-P#{Hi$bcrfLyCxo}n`? z_(XpII&~(Pu2BgJ(}m@?MfmJjp+F7-U87Wuuc5m> z>@A{@;j8v}O1^I8U;xWIyQ!~qR&pcDF76Mq`I*i$;+YU(7T1ukg$Nvk>9_hX>t!`1 z$yMXWv!k%KA+ihDjNc-ZC zIg6&={>mQ>*Ic|Sw#4=y<0}&LfB3FmQh#CNe)sNVc6k29t79>1U&Z1N#Xb-VuBxSN zm3_B<>HNBh#;2Cp`)@R&?|q*ZTr~)K&4w=xuerE*?^Th1gM+8q+j4ge?jP>j*%a?B z_w;1Gwd#Y$o?c=7FBA@ZQYhpzwa?~qO%1&VSNCMrJoD%M>y8=w72}7T4;sUqMHOWE zJji>VrAP5`%qow%;dqF;RqD8{KNZo{%j9QK=?)2*-Bb*faDl{l)_&E>YvO2&now+) zBcpRL@IHl)?PF@rqSRH$eaG@0Oc%I6(JvM3`DVbp4J78O!RJ1ja9O_Ou^(Ob(9R9dC_wlx*{vn`9Xnmj+ca+T4) z$>z7!DNx{>`BI_}_7m;Oj*cQf9B({duf5^Qm*&PEIQjUAnnY}2Z2sgoV_mTqC-&=v z>AzxgO>J9UEVh2mi7PiP{Bmsmx3|Vt*1kLS?ECK<`Tn)@Y6~^*=htV3e~_8?*gt-E za_eB}s)wfi7-=I3>pdEdO^*~x8Bmtw!B#`|__o2NU@oodg0=nnmZ z-_`z|m9Jmw?a|Be{OQ7T*r!Ax!~Hk(;f*KTGEG13?O%N))3fHb{onguB#*hf1NDZP zkMW+s)bvOnPj+W0}5bSvjWx1bf z(r=8?E;Y>OA?7dhfnMO}-k={dpb$#*O^Er8{^{U*E6TH=b-?!$kT7|-`S(~}kwm@1 zAdmSuZ-Q}wQ?(DP=Szn?oTF2iXywPVRz5(xI?7XiNqbd!)Y~k1$U2bLbl&PWauHn= zxxUH8``_Wtqg<%aGOPb2**L>W?a9x|b6hA*{lIvm6Lm!-VB$0%-v2B^n%Kge^3dw4GmDRdyn!NK#hkv|P|O-Hz26dTI+NBT|wIj{wL z(c+8=y_w2o>?@ukgM;s2Cyh6hvPb?qNWJCfcv&37pU9FLqU+M}h##b50yyAl0fK%R z&lRPDe@be-8+A~@lyWK*^&jJWOdFd=)SkELlA6NaV>@mA9IQ;y@wt1&`q*19FSu0~ zok{rf(aDJ`UQ8VR74VBRMTwfd;_I=uo_;9?`nMtfQE}?(7o+}zyly{1`xgGR?+f*l zp_&B!uU1SouD1PGhJ5A86ZGAMKkHlYz+~Am^q0JteBz^R0;Lr??>DpiZ36VC+;_&$|FR3@RtN0e-$KH36e~|gwJpA0S=`f zg*@#t^}ic?w!etBuTW>6@tMC2Fg&blgjZSrte!G@rnH*IS$n{9F{4R`+5=_lQBa{ogju^dIJf43pKFn7R zagMi7iw}SFE5?qx% zS9L|YV#&g#&w*Z~pAY8RV|r2TZ|ZXK$>wtT1FFESGr}g zS%I#vyF`skdXnt7s>Pv z&qniiJVlwommX>>{9^DgPd=1+bDjI|KN-kAf9!Aj*F8TIzfeMtifc2UaGyah?!PHs z`97%#2X7Z7wLqVdG;u4>^q+707B9|tM&vrH_%8mwVdIbfny#CQ@PKFQ(g8N(7fB); zdyv@x?^Pre@Xh^H?Kgo2^|&69mu{{DuB#{yID=gVCq9yZm1%$}t~0v_#|%E}sTlmKKD*lUDj)0rUFUJ!Pk672@Jv0<;+uUw zTi%{aYfmtPtI0EZB0F(^SM}TVciJz*-{)6g_>V@ov(H8El^Z9l zJvbM9A4Yb2_V4?%?7{B?&kghM15JJW`@k>o`#?o}Hh(6+m-%tIU!U)1#nt5L|91by iSna&&Uxe!U7a